library ieee; use ieee.std_logic_1164.all; library ieee; use ieee.numeric_std.all; entity mul_215 is port ( output : out std_logic_vector(