summaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
-rw-r--r--__MACOSX/abc70930/._.DS_Storebin0 -> 82 bytes
-rw-r--r--__MACOSX/abc70930/._Instructions_for_Mac_OS_X_X_code_users.rtfbin0 -> 82 bytes
-rw-r--r--__MACOSX/abc70930/src/._.DS_Storebin0 -> 82 bytes
-rw-r--r--__MACOSX/abc70930/src/aig/hop/._cudd2.cbin0 -> 174 bytes
-rw-r--r--__MACOSX/abc70930/src/base/._.DS_Storebin0 -> 82 bytes
-rw-r--r--__MACOSX/abc70930/src/base/main/._main.hbin0 -> 174 bytes
-rw-r--r--__MACOSX/abc70930/src/base/main/._mainUtils.cbin0 -> 174 bytes
-rw-r--r--__MACOSX/abc70930/src/misc/espresso/._unate.cbin0 -> 174 bytes
-rw-r--r--abc70930/.DS_Storebin0 -> 6148 bytes
-rw-r--r--abc70930/Instructions_for_Mac_OS_X_X_code_users.rtf7
-rw-r--r--abc70930/Makefile (renamed from Makefile)15
-rw-r--r--abc70930/abc.dsp (renamed from abc.dsp)5830
-rw-r--r--abc70930/abc.dsw (renamed from abc.dsw)58
-rw-r--r--abc70930/abc.plg1105
-rw-r--r--abc70930/abc.rc (renamed from abc.rc)54
-rw-r--r--abc70930/abclib.dsp (renamed from abclib.dsp)5180
-rw-r--r--abc70930/abclib.dsw (renamed from abclib.dsw)58
-rw-r--r--abc70930/abclib.plg2208
-rw-r--r--abc70930/abctestlib.dsp (renamed from abctestlib.dsp)204
-rw-r--r--abc70930/abctestlib.dsw (renamed from abctestlib.dsw)58
-rw-r--r--abc70930/abctestlib.plg32
-rw-r--r--abc70930/copyright.txt (renamed from copyright.txt)0
-rw-r--r--abc70930/demo.c (renamed from demo.c)0
-rwxr-xr-xabc70930/depends.sh (renamed from depends.sh)0
-rw-r--r--abc70930/examples/C2670.blif2395
-rw-r--r--abc70930/examples/ac.v18772
-rw-r--r--abc70930/examples/apex4.pla443
-rw-r--r--abc70930/examples/frg2.blif1867
-rw-r--r--abc70930/examples/i10.blif5679
-rw-r--r--abc70930/examples/pj1.blif120216
-rw-r--r--abc70930/examples/s38417.blif48956
-rw-r--r--abc70930/examples/s38584.bench21008
-rw-r--r--abc70930/examples/s444.blif353
-rw-r--r--abc70930/examples/s5378.blif6138
-rw-r--r--abc70930/examples/s6669.blif6413
-rw-r--r--abc70930/readme (renamed from readme)2
-rw-r--r--abc70930/regtest.script (renamed from regtest.script)0
-rw-r--r--abc70930/regtest_output.txt (renamed from regtest_output.txt)0
-rw-r--r--abc70930/src/.DS_Storebin0 -> 6148 bytes
-rw-r--r--abc70930/src/abc.179
-rw-r--r--abc70930/src/abc.xcodeproj/project.pbxproj3251
-rw-r--r--abc70930/src/abc.xcodeproj/raiyan.pbxuser1622
-rw-r--r--abc70930/src/abc.xcodeproj/raiyan.perspectivev31561
-rw-r--r--abc70930/src/aig/aig/aig.h (renamed from src/aig/aig/aig.h)3
-rw-r--r--abc70930/src/aig/aig/aigCheck.c (renamed from src/aig/aig/aigCheck.c)0
-rw-r--r--abc70930/src/aig/aig/aigDfs.c (renamed from src/aig/aig/aigDfs.c)2
-rw-r--r--abc70930/src/aig/aig/aigFanout.c (renamed from src/aig/aig/aigFanout.c)0
-rw-r--r--abc70930/src/aig/aig/aigMan.c (renamed from src/aig/aig/aigMan.c)1
-rw-r--r--abc70930/src/aig/aig/aigMem.c (renamed from src/aig/aig/aigMem.c)0
-rw-r--r--abc70930/src/aig/aig/aigMffc.c (renamed from src/aig/aig/aigMffc.c)0
-rw-r--r--abc70930/src/aig/aig/aigObj.c (renamed from src/aig/aig/aigObj.c)8
-rw-r--r--abc70930/src/aig/aig/aigOper.c (renamed from src/aig/aig/aigOper.c)2
-rw-r--r--abc70930/src/aig/aig/aigOrder.c (renamed from src/aig/aig/aigOrder.c)0
-rw-r--r--abc70930/src/aig/aig/aigPart.c (renamed from src/aig/aig/aigPart.c)14
-rw-r--r--abc70930/src/aig/aig/aigRepr.c (renamed from src/aig/aig/aigRepr.c)4
-rw-r--r--abc70930/src/aig/aig/aigRet.c (renamed from src/aig/aig/aigRet.c)2
-rw-r--r--abc70930/src/aig/aig/aigScl.c (renamed from src/aig/aig/aigScl.c)6
-rw-r--r--abc70930/src/aig/aig/aigSeq.c (renamed from src/aig/aig/aigSeq.c)2
-rw-r--r--abc70930/src/aig/aig/aigShow.c (renamed from src/aig/aig/aigShow.c)0
-rw-r--r--abc70930/src/aig/aig/aigTable.c (renamed from src/aig/aig/aigTable.c)0
-rw-r--r--abc70930/src/aig/aig/aigTime.c (renamed from src/aig/aig/aigTime.c)0
-rw-r--r--abc70930/src/aig/aig/aigTiming.c (renamed from src/aig/aig/aigTiming.c)4
-rw-r--r--abc70930/src/aig/aig/aigTruth.c (renamed from src/aig/aig/aigTruth.c)0
-rw-r--r--abc70930/src/aig/aig/aigTsim.c (renamed from src/aig/aig/aigTsim.c)2
-rw-r--r--abc70930/src/aig/aig/aigUtil.c (renamed from src/aig/aig/aigUtil.c)21
-rw-r--r--abc70930/src/aig/aig/aigWin.c (renamed from src/aig/aig/aigWin.c)0
-rw-r--r--abc70930/src/aig/aig/aig_.c (renamed from src/aig/aig/aig_.c)0
-rw-r--r--abc70930/src/aig/aig/module.make (renamed from src/aig/aig/module.make)0
-rw-r--r--abc70930/src/aig/bar/bar.c (renamed from src/aig/bar/bar.c)0
-rw-r--r--abc70930/src/aig/bar/bar.h (renamed from src/aig/bar/bar.h)0
-rw-r--r--abc70930/src/aig/bar/module.make (renamed from src/aig/bar/module.make)0
-rw-r--r--abc70930/src/aig/bdc/bdc.h (renamed from src/aig/bdc/bdc.h)0
-rw-r--r--abc70930/src/aig/bdc/bdcCore.c (renamed from src/aig/bdc/bdcCore.c)0
-rw-r--r--abc70930/src/aig/bdc/bdcDec.c (renamed from src/aig/bdc/bdcDec.c)0
-rw-r--r--abc70930/src/aig/bdc/bdcInt.h (renamed from src/aig/bdc/bdcInt.h)0
-rw-r--r--abc70930/src/aig/bdc/bdcTable.c (renamed from src/aig/bdc/bdcTable.c)0
-rw-r--r--abc70930/src/aig/bdc/bdc_.c (renamed from src/aig/bdc/bdc_.c)0
-rw-r--r--abc70930/src/aig/bdc/module.make (renamed from src/aig/bdc/module.make)0
-rw-r--r--abc70930/src/aig/cnf/cnf.h (renamed from src/aig/cnf/cnf.h)0
-rw-r--r--abc70930/src/aig/cnf/cnfCore.c (renamed from src/aig/cnf/cnfCore.c)0
-rw-r--r--abc70930/src/aig/cnf/cnfCut.c (renamed from src/aig/cnf/cnfCut.c)0
-rw-r--r--abc70930/src/aig/cnf/cnfData.c (renamed from src/aig/cnf/cnfData.c)0
-rw-r--r--abc70930/src/aig/cnf/cnfMan.c (renamed from src/aig/cnf/cnfMan.c)0
-rw-r--r--abc70930/src/aig/cnf/cnfMap.c (renamed from src/aig/cnf/cnfMap.c)0
-rw-r--r--abc70930/src/aig/cnf/cnfPost.c (renamed from src/aig/cnf/cnfPost.c)0
-rw-r--r--abc70930/src/aig/cnf/cnfUtil.c (renamed from src/aig/cnf/cnfUtil.c)0
-rw-r--r--abc70930/src/aig/cnf/cnfWrite.c (renamed from src/aig/cnf/cnfWrite.c)0
-rw-r--r--abc70930/src/aig/cnf/cnf_.c (renamed from src/aig/cnf/cnf_.c)0
-rw-r--r--abc70930/src/aig/cnf/module.make (renamed from src/aig/cnf/module.make)0
-rw-r--r--abc70930/src/aig/csw/csw.h (renamed from src/aig/csw/csw.h)0
-rw-r--r--abc70930/src/aig/csw/cswCore.c (renamed from src/aig/csw/cswCore.c)0
-rw-r--r--abc70930/src/aig/csw/cswCut.c (renamed from src/aig/csw/cswCut.c)0
-rw-r--r--abc70930/src/aig/csw/cswInt.h (renamed from src/aig/csw/cswInt.h)0
-rw-r--r--abc70930/src/aig/csw/cswMan.c (renamed from src/aig/csw/cswMan.c)0
-rw-r--r--abc70930/src/aig/csw/cswTable.c (renamed from src/aig/csw/cswTable.c)0
-rw-r--r--abc70930/src/aig/csw/csw_.c (renamed from src/aig/csw/csw_.c)0
-rw-r--r--abc70930/src/aig/csw/module.make (renamed from src/aig/csw/module.make)0
-rw-r--r--abc70930/src/aig/dar/dar.h (renamed from src/aig/dar/dar.h)3
-rw-r--r--abc70930/src/aig/dar/darBalance.c (renamed from src/aig/dar/darBalance.c)2
-rw-r--r--abc70930/src/aig/dar/darCore.c (renamed from src/aig/dar/darCore.c)12
-rw-r--r--abc70930/src/aig/dar/darCut.c (renamed from src/aig/dar/darCut.c)0
-rw-r--r--abc70930/src/aig/dar/darData.c (renamed from src/aig/dar/darData.c)4
-rw-r--r--abc70930/src/aig/dar/darInt.h (renamed from src/aig/dar/darInt.h)2
-rw-r--r--abc70930/src/aig/dar/darLib.c (renamed from src/aig/dar/darLib.c)10
-rw-r--r--abc70930/src/aig/dar/darMan.c (renamed from src/aig/dar/darMan.c)3
-rw-r--r--abc70930/src/aig/dar/darPrec.c (renamed from src/aig/dar/darPrec.c)5
-rw-r--r--abc70930/src/aig/dar/darRefact.c (renamed from src/aig/dar/darRefact.c)12
-rw-r--r--abc70930/src/aig/dar/darResub.c (renamed from src/aig/dar/darResub.c)0
-rw-r--r--abc70930/src/aig/dar/darScript.c (renamed from src/aig/dar/darScript.c)33
-rw-r--r--abc70930/src/aig/dar/dar_.c (renamed from src/aig/dar/dar_.c)0
-rw-r--r--abc70930/src/aig/dar/module.make (renamed from src/aig/dar/module.make)3
-rw-r--r--abc70930/src/aig/deco/deco.h (renamed from src/aig/deco/deco.h)0
-rw-r--r--abc70930/src/aig/deco/module.make (renamed from src/aig/deco/module.make)0
-rw-r--r--abc70930/src/aig/fra/fra.h (renamed from src/aig/fra/fra.h)0
-rw-r--r--abc70930/src/aig/fra/fraBmc.c (renamed from src/aig/fra/fraBmc.c)0
-rw-r--r--abc70930/src/aig/fra/fraCec.c (renamed from src/aig/fra/fraCec.c)0
-rw-r--r--abc70930/src/aig/fra/fraClass.c (renamed from src/aig/fra/fraClass.c)0
-rw-r--r--abc70930/src/aig/fra/fraCnf.c (renamed from src/aig/fra/fraCnf.c)0
-rw-r--r--abc70930/src/aig/fra/fraCore.c (renamed from src/aig/fra/fraCore.c)0
-rw-r--r--abc70930/src/aig/fra/fraImp.c (renamed from src/aig/fra/fraImp.c)0
-rw-r--r--abc70930/src/aig/fra/fraInd.c (renamed from src/aig/fra/fraInd.c)0
-rw-r--r--abc70930/src/aig/fra/fraLcr.c (renamed from src/aig/fra/fraLcr.c)0
-rw-r--r--abc70930/src/aig/fra/fraMan.c (renamed from src/aig/fra/fraMan.c)0
-rw-r--r--abc70930/src/aig/fra/fraPart.c (renamed from src/aig/fra/fraPart.c)0
-rw-r--r--abc70930/src/aig/fra/fraSat.c (renamed from src/aig/fra/fraSat.c)0
-rw-r--r--abc70930/src/aig/fra/fraSec.c (renamed from src/aig/fra/fraSec.c)4
-rw-r--r--abc70930/src/aig/fra/fraSim.c (renamed from src/aig/fra/fraSim.c)0
-rw-r--r--abc70930/src/aig/fra/fra_.c (renamed from src/aig/fra/fra_.c)0
-rw-r--r--abc70930/src/aig/fra/module.make (renamed from src/aig/fra/module.make)0
-rw-r--r--abc70930/src/aig/hop/cudd2.c (renamed from src/aig/hop/cudd2.c)1
-rw-r--r--abc70930/src/aig/hop/cudd2.h (renamed from src/aig/hop/cudd2.h)0
-rw-r--r--abc70930/src/aig/hop/hop.h (renamed from src/aig/hop/hop.h)0
-rw-r--r--abc70930/src/aig/hop/hopBalance.c (renamed from src/aig/hop/hopBalance.c)0
-rw-r--r--abc70930/src/aig/hop/hopCheck.c (renamed from src/aig/hop/hopCheck.c)0
-rw-r--r--abc70930/src/aig/hop/hopDfs.c (renamed from src/aig/hop/hopDfs.c)0
-rw-r--r--abc70930/src/aig/hop/hopMan.c (renamed from src/aig/hop/hopMan.c)0
-rw-r--r--abc70930/src/aig/hop/hopMem.c (renamed from src/aig/hop/hopMem.c)0
-rw-r--r--abc70930/src/aig/hop/hopObj.c (renamed from src/aig/hop/hopObj.c)0
-rw-r--r--abc70930/src/aig/hop/hopOper.c (renamed from src/aig/hop/hopOper.c)0
-rw-r--r--abc70930/src/aig/hop/hopTable.c (renamed from src/aig/hop/hopTable.c)0
-rw-r--r--abc70930/src/aig/hop/hopUtil.c (renamed from src/aig/hop/hopUtil.c)0
-rw-r--r--abc70930/src/aig/hop/hop_.c (renamed from src/aig/hop/hop_.c)0
-rw-r--r--abc70930/src/aig/hop/module.make (renamed from src/aig/hop/module.make)0
-rw-r--r--abc70930/src/aig/ioa/ioa.h (renamed from src/aig/ioa/ioa.h)0
-rw-r--r--abc70930/src/aig/ioa/ioaReadAig.c (renamed from src/aig/ioa/ioaReadAig.c)0
-rw-r--r--abc70930/src/aig/ioa/ioaUtil.c (renamed from src/aig/ioa/ioaUtil.c)0
-rw-r--r--abc70930/src/aig/ioa/ioaWriteAig.c (renamed from src/aig/ioa/ioaWriteAig.c)0
-rw-r--r--abc70930/src/aig/ioa/module.make (renamed from src/aig/ioa/module.make)0
-rw-r--r--abc70930/src/aig/ivy/attr.h (renamed from src/aig/ivy/attr.h)0
-rw-r--r--abc70930/src/aig/ivy/ivy.h (renamed from src/aig/ivy/ivy.h)0
-rw-r--r--abc70930/src/aig/ivy/ivyBalance.c (renamed from src/aig/ivy/ivyBalance.c)0
-rw-r--r--abc70930/src/aig/ivy/ivyCanon.c (renamed from src/aig/ivy/ivyCanon.c)0
-rw-r--r--abc70930/src/aig/ivy/ivyCheck.c (renamed from src/aig/ivy/ivyCheck.c)0
-rw-r--r--abc70930/src/aig/ivy/ivyCut.c (renamed from src/aig/ivy/ivyCut.c)0
-rw-r--r--abc70930/src/aig/ivy/ivyCutTrav.c (renamed from src/aig/ivy/ivyCutTrav.c)0
-rw-r--r--abc70930/src/aig/ivy/ivyDfs.c (renamed from src/aig/ivy/ivyDfs.c)0
-rw-r--r--abc70930/src/aig/ivy/ivyDsd.c (renamed from src/aig/ivy/ivyDsd.c)0
-rw-r--r--abc70930/src/aig/ivy/ivyFanout.c (renamed from src/aig/ivy/ivyFanout.c)0
-rw-r--r--abc70930/src/aig/ivy/ivyFastMap.c (renamed from src/aig/ivy/ivyFastMap.c)0
-rw-r--r--abc70930/src/aig/ivy/ivyFraig.c (renamed from src/aig/ivy/ivyFraig.c)0
-rw-r--r--abc70930/src/aig/ivy/ivyHaig.c (renamed from src/aig/ivy/ivyHaig.c)0
-rw-r--r--abc70930/src/aig/ivy/ivyMan.c (renamed from src/aig/ivy/ivyMan.c)0
-rw-r--r--abc70930/src/aig/ivy/ivyMem.c (renamed from src/aig/ivy/ivyMem.c)0
-rw-r--r--abc70930/src/aig/ivy/ivyMulti.c (renamed from src/aig/ivy/ivyMulti.c)0
-rw-r--r--abc70930/src/aig/ivy/ivyMulti8.c (renamed from src/aig/ivy/ivyMulti8.c)0
-rw-r--r--abc70930/src/aig/ivy/ivyObj.c (renamed from src/aig/ivy/ivyObj.c)0
-rw-r--r--abc70930/src/aig/ivy/ivyOper.c (renamed from src/aig/ivy/ivyOper.c)0
-rw-r--r--abc70930/src/aig/ivy/ivyResyn.c (renamed from src/aig/ivy/ivyResyn.c)0
-rw-r--r--abc70930/src/aig/ivy/ivyRwr.c (renamed from src/aig/ivy/ivyRwr.c)0
-rw-r--r--abc70930/src/aig/ivy/ivyRwrAlg.c (renamed from src/aig/ivy/ivyRwrAlg.c)0
-rw-r--r--abc70930/src/aig/ivy/ivySeq.c (renamed from src/aig/ivy/ivySeq.c)0
-rw-r--r--abc70930/src/aig/ivy/ivyShow.c (renamed from src/aig/ivy/ivyShow.c)0
-rw-r--r--abc70930/src/aig/ivy/ivyTable.c (renamed from src/aig/ivy/ivyTable.c)0
-rw-r--r--abc70930/src/aig/ivy/ivyUtil.c (renamed from src/aig/ivy/ivyUtil.c)0
-rw-r--r--abc70930/src/aig/ivy/ivy_.c (renamed from src/aig/ivy/ivy_.c)0
-rw-r--r--abc70930/src/aig/ivy/module.make (renamed from src/aig/ivy/module.make)0
-rw-r--r--abc70930/src/aig/kit/cloud.c (renamed from src/aig/kit/cloud.c)0
-rw-r--r--abc70930/src/aig/kit/cloud.h (renamed from src/aig/kit/cloud.h)0
-rw-r--r--abc70930/src/aig/kit/kit.h (renamed from src/aig/kit/kit.h)0
-rw-r--r--abc70930/src/aig/kit/kitAig.c (renamed from src/aig/kit/kitAig.c)4
-rw-r--r--abc70930/src/aig/kit/kitBdd.c (renamed from src/aig/kit/kitBdd.c)0
-rw-r--r--abc70930/src/aig/kit/kitCloud.c (renamed from src/aig/kit/kitCloud.c)0
-rw-r--r--abc70930/src/aig/kit/kitDsd.c (renamed from src/aig/kit/kitDsd.c)0
-rw-r--r--abc70930/src/aig/kit/kitFactor.c (renamed from src/aig/kit/kitFactor.c)11
-rw-r--r--abc70930/src/aig/kit/kitGraph.c (renamed from src/aig/kit/kitGraph.c)10
-rw-r--r--abc70930/src/aig/kit/kitHop.c (renamed from src/aig/kit/kitHop.c)4
-rw-r--r--abc70930/src/aig/kit/kitIsop.c (renamed from src/aig/kit/kitIsop.c)0
-rw-r--r--abc70930/src/aig/kit/kitSop.c (renamed from src/aig/kit/kitSop.c)2
-rw-r--r--abc70930/src/aig/kit/kitTruth.c (renamed from src/aig/kit/kitTruth.c)12
-rw-r--r--abc70930/src/aig/kit/kit_.c (renamed from src/aig/kit/kit_.c)0
-rw-r--r--abc70930/src/aig/kit/module.make (renamed from src/aig/kit/module.make)0
-rw-r--r--abc70930/src/aig/mem/mem.c (renamed from src/aig/mem/mem.c)0
-rw-r--r--abc70930/src/aig/mem/mem.h (renamed from src/aig/mem/mem.h)0
-rw-r--r--abc70930/src/aig/mem/module.make (renamed from src/aig/mem/module.make)0
-rw-r--r--abc70930/src/aig/rwt/module.make (renamed from src/aig/rwt/module.make)0
-rw-r--r--abc70930/src/aig/rwt/rwt.h (renamed from src/aig/rwt/rwt.h)0
-rw-r--r--abc70930/src/aig/rwt/rwtDec.c (renamed from src/aig/rwt/rwtDec.c)0
-rw-r--r--abc70930/src/aig/rwt/rwtMan.c (renamed from src/aig/rwt/rwtMan.c)0
-rw-r--r--abc70930/src/aig/rwt/rwtUtil.c (renamed from src/aig/rwt/rwtUtil.c)0
-rw-r--r--abc70930/src/base/.DS_Storebin0 -> 6148 bytes
-rw-r--r--abc70930/src/base/abc/abc.h (renamed from src/base/abc/abc.h)0
-rw-r--r--abc70930/src/base/abc/abcAig.c (renamed from src/base/abc/abcAig.c)0
-rw-r--r--abc70930/src/base/abc/abcBlifMv.c (renamed from src/base/abc/abcBlifMv.c)0
-rw-r--r--abc70930/src/base/abc/abcCheck.c (renamed from src/base/abc/abcCheck.c)0
-rw-r--r--abc70930/src/base/abc/abcDfs.c (renamed from src/base/abc/abcDfs.c)0
-rw-r--r--abc70930/src/base/abc/abcFanio.c (renamed from src/base/abc/abcFanio.c)0
-rw-r--r--abc70930/src/base/abc/abcFunc.c (renamed from src/base/abc/abcFunc.c)0
-rw-r--r--abc70930/src/base/abc/abcHie.c (renamed from src/base/abc/abcHie.c)0
-rw-r--r--abc70930/src/base/abc/abcInt.h (renamed from src/base/abc/abcInt.h)0
-rw-r--r--abc70930/src/base/abc/abcLatch.c (renamed from src/base/abc/abcLatch.c)0
-rw-r--r--abc70930/src/base/abc/abcLib.c (renamed from src/base/abc/abcLib.c)0
-rw-r--r--abc70930/src/base/abc/abcMinBase.c (renamed from src/base/abc/abcMinBase.c)0
-rw-r--r--abc70930/src/base/abc/abcNames.c (renamed from src/base/abc/abcNames.c)0
-rw-r--r--abc70930/src/base/abc/abcNetlist.c (renamed from src/base/abc/abcNetlist.c)0
-rw-r--r--abc70930/src/base/abc/abcNtk.c (renamed from src/base/abc/abcNtk.c)5
-rw-r--r--abc70930/src/base/abc/abcObj.c (renamed from src/base/abc/abcObj.c)0
-rw-r--r--abc70930/src/base/abc/abcRefs.c (renamed from src/base/abc/abcRefs.c)0
-rw-r--r--abc70930/src/base/abc/abcShow.c (renamed from src/base/abc/abcShow.c)0
-rw-r--r--abc70930/src/base/abc/abcSop.c (renamed from src/base/abc/abcSop.c)0
-rw-r--r--abc70930/src/base/abc/abcUtil.c (renamed from src/base/abc/abcUtil.c)0
-rw-r--r--abc70930/src/base/abc/abc_.c (renamed from src/base/abc/abc_.c)0
-rw-r--r--abc70930/src/base/abc/module.make (renamed from src/base/abc/module.make)0
-rw-r--r--abc70930/src/base/abci/abc.c (renamed from src/base/abci/abc.c)3
-rw-r--r--abc70930/src/base/abci/abcAttach.c (renamed from src/base/abci/abcAttach.c)0
-rw-r--r--abc70930/src/base/abci/abcAuto.c (renamed from src/base/abci/abcAuto.c)0
-rw-r--r--abc70930/src/base/abci/abcBalance.c (renamed from src/base/abci/abcBalance.c)0
-rw-r--r--abc70930/src/base/abci/abcBmc.c (renamed from src/base/abci/abcBmc.c)0
-rw-r--r--abc70930/src/base/abci/abcCas.c (renamed from src/base/abci/abcCas.c)0
-rw-r--r--abc70930/src/base/abci/abcClpBdd.c (renamed from src/base/abci/abcClpBdd.c)0
-rw-r--r--abc70930/src/base/abci/abcClpSop.c (renamed from src/base/abci/abcClpSop.c)0
-rw-r--r--abc70930/src/base/abci/abcCut.c (renamed from src/base/abci/abcCut.c)0
-rw-r--r--abc70930/src/base/abci/abcDar.c (renamed from src/base/abci/abcDar.c)2
-rw-r--r--abc70930/src/base/abci/abcDebug.c (renamed from src/base/abci/abcDebug.c)0
-rw-r--r--abc70930/src/base/abci/abcDress.c (renamed from src/base/abci/abcDress.c)0
-rw-r--r--abc70930/src/base/abci/abcDsd.c (renamed from src/base/abci/abcDsd.c)0
-rw-r--r--abc70930/src/base/abci/abcEspresso.c (renamed from src/base/abci/abcEspresso.c)0
-rw-r--r--abc70930/src/base/abci/abcExtract.c (renamed from src/base/abci/abcExtract.c)0
-rw-r--r--abc70930/src/base/abci/abcFpga.c (renamed from src/base/abci/abcFpga.c)0
-rw-r--r--abc70930/src/base/abci/abcFpgaFast.c (renamed from src/base/abci/abcFpgaFast.c)0
-rw-r--r--abc70930/src/base/abci/abcFraig.c (renamed from src/base/abci/abcFraig.c)0
-rw-r--r--abc70930/src/base/abci/abcFxu.c (renamed from src/base/abci/abcFxu.c)0
-rw-r--r--abc70930/src/base/abci/abcGen.c (renamed from src/base/abci/abcGen.c)0
-rw-r--r--abc70930/src/base/abci/abcHaig.c (renamed from src/base/abci/abcHaig.c)0
-rw-r--r--abc70930/src/base/abci/abcIf.c (renamed from src/base/abci/abcIf.c)0
-rw-r--r--abc70930/src/base/abci/abcIvy.c (renamed from src/base/abci/abcIvy.c)0
-rw-r--r--abc70930/src/base/abci/abcLut.c (renamed from src/base/abci/abcLut.c)0
-rw-r--r--abc70930/src/base/abci/abcMap.c (renamed from src/base/abci/abcMap.c)0
-rw-r--r--abc70930/src/base/abci/abcMeasure.c (renamed from src/base/abci/abcMeasure.c)0
-rw-r--r--abc70930/src/base/abci/abcMini.c (renamed from src/base/abci/abcMini.c)0
-rw-r--r--abc70930/src/base/abci/abcMiter.c (renamed from src/base/abci/abcMiter.c)0
-rw-r--r--abc70930/src/base/abci/abcMulti.c (renamed from src/base/abci/abcMulti.c)0
-rw-r--r--abc70930/src/base/abci/abcMv.c (renamed from src/base/abci/abcMv.c)0
-rw-r--r--abc70930/src/base/abci/abcNtbdd.c (renamed from src/base/abci/abcNtbdd.c)0
-rw-r--r--abc70930/src/base/abci/abcOdc.c (renamed from src/base/abci/abcOdc.c)0
-rw-r--r--abc70930/src/base/abci/abcOrder.c (renamed from src/base/abci/abcOrder.c)0
-rw-r--r--abc70930/src/base/abci/abcPart.c (renamed from src/base/abci/abcPart.c)0
-rw-r--r--abc70930/src/base/abci/abcPlace.c (renamed from src/base/abci/abcPlace.c)0
-rw-r--r--abc70930/src/base/abci/abcPrint.c (renamed from src/base/abci/abcPrint.c)10
-rw-r--r--abc70930/src/base/abci/abcProve.c (renamed from src/base/abci/abcProve.c)0
-rw-r--r--abc70930/src/base/abci/abcQbf.c (renamed from src/base/abci/abcQbf.c)0
-rw-r--r--abc70930/src/base/abci/abcQuant.c (renamed from src/base/abci/abcQuant.c)0
-rw-r--r--abc70930/src/base/abci/abcRec.c (renamed from src/base/abci/abcRec.c)0
-rw-r--r--abc70930/src/base/abci/abcReconv.c (renamed from src/base/abci/abcReconv.c)0
-rw-r--r--abc70930/src/base/abci/abcRefactor.c (renamed from src/base/abci/abcRefactor.c)0
-rw-r--r--abc70930/src/base/abci/abcRenode.c (renamed from src/base/abci/abcRenode.c)0
-rw-r--r--abc70930/src/base/abci/abcReorder.c (renamed from src/base/abci/abcReorder.c)0
-rw-r--r--abc70930/src/base/abci/abcRestruct.c (renamed from src/base/abci/abcRestruct.c)0
-rw-r--r--abc70930/src/base/abci/abcResub.c (renamed from src/base/abci/abcResub.c)0
-rw-r--r--abc70930/src/base/abci/abcRewrite.c (renamed from src/base/abci/abcRewrite.c)24
-rw-r--r--abc70930/src/base/abci/abcRr.c (renamed from src/base/abci/abcRr.c)0
-rw-r--r--abc70930/src/base/abci/abcSat.c (renamed from src/base/abci/abcSat.c)0
-rw-r--r--abc70930/src/base/abci/abcStrash.c (renamed from src/base/abci/abcStrash.c)0
-rw-r--r--abc70930/src/base/abci/abcSweep.c (renamed from src/base/abci/abcSweep.c)0
-rw-r--r--abc70930/src/base/abci/abcSymm.c (renamed from src/base/abci/abcSymm.c)0
-rw-r--r--abc70930/src/base/abci/abcTiming.c (renamed from src/base/abci/abcTiming.c)0
-rw-r--r--abc70930/src/base/abci/abcUnate.c (renamed from src/base/abci/abcUnate.c)0
-rw-r--r--abc70930/src/base/abci/abcUnreach.c (renamed from src/base/abci/abcUnreach.c)0
-rw-r--r--abc70930/src/base/abci/abcVerify.c (renamed from src/base/abci/abcVerify.c)0
-rw-r--r--abc70930/src/base/abci/abcXsim.c (renamed from src/base/abci/abcXsim.c)0
-rw-r--r--abc70930/src/base/abci/abc_.c (renamed from src/base/abci/abc_.c)0
-rw-r--r--abc70930/src/base/abci/module.make (renamed from src/base/abci/module.make)1
-rw-r--r--abc70930/src/base/cmd/cmd.c (renamed from src/base/cmd/cmd.c)0
-rw-r--r--abc70930/src/base/cmd/cmd.h (renamed from src/base/cmd/cmd.h)0
-rw-r--r--abc70930/src/base/cmd/cmdAlias.c (renamed from src/base/cmd/cmdAlias.c)0
-rw-r--r--abc70930/src/base/cmd/cmdApi.c (renamed from src/base/cmd/cmdApi.c)0
-rw-r--r--abc70930/src/base/cmd/cmdFlag.c (renamed from src/base/cmd/cmdFlag.c)0
-rw-r--r--abc70930/src/base/cmd/cmdHist.c (renamed from src/base/cmd/cmdHist.c)0
-rw-r--r--abc70930/src/base/cmd/cmdInt.h (renamed from src/base/cmd/cmdInt.h)0
-rw-r--r--abc70930/src/base/cmd/cmdUtils.c (renamed from src/base/cmd/cmdUtils.c)0
-rw-r--r--abc70930/src/base/cmd/module.make (renamed from src/base/cmd/module.make)0
-rw-r--r--abc70930/src/base/io/io.c (renamed from src/base/io/io.c)4
-rw-r--r--abc70930/src/base/io/io.h (renamed from src/base/io/io.h)0
-rw-r--r--abc70930/src/base/io/ioInt.h (renamed from src/base/io/ioInt.h)0
-rw-r--r--abc70930/src/base/io/ioReadAiger.c (renamed from src/base/io/ioReadAiger.c)0
-rw-r--r--abc70930/src/base/io/ioReadBaf.c (renamed from src/base/io/ioReadBaf.c)0
-rw-r--r--abc70930/src/base/io/ioReadBench.c (renamed from src/base/io/ioReadBench.c)0
-rw-r--r--abc70930/src/base/io/ioReadBlif.c (renamed from src/base/io/ioReadBlif.c)0
-rw-r--r--abc70930/src/base/io/ioReadBlifAig.c (renamed from src/base/io/ioReadBlifAig.c)0
-rw-r--r--abc70930/src/base/io/ioReadBlifMv.c (renamed from src/base/io/ioReadBlifMv.c)0
-rw-r--r--abc70930/src/base/io/ioReadDsd.c (renamed from src/base/io/ioReadDsd.c)0
-rw-r--r--abc70930/src/base/io/ioReadEdif.c (renamed from src/base/io/ioReadEdif.c)0
-rw-r--r--abc70930/src/base/io/ioReadEqn.c (renamed from src/base/io/ioReadEqn.c)0
-rw-r--r--abc70930/src/base/io/ioReadPla.c (renamed from src/base/io/ioReadPla.c)0
-rw-r--r--abc70930/src/base/io/ioReadVerilog.c (renamed from src/base/io/ioReadVerilog.c)0
-rw-r--r--abc70930/src/base/io/ioUtil.c (renamed from src/base/io/ioUtil.c)0
-rw-r--r--abc70930/src/base/io/ioWriteAiger.c (renamed from src/base/io/ioWriteAiger.c)0
-rw-r--r--abc70930/src/base/io/ioWriteBaf.c (renamed from src/base/io/ioWriteBaf.c)0
-rw-r--r--abc70930/src/base/io/ioWriteBench.c (renamed from src/base/io/ioWriteBench.c)0
-rw-r--r--abc70930/src/base/io/ioWriteBlif.c (renamed from src/base/io/ioWriteBlif.c)0
-rw-r--r--abc70930/src/base/io/ioWriteBlifMv.c (renamed from src/base/io/ioWriteBlifMv.c)0
-rw-r--r--abc70930/src/base/io/ioWriteCnf.c (renamed from src/base/io/ioWriteCnf.c)0
-rw-r--r--abc70930/src/base/io/ioWriteDot.c (renamed from src/base/io/ioWriteDot.c)0
-rw-r--r--abc70930/src/base/io/ioWriteEqn.c (renamed from src/base/io/ioWriteEqn.c)0
-rw-r--r--abc70930/src/base/io/ioWriteGml.c (renamed from src/base/io/ioWriteGml.c)0
-rw-r--r--abc70930/src/base/io/ioWriteList.c (renamed from src/base/io/ioWriteList.c)0
-rw-r--r--abc70930/src/base/io/ioWritePla.c (renamed from src/base/io/ioWritePla.c)0
-rw-r--r--abc70930/src/base/io/ioWriteVerilog.c (renamed from src/base/io/ioWriteVerilog.c)3
-rw-r--r--abc70930/src/base/io/io_.c (renamed from src/base/io/io_.c)0
-rw-r--r--abc70930/src/base/io/module.make (renamed from src/base/io/module.make)0
-rw-r--r--abc70930/src/base/main/libSupport.c (renamed from src/base/main/libSupport.c)0
-rw-r--r--abc70930/src/base/main/main.c (renamed from src/base/main/main.c)0
-rw-r--r--abc70930/src/base/main/main.h (renamed from src/base/main/main.h)0
-rw-r--r--abc70930/src/base/main/mainFrame.c (renamed from src/base/main/mainFrame.c)0
-rw-r--r--abc70930/src/base/main/mainInit.c (renamed from src/base/main/mainInit.c)0
-rw-r--r--abc70930/src/base/main/mainInt.h (renamed from src/base/main/mainInt.h)0
-rw-r--r--abc70930/src/base/main/mainUtils.c (renamed from src/base/main/mainUtils.c)76
-rw-r--r--abc70930/src/base/main/module.make (renamed from src/base/main/module.make)0
-rw-r--r--abc70930/src/base/temp.c (renamed from src/base/temp.c)0
-rw-r--r--abc70930/src/base/ver/module.make (renamed from src/base/ver/module.make)0
-rw-r--r--abc70930/src/base/ver/ver.h (renamed from src/base/ver/ver.h)0
-rw-r--r--abc70930/src/base/ver/verCore.c (renamed from src/base/ver/verCore.c)3
-rw-r--r--abc70930/src/base/ver/verFormula.c (renamed from src/base/ver/verFormula.c)0
-rw-r--r--abc70930/src/base/ver/verParse.c (renamed from src/base/ver/verParse.c)0
-rw-r--r--abc70930/src/base/ver/verStream.c (renamed from src/base/ver/verStream.c)9
-rw-r--r--abc70930/src/base/ver/verWords.c (renamed from src/base/ver/verWords.c)0
-rw-r--r--abc70930/src/base/ver/ver_.c (renamed from src/base/ver/ver_.c)0
-rw-r--r--abc70930/src/bdd/cas/cas.h (renamed from src/bdd/cas/cas.h)0
-rw-r--r--abc70930/src/bdd/cas/casCore.c (renamed from src/bdd/cas/casCore.c)0
-rw-r--r--abc70930/src/bdd/cas/casDec.c (renamed from src/bdd/cas/casDec.c)0
-rw-r--r--abc70930/src/bdd/cas/module.make (renamed from src/bdd/cas/module.make)0
-rw-r--r--abc70930/src/bdd/cudd/cuBdd.make (renamed from src/bdd/cudd/cuBdd.make)0
-rw-r--r--abc70930/src/bdd/cudd/cudd.h (renamed from src/bdd/cudd/cudd.h)0
-rw-r--r--abc70930/src/bdd/cudd/cudd.make (renamed from src/bdd/cudd/cudd.make)0
-rw-r--r--abc70930/src/bdd/cudd/cuddAPI.c (renamed from src/bdd/cudd/cuddAPI.c)0
-rw-r--r--abc70930/src/bdd/cudd/cuddAddAbs.c (renamed from src/bdd/cudd/cuddAddAbs.c)0
-rw-r--r--abc70930/src/bdd/cudd/cuddAddApply.c (renamed from src/bdd/cudd/cuddAddApply.c)0
-rw-r--r--abc70930/src/bdd/cudd/cuddAddFind.c (renamed from src/bdd/cudd/cuddAddFind.c)0
-rw-r--r--abc70930/src/bdd/cudd/cuddAddInv.c (renamed from src/bdd/cudd/cuddAddInv.c)0
-rw-r--r--abc70930/src/bdd/cudd/cuddAddIte.c (renamed from src/bdd/cudd/cuddAddIte.c)0
-rw-r--r--abc70930/src/bdd/cudd/cuddAddNeg.c (renamed from src/bdd/cudd/cuddAddNeg.c)0
-rw-r--r--abc70930/src/bdd/cudd/cuddAddWalsh.c (renamed from src/bdd/cudd/cuddAddWalsh.c)0
-rw-r--r--abc70930/src/bdd/cudd/cuddAndAbs.c (renamed from src/bdd/cudd/cuddAndAbs.c)0
-rw-r--r--abc70930/src/bdd/cudd/cuddAnneal.c (renamed from src/bdd/cudd/cuddAnneal.c)0
-rw-r--r--abc70930/src/bdd/cudd/cuddApa.c (renamed from src/bdd/cudd/cuddApa.c)0
-rw-r--r--abc70930/src/bdd/cudd/cuddApprox.c (renamed from src/bdd/cudd/cuddApprox.c)0
-rw-r--r--abc70930/src/bdd/cudd/cuddBddAbs.c (renamed from src/bdd/cudd/cuddBddAbs.c)0
-rw-r--r--abc70930/src/bdd/cudd/cuddBddCorr.c (renamed from src/bdd/cudd/cuddBddCorr.c)0
-rw-r--r--abc70930/src/bdd/cudd/cuddBddIte.c (renamed from src/bdd/cudd/cuddBddIte.c)0
-rw-r--r--abc70930/src/bdd/cudd/cuddBridge.c (renamed from src/bdd/cudd/cuddBridge.c)0
-rw-r--r--abc70930/src/bdd/cudd/cuddCache.c (renamed from src/bdd/cudd/cuddCache.c)0
-rw-r--r--abc70930/src/bdd/cudd/cuddCheck.c (renamed from src/bdd/cudd/cuddCheck.c)0
-rw-r--r--abc70930/src/bdd/cudd/cuddClip.c (renamed from src/bdd/cudd/cuddClip.c)0
-rw-r--r--abc70930/src/bdd/cudd/cuddCof.c (renamed from src/bdd/cudd/cuddCof.c)0
-rw-r--r--abc70930/src/bdd/cudd/cuddCompose.c (renamed from src/bdd/cudd/cuddCompose.c)0
-rw-r--r--abc70930/src/bdd/cudd/cuddDecomp.c (renamed from src/bdd/cudd/cuddDecomp.c)0
-rw-r--r--abc70930/src/bdd/cudd/cuddEssent.c (renamed from src/bdd/cudd/cuddEssent.c)0
-rw-r--r--abc70930/src/bdd/cudd/cuddExact.c (renamed from src/bdd/cudd/cuddExact.c)0
-rw-r--r--abc70930/src/bdd/cudd/cuddExport.c (renamed from src/bdd/cudd/cuddExport.c)0
-rw-r--r--abc70930/src/bdd/cudd/cuddGenCof.c (renamed from src/bdd/cudd/cuddGenCof.c)0
-rw-r--r--abc70930/src/bdd/cudd/cuddGenetic.c (renamed from src/bdd/cudd/cuddGenetic.c)0
-rw-r--r--abc70930/src/bdd/cudd/cuddGroup.c (renamed from src/bdd/cudd/cuddGroup.c)0
-rw-r--r--abc70930/src/bdd/cudd/cuddHarwell.c (renamed from src/bdd/cudd/cuddHarwell.c)0
-rw-r--r--abc70930/src/bdd/cudd/cuddInit.c (renamed from src/bdd/cudd/cuddInit.c)0
-rw-r--r--abc70930/src/bdd/cudd/cuddInt.h (renamed from src/bdd/cudd/cuddInt.h)0
-rw-r--r--abc70930/src/bdd/cudd/cuddInteract.c (renamed from src/bdd/cudd/cuddInteract.c)0
-rw-r--r--abc70930/src/bdd/cudd/cuddLCache.c (renamed from src/bdd/cudd/cuddLCache.c)0
-rw-r--r--abc70930/src/bdd/cudd/cuddLevelQ.c (renamed from src/bdd/cudd/cuddLevelQ.c)0
-rw-r--r--abc70930/src/bdd/cudd/cuddLinear.c (renamed from src/bdd/cudd/cuddLinear.c)0
-rw-r--r--abc70930/src/bdd/cudd/cuddLiteral.c (renamed from src/bdd/cudd/cuddLiteral.c)0
-rw-r--r--abc70930/src/bdd/cudd/cuddMatMult.c (renamed from src/bdd/cudd/cuddMatMult.c)0
-rw-r--r--abc70930/src/bdd/cudd/cuddPriority.c (renamed from src/bdd/cudd/cuddPriority.c)0
-rw-r--r--abc70930/src/bdd/cudd/cuddRead.c (renamed from src/bdd/cudd/cuddRead.c)0
-rw-r--r--abc70930/src/bdd/cudd/cuddRef.c (renamed from src/bdd/cudd/cuddRef.c)0
-rw-r--r--abc70930/src/bdd/cudd/cuddReorder.c (renamed from src/bdd/cudd/cuddReorder.c)0
-rw-r--r--abc70930/src/bdd/cudd/cuddSat.c (renamed from src/bdd/cudd/cuddSat.c)0
-rw-r--r--abc70930/src/bdd/cudd/cuddSign.c (renamed from src/bdd/cudd/cuddSign.c)0
-rw-r--r--abc70930/src/bdd/cudd/cuddSolve.c (renamed from src/bdd/cudd/cuddSolve.c)0
-rw-r--r--abc70930/src/bdd/cudd/cuddSplit.c (renamed from src/bdd/cudd/cuddSplit.c)0
-rw-r--r--abc70930/src/bdd/cudd/cuddSubsetHB.c (renamed from src/bdd/cudd/cuddSubsetHB.c)0
-rw-r--r--abc70930/src/bdd/cudd/cuddSubsetSP.c (renamed from src/bdd/cudd/cuddSubsetSP.c)0
-rw-r--r--abc70930/src/bdd/cudd/cuddSymmetry.c (renamed from src/bdd/cudd/cuddSymmetry.c)0
-rw-r--r--abc70930/src/bdd/cudd/cuddTable.c (renamed from src/bdd/cudd/cuddTable.c)0
-rw-r--r--abc70930/src/bdd/cudd/cuddUtil.c (renamed from src/bdd/cudd/cuddUtil.c)0
-rw-r--r--abc70930/src/bdd/cudd/cuddWindow.c (renamed from src/bdd/cudd/cuddWindow.c)0
-rw-r--r--abc70930/src/bdd/cudd/cuddZddCount.c (renamed from src/bdd/cudd/cuddZddCount.c)0
-rw-r--r--abc70930/src/bdd/cudd/cuddZddFuncs.c (renamed from src/bdd/cudd/cuddZddFuncs.c)0
-rw-r--r--abc70930/src/bdd/cudd/cuddZddGroup.c (renamed from src/bdd/cudd/cuddZddGroup.c)0
-rw-r--r--abc70930/src/bdd/cudd/cuddZddIsop.c (renamed from src/bdd/cudd/cuddZddIsop.c)0
-rw-r--r--abc70930/src/bdd/cudd/cuddZddLin.c (renamed from src/bdd/cudd/cuddZddLin.c)0
-rw-r--r--abc70930/src/bdd/cudd/cuddZddMisc.c (renamed from src/bdd/cudd/cuddZddMisc.c)0
-rw-r--r--abc70930/src/bdd/cudd/cuddZddPort.c (renamed from src/bdd/cudd/cuddZddPort.c)0
-rw-r--r--abc70930/src/bdd/cudd/cuddZddReord.c (renamed from src/bdd/cudd/cuddZddReord.c)0
-rw-r--r--abc70930/src/bdd/cudd/cuddZddSetop.c (renamed from src/bdd/cudd/cuddZddSetop.c)0
-rw-r--r--abc70930/src/bdd/cudd/cuddZddSymm.c (renamed from src/bdd/cudd/cuddZddSymm.c)0
-rw-r--r--abc70930/src/bdd/cudd/cuddZddUtil.c (renamed from src/bdd/cudd/cuddZddUtil.c)0
-rw-r--r--abc70930/src/bdd/cudd/module.make (renamed from src/bdd/cudd/module.make)0
-rw-r--r--abc70930/src/bdd/cudd/r7x8.1.mat (renamed from src/bdd/cudd/r7x8.1.mat)0
-rw-r--r--abc70930/src/bdd/cudd/testcudd.c (renamed from src/bdd/cudd/testcudd.c)0
-rw-r--r--abc70930/src/bdd/dsd/dsd.h (renamed from src/bdd/dsd/dsd.h)0
-rw-r--r--abc70930/src/bdd/dsd/dsdApi.c (renamed from src/bdd/dsd/dsdApi.c)0
-rw-r--r--abc70930/src/bdd/dsd/dsdCheck.c (renamed from src/bdd/dsd/dsdCheck.c)0
-rw-r--r--abc70930/src/bdd/dsd/dsdInt.h (renamed from src/bdd/dsd/dsdInt.h)0
-rw-r--r--abc70930/src/bdd/dsd/dsdLocal.c (renamed from src/bdd/dsd/dsdLocal.c)0
-rw-r--r--abc70930/src/bdd/dsd/dsdMan.c (renamed from src/bdd/dsd/dsdMan.c)0
-rw-r--r--abc70930/src/bdd/dsd/dsdProc.c (renamed from src/bdd/dsd/dsdProc.c)0
-rw-r--r--abc70930/src/bdd/dsd/dsdTree.c (renamed from src/bdd/dsd/dsdTree.c)0
-rw-r--r--abc70930/src/bdd/dsd/module.make (renamed from src/bdd/dsd/module.make)0
-rw-r--r--abc70930/src/bdd/epd/epd.c (renamed from src/bdd/epd/epd.c)0
-rw-r--r--abc70930/src/bdd/epd/epd.h (renamed from src/bdd/epd/epd.h)0
-rw-r--r--abc70930/src/bdd/epd/module.make (renamed from src/bdd/epd/module.make)0
-rw-r--r--abc70930/src/bdd/mtr/module.make (renamed from src/bdd/mtr/module.make)0
-rw-r--r--abc70930/src/bdd/mtr/mtr.h (renamed from src/bdd/mtr/mtr.h)0
-rw-r--r--abc70930/src/bdd/mtr/mtrBasic.c (renamed from src/bdd/mtr/mtrBasic.c)0
-rw-r--r--abc70930/src/bdd/mtr/mtrGroup.c (renamed from src/bdd/mtr/mtrGroup.c)0
-rw-r--r--abc70930/src/bdd/mtr/mtrInt.h (renamed from src/bdd/mtr/mtrInt.h)0
-rw-r--r--abc70930/src/bdd/parse/module.make (renamed from src/bdd/parse/module.make)0
-rw-r--r--abc70930/src/bdd/parse/parse.h (renamed from src/bdd/parse/parse.h)0
-rw-r--r--abc70930/src/bdd/parse/parseCore.c (renamed from src/bdd/parse/parseCore.c)0
-rw-r--r--abc70930/src/bdd/parse/parseEqn.c (renamed from src/bdd/parse/parseEqn.c)0
-rw-r--r--abc70930/src/bdd/parse/parseInt.h (renamed from src/bdd/parse/parseInt.h)0
-rw-r--r--abc70930/src/bdd/parse/parseStack.c (renamed from src/bdd/parse/parseStack.c)0
-rw-r--r--abc70930/src/bdd/reo/module.make (renamed from src/bdd/reo/module.make)0
-rw-r--r--abc70930/src/bdd/reo/reo.h (renamed from src/bdd/reo/reo.h)0
-rw-r--r--abc70930/src/bdd/reo/reoApi.c (renamed from src/bdd/reo/reoApi.c)0
-rw-r--r--abc70930/src/bdd/reo/reoCore.c (renamed from src/bdd/reo/reoCore.c)0
-rw-r--r--abc70930/src/bdd/reo/reoProfile.c (renamed from src/bdd/reo/reoProfile.c)0
-rw-r--r--abc70930/src/bdd/reo/reoSift.c (renamed from src/bdd/reo/reoSift.c)0
-rw-r--r--abc70930/src/bdd/reo/reoSwap.c (renamed from src/bdd/reo/reoSwap.c)0
-rw-r--r--abc70930/src/bdd/reo/reoTest.c (renamed from src/bdd/reo/reoTest.c)0
-rw-r--r--abc70930/src/bdd/reo/reoTransfer.c (renamed from src/bdd/reo/reoTransfer.c)0
-rw-r--r--abc70930/src/bdd/reo/reoUnits.c (renamed from src/bdd/reo/reoUnits.c)0
-rw-r--r--abc70930/src/generic.c (renamed from src/generic.c)0
-rw-r--r--abc70930/src/generic.h (renamed from src/generic.h)0
-rw-r--r--abc70930/src/map/fpga/fpga.c (renamed from src/map/fpga/fpga.c)0
-rw-r--r--abc70930/src/map/fpga/fpga.h (renamed from src/map/fpga/fpga.h)0
-rw-r--r--abc70930/src/map/fpga/fpgaCore.c (renamed from src/map/fpga/fpgaCore.c)0
-rw-r--r--abc70930/src/map/fpga/fpgaCreate.c (renamed from src/map/fpga/fpgaCreate.c)0
-rw-r--r--abc70930/src/map/fpga/fpgaCut.c (renamed from src/map/fpga/fpgaCut.c)0
-rw-r--r--abc70930/src/map/fpga/fpgaCutUtils.c (renamed from src/map/fpga/fpgaCutUtils.c)0
-rw-r--r--abc70930/src/map/fpga/fpgaFanout.c (renamed from src/map/fpga/fpgaFanout.c)0
-rw-r--r--abc70930/src/map/fpga/fpgaGENERIC.c (renamed from src/map/fpga/fpgaGENERIC.c)0
-rw-r--r--abc70930/src/map/fpga/fpgaInt.h (renamed from src/map/fpga/fpgaInt.h)0
-rw-r--r--abc70930/src/map/fpga/fpgaLib.c (renamed from src/map/fpga/fpgaLib.c)0
-rw-r--r--abc70930/src/map/fpga/fpgaMatch.c (renamed from src/map/fpga/fpgaMatch.c)0
-rw-r--r--abc70930/src/map/fpga/fpgaSwitch.c (renamed from src/map/fpga/fpgaSwitch.c)0
-rw-r--r--abc70930/src/map/fpga/fpgaTime.c (renamed from src/map/fpga/fpgaTime.c)0
-rw-r--r--abc70930/src/map/fpga/fpgaTruth.c (renamed from src/map/fpga/fpgaTruth.c)0
-rw-r--r--abc70930/src/map/fpga/fpgaUtils.c (renamed from src/map/fpga/fpgaUtils.c)0
-rw-r--r--abc70930/src/map/fpga/fpgaVec.c (renamed from src/map/fpga/fpgaVec.c)0
-rw-r--r--abc70930/src/map/fpga/module.make (renamed from src/map/fpga/module.make)0
-rw-r--r--abc70930/src/map/if/if.h (renamed from src/map/if/if.h)0
-rw-r--r--abc70930/src/map/if/ifCore.c (renamed from src/map/if/ifCore.c)0
-rw-r--r--abc70930/src/map/if/ifCut.c (renamed from src/map/if/ifCut.c)0
-rw-r--r--abc70930/src/map/if/ifMan.c (renamed from src/map/if/ifMan.c)0
-rw-r--r--abc70930/src/map/if/ifMap.c (renamed from src/map/if/ifMap.c)2
-rw-r--r--abc70930/src/map/if/ifReduce.c (renamed from src/map/if/ifReduce.c)4
-rw-r--r--abc70930/src/map/if/ifSeq.c (renamed from src/map/if/ifSeq.c)0
-rw-r--r--abc70930/src/map/if/ifTime.c (renamed from src/map/if/ifTime.c)0
-rw-r--r--abc70930/src/map/if/ifTruth.c (renamed from src/map/if/ifTruth.c)0
-rw-r--r--abc70930/src/map/if/ifUtil.c (renamed from src/map/if/ifUtil.c)0
-rw-r--r--abc70930/src/map/if/if_.c (renamed from src/map/if/if_.c)0
-rw-r--r--abc70930/src/map/if/module.make (renamed from src/map/if/module.make)0
-rw-r--r--abc70930/src/map/mapper/mapper.c (renamed from src/map/mapper/mapper.c)0
-rw-r--r--abc70930/src/map/mapper/mapper.h (renamed from src/map/mapper/mapper.h)0
-rw-r--r--abc70930/src/map/mapper/mapperCanon.c (renamed from src/map/mapper/mapperCanon.c)0
-rw-r--r--abc70930/src/map/mapper/mapperCore.c (renamed from src/map/mapper/mapperCore.c)0
-rw-r--r--abc70930/src/map/mapper/mapperCreate.c (renamed from src/map/mapper/mapperCreate.c)0
-rw-r--r--abc70930/src/map/mapper/mapperCut.c (renamed from src/map/mapper/mapperCut.c)0
-rw-r--r--abc70930/src/map/mapper/mapperCutUtils.c (renamed from src/map/mapper/mapperCutUtils.c)0
-rw-r--r--abc70930/src/map/mapper/mapperFanout.c (renamed from src/map/mapper/mapperFanout.c)0
-rw-r--r--abc70930/src/map/mapper/mapperGENERIC.c (renamed from src/map/mapper/mapperGENERIC.c)0
-rw-r--r--abc70930/src/map/mapper/mapperInt.h (renamed from src/map/mapper/mapperInt.h)0
-rw-r--r--abc70930/src/map/mapper/mapperLib.c (renamed from src/map/mapper/mapperLib.c)0
-rw-r--r--abc70930/src/map/mapper/mapperMatch.c (renamed from src/map/mapper/mapperMatch.c)0
-rw-r--r--abc70930/src/map/mapper/mapperRefs.c (renamed from src/map/mapper/mapperRefs.c)0
-rw-r--r--abc70930/src/map/mapper/mapperSuper.c (renamed from src/map/mapper/mapperSuper.c)0
-rw-r--r--abc70930/src/map/mapper/mapperSwitch.c (renamed from src/map/mapper/mapperSwitch.c)0
-rw-r--r--abc70930/src/map/mapper/mapperTable.c (renamed from src/map/mapper/mapperTable.c)0
-rw-r--r--abc70930/src/map/mapper/mapperTime.c (renamed from src/map/mapper/mapperTime.c)0
-rw-r--r--abc70930/src/map/mapper/mapperTree.c (renamed from src/map/mapper/mapperTree.c)0
-rw-r--r--abc70930/src/map/mapper/mapperTruth.c (renamed from src/map/mapper/mapperTruth.c)0
-rw-r--r--abc70930/src/map/mapper/mapperUtils.c (renamed from src/map/mapper/mapperUtils.c)0
-rw-r--r--abc70930/src/map/mapper/mapperVec.c (renamed from src/map/mapper/mapperVec.c)0
-rw-r--r--abc70930/src/map/mapper/module.make (renamed from src/map/mapper/module.make)0
-rw-r--r--abc70930/src/map/mio/mio.c (renamed from src/map/mio/mio.c)0
-rw-r--r--abc70930/src/map/mio/mio.h (renamed from src/map/mio/mio.h)0
-rw-r--r--abc70930/src/map/mio/mioApi.c (renamed from src/map/mio/mioApi.c)0
-rw-r--r--abc70930/src/map/mio/mioFunc.c (renamed from src/map/mio/mioFunc.c)0
-rw-r--r--abc70930/src/map/mio/mioGENERIC.c (renamed from src/map/mio/mioGENERIC.c)0
-rw-r--r--abc70930/src/map/mio/mioInt.h (renamed from src/map/mio/mioInt.h)0
-rw-r--r--abc70930/src/map/mio/mioRead.c (renamed from src/map/mio/mioRead.c)0
-rw-r--r--abc70930/src/map/mio/mioUtils.c (renamed from src/map/mio/mioUtils.c)0
-rw-r--r--abc70930/src/map/mio/module.make (renamed from src/map/mio/module.make)0
-rw-r--r--abc70930/src/map/super/module.make (renamed from src/map/super/module.make)0
-rw-r--r--abc70930/src/map/super/super.c (renamed from src/map/super/super.c)0
-rw-r--r--abc70930/src/map/super/super.h (renamed from src/map/super/super.h)0
-rw-r--r--abc70930/src/map/super/superAnd.c (renamed from src/map/super/superAnd.c)0
-rw-r--r--abc70930/src/map/super/superGENERIC.c (renamed from src/map/super/superGENERIC.c)0
-rw-r--r--abc70930/src/map/super/superGate.c (renamed from src/map/super/superGate.c)0
-rw-r--r--abc70930/src/map/super/superInt.h (renamed from src/map/super/superInt.h)0
-rw-r--r--abc70930/src/map/super/superWrite.c (renamed from src/map/super/superWrite.c)0
-rw-r--r--abc70930/src/misc/espresso/cofactor.c (renamed from src/misc/espresso/cofactor.c)0
-rw-r--r--abc70930/src/misc/espresso/cols.c (renamed from src/misc/espresso/cols.c)0
-rw-r--r--abc70930/src/misc/espresso/compl.c (renamed from src/misc/espresso/compl.c)0
-rw-r--r--abc70930/src/misc/espresso/contain.c (renamed from src/misc/espresso/contain.c)0
-rw-r--r--abc70930/src/misc/espresso/cubehack.c (renamed from src/misc/espresso/cubehack.c)0
-rw-r--r--abc70930/src/misc/espresso/cubestr.c (renamed from src/misc/espresso/cubestr.c)0
-rw-r--r--abc70930/src/misc/espresso/cvrin.c (renamed from src/misc/espresso/cvrin.c)0
-rw-r--r--abc70930/src/misc/espresso/cvrm.c (renamed from src/misc/espresso/cvrm.c)0
-rw-r--r--abc70930/src/misc/espresso/cvrmisc.c (renamed from src/misc/espresso/cvrmisc.c)0
-rw-r--r--abc70930/src/misc/espresso/cvrout.c (renamed from src/misc/espresso/cvrout.c)0
-rw-r--r--abc70930/src/misc/espresso/dominate.c (renamed from src/misc/espresso/dominate.c)0
-rw-r--r--abc70930/src/misc/espresso/equiv.c (renamed from src/misc/espresso/equiv.c)0
-rw-r--r--abc70930/src/misc/espresso/espresso.c (renamed from src/misc/espresso/espresso.c)0
-rw-r--r--abc70930/src/misc/espresso/espresso.h (renamed from src/misc/espresso/espresso.h)0
-rw-r--r--abc70930/src/misc/espresso/essen.c (renamed from src/misc/espresso/essen.c)0
-rw-r--r--abc70930/src/misc/espresso/exact.c (renamed from src/misc/espresso/exact.c)0
-rw-r--r--abc70930/src/misc/espresso/expand.c (renamed from src/misc/espresso/expand.c)0
-rw-r--r--abc70930/src/misc/espresso/gasp.c (renamed from src/misc/espresso/gasp.c)0
-rw-r--r--abc70930/src/misc/espresso/gimpel.c (renamed from src/misc/espresso/gimpel.c)0
-rw-r--r--abc70930/src/misc/espresso/globals.c (renamed from src/misc/espresso/globals.c)0
-rw-r--r--abc70930/src/misc/espresso/hack.c (renamed from src/misc/espresso/hack.c)0
-rw-r--r--abc70930/src/misc/espresso/indep.c (renamed from src/misc/espresso/indep.c)0
-rw-r--r--abc70930/src/misc/espresso/irred.c (renamed from src/misc/espresso/irred.c)0
-rw-r--r--abc70930/src/misc/espresso/main.c (renamed from src/misc/espresso/main.c)0
-rw-r--r--abc70930/src/misc/espresso/main.h (renamed from src/misc/espresso/main.h)0
-rw-r--r--abc70930/src/misc/espresso/map.c (renamed from src/misc/espresso/map.c)0
-rw-r--r--abc70930/src/misc/espresso/matrix.c (renamed from src/misc/espresso/matrix.c)0
-rw-r--r--abc70930/src/misc/espresso/mincov.c (renamed from src/misc/espresso/mincov.c)0
-rw-r--r--abc70930/src/misc/espresso/mincov.h (renamed from src/misc/espresso/mincov.h)0
-rw-r--r--abc70930/src/misc/espresso/mincov_int.h (renamed from src/misc/espresso/mincov_int.h)0
-rw-r--r--abc70930/src/misc/espresso/module.make (renamed from src/misc/espresso/module.make)0
-rw-r--r--abc70930/src/misc/espresso/opo.c (renamed from src/misc/espresso/opo.c)0
-rw-r--r--abc70930/src/misc/espresso/pair.c (renamed from src/misc/espresso/pair.c)0
-rw-r--r--abc70930/src/misc/espresso/part.c (renamed from src/misc/espresso/part.c)0
-rw-r--r--abc70930/src/misc/espresso/primes.c (renamed from src/misc/espresso/primes.c)0
-rw-r--r--abc70930/src/misc/espresso/reduce.c (renamed from src/misc/espresso/reduce.c)0
-rw-r--r--abc70930/src/misc/espresso/rows.c (renamed from src/misc/espresso/rows.c)0
-rw-r--r--abc70930/src/misc/espresso/set.c (renamed from src/misc/espresso/set.c)0
-rw-r--r--abc70930/src/misc/espresso/setc.c (renamed from src/misc/espresso/setc.c)0
-rw-r--r--abc70930/src/misc/espresso/sharp.c (renamed from src/misc/espresso/sharp.c)0
-rw-r--r--abc70930/src/misc/espresso/sminterf.c (renamed from src/misc/espresso/sminterf.c)0
-rw-r--r--abc70930/src/misc/espresso/solution.c (renamed from src/misc/espresso/solution.c)0
-rw-r--r--abc70930/src/misc/espresso/sparse.c (renamed from src/misc/espresso/sparse.c)0
-rw-r--r--abc70930/src/misc/espresso/sparse.h (renamed from src/misc/espresso/sparse.h)0
-rw-r--r--abc70930/src/misc/espresso/sparse_int.h (renamed from src/misc/espresso/sparse_int.h)0
-rw-r--r--abc70930/src/misc/espresso/unate.c (renamed from src/misc/espresso/unate.c)24
-rw-r--r--abc70930/src/misc/espresso/util_old.h (renamed from src/misc/espresso/util_old.h)0
-rw-r--r--abc70930/src/misc/espresso/verify.c (renamed from src/misc/espresso/verify.c)0
-rw-r--r--abc70930/src/misc/extra/extra.h (renamed from src/misc/extra/extra.h)0
-rw-r--r--abc70930/src/misc/extra/extraBddAuto.c (renamed from src/misc/extra/extraBddAuto.c)0
-rw-r--r--abc70930/src/misc/extra/extraBddCas.c (renamed from src/misc/extra/extraBddCas.c)0
-rw-r--r--abc70930/src/misc/extra/extraBddKmap.c (renamed from src/misc/extra/extraBddKmap.c)0
-rw-r--r--abc70930/src/misc/extra/extraBddMisc.c (renamed from src/misc/extra/extraBddMisc.c)0
-rw-r--r--abc70930/src/misc/extra/extraBddSymm.c (renamed from src/misc/extra/extraBddSymm.c)0
-rw-r--r--abc70930/src/misc/extra/extraBddUnate.c (renamed from src/misc/extra/extraBddUnate.c)0
-rw-r--r--abc70930/src/misc/extra/extraUtilBitMatrix.c (renamed from src/misc/extra/extraUtilBitMatrix.c)0
-rw-r--r--abc70930/src/misc/extra/extraUtilCanon.c (renamed from src/misc/extra/extraUtilCanon.c)0
-rw-r--r--abc70930/src/misc/extra/extraUtilFile.c (renamed from src/misc/extra/extraUtilFile.c)0
-rw-r--r--abc70930/src/misc/extra/extraUtilMemory.c (renamed from src/misc/extra/extraUtilMemory.c)0
-rw-r--r--abc70930/src/misc/extra/extraUtilMisc.c (renamed from src/misc/extra/extraUtilMisc.c)0
-rw-r--r--abc70930/src/misc/extra/extraUtilProgress.c (renamed from src/misc/extra/extraUtilProgress.c)0
-rw-r--r--abc70930/src/misc/extra/extraUtilReader.c (renamed from src/misc/extra/extraUtilReader.c)0
-rw-r--r--abc70930/src/misc/extra/extraUtilTruth.c (renamed from src/misc/extra/extraUtilTruth.c)0
-rw-r--r--abc70930/src/misc/extra/extraUtilUtil.c (renamed from src/misc/extra/extraUtilUtil.c)0
-rw-r--r--abc70930/src/misc/extra/module.make (renamed from src/misc/extra/module.make)0
-rw-r--r--abc70930/src/misc/hash/hash.h (renamed from src/misc/hash/hash.h)0
-rw-r--r--abc70930/src/misc/hash/hashFlt.h (renamed from src/misc/hash/hashFlt.h)0
-rw-r--r--abc70930/src/misc/hash/hashInt.h (renamed from src/misc/hash/hashInt.h)0
-rw-r--r--abc70930/src/misc/hash/hashPtr.h (renamed from src/misc/hash/hashPtr.h)0
-rw-r--r--abc70930/src/misc/hash/module.make (renamed from src/misc/hash/module.make)0
-rw-r--r--abc70930/src/misc/mvc/module.make (renamed from src/misc/mvc/module.make)0
-rw-r--r--abc70930/src/misc/mvc/mvc.c (renamed from src/misc/mvc/mvc.c)0
-rw-r--r--abc70930/src/misc/mvc/mvc.h (renamed from src/misc/mvc/mvc.h)0
-rw-r--r--abc70930/src/misc/mvc/mvcApi.c (renamed from src/misc/mvc/mvcApi.c)0
-rw-r--r--abc70930/src/misc/mvc/mvcCompare.c (renamed from src/misc/mvc/mvcCompare.c)0
-rw-r--r--abc70930/src/misc/mvc/mvcContain.c (renamed from src/misc/mvc/mvcContain.c)0
-rw-r--r--abc70930/src/misc/mvc/mvcCover.c (renamed from src/misc/mvc/mvcCover.c)0
-rw-r--r--abc70930/src/misc/mvc/mvcCube.c (renamed from src/misc/mvc/mvcCube.c)0
-rw-r--r--abc70930/src/misc/mvc/mvcDivide.c (renamed from src/misc/mvc/mvcDivide.c)0
-rw-r--r--abc70930/src/misc/mvc/mvcDivisor.c (renamed from src/misc/mvc/mvcDivisor.c)0
-rw-r--r--abc70930/src/misc/mvc/mvcList.c (renamed from src/misc/mvc/mvcList.c)0
-rw-r--r--abc70930/src/misc/mvc/mvcLits.c (renamed from src/misc/mvc/mvcLits.c)0
-rw-r--r--abc70930/src/misc/mvc/mvcMan.c (renamed from src/misc/mvc/mvcMan.c)0
-rw-r--r--abc70930/src/misc/mvc/mvcOpAlg.c (renamed from src/misc/mvc/mvcOpAlg.c)0
-rw-r--r--abc70930/src/misc/mvc/mvcOpBool.c (renamed from src/misc/mvc/mvcOpBool.c)0
-rw-r--r--abc70930/src/misc/mvc/mvcPrint.c (renamed from src/misc/mvc/mvcPrint.c)0
-rw-r--r--abc70930/src/misc/mvc/mvcSort.c (renamed from src/misc/mvc/mvcSort.c)0
-rw-r--r--abc70930/src/misc/mvc/mvcUtils.c (renamed from src/misc/mvc/mvcUtils.c)0
-rw-r--r--abc70930/src/misc/nm/module.make (renamed from src/misc/nm/module.make)0
-rw-r--r--abc70930/src/misc/nm/nm.h (renamed from src/misc/nm/nm.h)0
-rw-r--r--abc70930/src/misc/nm/nmApi.c (renamed from src/misc/nm/nmApi.c)0
-rw-r--r--abc70930/src/misc/nm/nmInt.h (renamed from src/misc/nm/nmInt.h)0
-rw-r--r--abc70930/src/misc/nm/nmTable.c (renamed from src/misc/nm/nmTable.c)0
-rw-r--r--abc70930/src/misc/st/module.make (renamed from src/misc/st/module.make)0
-rw-r--r--abc70930/src/misc/st/st.c (renamed from src/misc/st/st.c)0
-rw-r--r--abc70930/src/misc/st/st.h (renamed from src/misc/st/st.h)0
-rw-r--r--abc70930/src/misc/st/stmm.c (renamed from src/misc/st/stmm.c)0
-rw-r--r--abc70930/src/misc/st/stmm.h (renamed from src/misc/st/stmm.h)0
-rw-r--r--abc70930/src/misc/util/leaks.h (renamed from src/misc/util/leaks.h)0
-rw-r--r--abc70930/src/misc/util/module.make (renamed from src/misc/util/module.make)0
-rw-r--r--abc70930/src/misc/util/stdlib_hack.h471
-rw-r--r--abc70930/src/misc/util/util_hack.h (renamed from src/misc/util/util_hack.h)0
-rw-r--r--abc70930/src/misc/vec/module.make (renamed from src/misc/vec/module.make)0
-rw-r--r--abc70930/src/misc/vec/vec.h (renamed from src/misc/vec/vec.h)0
-rw-r--r--abc70930/src/misc/vec/vecAtt.h (renamed from src/misc/vec/vecAtt.h)0
-rw-r--r--abc70930/src/misc/vec/vecFlt.h (renamed from src/misc/vec/vecFlt.h)0
-rw-r--r--abc70930/src/misc/vec/vecInt.h (renamed from src/misc/vec/vecInt.h)6
-rw-r--r--abc70930/src/misc/vec/vecPtr.h (renamed from src/misc/vec/vecPtr.h)0
-rw-r--r--abc70930/src/misc/vec/vecStr.h (renamed from src/misc/vec/vecStr.h)0
-rw-r--r--abc70930/src/misc/vec/vecVec.h (renamed from src/misc/vec/vecVec.h)0
-rw-r--r--abc70930/src/opt/cut/abcCut.c (renamed from src/opt/cut/abcCut.c)0
-rw-r--r--abc70930/src/opt/cut/cut.h (renamed from src/opt/cut/cut.h)0
-rw-r--r--abc70930/src/opt/cut/cutApi.c (renamed from src/opt/cut/cutApi.c)0
-rw-r--r--abc70930/src/opt/cut/cutCut.c (renamed from src/opt/cut/cutCut.c)0
-rw-r--r--abc70930/src/opt/cut/cutExpand.c (renamed from src/opt/cut/cutExpand.c)0
-rw-r--r--abc70930/src/opt/cut/cutInt.h (renamed from src/opt/cut/cutInt.h)0
-rw-r--r--abc70930/src/opt/cut/cutList.h (renamed from src/opt/cut/cutList.h)0
-rw-r--r--abc70930/src/opt/cut/cutMan.c (renamed from src/opt/cut/cutMan.c)0
-rw-r--r--abc70930/src/opt/cut/cutMerge.c (renamed from src/opt/cut/cutMerge.c)0
-rw-r--r--abc70930/src/opt/cut/cutNode.c (renamed from src/opt/cut/cutNode.c)0
-rw-r--r--abc70930/src/opt/cut/cutOracle.c (renamed from src/opt/cut/cutOracle.c)0
-rw-r--r--abc70930/src/opt/cut/cutPre22.c (renamed from src/opt/cut/cutPre22.c)0
-rw-r--r--abc70930/src/opt/cut/cutSeq.c (renamed from src/opt/cut/cutSeq.c)0
-rw-r--r--abc70930/src/opt/cut/cutTruth.c (renamed from src/opt/cut/cutTruth.c)0
-rw-r--r--abc70930/src/opt/cut/module.make (renamed from src/opt/cut/module.make)0
-rw-r--r--abc70930/src/opt/dec/dec.h (renamed from src/opt/dec/dec.h)0
-rw-r--r--abc70930/src/opt/dec/decAbc.c (renamed from src/opt/dec/decAbc.c)0
-rw-r--r--abc70930/src/opt/dec/decFactor.c (renamed from src/opt/dec/decFactor.c)0
-rw-r--r--abc70930/src/opt/dec/decMan.c (renamed from src/opt/dec/decMan.c)0
-rw-r--r--abc70930/src/opt/dec/decPrint.c (renamed from src/opt/dec/decPrint.c)0
-rw-r--r--abc70930/src/opt/dec/decUtil.c (renamed from src/opt/dec/decUtil.c)0
-rw-r--r--abc70930/src/opt/dec/module.make (renamed from src/opt/dec/module.make)0
-rw-r--r--abc70930/src/opt/fxu/fxu.c (renamed from src/opt/fxu/fxu.c)0
-rw-r--r--abc70930/src/opt/fxu/fxu.h (renamed from src/opt/fxu/fxu.h)0
-rw-r--r--abc70930/src/opt/fxu/fxuCreate.c (renamed from src/opt/fxu/fxuCreate.c)8
-rw-r--r--abc70930/src/opt/fxu/fxuHeapD.c (renamed from src/opt/fxu/fxuHeapD.c)0
-rw-r--r--abc70930/src/opt/fxu/fxuHeapS.c (renamed from src/opt/fxu/fxuHeapS.c)0
-rw-r--r--abc70930/src/opt/fxu/fxuInt.h (renamed from src/opt/fxu/fxuInt.h)0
-rw-r--r--abc70930/src/opt/fxu/fxuList.c (renamed from src/opt/fxu/fxuList.c)0
-rw-r--r--abc70930/src/opt/fxu/fxuMatrix.c (renamed from src/opt/fxu/fxuMatrix.c)0
-rw-r--r--abc70930/src/opt/fxu/fxuPair.c (renamed from src/opt/fxu/fxuPair.c)0
-rw-r--r--abc70930/src/opt/fxu/fxuPrint.c (renamed from src/opt/fxu/fxuPrint.c)0
-rw-r--r--abc70930/src/opt/fxu/fxuReduce.c (renamed from src/opt/fxu/fxuReduce.c)0
-rw-r--r--abc70930/src/opt/fxu/fxuSelect.c (renamed from src/opt/fxu/fxuSelect.c)0
-rw-r--r--abc70930/src/opt/fxu/fxuSingle.c (renamed from src/opt/fxu/fxuSingle.c)0
-rw-r--r--abc70930/src/opt/fxu/fxuUpdate.c (renamed from src/opt/fxu/fxuUpdate.c)0
-rw-r--r--abc70930/src/opt/fxu/module.make (renamed from src/opt/fxu/module.make)0
-rw-r--r--abc70930/src/opt/lpk/lpk.h (renamed from src/opt/lpk/lpk.h)0
-rw-r--r--abc70930/src/opt/lpk/lpkAbcDec.c (renamed from src/opt/lpk/lpkAbcDec.c)0
-rw-r--r--abc70930/src/opt/lpk/lpkAbcDsd.c (renamed from src/opt/lpk/lpkAbcDsd.c)0
-rw-r--r--abc70930/src/opt/lpk/lpkAbcMux.c (renamed from src/opt/lpk/lpkAbcMux.c)0
-rw-r--r--abc70930/src/opt/lpk/lpkAbcUtil.c (renamed from src/opt/lpk/lpkAbcUtil.c)0
-rw-r--r--abc70930/src/opt/lpk/lpkCore.c (renamed from src/opt/lpk/lpkCore.c)0
-rw-r--r--abc70930/src/opt/lpk/lpkCut.c (renamed from src/opt/lpk/lpkCut.c)0
-rw-r--r--abc70930/src/opt/lpk/lpkInt.h (renamed from src/opt/lpk/lpkInt.h)0
-rw-r--r--abc70930/src/opt/lpk/lpkMan.c (renamed from src/opt/lpk/lpkMan.c)0
-rw-r--r--abc70930/src/opt/lpk/lpkMap.c (renamed from src/opt/lpk/lpkMap.c)0
-rw-r--r--abc70930/src/opt/lpk/lpkMulti.c (renamed from src/opt/lpk/lpkMulti.c)0
-rw-r--r--abc70930/src/opt/lpk/lpkMux.c (renamed from src/opt/lpk/lpkMux.c)0
-rw-r--r--abc70930/src/opt/lpk/lpkSets.c (renamed from src/opt/lpk/lpkSets.c)0
-rw-r--r--abc70930/src/opt/lpk/lpk_.c (renamed from src/opt/lpk/lpk_.c)0
-rw-r--r--abc70930/src/opt/lpk/module.make (renamed from src/opt/lpk/module.make)0
-rw-r--r--abc70930/src/opt/res/module.make (renamed from src/opt/res/module.make)0
-rw-r--r--abc70930/src/opt/res/res.h (renamed from src/opt/res/res.h)0
-rw-r--r--abc70930/src/opt/res/resCore.c (renamed from src/opt/res/resCore.c)0
-rw-r--r--abc70930/src/opt/res/resDivs.c (renamed from src/opt/res/resDivs.c)0
-rw-r--r--abc70930/src/opt/res/resFilter.c (renamed from src/opt/res/resFilter.c)0
-rw-r--r--abc70930/src/opt/res/resInt.h (renamed from src/opt/res/resInt.h)0
-rw-r--r--abc70930/src/opt/res/resSat.c (renamed from src/opt/res/resSat.c)0
-rw-r--r--abc70930/src/opt/res/resSim.c (renamed from src/opt/res/resSim.c)0
-rw-r--r--abc70930/src/opt/res/resSim_old.c (renamed from src/opt/res/resSim_old.c)0
-rw-r--r--abc70930/src/opt/res/resStrash.c (renamed from src/opt/res/resStrash.c)0
-rw-r--r--abc70930/src/opt/res/resWin.c (renamed from src/opt/res/resWin.c)0
-rw-r--r--abc70930/src/opt/res/res_.c (renamed from src/opt/res/res_.c)0
-rw-r--r--abc70930/src/opt/ret/module.make (renamed from src/opt/ret/module.make)0
-rw-r--r--abc70930/src/opt/ret/retArea.c (renamed from src/opt/ret/retArea.c)0
-rw-r--r--abc70930/src/opt/ret/retCore.c (renamed from src/opt/ret/retCore.c)0
-rw-r--r--abc70930/src/opt/ret/retDelay.c (renamed from src/opt/ret/retDelay.c)0
-rw-r--r--abc70930/src/opt/ret/retFlow.c (renamed from src/opt/ret/retFlow.c)0
-rw-r--r--abc70930/src/opt/ret/retIncrem.c (renamed from src/opt/ret/retIncrem.c)0
-rw-r--r--abc70930/src/opt/ret/retInit.c (renamed from src/opt/ret/retInit.c)0
-rw-r--r--abc70930/src/opt/ret/retInt.h (renamed from src/opt/ret/retInt.h)0
-rw-r--r--abc70930/src/opt/ret/retLvalue.c (renamed from src/opt/ret/retLvalue.c)0
-rw-r--r--abc70930/src/opt/ret/ret_.c (renamed from src/opt/ret/ret_.c)0
-rw-r--r--abc70930/src/opt/rwr/module.make (renamed from src/opt/rwr/module.make)0
-rw-r--r--abc70930/src/opt/rwr/rwr.h (renamed from src/opt/rwr/rwr.h)0
-rw-r--r--abc70930/src/opt/rwr/rwrDec.c (renamed from src/opt/rwr/rwrDec.c)0
-rw-r--r--abc70930/src/opt/rwr/rwrEva.c (renamed from src/opt/rwr/rwrEva.c)5
-rw-r--r--abc70930/src/opt/rwr/rwrExp.c (renamed from src/opt/rwr/rwrExp.c)0
-rw-r--r--abc70930/src/opt/rwr/rwrLib.c (renamed from src/opt/rwr/rwrLib.c)0
-rw-r--r--abc70930/src/opt/rwr/rwrMan.c (renamed from src/opt/rwr/rwrMan.c)0
-rw-r--r--abc70930/src/opt/rwr/rwrPrint.c (renamed from src/opt/rwr/rwrPrint.c)0
-rw-r--r--abc70930/src/opt/rwr/rwrTemp.c (renamed from src/opt/rwr/rwrTemp.c)0
-rw-r--r--abc70930/src/opt/rwr/rwrUtil.c (renamed from src/opt/rwr/rwrUtil.c)0
-rw-r--r--abc70930/src/opt/sim/module.make (renamed from src/opt/sim/module.make)0
-rw-r--r--abc70930/src/opt/sim/sim.h (renamed from src/opt/sim/sim.h)0
-rw-r--r--abc70930/src/opt/sim/simMan.c (renamed from src/opt/sim/simMan.c)0
-rw-r--r--abc70930/src/opt/sim/simSat.c (renamed from src/opt/sim/simSat.c)0
-rw-r--r--abc70930/src/opt/sim/simSeq.c (renamed from src/opt/sim/simSeq.c)0
-rw-r--r--abc70930/src/opt/sim/simSupp.c (renamed from src/opt/sim/simSupp.c)0
-rw-r--r--abc70930/src/opt/sim/simSwitch.c (renamed from src/opt/sim/simSwitch.c)0
-rw-r--r--abc70930/src/opt/sim/simSym.c (renamed from src/opt/sim/simSym.c)0
-rw-r--r--abc70930/src/opt/sim/simSymSat.c (renamed from src/opt/sim/simSymSat.c)0
-rw-r--r--abc70930/src/opt/sim/simSymSim.c (renamed from src/opt/sim/simSymSim.c)0
-rw-r--r--abc70930/src/opt/sim/simSymStr.c (renamed from src/opt/sim/simSymStr.c)0
-rw-r--r--abc70930/src/opt/sim/simUtils.c (renamed from src/opt/sim/simUtils.c)0
-rw-r--r--abc70930/src/sat/bsat/module.make (renamed from src/sat/bsat/module.make)0
-rw-r--r--abc70930/src/sat/bsat/satInter.c (renamed from src/sat/bsat/satInter.c)0
-rw-r--r--abc70930/src/sat/bsat/satMem.c (renamed from src/sat/bsat/satMem.c)0
-rw-r--r--abc70930/src/sat/bsat/satMem.h (renamed from src/sat/bsat/satMem.h)0
-rw-r--r--abc70930/src/sat/bsat/satSolver.c (renamed from src/sat/bsat/satSolver.c)0
-rw-r--r--abc70930/src/sat/bsat/satSolver.h (renamed from src/sat/bsat/satSolver.h)0
-rw-r--r--abc70930/src/sat/bsat/satStore.c (renamed from src/sat/bsat/satStore.c)0
-rw-r--r--abc70930/src/sat/bsat/satStore.h (renamed from src/sat/bsat/satStore.h)0
-rw-r--r--abc70930/src/sat/bsat/satTrace.c (renamed from src/sat/bsat/satTrace.c)0
-rw-r--r--abc70930/src/sat/bsat/satUtil.c (renamed from src/sat/bsat/satUtil.c)0
-rw-r--r--abc70930/src/sat/bsat/satVec.h (renamed from src/sat/bsat/satVec.h)0
-rw-r--r--abc70930/src/sat/csat/csat_apis.c (renamed from src/sat/csat/csat_apis.c)0
-rw-r--r--abc70930/src/sat/csat/csat_apis.h (renamed from src/sat/csat/csat_apis.h)0
-rw-r--r--abc70930/src/sat/csat/module.make (renamed from src/sat/csat/module.make)0
-rw-r--r--abc70930/src/sat/fraig/fraig.h (renamed from src/sat/fraig/fraig.h)0
-rw-r--r--abc70930/src/sat/fraig/fraigApi.c (renamed from src/sat/fraig/fraigApi.c)0
-rw-r--r--abc70930/src/sat/fraig/fraigCanon.c (renamed from src/sat/fraig/fraigCanon.c)0
-rw-r--r--abc70930/src/sat/fraig/fraigChoice.c (renamed from src/sat/fraig/fraigChoice.c)0
-rw-r--r--abc70930/src/sat/fraig/fraigFanout.c (renamed from src/sat/fraig/fraigFanout.c)0
-rw-r--r--abc70930/src/sat/fraig/fraigFeed.c (renamed from src/sat/fraig/fraigFeed.c)0
-rw-r--r--abc70930/src/sat/fraig/fraigInt.h (renamed from src/sat/fraig/fraigInt.h)0
-rw-r--r--abc70930/src/sat/fraig/fraigMan.c (renamed from src/sat/fraig/fraigMan.c)0
-rw-r--r--abc70930/src/sat/fraig/fraigMem.c (renamed from src/sat/fraig/fraigMem.c)0
-rw-r--r--abc70930/src/sat/fraig/fraigNode.c (renamed from src/sat/fraig/fraigNode.c)0
-rw-r--r--abc70930/src/sat/fraig/fraigPrime.c (renamed from src/sat/fraig/fraigPrime.c)0
-rw-r--r--abc70930/src/sat/fraig/fraigSat.c (renamed from src/sat/fraig/fraigSat.c)0
-rw-r--r--abc70930/src/sat/fraig/fraigTable.c (renamed from src/sat/fraig/fraigTable.c)0
-rw-r--r--abc70930/src/sat/fraig/fraigUtil.c (renamed from src/sat/fraig/fraigUtil.c)0
-rw-r--r--abc70930/src/sat/fraig/fraigVec.c (renamed from src/sat/fraig/fraigVec.c)0
-rw-r--r--abc70930/src/sat/fraig/module.make (renamed from src/sat/fraig/module.make)0
-rw-r--r--abc70930/src/sat/msat/module.make (renamed from src/sat/msat/module.make)0
-rw-r--r--abc70930/src/sat/msat/msat.h (renamed from src/sat/msat/msat.h)0
-rw-r--r--abc70930/src/sat/msat/msatActivity.c (renamed from src/sat/msat/msatActivity.c)0
-rw-r--r--abc70930/src/sat/msat/msatClause.c (renamed from src/sat/msat/msatClause.c)0
-rw-r--r--abc70930/src/sat/msat/msatClauseVec.c (renamed from src/sat/msat/msatClauseVec.c)0
-rw-r--r--abc70930/src/sat/msat/msatInt.h (renamed from src/sat/msat/msatInt.h)0
-rw-r--r--abc70930/src/sat/msat/msatMem.c (renamed from src/sat/msat/msatMem.c)0
-rw-r--r--abc70930/src/sat/msat/msatOrderH.c (renamed from src/sat/msat/msatOrderH.c)0
-rw-r--r--abc70930/src/sat/msat/msatOrderJ.c (renamed from src/sat/msat/msatOrderJ.c)0
-rw-r--r--abc70930/src/sat/msat/msatQueue.c (renamed from src/sat/msat/msatQueue.c)0
-rw-r--r--abc70930/src/sat/msat/msatRead.c (renamed from src/sat/msat/msatRead.c)0
-rw-r--r--abc70930/src/sat/msat/msatSolverApi.c (renamed from src/sat/msat/msatSolverApi.c)0
-rw-r--r--abc70930/src/sat/msat/msatSolverCore.c (renamed from src/sat/msat/msatSolverCore.c)0
-rw-r--r--abc70930/src/sat/msat/msatSolverIo.c (renamed from src/sat/msat/msatSolverIo.c)0
-rw-r--r--abc70930/src/sat/msat/msatSolverSearch.c (renamed from src/sat/msat/msatSolverSearch.c)0
-rw-r--r--abc70930/src/sat/msat/msatSort.c (renamed from src/sat/msat/msatSort.c)0
-rw-r--r--abc70930/src/sat/msat/msatVec.c (renamed from src/sat/msat/msatVec.c)0
-rw-r--r--abc70930/src/sat/proof/pr.c (renamed from src/sat/proof/pr.c)0
-rw-r--r--abc70930/src/sat/proof/pr.h (renamed from src/sat/proof/pr.h)0
-rw-r--r--abc70930/src/sat/proof/stats.txt (renamed from src/sat/proof/stats.txt)0
-rw-r--r--src/aig/dar/darTruth.c353
-rw-r--r--src/base/abci/abc_new.h23
-rw-r--r--src/base/func/funcBlifMv.c62
-rw-r--r--src/base/io/ioWriteVerilog.zipbin3662 -> 0 bytes
-rw-r--r--src/base/seq/module.make14
-rw-r--r--src/base/seq/seq.h101
-rw-r--r--src/base/seq/seqAigCore.c977
-rw-r--r--src/base/seq/seqAigIter.c268
-rw-r--r--src/base/seq/seqCreate.c482
-rw-r--r--src/base/seq/seqFpgaCore.c643
-rw-r--r--src/base/seq/seqFpgaIter.c270
-rw-r--r--src/base/seq/seqInt.h256
-rw-r--r--src/base/seq/seqLatch.c223
-rw-r--r--src/base/seq/seqMan.c133
-rw-r--r--src/base/seq/seqMapCore.c652
-rw-r--r--src/base/seq/seqMapIter.c623
-rw-r--r--src/base/seq/seqMaxMeanCycle.c567
-rw-r--r--src/base/seq/seqRetCore.c492
-rw-r--r--src/base/seq/seqRetIter.c403
-rw-r--r--src/base/seq/seqShare.c388
-rw-r--r--src/base/seq/seqUtil.c597
-rw-r--r--src/base/ver/verCore.zipbin14624 -> 0 bytes
-rw-r--r--src/map/pcm/module.make0
-rw-r--r--src/misc/util/stdlib_hack.h4
-rw-r--r--src/phys/place/Makefile30
-rw-r--r--src/phys/place/README50
-rw-r--r--src/phys/place/hpwl57
-rw-r--r--src/phys/place/libhmetis.h31
-rw-r--r--src/phys/place/module.make10
-rw-r--r--src/phys/place/place_base.c345
-rw-r--r--src/phys/place/place_base.h137
-rw-r--r--src/phys/place/place_bin.c277
-rw-r--r--src/phys/place/place_genqp.c309
-rw-r--r--src/phys/place/place_gordian.c160
-rw-r--r--src/phys/place/place_gordian.h78
-rw-r--r--src/phys/place/place_inc.c106
-rw-r--r--src/phys/place/place_io.c94
-rw-r--r--src/phys/place/place_legalize.c23
-rw-r--r--src/phys/place/place_pads.c141
-rw-r--r--src/phys/place/place_partition.c1135
-rw-r--r--src/phys/place/place_qpsolver.c1270
-rw-r--r--src/phys/place/place_qpsolver.h140
-rw-r--r--src/phys/place/place_test.c360
-rw-r--r--todo.txt26
811 files changed, 248705 insertions, 18037 deletions
diff --git a/__MACOSX/abc70930/._.DS_Store b/__MACOSX/abc70930/._.DS_Store
new file mode 100644
index 00000000..460d887a
--- /dev/null
+++ b/__MACOSX/abc70930/._.DS_Store
Binary files differ
diff --git a/__MACOSX/abc70930/._Instructions_for_Mac_OS_X_X_code_users.rtf b/__MACOSX/abc70930/._Instructions_for_Mac_OS_X_X_code_users.rtf
new file mode 100644
index 00000000..0ddac7cf
--- /dev/null
+++ b/__MACOSX/abc70930/._Instructions_for_Mac_OS_X_X_code_users.rtf
Binary files differ
diff --git a/__MACOSX/abc70930/src/._.DS_Store b/__MACOSX/abc70930/src/._.DS_Store
new file mode 100644
index 00000000..460d887a
--- /dev/null
+++ b/__MACOSX/abc70930/src/._.DS_Store
Binary files differ
diff --git a/__MACOSX/abc70930/src/aig/hop/._cudd2.c b/__MACOSX/abc70930/src/aig/hop/._cudd2.c
new file mode 100644
index 00000000..1e408f45
--- /dev/null
+++ b/__MACOSX/abc70930/src/aig/hop/._cudd2.c
Binary files differ
diff --git a/__MACOSX/abc70930/src/base/._.DS_Store b/__MACOSX/abc70930/src/base/._.DS_Store
new file mode 100644
index 00000000..460d887a
--- /dev/null
+++ b/__MACOSX/abc70930/src/base/._.DS_Store
Binary files differ
diff --git a/__MACOSX/abc70930/src/base/main/._main.h b/__MACOSX/abc70930/src/base/main/._main.h
new file mode 100644
index 00000000..2e9d99cf
--- /dev/null
+++ b/__MACOSX/abc70930/src/base/main/._main.h
Binary files differ
diff --git a/__MACOSX/abc70930/src/base/main/._mainUtils.c b/__MACOSX/abc70930/src/base/main/._mainUtils.c
new file mode 100644
index 00000000..ca2aaa4c
--- /dev/null
+++ b/__MACOSX/abc70930/src/base/main/._mainUtils.c
Binary files differ
diff --git a/__MACOSX/abc70930/src/misc/espresso/._unate.c b/__MACOSX/abc70930/src/misc/espresso/._unate.c
new file mode 100644
index 00000000..7d3cf3c0
--- /dev/null
+++ b/__MACOSX/abc70930/src/misc/espresso/._unate.c
Binary files differ
diff --git a/abc70930/.DS_Store b/abc70930/.DS_Store
new file mode 100644
index 00000000..49d2fba3
--- /dev/null
+++ b/abc70930/.DS_Store
Binary files differ
diff --git a/abc70930/Instructions_for_Mac_OS_X_X_code_users.rtf b/abc70930/Instructions_for_Mac_OS_X_X_code_users.rtf
new file mode 100644
index 00000000..e941b5bd
--- /dev/null
+++ b/abc70930/Instructions_for_Mac_OS_X_X_code_users.rtf
@@ -0,0 +1,7 @@
+{\rtf1\ansi\ansicpg1252\cocoartf949\cocoasubrtf330
+{\fonttbl\f0\fswiss\fcharset0 Helvetica;}
+{\colortbl;\red255\green255\blue255;}
+\paperw11900\paperh16840\margl1440\margr1440\vieww9000\viewh8400\viewkind0
+\pard\tx566\tx1133\tx1700\tx2267\tx2834\tx3401\tx3968\tx4535\tx5102\tx5669\tx6236\tx6803\ql\qnatural\pardirnatural
+
+\f0\fs24 \cf0 Go to src folder and open the Xcode Project. Compile and enjoy.} \ No newline at end of file
diff --git a/Makefile b/abc70930/Makefile
index 68d221a2..9d42e6bc 100644
--- a/Makefile
+++ b/abc70930/Makefile
@@ -8,21 +8,18 @@ PROG := abc
MODULES := src/base/abc src/base/abci src/base/cmd \
src/base/io src/base/main src/base/ver \
- src/aig/ivy src/aig/hop src/aig/rwt src/aig/deco \
- src/aig/mem src/aig/dar src/aig/fra src/aig/cnf \
- src/aig/csw src/aig/ioa src/aig/aig src/aig/kit \
- src/aig/bdc src/aig/bar \
src/bdd/cudd src/bdd/dsd src/bdd/epd src/bdd/mtr \
src/bdd/parse src/bdd/reo src/bdd/cas \
- src/map/fpga src/map/mapper src/map/mio \
- src/map/super src/map/if src/map/pcm \
+ src/map/fpga src/map/mapper src/map/mio src/map/super src/map/if \
src/misc/extra src/misc/mvc src/misc/st src/misc/util \
- src/misc/espresso src/misc/nm src/misc/vec \
- src/misc/hash \
+ src/misc/espresso src/misc/nm src/misc/vec src/misc/hash \
src/opt/cut src/opt/dec src/opt/fxu src/opt/rwr \
src/opt/sim src/opt/ret src/opt/res src/opt/lpk \
src/sat/bsat src/sat/csat src/sat/msat src/sat/fraig \
- src/phys/place
+ src/aig/ivy src/aig/hop src/aig/rwt src/aig/deco \
+ src/aig/mem src/aig/dar src/aig/fra src/aig/cnf \
+ src/aig/csw src/aig/ioa src/aig/aig src/aig/kit \
+ src/aig/bdc src/aig/bar
default: $(PROG)
diff --git a/abc.dsp b/abc70930/abc.dsp
index 6541d46c..dca99338 100644
--- a/abc.dsp
+++ b/abc70930/abc.dsp
@@ -1,2983 +1,2847 @@
-# Microsoft Developer Studio Project File - Name="abc" - Package Owner=<4>
-# Microsoft Developer Studio Generated Build File, Format Version 6.00
-# ** DO NOT EDIT **
-
-# TARGTYPE "Win32 (x86) Console Application" 0x0103
-
-CFG=abc - Win32 Debug
-!MESSAGE This is not a valid makefile. To build this project using NMAKE,
-!MESSAGE use the Export Makefile command and run
-!MESSAGE
-!MESSAGE NMAKE /f "abc.mak".
-!MESSAGE
-!MESSAGE You can specify a configuration when running NMAKE
-!MESSAGE by defining the macro CFG on the command line. For example:
-!MESSAGE
-!MESSAGE NMAKE /f "abc.mak" CFG="abc - Win32 Debug"
-!MESSAGE
-!MESSAGE Possible choices for configuration are:
-!MESSAGE
-!MESSAGE "abc - Win32 Release" (based on "Win32 (x86) Console Application")
-!MESSAGE "abc - Win32 Debug" (based on "Win32 (x86) Console Application")
-!MESSAGE
-
-# Begin Project
-# PROP AllowPerConfigDependencies 0
-# PROP Scc_ProjName ""
-# PROP Scc_LocalPath ""
-CPP=cl.exe
-RSC=rc.exe
-
-!IF "$(CFG)" == "abc - Win32 Release"
-
-# PROP BASE Use_MFC 0
-# PROP BASE Use_Debug_Libraries 0
-# PROP BASE Output_Dir "Release"
-# PROP BASE Intermediate_Dir "Release"
-# PROP BASE Target_Dir ""
-# PROP Use_MFC 0
-# PROP Use_Debug_Libraries 0
-# PROP Output_Dir "Release"
-# PROP Intermediate_Dir "Release"
-# PROP Ignore_Export_Lib 0
-# PROP Target_Dir ""
-# ADD BASE CPP /nologo /W3 /GX /O2 /D "WIN32" /D "NDEBUG" /D "_CONSOLE" /D "_MBCS" /YX /FD /c
-# ADD CPP /nologo /W3 /GX /O2 /I "src\base\abc" /I "src\base\abci" /I "src\base\abcs" /I "src\base\seq" /I "src\base\cmd" /I "src\base\io" /I "src\base\main" /I "src\bdd\cudd" /I "src\bdd\epd" /I "src\bdd\mtr" /I "src\bdd\parse" /I "src\bdd\dsd" /I "src\bdd\reo" /I "src\sop\ft" /I "src\sat\asat" /I "src\sat\bsat" /I "src\sat\msat" /I "src\sat\fraig" /I "src\opt\cut" /I "src\opt\dec" /I "src\opt\fxu" /I "src\opt\sim" /I "src\opt\rwr" /I "src\opt\res" /I "src\opt\lpk" /I "src\map\fpga" /I "src\map\if" /I "src\map\mapper" /I "src\map\mio" /I "src\map\super" /I "src\misc\extra" /I "src\misc\st" /I "src\misc\mvc" /I "src\misc\util" /I "src\misc\npn" /I "src\misc\vec" /I "src\misc\espresso" /I "src\misc\nm" /I "src\misc\hash" /I "src\aig\ivy" /I "src\aig\hop" /I "src\aig\rwt" /I "src\aig\deco" /I "src\aig\mem" /I "src\aig\aig" /I "src\aig\dar" /I "src\aig\cnf" /I "src\aig\fra" /I "src\aig\kit" /I "src\aig\bdc" /I "src\aig\ioa" /I "src\aig\bar" /I "src\aig\pcm" /I "src\temp\esop" /I "src\phys\place" /D "WIN32" /D "NDEBUG" /D "_CONSOLE" /D "_MBCS" /D "__STDC__" /FR /YX /FD /c
-# ADD BASE RSC /l 0x409 /d "NDEBUG"
-# ADD RSC /l 0x409 /d "NDEBUG"
-BSC32=bscmake.exe
-# ADD BASE BSC32 /nologo
-# ADD BSC32 /nologo
-LINK32=link.exe
-# ADD BASE LINK32 kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib /nologo /subsystem:console /machine:I386
-# ADD LINK32 kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib /nologo /subsystem:console /profile /machine:I386 /out:"_TEST/abc.exe"
-
-!ELSEIF "$(CFG)" == "abc - Win32 Debug"
-
-# PROP BASE Use_MFC 0
-# PROP BASE Use_Debug_Libraries 1
-# PROP BASE Output_Dir "Debug"
-# PROP BASE Intermediate_Dir "Debug"
-# PROP BASE Target_Dir ""
-# PROP Use_MFC 0
-# PROP Use_Debug_Libraries 1
-# PROP Output_Dir "Debug"
-# PROP Intermediate_Dir "Debug"
-# PROP Ignore_Export_Lib 0
-# PROP Target_Dir ""
-# ADD BASE CPP /nologo /W3 /Gm /GX /ZI /Od /D "WIN32" /D "_DEBUG" /D "_CONSOLE" /D "_MBCS" /YX /FD /GZ /c
-# ADD CPP /nologo /W3 /Gm /GX /ZI /Od /I "src\base\abc" /I "src\base\abci" /I "src\base\abcs" /I "src\base\seq" /I "src\base\cmd" /I "src\base\io" /I "src\base\main" /I "src\bdd\cudd" /I "src\bdd\epd" /I "src\bdd\mtr" /I "src\bdd\parse" /I "src\bdd\dsd" /I "src\bdd\reo" /I "src\sop\ft" /I "src\sat\asat" /I "src\sat\bsat" /I "src\sat\msat" /I "src\sat\fraig" /I "src\opt\cut" /I "src\opt\dec" /I "src\opt\fxu" /I "src\opt\sim" /I "src\opt\rwr" /I "src\opt\res" /I "src\opt\lpk" /I "src\map\fpga" /I "src\map\if" /I "src\map\mapper" /I "src\map\mio" /I "src\map\super" /I "src\misc\extra" /I "src\misc\st" /I "src\misc\mvc" /I "src\misc\util" /I "src\misc\npn" /I "src\misc\vec" /I "src\misc\espresso" /I "src\misc\nm" /I "src\misc\hash" /I "src\aig\ivy" /I "src\aig\hop" /I "src\aig\rwt" /I "src\aig\deco" /I "src\aig\mem" /I "src\aig\aig" /I "src\aig\dar" /I "src\aig\cnf" /I "src\aig\fra" /I "src\aig\kit" /I "src\aig\bdc" /I "src\aig\ioa" /I "src\aig\bar" /I "src\aig\pcm" /I "src\temp\esop" /I "src\phys\place" /D "WIN32" /D "_DEBUG" /D "_CONSOLE" /D "_MBCS" /D "__STDC__" /FR /YX /FD /GZ /c
-# SUBTRACT CPP /X
-# ADD BASE RSC /l 0x409 /d "_DEBUG"
-# ADD RSC /l 0x409 /d "_DEBUG"
-BSC32=bscmake.exe
-# ADD BASE BSC32 /nologo
-# ADD BSC32 /nologo
-LINK32=link.exe
-# ADD BASE LINK32 kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib /nologo /subsystem:console /debug /machine:I386 /pdbtype:sept
-# ADD LINK32 kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib /nologo /subsystem:console /debug /machine:I386 /out:"_TEST/abc.exe" /pdbtype:sept
-
-!ENDIF
-
-# Begin Target
-
-# Name "abc - Win32 Release"
-# Name "abc - Win32 Debug"
-# Begin Group "Source Files"
-
-# PROP Default_Filter "cpp;c;cxx;rc;def;r;odl;idl;hpj;bat"
-# Begin Group "base"
-
-# PROP Default_Filter ""
-# Begin Group "abc"
-
-# PROP Default_Filter ""
-# Begin Source File
-
-SOURCE=.\src\base\abc\abc.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abc\abcAig.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abc\abcBlifMv.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abc\abcCheck.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abc\abcDfs.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abc\abcFanio.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abc\abcFunc.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abc\abcHie.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abc\abcInt.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abc\abcLatch.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abc\abcLib.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abc\abcMinBase.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abc\abcNames.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abc\abcNetlist.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abc\abcNtk.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abc\abcObj.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abc\abcRefs.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abc\abcShow.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abc\abcSop.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abc\abcUtil.c
-# End Source File
-# End Group
-# Begin Group "abci"
-
-# PROP Default_Filter ""
-# Begin Source File
-
-SOURCE=.\src\base\abci\abc.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abci\abcAttach.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abci\abcAuto.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abci\abcBalance.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abci\abcBmc.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abci\abcCas.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abci\abcClpBdd.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abci\abcClpSop.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abci\abcCut.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abci\abcDar.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abci\abcDebug.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abci\abcDress.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abci\abcDsd.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abci\abcEspresso.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abci\abcExtract.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abci\abcFpga.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abci\abcFpgaFast.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abci\abcFraig.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abci\abcFxu.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abci\abcGen.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abci\abcHaig.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abci\abcIf.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abci\abcIvy.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abci\abcLut.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abci\abcMap.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abci\abcMeasure.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abci\abcMini.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abci\abcMiter.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abci\abcMulti.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abci\abcMv.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abci\abcNtbdd.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abci\abcOdc.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abci\abcOrder.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abci\abcPart.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abci\abcPlace.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abci\abcPrint.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abci\abcProve.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abci\abcQbf.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abci\abcQuant.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abci\abcRec.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abci\abcReconv.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abci\abcRefactor.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abci\abcRenode.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abci\abcReorder.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abci\abcRestruct.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abci\abcResub.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abci\abcRewrite.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abci\abcRr.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abci\abcSat.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abci\abcStrash.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abci\abcSweep.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abci\abcSymm.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abci\abcTiming.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abci\abcUnate.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abci\abcUnreach.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abci\abcVerify.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abci\abcXsim.c
-# End Source File
-# End Group
-# Begin Group "cmd"
-
-# PROP Default_Filter ""
-# Begin Source File
-
-SOURCE=.\src\base\cmd\cmd.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\cmd\cmd.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\cmd\cmdAlias.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\cmd\cmdApi.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\cmd\cmdFlag.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\cmd\cmdHist.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\cmd\cmdInt.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\cmd\cmdUtils.c
-# End Source File
-# End Group
-# Begin Group "io"
-
-# PROP Default_Filter ""
-# Begin Source File
-
-SOURCE=.\src\base\io\io.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\io\io.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\io\ioInt.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\io\ioReadAiger.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\io\ioReadBaf.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\io\ioReadBench.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\io\ioReadBlif.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\io\ioReadBlifAig.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\io\ioReadBlifMv.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\io\ioReadDsd.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\io\ioReadEdif.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\io\ioReadEqn.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\io\ioReadPla.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\io\ioReadVerilog.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\io\ioUtil.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\io\ioWriteAiger.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\io\ioWriteBaf.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\io\ioWriteBench.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\io\ioWriteBlif.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\io\ioWriteBlifMv.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\io\ioWriteCnf.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\io\ioWriteDot.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\io\ioWriteEqn.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\io\ioWriteGml.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\io\ioWriteList.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\io\ioWritePla.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\io\ioWriteVerilog.c
-# End Source File
-# End Group
-# Begin Group "main"
-
-# PROP Default_Filter ""
-# Begin Source File
-
-SOURCE=.\src\base\main\libSupport.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\main\main.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\main\main.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\main\mainFrame.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\main\mainInit.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\main\mainInt.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\main\mainUtils.c
-# End Source File
-# End Group
-# Begin Group "ver"
-
-# PROP Default_Filter ""
-# Begin Source File
-
-SOURCE=.\src\base\ver\ver.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\ver\verCore.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\ver\verFormula.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\ver\verParse.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\ver\verStream.c
-# End Source File
-# End Group
-# Begin Group "func"
-
-# PROP Default_Filter ""
-# End Group
-# End Group
-# Begin Group "bdd"
-
-# PROP Default_Filter ""
-# Begin Group "cudd"
-
-# PROP Default_Filter ""
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cudd.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddAddAbs.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddAddApply.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddAddFind.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddAddInv.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddAddIte.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddAddNeg.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddAddWalsh.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddAndAbs.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddAnneal.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddApa.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddAPI.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddApprox.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddBddAbs.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddBddCorr.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddBddIte.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddBridge.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddCache.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddCheck.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddClip.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddCof.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddCompose.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddDecomp.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddEssent.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddExact.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddExport.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddGenCof.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddGenetic.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddGroup.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddHarwell.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddInit.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddInt.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddInteract.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddLCache.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddLevelQ.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddLinear.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddLiteral.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddMatMult.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddPriority.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddRead.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddRef.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddReorder.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddSat.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddSign.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddSolve.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddSplit.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddSubsetHB.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddSubsetSP.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddSymmetry.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddTable.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddUtil.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddWindow.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddZddCount.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddZddFuncs.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddZddGroup.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddZddIsop.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddZddLin.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddZddMisc.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddZddPort.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddZddReord.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddZddSetop.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddZddSymm.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddZddUtil.c
-# End Source File
-# End Group
-# Begin Group "epd"
-
-# PROP Default_Filter ""
-# Begin Source File
-
-SOURCE=.\src\bdd\epd\epd.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\epd\epd.h
-# End Source File
-# End Group
-# Begin Group "mtr"
-
-# PROP Default_Filter ""
-# Begin Source File
-
-SOURCE=.\src\bdd\mtr\mtr.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\mtr\mtrBasic.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\mtr\mtrGroup.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\mtr\mtrInt.h
-# End Source File
-# End Group
-# Begin Group "parse"
-
-# PROP Default_Filter ""
-# Begin Source File
-
-SOURCE=.\src\bdd\parse\parse.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\parse\parseCore.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\parse\parseEqn.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\parse\parseInt.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\parse\parseStack.c
-# End Source File
-# End Group
-# Begin Group "dsd"
-
-# PROP Default_Filter ""
-# Begin Source File
-
-SOURCE=.\src\bdd\dsd\dsd.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\dsd\dsdApi.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\dsd\dsdCheck.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\dsd\dsdInt.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\dsd\dsdLocal.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\dsd\dsdMan.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\dsd\dsdProc.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\dsd\dsdTree.c
-# End Source File
-# End Group
-# Begin Group "reo"
-
-# PROP Default_Filter ""
-# Begin Source File
-
-SOURCE=.\src\bdd\reo\reo.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\reo\reoApi.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\reo\reoCore.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\reo\reoProfile.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\reo\reoSift.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\reo\reoSwap.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\reo\reoTest.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\reo\reoTransfer.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\reo\reoUnits.c
-# End Source File
-# End Group
-# Begin Group "cas"
-
-# PROP Default_Filter ""
-# Begin Source File
-
-SOURCE=.\src\bdd\cas\cas.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cas\casCore.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cas\casDec.c
-# End Source File
-# End Group
-# End Group
-# Begin Group "sat"
-
-# PROP Default_Filter ""
-# Begin Group "msat"
-
-# PROP Default_Filter ""
-# Begin Source File
-
-SOURCE=.\src\sat\msat\msat.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\sat\msat\msatActivity.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\sat\msat\msatClause.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\sat\msat\msatClauseVec.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\sat\msat\msatInt.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\sat\msat\msatMem.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\sat\msat\msatOrderH.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\sat\msat\msatQueue.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\sat\msat\msatRead.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\sat\msat\msatSolverApi.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\sat\msat\msatSolverCore.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\sat\msat\msatSolverIo.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\sat\msat\msatSolverSearch.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\sat\msat\msatSort.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\sat\msat\msatVec.c
-# End Source File
-# End Group
-# Begin Group "fraig"
-
-# PROP Default_Filter ""
-# Begin Source File
-
-SOURCE=.\src\sat\fraig\fraig.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\sat\fraig\fraigApi.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\sat\fraig\fraigCanon.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\sat\fraig\fraigChoice.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\sat\fraig\fraigFanout.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\sat\fraig\fraigFeed.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\sat\fraig\fraigInt.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\sat\fraig\fraigMan.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\sat\fraig\fraigMem.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\sat\fraig\fraigNode.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\sat\fraig\fraigPrime.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\sat\fraig\fraigSat.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\sat\fraig\fraigTable.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\sat\fraig\fraigUtil.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\sat\fraig\fraigVec.c
-# End Source File
-# End Group
-# Begin Group "csat"
-
-# PROP Default_Filter ""
-# Begin Source File
-
-SOURCE=.\src\sat\csat\csat_apis.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\sat\csat\csat_apis.h
-# End Source File
-# End Group
-# Begin Group "bsat"
-
-# PROP Default_Filter ""
-# Begin Source File
-
-SOURCE=.\src\sat\bsat\satInter.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\sat\bsat\satMem.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\sat\bsat\satMem.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\sat\bsat\satSolver.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\sat\bsat\satSolver.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\sat\bsat\satStore.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\sat\bsat\satStore.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\sat\bsat\satTrace.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\sat\bsat\satUtil.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\sat\bsat\satVec.h
-# End Source File
-# End Group
-# Begin Group "proof"
-
-# PROP Default_Filter ""
-# Begin Source File
-
-SOURCE=.\src\sat\proof\pr.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\sat\proof\pr.h
-# End Source File
-# End Group
-# End Group
-# Begin Group "opt"
-
-# PROP Default_Filter ""
-# Begin Group "fxu"
-
-# PROP Default_Filter ""
-# Begin Source File
-
-SOURCE=.\src\opt\fxu\fxu.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\fxu\fxu.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\fxu\fxuCreate.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\fxu\fxuHeapD.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\fxu\fxuHeapS.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\fxu\fxuInt.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\fxu\fxuList.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\fxu\fxuMatrix.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\fxu\fxuPair.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\fxu\fxuPrint.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\fxu\fxuReduce.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\fxu\fxuSelect.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\fxu\fxuSingle.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\fxu\fxuUpdate.c
-# End Source File
-# End Group
-# Begin Group "rwr"
-
-# PROP Default_Filter ""
-# Begin Source File
-
-SOURCE=.\src\opt\rwr\rwr.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\rwr\rwrDec.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\rwr\rwrEva.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\rwr\rwrExp.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\rwr\rwrLib.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\rwr\rwrMan.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\rwr\rwrPrint.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\rwr\rwrTemp.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\rwr\rwrUtil.c
-# End Source File
-# End Group
-# Begin Group "cut"
-
-# PROP Default_Filter ""
-# Begin Source File
-
-SOURCE=.\src\opt\cut\cut.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\cut\cutApi.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\cut\cutCut.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\cut\cutExpand.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\cut\cutInt.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\cut\cutList.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\cut\cutMan.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\cut\cutMerge.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\cut\cutNode.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\cut\cutOracle.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\cut\cutPre22.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\cut\cutSeq.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\cut\cutTruth.c
-# End Source File
-# End Group
-# Begin Group "dec"
-
-# PROP Default_Filter ""
-# Begin Source File
-
-SOURCE=.\src\opt\dec\dec.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\dec\decAbc.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\dec\decFactor.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\dec\decMan.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\dec\decPrint.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\dec\decUtil.c
-# End Source File
-# End Group
-# Begin Group "sim"
-
-# PROP Default_Filter ""
-# Begin Source File
-
-SOURCE=.\src\opt\sim\sim.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\sim\simMan.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\sim\simSat.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\sim\simSeq.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\sim\simSupp.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\sim\simSwitch.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\sim\simSym.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\sim\simSymSat.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\sim\simSymSim.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\sim\simSymStr.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\sim\simUtils.c
-# End Source File
-# End Group
-# Begin Group "ret"
-
-# PROP Default_Filter ""
-# Begin Source File
-
-SOURCE=.\src\opt\ret\retArea.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\ret\retCore.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\ret\retDelay.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\ret\retFlow.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\ret\retIncrem.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\ret\retInit.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\ret\retInt.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\ret\retLvalue.c
-# End Source File
-# End Group
-# Begin Group "res"
-
-# PROP Default_Filter ""
-# Begin Source File
-
-SOURCE=.\src\opt\res\res.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\res\resCore.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\res\resDivs.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\res\resFilter.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\res\resInt.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\res\resSat.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\res\resSim.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\res\resStrash.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\res\resWin.c
-# End Source File
-# End Group
-# Begin Group "lpk"
-
-# PROP Default_Filter ""
-# Begin Source File
-
-SOURCE=.\src\opt\lpk\lpk.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\lpk\lpkAbcDec.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\lpk\lpkAbcDsd.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\lpk\lpkAbcMux.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\lpk\lpkAbcUtil.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\lpk\lpkCore.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\lpk\lpkCut.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\lpk\lpkInt.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\lpk\lpkMan.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\lpk\lpkMap.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\lpk\lpkMulti.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\lpk\lpkMux.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\lpk\lpkSets.c
-# End Source File
-# End Group
-# End Group
-# Begin Group "map"
-
-# PROP Default_Filter ""
-# Begin Group "fpga"
-
-# PROP Default_Filter ""
-# Begin Source File
-
-SOURCE=.\src\map\fpga\fpga.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\fpga\fpga.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\fpga\fpgaCore.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\fpga\fpgaCreate.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\fpga\fpgaCut.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\fpga\fpgaCutUtils.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\fpga\fpgaFanout.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\fpga\fpgaInt.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\fpga\fpgaLib.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\fpga\fpgaMatch.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\fpga\fpgaSwitch.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\fpga\fpgaTime.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\fpga\fpgaTruth.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\fpga\fpgaUtils.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\fpga\fpgaVec.c
-# End Source File
-# End Group
-# Begin Group "mapper"
-
-# PROP Default_Filter ""
-# Begin Source File
-
-SOURCE=.\src\map\mapper\mapper.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\mapper\mapper.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\mapper\mapperCanon.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\mapper\mapperCore.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\mapper\mapperCreate.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\mapper\mapperCut.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\mapper\mapperCutUtils.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\mapper\mapperFanout.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\mapper\mapperInt.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\mapper\mapperLib.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\mapper\mapperMatch.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\mapper\mapperRefs.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\mapper\mapperSuper.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\mapper\mapperSwitch.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\mapper\mapperTable.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\mapper\mapperTime.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\mapper\mapperTree.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\mapper\mapperTruth.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\mapper\mapperUtils.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\mapper\mapperVec.c
-# End Source File
-# End Group
-# Begin Group "mio"
-
-# PROP Default_Filter ""
-# Begin Source File
-
-SOURCE=.\src\map\mio\mio.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\mio\mio.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\mio\mioApi.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\mio\mioFunc.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\mio\mioInt.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\mio\mioRead.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\mio\mioUtils.c
-# End Source File
-# End Group
-# Begin Group "super"
-
-# PROP Default_Filter ""
-# Begin Source File
-
-SOURCE=.\src\map\super\super.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\super\super.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\super\superAnd.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\super\superGate.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\super\superInt.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\super\superWrite.c
-# End Source File
-# End Group
-# Begin Group "if"
-
-# PROP Default_Filter ""
-# Begin Source File
-
-SOURCE=.\src\map\if\if.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\if\ifCore.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\if\ifCut.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\if\ifMan.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\if\ifMap.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\if\ifReduce.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\if\ifSeq.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\if\ifTime.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\if\ifTruth.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\if\ifUtil.c
-# End Source File
-# End Group
-# Begin Group "pcm"
-
-# PROP Default_Filter ""
-# Begin Source File
-
-SOURCE=.\src\map\pcm\pcm.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\pcm\pcmAbc.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\pcm\pcmAig.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\pcm\pcmApi.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\pcm\pcmCore.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\pcm\pcmCut.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\pcm\pcmInt.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\pcm\pcmIter.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\pcm\pcmLib.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\pcm\pcmMan.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\pcm\pcmMap.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\pcm\pcmPar.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\pcm\pcmReduce.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\pcm\pcmTime.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\pcm\pcmTruth.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\pcm\pcmUtil.c
-# End Source File
-# End Group
-# End Group
-# Begin Group "misc"
-
-# PROP Default_Filter ""
-# Begin Group "extra"
-
-# PROP Default_Filter ""
-# Begin Source File
-
-SOURCE=.\src\misc\extra\extra.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\extra\extraBddAuto.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\extra\extraBddCas.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\extra\extraBddKmap.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\extra\extraBddMisc.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\extra\extraBddSymm.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\extra\extraBddUnate.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\extra\extraUtilBitMatrix.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\extra\extraUtilCanon.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\extra\extraUtilFile.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\extra\extraUtilMemory.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\extra\extraUtilMisc.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\extra\extraUtilProgress.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\extra\extraUtilReader.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\extra\extraUtilTruth.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\extra\extraUtilUtil.c
-# End Source File
-# End Group
-# Begin Group "st"
-
-# PROP Default_Filter ""
-# Begin Source File
-
-SOURCE=.\src\misc\st\st.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\st\st.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\st\stmm.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\st\stmm.h
-# End Source File
-# End Group
-# Begin Group "mvc"
-
-# PROP Default_Filter ""
-# Begin Source File
-
-SOURCE=.\src\misc\mvc\mvc.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\mvc\mvc.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\mvc\mvcApi.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\mvc\mvcCompare.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\mvc\mvcContain.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\mvc\mvcCover.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\mvc\mvcCube.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\mvc\mvcDivide.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\mvc\mvcDivisor.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\mvc\mvcList.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\mvc\mvcLits.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\mvc\mvcMan.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\mvc\mvcOpAlg.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\mvc\mvcOpBool.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\mvc\mvcPrint.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\mvc\mvcSort.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\mvc\mvcUtils.c
-# End Source File
-# End Group
-# Begin Group "vec"
-
-# PROP Default_Filter ""
-# Begin Source File
-
-SOURCE=.\src\misc\vec\vec.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\vec\vecAtt.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\vec\vecFlt.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\vec\vecInt.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\vec\vecPtr.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\vec\vecStr.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\vec\vecVec.h
-# End Source File
-# End Group
-# Begin Group "espresso"
-
-# PROP Default_Filter ""
-# Begin Source File
-
-SOURCE=.\src\misc\espresso\cofactor.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\espresso\cols.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\espresso\compl.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\espresso\contain.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\espresso\cubehack.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\espresso\cubestr.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\espresso\cvrin.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\espresso\cvrm.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\espresso\cvrmisc.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\espresso\cvrout.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\espresso\dominate.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\espresso\equiv.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\espresso\espresso.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\espresso\espresso.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\espresso\essen.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\espresso\exact.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\espresso\expand.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\espresso\gasp.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\espresso\gimpel.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\espresso\globals.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\espresso\hack.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\espresso\indep.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\espresso\irred.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\espresso\map.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\espresso\matrix.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\espresso\mincov.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\espresso\mincov.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\espresso\mincov_int.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\espresso\opo.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\espresso\pair.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\espresso\part.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\espresso\primes.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\espresso\reduce.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\espresso\rows.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\espresso\set.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\espresso\setc.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\espresso\sharp.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\espresso\sminterf.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\espresso\solution.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\espresso\sparse.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\espresso\sparse.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\espresso\sparse_int.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\espresso\unate.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\espresso\verify.c
-# End Source File
-# End Group
-# Begin Group "util"
-
-# PROP Default_Filter ""
-# Begin Source File
-
-SOURCE=.\src\misc\util\util_hack.h
-# End Source File
-# End Group
-# Begin Group "nm"
-
-# PROP Default_Filter ""
-# Begin Source File
-
-SOURCE=.\src\misc\nm\nm.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\nm\nmApi.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\nm\nmInt.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\nm\nmTable.c
-# End Source File
-# End Group
-# Begin Group "hash"
-
-# PROP Default_Filter ""
-# Begin Source File
-
-SOURCE=.\src\misc\hash\hash.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\hash\hashFlt.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\hash\hashInt.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\hash\hashPtr.h
-# End Source File
-# End Group
-# End Group
-# Begin Group "phys"
-
-# PROP Default_Filter ""
-# Begin Group "place"
-
-# PROP Default_Filter ""
-# Begin Source File
-
-SOURCE=.\src\phys\place\libhmetis.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\phys\place\place_base.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\phys\place\place_base.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\phys\place\place_bin.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\phys\place\place_genqp.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\phys\place\place_gordian.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\phys\place\place_gordian.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\phys\place\place_legalize.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\phys\place\place_pads.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\phys\place\place_partition.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\phys\place\place_qpsolver.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\phys\place\place_qpsolver.h
-# End Source File
-# End Group
-# End Group
-# Begin Group "ai"
-
-# PROP Default_Filter ""
-# Begin Group "hop"
-
-# PROP Default_Filter ""
-# Begin Source File
-
-SOURCE=.\src\aig\hop\hop.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\hop\hopBalance.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\hop\hopCheck.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\hop\hopDfs.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\hop\hopMan.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\hop\hopMem.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\hop\hopObj.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\hop\hopOper.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\hop\hopTable.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\hop\hopUtil.c
-# End Source File
-# End Group
-# Begin Group "ivy"
-
-# PROP Default_Filter ""
-# Begin Source File
-
-SOURCE=.\src\aig\ivy\ivy.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\ivy\ivyBalance.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\ivy\ivyCanon.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\ivy\ivyCheck.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\ivy\ivyCut.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\ivy\ivyCutTrav.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\ivy\ivyDfs.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\ivy\ivyDsd.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\ivy\ivyFanout.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\ivy\ivyFastMap.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\ivy\ivyFraig.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\ivy\ivyHaig.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\ivy\ivyMan.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\ivy\ivyMem.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\ivy\ivyMulti.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\ivy\ivyObj.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\ivy\ivyOper.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\ivy\ivyResyn.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\ivy\ivyRwr.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\ivy\ivySeq.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\ivy\ivyShow.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\ivy\ivyTable.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\ivy\ivyUtil.c
-# End Source File
-# End Group
-# Begin Group "rwt"
-
-# PROP Default_Filter ""
-# Begin Source File
-
-SOURCE=.\src\aig\rwt\rwt.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\rwt\rwtDec.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\rwt\rwtMan.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\rwt\rwtUtil.c
-# End Source File
-# End Group
-# Begin Group "deco"
-
-# PROP Default_Filter ""
-# Begin Source File
-
-SOURCE=.\src\aig\deco\deco.h
-# End Source File
-# End Group
-# Begin Group "mem"
-
-# PROP Default_Filter ""
-# Begin Source File
-
-SOURCE=.\src\aig\mem\mem.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\mem\mem.h
-# End Source File
-# End Group
-# Begin Group "ioa"
-
-# PROP Default_Filter ""
-# Begin Source File
-
-SOURCE=.\src\aig\ioa\ioa.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\ioa\ioaReadAig.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\ioa\ioaUtil.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\ioa\ioaWriteAig.c
-# End Source File
-# End Group
-# Begin Group "dar"
-
-# PROP Default_Filter ""
-# Begin Source File
-
-SOURCE=.\src\aig\dar\dar.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\dar\darBalance.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\dar\darCore.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\dar\darCut.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\dar\darData.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\dar\darInt.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\dar\darLib.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\dar\darMan.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\dar\darPrec.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\dar\darRefact.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\dar\darResub.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\dar\darScript.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\dar\darTruth.c
-# End Source File
-# End Group
-# Begin Group "fra"
-
-# PROP Default_Filter ""
-# Begin Source File
-
-SOURCE=.\src\aig\fra\fra.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\fra\fraBmc.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\fra\fraCec.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\fra\fraClass.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\fra\fraCnf.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\fra\fraCore.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\fra\fraImp.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\fra\fraInd.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\fra\fraLcr.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\fra\fraMan.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\fra\fraPart.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\fra\fraSat.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\fra\fraSec.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\fra\fraSim.c
-# End Source File
-# End Group
-# Begin Group "cnf"
-
-# PROP Default_Filter ""
-# Begin Source File
-
-SOURCE=.\src\aig\cnf\cnf.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\cnf\cnfCore.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\cnf\cnfCut.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\cnf\cnfData.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\cnf\cnfMan.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\cnf\cnfMap.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\cnf\cnfPost.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\cnf\cnfUtil.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\cnf\cnfWrite.c
-# End Source File
-# End Group
-# Begin Group "csw"
-
-# PROP Default_Filter ""
-# Begin Source File
-
-SOURCE=.\src\aig\csw\csw.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\csw\cswCore.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\csw\cswCut.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\csw\cswInt.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\csw\cswMan.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\csw\cswTable.c
-# End Source File
-# End Group
-# Begin Group "kit"
-
-# PROP Default_Filter ""
-# Begin Source File
-
-SOURCE=.\src\aig\kit\cloud.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\kit\cloud.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\kit\kit.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\kit\kitAig.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\kit\kitBdd.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\kit\kitCloud.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\kit\kitDsd.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\kit\kitFactor.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\kit\kitGraph.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\kit\kitHop.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\kit\kitIsop.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\kit\kitSop.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\kit\kitTruth.c
-# End Source File
-# End Group
-# Begin Group "bdc"
-
-# PROP Default_Filter ""
-# Begin Source File
-
-SOURCE=.\src\aig\bdc\bdc.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\bdc\bdcCore.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\bdc\bdcDec.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\bdc\bdcInt.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\bdc\bdcTable.c
-# End Source File
-# End Group
-# Begin Group "aig"
-
-# PROP Default_Filter ""
-# Begin Source File
-
-SOURCE=.\src\aig\aig\aig.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\aig\aigCheck.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\aig\aigDfs.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\aig\aigFanout.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\aig\aigMan.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\aig\aigMem.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\aig\aigMffc.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\aig\aigObj.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\aig\aigOper.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\aig\aigOrder.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\aig\aigPart.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\aig\aigRepr.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\aig\aigRet.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\aig\aigScl.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\aig\aigSeq.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\aig\aigShow.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\aig\aigTable.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\aig\aigTime.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\aig\aigTiming.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\aig\aigTruth.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\aig\aigTsim.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\aig\aigUtil.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\aig\aigWin.c
-# End Source File
-# End Group
-# Begin Group "bar"
-
-# PROP Default_Filter ""
-# Begin Source File
-
-SOURCE=.\src\aig\bar\bar.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\bar\bar.h
-# End Source File
-# End Group
-# End Group
-# End Group
-# Begin Group "Header Files"
-
-# PROP Default_Filter "h;hpp;hxx;hm;inl"
-# End Group
-# Begin Group "Resource Files"
-
-# PROP Default_Filter "ico;cur;bmp;dlg;rc2;rct;bin;rgs;gif;jpg;jpeg;jpe"
-# End Group
-# End Target
-# End Project
+# Microsoft Developer Studio Project File - Name="abc" - Package Owner=<4>
+# Microsoft Developer Studio Generated Build File, Format Version 6.00
+# ** DO NOT EDIT **
+
+# TARGTYPE "Win32 (x86) Console Application" 0x0103
+
+CFG=abc - Win32 Debug
+!MESSAGE This is not a valid makefile. To build this project using NMAKE,
+!MESSAGE use the Export Makefile command and run
+!MESSAGE
+!MESSAGE NMAKE /f "abc.mak".
+!MESSAGE
+!MESSAGE You can specify a configuration when running NMAKE
+!MESSAGE by defining the macro CFG on the command line. For example:
+!MESSAGE
+!MESSAGE NMAKE /f "abc.mak" CFG="abc - Win32 Debug"
+!MESSAGE
+!MESSAGE Possible choices for configuration are:
+!MESSAGE
+!MESSAGE "abc - Win32 Release" (based on "Win32 (x86) Console Application")
+!MESSAGE "abc - Win32 Debug" (based on "Win32 (x86) Console Application")
+!MESSAGE
+
+# Begin Project
+# PROP AllowPerConfigDependencies 0
+# PROP Scc_ProjName ""
+# PROP Scc_LocalPath ""
+CPP=cl.exe
+RSC=rc.exe
+
+!IF "$(CFG)" == "abc - Win32 Release"
+
+# PROP BASE Use_MFC 0
+# PROP BASE Use_Debug_Libraries 0
+# PROP BASE Output_Dir "Release"
+# PROP BASE Intermediate_Dir "Release"
+# PROP BASE Target_Dir ""
+# PROP Use_MFC 0
+# PROP Use_Debug_Libraries 0
+# PROP Output_Dir "Release"
+# PROP Intermediate_Dir "Release"
+# PROP Ignore_Export_Lib 0
+# PROP Target_Dir ""
+# ADD BASE CPP /nologo /W3 /GX /O2 /D "WIN32" /D "NDEBUG" /D "_CONSOLE" /D "_MBCS" /YX /FD /c
+# ADD CPP /nologo /W3 /GX /O2 /I "src/base/abc" /I "src/base/abci" /I "src/base/cmd" /I "src/base/io" /I "src/base/main" /I "src/base/ver" /I "src/bdd/cudd" /I "src/bdd/dsd" /I "src/bdd/epd" /I "src/bdd/mtr" /I "src/bdd/parse" /I "src/bdd/reo" /I "src/bdd/cas" /I "src/map/fpga" /I "src/map/mapper" /I "src/map/mio" /I "src/map/super" /I "src/map/if" /I "src/map/pcm" /I "src/map/ply" /I "src/misc/extra" /I "src/misc/mvc" /I "src/misc/st" /I "src/misc/util" /I "src/misc/espresso" /I "src/misc/nm" /I "src/misc/vec" /I "src/misc/hash" /I "src/opt/cut" /I "src/opt/dec" /I "src/opt/fxu" /I "src/opt/rwr" /I "src/opt/sim" /I "src/opt/ret" /I "src/opt/res" /I "src/opt/lpk" /I "src/sat/bsat" /I "src/sat/csat" /I "src/sat/msat" /I "src/sat/fraig" /I "src/aig/ivy" /I "src/aig/hop" /I "src/aig/rwt" /I "src/aig/deco" /I "src/aig/mem" /I "src/aig/dar" /I "src/aig/fra" /I "src/aig/cnf" /I "src/aig/csw" /I "src/aig/ioa" /I "src/aig/aig" /I "src/aig/kit" /I "src/aig/bdc" /I "src/aig/bar" /D "WIN32" /D "NDEBUG" /D "_CONSOLE" /D "_MBCS" /D "__STDC__" /FR /YX /FD /c
+# ADD BASE RSC /l 0x409 /d "NDEBUG"
+# ADD RSC /l 0x409 /d "NDEBUG"
+BSC32=bscmake.exe
+# ADD BASE BSC32 /nologo
+# ADD BSC32 /nologo
+LINK32=link.exe
+# ADD BASE LINK32 kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib /nologo /subsystem:console /machine:I386
+# ADD LINK32 kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib /nologo /subsystem:console /profile /machine:I386 /out:"_TEST/abc.exe"
+
+!ELSEIF "$(CFG)" == "abc - Win32 Debug"
+
+# PROP BASE Use_MFC 0
+# PROP BASE Use_Debug_Libraries 1
+# PROP BASE Output_Dir "Debug"
+# PROP BASE Intermediate_Dir "Debug"
+# PROP BASE Target_Dir ""
+# PROP Use_MFC 0
+# PROP Use_Debug_Libraries 1
+# PROP Output_Dir "Debug"
+# PROP Intermediate_Dir "Debug"
+# PROP Ignore_Export_Lib 0
+# PROP Target_Dir ""
+# ADD BASE CPP /nologo /W3 /Gm /GX /ZI /Od /D "WIN32" /D "_DEBUG" /D "_CONSOLE" /D "_MBCS" /YX /FD /GZ /c
+# ADD CPP /nologo /W3 /Gm /GX /ZI /Od /I "src/base/abc" /I "src/base/abci" /I "src/base/cmd" /I "src/base/io" /I "src/base/main" /I "src/base/ver" /I "src/bdd/cudd" /I "src/bdd/dsd" /I "src/bdd/epd" /I "src/bdd/mtr" /I "src/bdd/parse" /I "src/bdd/reo" /I "src/bdd/cas" /I "src/map/fpga" /I "src/map/mapper" /I "src/map/mio" /I "src/map/super" /I "src/map/if" /I "src/map/pcm" /I "src/map/ply" /I "src/misc/extra" /I "src/misc/mvc" /I "src/misc/st" /I "src/misc/util" /I "src/misc/espresso" /I "src/misc/nm" /I "src/misc/vec" /I "src/misc/hash" /I "src/opt/cut" /I "src/opt/dec" /I "src/opt/fxu" /I "src/opt/rwr" /I "src/opt/sim" /I "src/opt/ret" /I "src/opt/res" /I "src/opt/lpk" /I "src/sat/bsat" /I "src/sat/csat" /I "src/sat/msat" /I "src/sat/fraig" /I "src/aig/ivy" /I "src/aig/hop" /I "src/aig/rwt" /I "src/aig/deco" /I "src/aig/mem" /I "src/aig/dar" /I "src/aig/fra" /I "src/aig/cnf" /I "src/aig/csw" /I "src/aig/ioa" /I "src/aig/aig" /I "src/aig/kit" /I "src/aig/bdc" /I "src/aig/bar" /D "WIN32" /D "_DEBUG" /D "_CONSOLE" /D "_MBCS" /D "__STDC__" /FR /YX /FD /GZ /c
+# SUBTRACT CPP /X
+# ADD BASE RSC /l 0x409 /d "_DEBUG"
+# ADD RSC /l 0x409 /d "_DEBUG"
+BSC32=bscmake.exe
+# ADD BASE BSC32 /nologo
+# ADD BSC32 /nologo
+LINK32=link.exe
+# ADD BASE LINK32 kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib /nologo /subsystem:console /debug /machine:I386 /pdbtype:sept
+# ADD LINK32 kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib /nologo /subsystem:console /debug /machine:I386 /out:"_TEST/abc.exe" /pdbtype:sept
+
+!ENDIF
+
+# Begin Target
+
+# Name "abc - Win32 Release"
+# Name "abc - Win32 Debug"
+# Begin Group "Source Files"
+
+# PROP Default_Filter "cpp;c;cxx;rc;def;r;odl;idl;hpj;bat"
+# Begin Group "base"
+
+# PROP Default_Filter ""
+# Begin Group "abc"
+
+# PROP Default_Filter ""
+# Begin Source File
+
+SOURCE=.\src\base\abc\abc.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abc\abcAig.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abc\abcBlifMv.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abc\abcCheck.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abc\abcDfs.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abc\abcFanio.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abc\abcFunc.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abc\abcHie.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abc\abcInt.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abc\abcLatch.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abc\abcLib.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abc\abcMinBase.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abc\abcNames.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abc\abcNetlist.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abc\abcNtk.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abc\abcObj.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abc\abcRefs.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abc\abcShow.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abc\abcSop.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abc\abcUtil.c
+# End Source File
+# End Group
+# Begin Group "abci"
+
+# PROP Default_Filter ""
+# Begin Source File
+
+SOURCE=.\src\base\abci\abc.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abci\abcAttach.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abci\abcAuto.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abci\abcBalance.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abci\abcBmc.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abci\abcCas.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abci\abcClpBdd.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abci\abcClpSop.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abci\abcCut.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abci\abcDar.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abci\abcDebug.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abci\abcDress.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abci\abcDsd.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abci\abcEspresso.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abci\abcExtract.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abci\abcFpga.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abci\abcFpgaFast.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abci\abcFraig.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abci\abcFxu.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abci\abcGen.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abci\abcHaig.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abci\abcIf.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abci\abcIvy.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abci\abcLut.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abci\abcMap.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abci\abcMeasure.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abci\abcMini.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abci\abcMiter.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abci\abcMulti.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abci\abcMv.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abci\abcNtbdd.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abci\abcOdc.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abci\abcOrder.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abci\abcPart.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abci\abcPrint.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abci\abcProve.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abci\abcQbf.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abci\abcQuant.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abci\abcRec.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abci\abcReconv.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abci\abcRefactor.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abci\abcRenode.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abci\abcReorder.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abci\abcRestruct.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abci\abcResub.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abci\abcRewrite.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abci\abcRr.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abci\abcSat.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abci\abcStrash.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abci\abcSweep.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abci\abcSymm.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abci\abcTiming.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abci\abcUnate.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abci\abcUnreach.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abci\abcVerify.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abci\abcXsim.c
+# End Source File
+# End Group
+# Begin Group "cmd"
+
+# PROP Default_Filter ""
+# Begin Source File
+
+SOURCE=.\src\base\cmd\cmd.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\cmd\cmd.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\cmd\cmdAlias.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\cmd\cmdApi.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\cmd\cmdFlag.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\cmd\cmdHist.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\cmd\cmdInt.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\cmd\cmdUtils.c
+# End Source File
+# End Group
+# Begin Group "io"
+
+# PROP Default_Filter ""
+# Begin Source File
+
+SOURCE=.\src\base\io\io.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\io\io.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\io\ioInt.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\io\ioReadAiger.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\io\ioReadBaf.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\io\ioReadBench.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\io\ioReadBlif.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\io\ioReadBlifAig.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\io\ioReadBlifMv.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\io\ioReadDsd.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\io\ioReadEdif.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\io\ioReadEqn.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\io\ioReadPla.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\io\ioReadVerilog.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\io\ioUtil.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\io\ioWriteAiger.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\io\ioWriteBaf.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\io\ioWriteBench.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\io\ioWriteBlif.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\io\ioWriteBlifMv.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\io\ioWriteCnf.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\io\ioWriteDot.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\io\ioWriteEqn.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\io\ioWriteGml.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\io\ioWriteList.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\io\ioWritePla.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\io\ioWriteVerilog.c
+# End Source File
+# End Group
+# Begin Group "main"
+
+# PROP Default_Filter ""
+# Begin Source File
+
+SOURCE=.\src\base\main\libSupport.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\main\main.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\main\main.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\main\mainFrame.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\main\mainInit.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\main\mainInt.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\main\mainUtils.c
+# End Source File
+# End Group
+# Begin Group "ver"
+
+# PROP Default_Filter ""
+# Begin Source File
+
+SOURCE=.\src\base\ver\ver.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\ver\verCore.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\ver\verFormula.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\ver\verParse.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\ver\verStream.c
+# End Source File
+# End Group
+# End Group
+# Begin Group "bdd"
+
+# PROP Default_Filter ""
+# Begin Group "cudd"
+
+# PROP Default_Filter ""
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cudd.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddAddAbs.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddAddApply.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddAddFind.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddAddInv.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddAddIte.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddAddNeg.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddAddWalsh.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddAndAbs.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddAnneal.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddApa.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddAPI.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddApprox.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddBddAbs.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddBddCorr.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddBddIte.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddBridge.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddCache.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddCheck.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddClip.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddCof.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddCompose.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddDecomp.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddEssent.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddExact.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddExport.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddGenCof.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddGenetic.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddGroup.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddHarwell.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddInit.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddInt.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddInteract.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddLCache.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddLevelQ.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddLinear.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddLiteral.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddMatMult.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddPriority.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddRead.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddRef.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddReorder.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddSat.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddSign.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddSolve.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddSplit.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddSubsetHB.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddSubsetSP.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddSymmetry.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddTable.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddUtil.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddWindow.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddZddCount.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddZddFuncs.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddZddGroup.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddZddIsop.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddZddLin.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddZddMisc.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddZddPort.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddZddReord.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddZddSetop.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddZddSymm.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddZddUtil.c
+# End Source File
+# End Group
+# Begin Group "epd"
+
+# PROP Default_Filter ""
+# Begin Source File
+
+SOURCE=.\src\bdd\epd\epd.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\epd\epd.h
+# End Source File
+# End Group
+# Begin Group "mtr"
+
+# PROP Default_Filter ""
+# Begin Source File
+
+SOURCE=.\src\bdd\mtr\mtr.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\mtr\mtrBasic.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\mtr\mtrGroup.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\mtr\mtrInt.h
+# End Source File
+# End Group
+# Begin Group "parse"
+
+# PROP Default_Filter ""
+# Begin Source File
+
+SOURCE=.\src\bdd\parse\parse.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\parse\parseCore.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\parse\parseEqn.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\parse\parseInt.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\parse\parseStack.c
+# End Source File
+# End Group
+# Begin Group "dsd"
+
+# PROP Default_Filter ""
+# Begin Source File
+
+SOURCE=.\src\bdd\dsd\dsd.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\dsd\dsdApi.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\dsd\dsdCheck.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\dsd\dsdInt.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\dsd\dsdLocal.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\dsd\dsdMan.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\dsd\dsdProc.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\dsd\dsdTree.c
+# End Source File
+# End Group
+# Begin Group "reo"
+
+# PROP Default_Filter ""
+# Begin Source File
+
+SOURCE=.\src\bdd\reo\reo.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\reo\reoApi.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\reo\reoCore.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\reo\reoProfile.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\reo\reoSift.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\reo\reoSwap.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\reo\reoTest.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\reo\reoTransfer.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\reo\reoUnits.c
+# End Source File
+# End Group
+# Begin Group "cas"
+
+# PROP Default_Filter ""
+# Begin Source File
+
+SOURCE=.\src\bdd\cas\cas.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cas\casCore.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cas\casDec.c
+# End Source File
+# End Group
+# End Group
+# Begin Group "sat"
+
+# PROP Default_Filter ""
+# Begin Group "msat"
+
+# PROP Default_Filter ""
+# Begin Source File
+
+SOURCE=.\src\sat\msat\msat.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\sat\msat\msatActivity.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\sat\msat\msatClause.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\sat\msat\msatClauseVec.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\sat\msat\msatInt.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\sat\msat\msatMem.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\sat\msat\msatOrderH.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\sat\msat\msatQueue.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\sat\msat\msatRead.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\sat\msat\msatSolverApi.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\sat\msat\msatSolverCore.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\sat\msat\msatSolverIo.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\sat\msat\msatSolverSearch.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\sat\msat\msatSort.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\sat\msat\msatVec.c
+# End Source File
+# End Group
+# Begin Group "fraig"
+
+# PROP Default_Filter ""
+# Begin Source File
+
+SOURCE=.\src\sat\fraig\fraig.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\sat\fraig\fraigApi.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\sat\fraig\fraigCanon.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\sat\fraig\fraigChoice.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\sat\fraig\fraigFanout.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\sat\fraig\fraigFeed.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\sat\fraig\fraigInt.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\sat\fraig\fraigMan.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\sat\fraig\fraigMem.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\sat\fraig\fraigNode.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\sat\fraig\fraigPrime.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\sat\fraig\fraigSat.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\sat\fraig\fraigTable.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\sat\fraig\fraigUtil.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\sat\fraig\fraigVec.c
+# End Source File
+# End Group
+# Begin Group "csat"
+
+# PROP Default_Filter ""
+# Begin Source File
+
+SOURCE=.\src\sat\csat\csat_apis.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\sat\csat\csat_apis.h
+# End Source File
+# End Group
+# Begin Group "bsat"
+
+# PROP Default_Filter ""
+# Begin Source File
+
+SOURCE=.\src\sat\bsat\satInter.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\sat\bsat\satMem.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\sat\bsat\satMem.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\sat\bsat\satSolver.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\sat\bsat\satSolver.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\sat\bsat\satStore.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\sat\bsat\satStore.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\sat\bsat\satTrace.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\sat\bsat\satUtil.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\sat\bsat\satVec.h
+# End Source File
+# End Group
+# Begin Group "proof"
+
+# PROP Default_Filter ""
+# Begin Source File
+
+SOURCE=.\src\sat\proof\pr.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\sat\proof\pr.h
+# End Source File
+# End Group
+# End Group
+# Begin Group "opt"
+
+# PROP Default_Filter ""
+# Begin Group "fxu"
+
+# PROP Default_Filter ""
+# Begin Source File
+
+SOURCE=.\src\opt\fxu\fxu.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\fxu\fxu.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\fxu\fxuCreate.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\fxu\fxuHeapD.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\fxu\fxuHeapS.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\fxu\fxuInt.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\fxu\fxuList.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\fxu\fxuMatrix.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\fxu\fxuPair.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\fxu\fxuPrint.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\fxu\fxuReduce.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\fxu\fxuSelect.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\fxu\fxuSingle.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\fxu\fxuUpdate.c
+# End Source File
+# End Group
+# Begin Group "rwr"
+
+# PROP Default_Filter ""
+# Begin Source File
+
+SOURCE=.\src\opt\rwr\rwr.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\rwr\rwrDec.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\rwr\rwrEva.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\rwr\rwrExp.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\rwr\rwrLib.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\rwr\rwrMan.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\rwr\rwrPrint.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\rwr\rwrTemp.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\rwr\rwrUtil.c
+# End Source File
+# End Group
+# Begin Group "cut"
+
+# PROP Default_Filter ""
+# Begin Source File
+
+SOURCE=.\src\opt\cut\cut.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\cut\cutApi.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\cut\cutCut.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\cut\cutExpand.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\cut\cutInt.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\cut\cutList.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\cut\cutMan.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\cut\cutMerge.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\cut\cutNode.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\cut\cutOracle.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\cut\cutPre22.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\cut\cutSeq.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\cut\cutTruth.c
+# End Source File
+# End Group
+# Begin Group "dec"
+
+# PROP Default_Filter ""
+# Begin Source File
+
+SOURCE=.\src\opt\dec\dec.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\dec\decAbc.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\dec\decFactor.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\dec\decMan.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\dec\decPrint.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\dec\decUtil.c
+# End Source File
+# End Group
+# Begin Group "sim"
+
+# PROP Default_Filter ""
+# Begin Source File
+
+SOURCE=.\src\opt\sim\sim.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\sim\simMan.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\sim\simSat.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\sim\simSeq.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\sim\simSupp.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\sim\simSwitch.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\sim\simSym.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\sim\simSymSat.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\sim\simSymSim.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\sim\simSymStr.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\sim\simUtils.c
+# End Source File
+# End Group
+# Begin Group "ret"
+
+# PROP Default_Filter ""
+# Begin Source File
+
+SOURCE=.\src\opt\ret\retArea.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\ret\retCore.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\ret\retDelay.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\ret\retFlow.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\ret\retIncrem.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\ret\retInit.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\ret\retInt.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\ret\retLvalue.c
+# End Source File
+# End Group
+# Begin Group "res"
+
+# PROP Default_Filter ""
+# Begin Source File
+
+SOURCE=.\src\opt\res\res.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\res\resCore.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\res\resDivs.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\res\resFilter.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\res\resInt.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\res\resSat.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\res\resSim.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\res\resStrash.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\res\resWin.c
+# End Source File
+# End Group
+# Begin Group "lpk"
+
+# PROP Default_Filter ""
+# Begin Source File
+
+SOURCE=.\src\opt\lpk\lpk.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\lpk\lpkAbcDec.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\lpk\lpkAbcDsd.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\lpk\lpkAbcMux.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\lpk\lpkAbcUtil.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\lpk\lpkCore.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\lpk\lpkCut.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\lpk\lpkInt.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\lpk\lpkMan.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\lpk\lpkMap.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\lpk\lpkMulti.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\lpk\lpkMux.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\lpk\lpkSets.c
+# End Source File
+# End Group
+# End Group
+# Begin Group "map"
+
+# PROP Default_Filter ""
+# Begin Group "fpga"
+
+# PROP Default_Filter ""
+# Begin Source File
+
+SOURCE=.\src\map\fpga\fpga.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\map\fpga\fpga.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\map\fpga\fpgaCore.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\map\fpga\fpgaCreate.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\map\fpga\fpgaCut.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\map\fpga\fpgaCutUtils.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\map\fpga\fpgaFanout.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\map\fpga\fpgaInt.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\map\fpga\fpgaLib.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\map\fpga\fpgaMatch.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\map\fpga\fpgaSwitch.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\map\fpga\fpgaTime.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\map\fpga\fpgaTruth.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\map\fpga\fpgaUtils.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\map\fpga\fpgaVec.c
+# End Source File
+# End Group
+# Begin Group "mapper"
+
+# PROP Default_Filter ""
+# Begin Source File
+
+SOURCE=.\src\map\mapper\mapper.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\map\mapper\mapper.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\map\mapper\mapperCanon.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\map\mapper\mapperCore.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\map\mapper\mapperCreate.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\map\mapper\mapperCut.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\map\mapper\mapperCutUtils.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\map\mapper\mapperFanout.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\map\mapper\mapperInt.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\map\mapper\mapperLib.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\map\mapper\mapperMatch.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\map\mapper\mapperRefs.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\map\mapper\mapperSuper.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\map\mapper\mapperSwitch.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\map\mapper\mapperTable.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\map\mapper\mapperTime.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\map\mapper\mapperTree.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\map\mapper\mapperTruth.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\map\mapper\mapperUtils.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\map\mapper\mapperVec.c
+# End Source File
+# End Group
+# Begin Group "mio"
+
+# PROP Default_Filter ""
+# Begin Source File
+
+SOURCE=.\src\map\mio\mio.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\map\mio\mio.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\map\mio\mioApi.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\map\mio\mioFunc.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\map\mio\mioInt.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\map\mio\mioRead.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\map\mio\mioUtils.c
+# End Source File
+# End Group
+# Begin Group "super"
+
+# PROP Default_Filter ""
+# Begin Source File
+
+SOURCE=.\src\map\super\super.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\map\super\super.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\map\super\superAnd.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\map\super\superGate.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\map\super\superInt.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\map\super\superWrite.c
+# End Source File
+# End Group
+# Begin Group "if"
+
+# PROP Default_Filter ""
+# Begin Source File
+
+SOURCE=.\src\map\if\if.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\map\if\ifCore.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\map\if\ifCut.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\map\if\ifMan.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\map\if\ifMap.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\map\if\ifReduce.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\map\if\ifSeq.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\map\if\ifTime.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\map\if\ifTruth.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\map\if\ifUtil.c
+# End Source File
+# End Group
+# End Group
+# Begin Group "misc"
+
+# PROP Default_Filter ""
+# Begin Group "extra"
+
+# PROP Default_Filter ""
+# Begin Source File
+
+SOURCE=.\src\misc\extra\extra.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\extra\extraBddAuto.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\extra\extraBddCas.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\extra\extraBddKmap.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\extra\extraBddMisc.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\extra\extraBddSymm.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\extra\extraBddUnate.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\extra\extraUtilBitMatrix.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\extra\extraUtilCanon.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\extra\extraUtilFile.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\extra\extraUtilMemory.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\extra\extraUtilMisc.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\extra\extraUtilProgress.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\extra\extraUtilReader.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\extra\extraUtilTruth.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\extra\extraUtilUtil.c
+# End Source File
+# End Group
+# Begin Group "st"
+
+# PROP Default_Filter ""
+# Begin Source File
+
+SOURCE=.\src\misc\st\st.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\st\st.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\st\stmm.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\st\stmm.h
+# End Source File
+# End Group
+# Begin Group "mvc"
+
+# PROP Default_Filter ""
+# Begin Source File
+
+SOURCE=.\src\misc\mvc\mvc.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\mvc\mvc.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\mvc\mvcApi.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\mvc\mvcCompare.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\mvc\mvcContain.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\mvc\mvcCover.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\mvc\mvcCube.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\mvc\mvcDivide.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\mvc\mvcDivisor.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\mvc\mvcList.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\mvc\mvcLits.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\mvc\mvcMan.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\mvc\mvcOpAlg.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\mvc\mvcOpBool.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\mvc\mvcPrint.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\mvc\mvcSort.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\mvc\mvcUtils.c
+# End Source File
+# End Group
+# Begin Group "vec"
+
+# PROP Default_Filter ""
+# Begin Source File
+
+SOURCE=.\src\misc\vec\vec.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\vec\vecAtt.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\vec\vecFlt.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\vec\vecInt.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\vec\vecPtr.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\vec\vecStr.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\vec\vecVec.h
+# End Source File
+# End Group
+# Begin Group "espresso"
+
+# PROP Default_Filter ""
+# Begin Source File
+
+SOURCE=.\src\misc\espresso\cofactor.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\espresso\cols.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\espresso\compl.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\espresso\contain.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\espresso\cubehack.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\espresso\cubestr.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\espresso\cvrin.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\espresso\cvrm.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\espresso\cvrmisc.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\espresso\cvrout.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\espresso\dominate.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\espresso\equiv.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\espresso\espresso.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\espresso\espresso.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\espresso\essen.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\espresso\exact.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\espresso\expand.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\espresso\gasp.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\espresso\gimpel.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\espresso\globals.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\espresso\hack.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\espresso\indep.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\espresso\irred.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\espresso\map.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\espresso\matrix.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\espresso\mincov.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\espresso\mincov.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\espresso\mincov_int.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\espresso\opo.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\espresso\pair.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\espresso\part.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\espresso\primes.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\espresso\reduce.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\espresso\rows.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\espresso\set.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\espresso\setc.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\espresso\sharp.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\espresso\sminterf.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\espresso\solution.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\espresso\sparse.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\espresso\sparse.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\espresso\sparse_int.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\espresso\unate.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\espresso\verify.c
+# End Source File
+# End Group
+# Begin Group "util"
+
+# PROP Default_Filter ""
+# Begin Source File
+
+SOURCE=.\src\misc\util\util_hack.h
+# End Source File
+# End Group
+# Begin Group "nm"
+
+# PROP Default_Filter ""
+# Begin Source File
+
+SOURCE=.\src\misc\nm\nm.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\nm\nmApi.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\nm\nmInt.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\nm\nmTable.c
+# End Source File
+# End Group
+# Begin Group "hash"
+
+# PROP Default_Filter ""
+# Begin Source File
+
+SOURCE=.\src\misc\hash\hash.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\hash\hashFlt.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\hash\hashInt.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\hash\hashPtr.h
+# End Source File
+# End Group
+# End Group
+# Begin Group "ai"
+
+# PROP Default_Filter ""
+# Begin Group "hop"
+
+# PROP Default_Filter ""
+# Begin Source File
+
+SOURCE=.\src\aig\hop\hop.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\hop\hopBalance.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\hop\hopCheck.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\hop\hopDfs.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\hop\hopMan.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\hop\hopMem.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\hop\hopObj.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\hop\hopOper.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\hop\hopTable.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\hop\hopUtil.c
+# End Source File
+# End Group
+# Begin Group "ivy"
+
+# PROP Default_Filter ""
+# Begin Source File
+
+SOURCE=.\src\aig\ivy\ivy.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\ivy\ivyBalance.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\ivy\ivyCanon.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\ivy\ivyCheck.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\ivy\ivyCut.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\ivy\ivyCutTrav.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\ivy\ivyDfs.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\ivy\ivyDsd.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\ivy\ivyFanout.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\ivy\ivyFastMap.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\ivy\ivyFraig.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\ivy\ivyHaig.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\ivy\ivyMan.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\ivy\ivyMem.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\ivy\ivyMulti.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\ivy\ivyObj.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\ivy\ivyOper.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\ivy\ivyResyn.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\ivy\ivyRwr.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\ivy\ivySeq.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\ivy\ivyShow.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\ivy\ivyTable.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\ivy\ivyUtil.c
+# End Source File
+# End Group
+# Begin Group "rwt"
+
+# PROP Default_Filter ""
+# Begin Source File
+
+SOURCE=.\src\aig\rwt\rwt.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\rwt\rwtDec.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\rwt\rwtMan.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\rwt\rwtUtil.c
+# End Source File
+# End Group
+# Begin Group "deco"
+
+# PROP Default_Filter ""
+# Begin Source File
+
+SOURCE=.\src\aig\deco\deco.h
+# End Source File
+# End Group
+# Begin Group "mem"
+
+# PROP Default_Filter ""
+# Begin Source File
+
+SOURCE=.\src\aig\mem\mem.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\mem\mem.h
+# End Source File
+# End Group
+# Begin Group "ioa"
+
+# PROP Default_Filter ""
+# Begin Source File
+
+SOURCE=.\src\aig\ioa\ioa.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\ioa\ioaReadAig.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\ioa\ioaUtil.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\ioa\ioaWriteAig.c
+# End Source File
+# End Group
+# Begin Group "dar"
+
+# PROP Default_Filter ""
+# Begin Source File
+
+SOURCE=.\src\aig\dar\dar.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\dar\darBalance.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\dar\darCore.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\dar\darCut.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\dar\darData.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\dar\darInt.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\dar\darLib.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\dar\darMan.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\dar\darPrec.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\dar\darRefact.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\dar\darResub.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\dar\darScript.c
+# End Source File
+# End Group
+# Begin Group "fra"
+
+# PROP Default_Filter ""
+# Begin Source File
+
+SOURCE=.\src\aig\fra\fra.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\fra\fraBmc.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\fra\fraCec.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\fra\fraClass.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\fra\fraCnf.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\fra\fraCore.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\fra\fraImp.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\fra\fraInd.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\fra\fraLcr.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\fra\fraMan.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\fra\fraPart.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\fra\fraSat.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\fra\fraSec.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\fra\fraSim.c
+# End Source File
+# End Group
+# Begin Group "cnf"
+
+# PROP Default_Filter ""
+# Begin Source File
+
+SOURCE=.\src\aig\cnf\cnf.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\cnf\cnfCore.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\cnf\cnfCut.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\cnf\cnfData.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\cnf\cnfMan.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\cnf\cnfMap.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\cnf\cnfPost.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\cnf\cnfUtil.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\cnf\cnfWrite.c
+# End Source File
+# End Group
+# Begin Group "csw"
+
+# PROP Default_Filter ""
+# Begin Source File
+
+SOURCE=.\src\aig\csw\csw.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\csw\cswCore.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\csw\cswCut.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\csw\cswInt.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\csw\cswMan.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\csw\cswTable.c
+# End Source File
+# End Group
+# Begin Group "kit"
+
+# PROP Default_Filter ""
+# Begin Source File
+
+SOURCE=.\src\aig\kit\cloud.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\kit\cloud.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\kit\kit.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\kit\kitAig.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\kit\kitBdd.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\kit\kitCloud.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\kit\kitDsd.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\kit\kitFactor.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\kit\kitGraph.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\kit\kitHop.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\kit\kitIsop.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\kit\kitSop.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\kit\kitTruth.c
+# End Source File
+# End Group
+# Begin Group "bdc"
+
+# PROP Default_Filter ""
+# Begin Source File
+
+SOURCE=.\src\aig\bdc\bdc.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\bdc\bdcCore.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\bdc\bdcDec.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\bdc\bdcInt.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\bdc\bdcTable.c
+# End Source File
+# End Group
+# Begin Group "aig"
+
+# PROP Default_Filter ""
+# Begin Source File
+
+SOURCE=.\src\aig\aig\aig.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\aig\aigCheck.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\aig\aigDfs.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\aig\aigFanout.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\aig\aigMan.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\aig\aigMem.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\aig\aigMffc.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\aig\aigObj.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\aig\aigOper.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\aig\aigOrder.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\aig\aigPart.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\aig\aigRepr.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\aig\aigRet.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\aig\aigScl.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\aig\aigSeq.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\aig\aigShow.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\aig\aigTable.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\aig\aigTime.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\aig\aigTiming.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\aig\aigTruth.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\aig\aigTsim.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\aig\aigUtil.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\aig\aigWin.c
+# End Source File
+# End Group
+# Begin Group "bar"
+
+# PROP Default_Filter ""
+# Begin Source File
+
+SOURCE=.\src\aig\bar\bar.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\bar\bar.h
+# End Source File
+# End Group
+# End Group
+# End Group
+# Begin Group "Header Files"
+
+# PROP Default_Filter "h;hpp;hxx;hm;inl"
+# End Group
+# Begin Group "Resource Files"
+
+# PROP Default_Filter "ico;cur;bmp;dlg;rc2;rct;bin;rgs;gif;jpg;jpeg;jpe"
+# End Group
+# End Target
+# End Project
diff --git a/abc.dsw b/abc70930/abc.dsw
index 83f94950..146413a5 100644
--- a/abc.dsw
+++ b/abc70930/abc.dsw
@@ -1,29 +1,29 @@
-Microsoft Developer Studio Workspace File, Format Version 6.00
-# WARNING: DO NOT EDIT OR DELETE THIS WORKSPACE FILE!
-
-###############################################################################
-
-Project: "abc"=.\abc.dsp - Package Owner=<4>
-
-Package=<5>
-{{{
-}}}
-
-Package=<4>
-{{{
-}}}
-
-###############################################################################
-
-Global:
-
-Package=<5>
-{{{
-}}}
-
-Package=<3>
-{{{
-}}}
-
-###############################################################################
-
+Microsoft Developer Studio Workspace File, Format Version 6.00
+# WARNING: DO NOT EDIT OR DELETE THIS WORKSPACE FILE!
+
+###############################################################################
+
+Project: "abc"=.\abc.dsp - Package Owner=<4>
+
+Package=<5>
+{{{
+}}}
+
+Package=<4>
+{{{
+}}}
+
+###############################################################################
+
+Global:
+
+Package=<5>
+{{{
+}}}
+
+Package=<3>
+{{{
+}}}
+
+###############################################################################
+
diff --git a/abc70930/abc.plg b/abc70930/abc.plg
new file mode 100644
index 00000000..7aadf0c8
--- /dev/null
+++ b/abc70930/abc.plg
@@ -0,0 +1,1105 @@
+<html>
+<body>
+<pre>
+<h1>Build Log</h1>
+<h3>
+--------------------Configuration: abc - Win32 Release--------------------
+</h3>
+<h3>Command Lines</h3>
+Creating temporary file "C:\DOCUME~1\alanmi\LOCALS~1\Temp\RSP2086.tmp" with contents
+[
+/nologo /ML /W3 /GX /O2 /I "src/base/abc" /I "src/base/abci" /I "src/base/cmd" /I "src/base/io" /I "src/base/main" /I "src/base/ver" /I "src/bdd/cudd" /I "src/bdd/dsd" /I "src/bdd/epd" /I "src/bdd/mtr" /I "src/bdd/parse" /I "src/bdd/reo" /I "src/bdd/cas" /I "src/map/fpga" /I "src/map/mapper" /I "src/map/mio" /I "src/map/super" /I "src/map/if" /I "src/map/pcm" /I "src/map/ply" /I "src/misc/extra" /I "src/misc/mvc" /I "src/misc/st" /I "src/misc/util" /I "src/misc/espresso" /I "src/misc/nm" /I "src/misc/vec" /I "src/misc/hash" /I "src/opt/cut" /I "src/opt/dec" /I "src/opt/fxu" /I "src/opt/rwr" /I "src/opt/sim" /I "src/opt/ret" /I "src/opt/res" /I "src/opt/lpk" /I "src/sat/bsat" /I "src/sat/csat" /I "src/sat/msat" /I "src/sat/fraig" /I "src/aig/ivy" /I "src/aig/hop" /I "src/aig/rwt" /I "src/aig/deco" /I "src/aig/mem" /I "src/aig/dar" /I "src/aig/fra" /I "src/aig/cnf" /I "src/aig/csw" /I "src/aig/ioa" /I "src/aig/aig" /I "src/aig/kit" /I "src/aig/bdc" /I "src/aig/bar" /D "WIN32" /D "NDEBUG" /D "_CONSOLE" /D "_MBCS" /D "__STDC__" /FR"Release/" /Fp"Release/abc.pch" /YX /Fo"Release/" /Fd"Release/" /FD /c
+"C:\_projects\abc\src\base\abci\abc.c"
+]
+Creating command line "cl.exe @C:\DOCUME~1\alanmi\LOCALS~1\Temp\RSP2086.tmp"
+Creating temporary file "C:\DOCUME~1\alanmi\LOCALS~1\Temp\RSP2087.tmp" with contents
+[
+kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib /nologo /subsystem:console /profile /machine:I386 /out:"_TEST/abc.exe"
+.\Release\abcAig.obj
+.\Release\abcBlifMv.obj
+.\Release\abcCheck.obj
+.\Release\abcDfs.obj
+.\Release\abcFanio.obj
+.\Release\abcFunc.obj
+.\Release\abcHie.obj
+.\Release\abcLatch.obj
+.\Release\abcLib.obj
+.\Release\abcMinBase.obj
+.\Release\abcNames.obj
+.\Release\abcNetlist.obj
+.\Release\abcNtk.obj
+.\Release\abcObj.obj
+.\Release\abcRefs.obj
+.\Release\abcShow.obj
+.\Release\abcSop.obj
+.\Release\abcUtil.obj
+.\Release\abc.obj
+.\Release\abcAttach.obj
+.\Release\abcAuto.obj
+.\Release\abcBalance.obj
+.\Release\abcBmc.obj
+.\Release\abcCas.obj
+.\Release\abcClpBdd.obj
+.\Release\abcClpSop.obj
+.\Release\abcCut.obj
+.\Release\abcDar.obj
+.\Release\abcDebug.obj
+.\Release\abcDress.obj
+.\Release\abcDsd.obj
+.\Release\abcEspresso.obj
+.\Release\abcExtract.obj
+.\Release\abcFpga.obj
+.\Release\abcFpgaFast.obj
+.\Release\abcFraig.obj
+.\Release\abcFxu.obj
+.\Release\abcGen.obj
+.\Release\abcHaig.obj
+.\Release\abcIf.obj
+.\Release\abcIvy.obj
+.\Release\abcLut.obj
+.\Release\abcMap.obj
+.\Release\abcMeasure.obj
+.\Release\abcMini.obj
+.\Release\abcMiter.obj
+.\Release\abcMulti.obj
+.\Release\abcMv.obj
+.\Release\abcNtbdd.obj
+.\Release\abcOdc.obj
+.\Release\abcOrder.obj
+.\Release\abcPart.obj
+.\Release\abcPrint.obj
+.\Release\abcProve.obj
+.\Release\abcQbf.obj
+.\Release\abcQuant.obj
+.\Release\abcRec.obj
+.\Release\abcReconv.obj
+.\Release\abcRefactor.obj
+.\Release\abcRenode.obj
+.\Release\abcReorder.obj
+.\Release\abcRestruct.obj
+.\Release\abcResub.obj
+.\Release\abcRewrite.obj
+.\Release\abcRr.obj
+.\Release\abcSat.obj
+.\Release\abcStrash.obj
+.\Release\abcSweep.obj
+.\Release\abcSymm.obj
+.\Release\abcTiming.obj
+.\Release\abcUnate.obj
+.\Release\abcUnreach.obj
+.\Release\abcVerify.obj
+.\Release\abcXsim.obj
+.\Release\cmd.obj
+.\Release\cmdAlias.obj
+.\Release\cmdApi.obj
+.\Release\cmdFlag.obj
+.\Release\cmdHist.obj
+.\Release\cmdUtils.obj
+.\Release\io.obj
+.\Release\ioReadAiger.obj
+.\Release\ioReadBaf.obj
+.\Release\ioReadBench.obj
+.\Release\ioReadBlif.obj
+.\Release\ioReadBlifAig.obj
+.\Release\ioReadBlifMv.obj
+.\Release\ioReadDsd.obj
+.\Release\ioReadEdif.obj
+.\Release\ioReadEqn.obj
+.\Release\ioReadPla.obj
+.\Release\ioReadVerilog.obj
+.\Release\ioUtil.obj
+.\Release\ioWriteAiger.obj
+.\Release\ioWriteBaf.obj
+.\Release\ioWriteBench.obj
+.\Release\ioWriteBlif.obj
+.\Release\ioWriteBlifMv.obj
+.\Release\ioWriteCnf.obj
+.\Release\ioWriteDot.obj
+.\Release\ioWriteEqn.obj
+.\Release\ioWriteGml.obj
+.\Release\ioWriteList.obj
+.\Release\ioWritePla.obj
+.\Release\ioWriteVerilog.obj
+.\Release\libSupport.obj
+.\Release\main.obj
+.\Release\mainFrame.obj
+.\Release\mainInit.obj
+.\Release\mainUtils.obj
+.\Release\verCore.obj
+.\Release\verFormula.obj
+.\Release\verParse.obj
+.\Release\verStream.obj
+.\Release\cuddAddAbs.obj
+.\Release\cuddAddApply.obj
+.\Release\cuddAddFind.obj
+.\Release\cuddAddInv.obj
+.\Release\cuddAddIte.obj
+.\Release\cuddAddNeg.obj
+.\Release\cuddAddWalsh.obj
+.\Release\cuddAndAbs.obj
+.\Release\cuddAnneal.obj
+.\Release\cuddApa.obj
+.\Release\cuddAPI.obj
+.\Release\cuddApprox.obj
+.\Release\cuddBddAbs.obj
+.\Release\cuddBddCorr.obj
+.\Release\cuddBddIte.obj
+.\Release\cuddBridge.obj
+.\Release\cuddCache.obj
+.\Release\cuddCheck.obj
+.\Release\cuddClip.obj
+.\Release\cuddCof.obj
+.\Release\cuddCompose.obj
+.\Release\cuddDecomp.obj
+.\Release\cuddEssent.obj
+.\Release\cuddExact.obj
+.\Release\cuddExport.obj
+.\Release\cuddGenCof.obj
+.\Release\cuddGenetic.obj
+.\Release\cuddGroup.obj
+.\Release\cuddHarwell.obj
+.\Release\cuddInit.obj
+.\Release\cuddInteract.obj
+.\Release\cuddLCache.obj
+.\Release\cuddLevelQ.obj
+.\Release\cuddLinear.obj
+.\Release\cuddLiteral.obj
+.\Release\cuddMatMult.obj
+.\Release\cuddPriority.obj
+.\Release\cuddRead.obj
+.\Release\cuddRef.obj
+.\Release\cuddReorder.obj
+.\Release\cuddSat.obj
+.\Release\cuddSign.obj
+.\Release\cuddSolve.obj
+.\Release\cuddSplit.obj
+.\Release\cuddSubsetHB.obj
+.\Release\cuddSubsetSP.obj
+.\Release\cuddSymmetry.obj
+.\Release\cuddTable.obj
+.\Release\cuddUtil.obj
+.\Release\cuddWindow.obj
+.\Release\cuddZddCount.obj
+.\Release\cuddZddFuncs.obj
+.\Release\cuddZddGroup.obj
+.\Release\cuddZddIsop.obj
+.\Release\cuddZddLin.obj
+.\Release\cuddZddMisc.obj
+.\Release\cuddZddPort.obj
+.\Release\cuddZddReord.obj
+.\Release\cuddZddSetop.obj
+.\Release\cuddZddSymm.obj
+.\Release\cuddZddUtil.obj
+.\Release\epd.obj
+.\Release\mtrBasic.obj
+.\Release\mtrGroup.obj
+.\Release\parseCore.obj
+.\Release\parseEqn.obj
+.\Release\parseStack.obj
+.\Release\dsdApi.obj
+.\Release\dsdCheck.obj
+.\Release\dsdLocal.obj
+.\Release\dsdMan.obj
+.\Release\dsdProc.obj
+.\Release\dsdTree.obj
+.\Release\reoApi.obj
+.\Release\reoCore.obj
+.\Release\reoProfile.obj
+.\Release\reoSift.obj
+.\Release\reoSwap.obj
+.\Release\reoTest.obj
+.\Release\reoTransfer.obj
+.\Release\reoUnits.obj
+.\Release\casCore.obj
+.\Release\casDec.obj
+.\Release\msatActivity.obj
+.\Release\msatClause.obj
+.\Release\msatClauseVec.obj
+.\Release\msatMem.obj
+.\Release\msatOrderH.obj
+.\Release\msatQueue.obj
+.\Release\msatRead.obj
+.\Release\msatSolverApi.obj
+.\Release\msatSolverCore.obj
+.\Release\msatSolverIo.obj
+.\Release\msatSolverSearch.obj
+.\Release\msatSort.obj
+.\Release\msatVec.obj
+.\Release\fraigApi.obj
+.\Release\fraigCanon.obj
+.\Release\fraigChoice.obj
+.\Release\fraigFanout.obj
+.\Release\fraigFeed.obj
+.\Release\fraigMan.obj
+.\Release\fraigMem.obj
+.\Release\fraigNode.obj
+.\Release\fraigPrime.obj
+.\Release\fraigSat.obj
+.\Release\fraigTable.obj
+.\Release\fraigUtil.obj
+.\Release\fraigVec.obj
+.\Release\csat_apis.obj
+.\Release\satInter.obj
+.\Release\satMem.obj
+.\Release\satSolver.obj
+.\Release\satStore.obj
+.\Release\satTrace.obj
+.\Release\satUtil.obj
+.\Release\pr.obj
+.\Release\fxu.obj
+.\Release\fxuCreate.obj
+.\Release\fxuHeapD.obj
+.\Release\fxuHeapS.obj
+.\Release\fxuList.obj
+.\Release\fxuMatrix.obj
+.\Release\fxuPair.obj
+.\Release\fxuPrint.obj
+.\Release\fxuReduce.obj
+.\Release\fxuSelect.obj
+.\Release\fxuSingle.obj
+.\Release\fxuUpdate.obj
+.\Release\rwrDec.obj
+.\Release\rwrEva.obj
+.\Release\rwrExp.obj
+.\Release\rwrLib.obj
+.\Release\rwrMan.obj
+.\Release\rwrPrint.obj
+.\Release\rwrTemp.obj
+.\Release\rwrUtil.obj
+.\Release\cutApi.obj
+.\Release\cutCut.obj
+.\Release\cutExpand.obj
+.\Release\cutMan.obj
+.\Release\cutMerge.obj
+.\Release\cutNode.obj
+.\Release\cutOracle.obj
+.\Release\cutPre22.obj
+.\Release\cutSeq.obj
+.\Release\cutTruth.obj
+.\Release\decAbc.obj
+.\Release\decFactor.obj
+.\Release\decMan.obj
+.\Release\decPrint.obj
+.\Release\decUtil.obj
+.\Release\simMan.obj
+.\Release\simSat.obj
+.\Release\simSeq.obj
+.\Release\simSupp.obj
+.\Release\simSwitch.obj
+.\Release\simSym.obj
+.\Release\simSymSat.obj
+.\Release\simSymSim.obj
+.\Release\simSymStr.obj
+.\Release\simUtils.obj
+.\Release\retArea.obj
+.\Release\retCore.obj
+.\Release\retDelay.obj
+.\Release\retFlow.obj
+.\Release\retIncrem.obj
+.\Release\retInit.obj
+.\Release\retLvalue.obj
+.\Release\resCore.obj
+.\Release\resDivs.obj
+.\Release\resFilter.obj
+.\Release\resSat.obj
+.\Release\resSim.obj
+.\Release\resStrash.obj
+.\Release\resWin.obj
+.\Release\lpkAbcDec.obj
+.\Release\lpkAbcDsd.obj
+.\Release\lpkAbcMux.obj
+.\Release\lpkAbcUtil.obj
+.\Release\lpkCore.obj
+.\Release\lpkCut.obj
+.\Release\lpkMan.obj
+.\Release\lpkMap.obj
+.\Release\lpkMulti.obj
+.\Release\lpkMux.obj
+.\Release\lpkSets.obj
+.\Release\fpga.obj
+.\Release\fpgaCore.obj
+.\Release\fpgaCreate.obj
+.\Release\fpgaCut.obj
+.\Release\fpgaCutUtils.obj
+.\Release\fpgaFanout.obj
+.\Release\fpgaLib.obj
+.\Release\fpgaMatch.obj
+.\Release\fpgaSwitch.obj
+.\Release\fpgaTime.obj
+.\Release\fpgaTruth.obj
+.\Release\fpgaUtils.obj
+.\Release\fpgaVec.obj
+.\Release\mapper.obj
+.\Release\mapperCanon.obj
+.\Release\mapperCore.obj
+.\Release\mapperCreate.obj
+.\Release\mapperCut.obj
+.\Release\mapperCutUtils.obj
+.\Release\mapperFanout.obj
+.\Release\mapperLib.obj
+.\Release\mapperMatch.obj
+.\Release\mapperRefs.obj
+.\Release\mapperSuper.obj
+.\Release\mapperSwitch.obj
+.\Release\mapperTable.obj
+.\Release\mapperTime.obj
+.\Release\mapperTree.obj
+.\Release\mapperTruth.obj
+.\Release\mapperUtils.obj
+.\Release\mapperVec.obj
+.\Release\mio.obj
+.\Release\mioApi.obj
+.\Release\mioFunc.obj
+.\Release\mioRead.obj
+.\Release\mioUtils.obj
+.\Release\super.obj
+.\Release\superAnd.obj
+.\Release\superGate.obj
+.\Release\superWrite.obj
+.\Release\ifCore.obj
+.\Release\ifCut.obj
+.\Release\ifMan.obj
+.\Release\ifMap.obj
+.\Release\ifReduce.obj
+.\Release\ifSeq.obj
+.\Release\ifTime.obj
+.\Release\ifTruth.obj
+.\Release\ifUtil.obj
+.\Release\extraBddAuto.obj
+.\Release\extraBddCas.obj
+.\Release\extraBddKmap.obj
+.\Release\extraBddMisc.obj
+.\Release\extraBddSymm.obj
+.\Release\extraBddUnate.obj
+.\Release\extraUtilBitMatrix.obj
+.\Release\extraUtilCanon.obj
+.\Release\extraUtilFile.obj
+.\Release\extraUtilMemory.obj
+.\Release\extraUtilMisc.obj
+.\Release\extraUtilProgress.obj
+.\Release\extraUtilReader.obj
+.\Release\extraUtilTruth.obj
+.\Release\extraUtilUtil.obj
+.\Release\st.obj
+.\Release\stmm.obj
+.\Release\mvc.obj
+.\Release\mvcApi.obj
+.\Release\mvcCompare.obj
+.\Release\mvcContain.obj
+.\Release\mvcCover.obj
+.\Release\mvcCube.obj
+.\Release\mvcDivide.obj
+.\Release\mvcDivisor.obj
+.\Release\mvcList.obj
+.\Release\mvcLits.obj
+.\Release\mvcMan.obj
+.\Release\mvcOpAlg.obj
+.\Release\mvcOpBool.obj
+.\Release\mvcPrint.obj
+.\Release\mvcSort.obj
+.\Release\mvcUtils.obj
+.\Release\cofactor.obj
+.\Release\cols.obj
+.\Release\compl.obj
+.\Release\contain.obj
+.\Release\cubehack.obj
+.\Release\cubestr.obj
+.\Release\cvrin.obj
+.\Release\cvrm.obj
+.\Release\cvrmisc.obj
+.\Release\cvrout.obj
+.\Release\dominate.obj
+.\Release\equiv.obj
+.\Release\espresso.obj
+.\Release\essen.obj
+.\Release\exact.obj
+.\Release\expand.obj
+.\Release\gasp.obj
+.\Release\gimpel.obj
+.\Release\globals.obj
+.\Release\hack.obj
+.\Release\indep.obj
+.\Release\irred.obj
+.\Release\map.obj
+.\Release\matrix.obj
+.\Release\mincov.obj
+.\Release\opo.obj
+.\Release\pair.obj
+.\Release\part.obj
+.\Release\primes.obj
+.\Release\reduce.obj
+.\Release\rows.obj
+.\Release\set.obj
+.\Release\setc.obj
+.\Release\sharp.obj
+.\Release\sminterf.obj
+.\Release\solution.obj
+.\Release\sparse.obj
+.\Release\unate.obj
+.\Release\verify.obj
+.\Release\nmApi.obj
+.\Release\nmTable.obj
+.\Release\hopBalance.obj
+.\Release\hopCheck.obj
+.\Release\hopDfs.obj
+.\Release\hopMan.obj
+.\Release\hopMem.obj
+.\Release\hopObj.obj
+.\Release\hopOper.obj
+.\Release\hopTable.obj
+.\Release\hopUtil.obj
+.\Release\ivyBalance.obj
+.\Release\ivyCanon.obj
+.\Release\ivyCheck.obj
+.\Release\ivyCut.obj
+.\Release\ivyCutTrav.obj
+.\Release\ivyDfs.obj
+.\Release\ivyDsd.obj
+.\Release\ivyFanout.obj
+.\Release\ivyFastMap.obj
+.\Release\ivyFraig.obj
+.\Release\ivyHaig.obj
+.\Release\ivyMan.obj
+.\Release\ivyMem.obj
+.\Release\ivyMulti.obj
+.\Release\ivyObj.obj
+.\Release\ivyOper.obj
+.\Release\ivyResyn.obj
+.\Release\ivyRwr.obj
+.\Release\ivySeq.obj
+.\Release\ivyShow.obj
+.\Release\ivyTable.obj
+.\Release\ivyUtil.obj
+.\Release\rwtDec.obj
+.\Release\rwtMan.obj
+.\Release\rwtUtil.obj
+.\Release\mem.obj
+.\Release\ioaReadAig.obj
+.\Release\ioaUtil.obj
+.\Release\ioaWriteAig.obj
+.\Release\darBalance.obj
+.\Release\darCore.obj
+.\Release\darCut.obj
+.\Release\darData.obj
+.\Release\darLib.obj
+.\Release\darMan.obj
+.\Release\darPrec.obj
+.\Release\darRefact.obj
+.\Release\darResub.obj
+.\Release\darScript.obj
+.\Release\fraBmc.obj
+.\Release\fraCec.obj
+.\Release\fraClass.obj
+.\Release\fraCnf.obj
+.\Release\fraCore.obj
+.\Release\fraImp.obj
+.\Release\fraInd.obj
+.\Release\fraLcr.obj
+.\Release\fraMan.obj
+.\Release\fraPart.obj
+.\Release\fraSat.obj
+.\Release\fraSec.obj
+.\Release\fraSim.obj
+.\Release\cnfCore.obj
+.\Release\cnfCut.obj
+.\Release\cnfData.obj
+.\Release\cnfMan.obj
+.\Release\cnfMap.obj
+.\Release\cnfPost.obj
+.\Release\cnfUtil.obj
+.\Release\cnfWrite.obj
+.\Release\cswCore.obj
+.\Release\cswCut.obj
+.\Release\cswMan.obj
+.\Release\cswTable.obj
+.\Release\cloud.obj
+.\Release\kitAig.obj
+.\Release\kitBdd.obj
+.\Release\kitCloud.obj
+.\Release\kitDsd.obj
+.\Release\kitFactor.obj
+.\Release\kitGraph.obj
+.\Release\kitHop.obj
+.\Release\kitIsop.obj
+.\Release\kitSop.obj
+.\Release\kitTruth.obj
+.\Release\bdcCore.obj
+.\Release\bdcDec.obj
+.\Release\bdcTable.obj
+.\Release\aigCheck.obj
+.\Release\aigDfs.obj
+.\Release\aigFanout.obj
+.\Release\aigMan.obj
+.\Release\aigMem.obj
+.\Release\aigMffc.obj
+.\Release\aigObj.obj
+.\Release\aigOper.obj
+.\Release\aigOrder.obj
+.\Release\aigPart.obj
+.\Release\aigRepr.obj
+.\Release\aigRet.obj
+.\Release\aigScl.obj
+.\Release\aigSeq.obj
+.\Release\aigShow.obj
+.\Release\aigTable.obj
+.\Release\aigTime.obj
+.\Release\aigTiming.obj
+.\Release\aigTruth.obj
+.\Release\aigTsim.obj
+.\Release\aigUtil.obj
+.\Release\aigWin.obj
+.\Release\bar.obj
+]
+Creating command line "link.exe @C:\DOCUME~1\alanmi\LOCALS~1\Temp\RSP2087.tmp"
+<h3>Output Window</h3>
+Compiling...
+abc.c
+Linking...
+Creating temporary file "C:\DOCUME~1\alanmi\LOCALS~1\Temp\RSP2089.tmp" with contents
+[
+/nologo /o"Release/abc.bsc"
+.\Release\abcAig.sbr
+.\Release\abcBlifMv.sbr
+.\Release\abcCheck.sbr
+.\Release\abcDfs.sbr
+.\Release\abcFanio.sbr
+.\Release\abcFunc.sbr
+.\Release\abcHie.sbr
+.\Release\abcLatch.sbr
+.\Release\abcLib.sbr
+.\Release\abcMinBase.sbr
+.\Release\abcNames.sbr
+.\Release\abcNetlist.sbr
+.\Release\abcNtk.sbr
+.\Release\abcObj.sbr
+.\Release\abcRefs.sbr
+.\Release\abcShow.sbr
+.\Release\abcSop.sbr
+.\Release\abcUtil.sbr
+.\Release\abc.sbr
+.\Release\abcAttach.sbr
+.\Release\abcAuto.sbr
+.\Release\abcBalance.sbr
+.\Release\abcBmc.sbr
+.\Release\abcCas.sbr
+.\Release\abcClpBdd.sbr
+.\Release\abcClpSop.sbr
+.\Release\abcCut.sbr
+.\Release\abcDar.sbr
+.\Release\abcDebug.sbr
+.\Release\abcDress.sbr
+.\Release\abcDsd.sbr
+.\Release\abcEspresso.sbr
+.\Release\abcExtract.sbr
+.\Release\abcFpga.sbr
+.\Release\abcFpgaFast.sbr
+.\Release\abcFraig.sbr
+.\Release\abcFxu.sbr
+.\Release\abcGen.sbr
+.\Release\abcHaig.sbr
+.\Release\abcIf.sbr
+.\Release\abcIvy.sbr
+.\Release\abcLut.sbr
+.\Release\abcMap.sbr
+.\Release\abcMeasure.sbr
+.\Release\abcMini.sbr
+.\Release\abcMiter.sbr
+.\Release\abcMulti.sbr
+.\Release\abcMv.sbr
+.\Release\abcNtbdd.sbr
+.\Release\abcOdc.sbr
+.\Release\abcOrder.sbr
+.\Release\abcPart.sbr
+.\Release\abcPrint.sbr
+.\Release\abcProve.sbr
+.\Release\abcQbf.sbr
+.\Release\abcQuant.sbr
+.\Release\abcRec.sbr
+.\Release\abcReconv.sbr
+.\Release\abcRefactor.sbr
+.\Release\abcRenode.sbr
+.\Release\abcReorder.sbr
+.\Release\abcRestruct.sbr
+.\Release\abcResub.sbr
+.\Release\abcRewrite.sbr
+.\Release\abcRr.sbr
+.\Release\abcSat.sbr
+.\Release\abcStrash.sbr
+.\Release\abcSweep.sbr
+.\Release\abcSymm.sbr
+.\Release\abcTiming.sbr
+.\Release\abcUnate.sbr
+.\Release\abcUnreach.sbr
+.\Release\abcVerify.sbr
+.\Release\abcXsim.sbr
+.\Release\cmd.sbr
+.\Release\cmdAlias.sbr
+.\Release\cmdApi.sbr
+.\Release\cmdFlag.sbr
+.\Release\cmdHist.sbr
+.\Release\cmdUtils.sbr
+.\Release\io.sbr
+.\Release\ioReadAiger.sbr
+.\Release\ioReadBaf.sbr
+.\Release\ioReadBench.sbr
+.\Release\ioReadBlif.sbr
+.\Release\ioReadBlifAig.sbr
+.\Release\ioReadBlifMv.sbr
+.\Release\ioReadDsd.sbr
+.\Release\ioReadEdif.sbr
+.\Release\ioReadEqn.sbr
+.\Release\ioReadPla.sbr
+.\Release\ioReadVerilog.sbr
+.\Release\ioUtil.sbr
+.\Release\ioWriteAiger.sbr
+.\Release\ioWriteBaf.sbr
+.\Release\ioWriteBench.sbr
+.\Release\ioWriteBlif.sbr
+.\Release\ioWriteBlifMv.sbr
+.\Release\ioWriteCnf.sbr
+.\Release\ioWriteDot.sbr
+.\Release\ioWriteEqn.sbr
+.\Release\ioWriteGml.sbr
+.\Release\ioWriteList.sbr
+.\Release\ioWritePla.sbr
+.\Release\ioWriteVerilog.sbr
+.\Release\libSupport.sbr
+.\Release\main.sbr
+.\Release\mainFrame.sbr
+.\Release\mainInit.sbr
+.\Release\mainUtils.sbr
+.\Release\verCore.sbr
+.\Release\verFormula.sbr
+.\Release\verParse.sbr
+.\Release\verStream.sbr
+.\Release\cuddAddAbs.sbr
+.\Release\cuddAddApply.sbr
+.\Release\cuddAddFind.sbr
+.\Release\cuddAddInv.sbr
+.\Release\cuddAddIte.sbr
+.\Release\cuddAddNeg.sbr
+.\Release\cuddAddWalsh.sbr
+.\Release\cuddAndAbs.sbr
+.\Release\cuddAnneal.sbr
+.\Release\cuddApa.sbr
+.\Release\cuddAPI.sbr
+.\Release\cuddApprox.sbr
+.\Release\cuddBddAbs.sbr
+.\Release\cuddBddCorr.sbr
+.\Release\cuddBddIte.sbr
+.\Release\cuddBridge.sbr
+.\Release\cuddCache.sbr
+.\Release\cuddCheck.sbr
+.\Release\cuddClip.sbr
+.\Release\cuddCof.sbr
+.\Release\cuddCompose.sbr
+.\Release\cuddDecomp.sbr
+.\Release\cuddEssent.sbr
+.\Release\cuddExact.sbr
+.\Release\cuddExport.sbr
+.\Release\cuddGenCof.sbr
+.\Release\cuddGenetic.sbr
+.\Release\cuddGroup.sbr
+.\Release\cuddHarwell.sbr
+.\Release\cuddInit.sbr
+.\Release\cuddInteract.sbr
+.\Release\cuddLCache.sbr
+.\Release\cuddLevelQ.sbr
+.\Release\cuddLinear.sbr
+.\Release\cuddLiteral.sbr
+.\Release\cuddMatMult.sbr
+.\Release\cuddPriority.sbr
+.\Release\cuddRead.sbr
+.\Release\cuddRef.sbr
+.\Release\cuddReorder.sbr
+.\Release\cuddSat.sbr
+.\Release\cuddSign.sbr
+.\Release\cuddSolve.sbr
+.\Release\cuddSplit.sbr
+.\Release\cuddSubsetHB.sbr
+.\Release\cuddSubsetSP.sbr
+.\Release\cuddSymmetry.sbr
+.\Release\cuddTable.sbr
+.\Release\cuddUtil.sbr
+.\Release\cuddWindow.sbr
+.\Release\cuddZddCount.sbr
+.\Release\cuddZddFuncs.sbr
+.\Release\cuddZddGroup.sbr
+.\Release\cuddZddIsop.sbr
+.\Release\cuddZddLin.sbr
+.\Release\cuddZddMisc.sbr
+.\Release\cuddZddPort.sbr
+.\Release\cuddZddReord.sbr
+.\Release\cuddZddSetop.sbr
+.\Release\cuddZddSymm.sbr
+.\Release\cuddZddUtil.sbr
+.\Release\epd.sbr
+.\Release\mtrBasic.sbr
+.\Release\mtrGroup.sbr
+.\Release\parseCore.sbr
+.\Release\parseEqn.sbr
+.\Release\parseStack.sbr
+.\Release\dsdApi.sbr
+.\Release\dsdCheck.sbr
+.\Release\dsdLocal.sbr
+.\Release\dsdMan.sbr
+.\Release\dsdProc.sbr
+.\Release\dsdTree.sbr
+.\Release\reoApi.sbr
+.\Release\reoCore.sbr
+.\Release\reoProfile.sbr
+.\Release\reoSift.sbr
+.\Release\reoSwap.sbr
+.\Release\reoTest.sbr
+.\Release\reoTransfer.sbr
+.\Release\reoUnits.sbr
+.\Release\casCore.sbr
+.\Release\casDec.sbr
+.\Release\msatActivity.sbr
+.\Release\msatClause.sbr
+.\Release\msatClauseVec.sbr
+.\Release\msatMem.sbr
+.\Release\msatOrderH.sbr
+.\Release\msatQueue.sbr
+.\Release\msatRead.sbr
+.\Release\msatSolverApi.sbr
+.\Release\msatSolverCore.sbr
+.\Release\msatSolverIo.sbr
+.\Release\msatSolverSearch.sbr
+.\Release\msatSort.sbr
+.\Release\msatVec.sbr
+.\Release\fraigApi.sbr
+.\Release\fraigCanon.sbr
+.\Release\fraigChoice.sbr
+.\Release\fraigFanout.sbr
+.\Release\fraigFeed.sbr
+.\Release\fraigMan.sbr
+.\Release\fraigMem.sbr
+.\Release\fraigNode.sbr
+.\Release\fraigPrime.sbr
+.\Release\fraigSat.sbr
+.\Release\fraigTable.sbr
+.\Release\fraigUtil.sbr
+.\Release\fraigVec.sbr
+.\Release\csat_apis.sbr
+.\Release\satInter.sbr
+.\Release\satMem.sbr
+.\Release\satSolver.sbr
+.\Release\satStore.sbr
+.\Release\satTrace.sbr
+.\Release\satUtil.sbr
+.\Release\pr.sbr
+.\Release\fxu.sbr
+.\Release\fxuCreate.sbr
+.\Release\fxuHeapD.sbr
+.\Release\fxuHeapS.sbr
+.\Release\fxuList.sbr
+.\Release\fxuMatrix.sbr
+.\Release\fxuPair.sbr
+.\Release\fxuPrint.sbr
+.\Release\fxuReduce.sbr
+.\Release\fxuSelect.sbr
+.\Release\fxuSingle.sbr
+.\Release\fxuUpdate.sbr
+.\Release\rwrDec.sbr
+.\Release\rwrEva.sbr
+.\Release\rwrExp.sbr
+.\Release\rwrLib.sbr
+.\Release\rwrMan.sbr
+.\Release\rwrPrint.sbr
+.\Release\rwrTemp.sbr
+.\Release\rwrUtil.sbr
+.\Release\cutApi.sbr
+.\Release\cutCut.sbr
+.\Release\cutExpand.sbr
+.\Release\cutMan.sbr
+.\Release\cutMerge.sbr
+.\Release\cutNode.sbr
+.\Release\cutOracle.sbr
+.\Release\cutPre22.sbr
+.\Release\cutSeq.sbr
+.\Release\cutTruth.sbr
+.\Release\decAbc.sbr
+.\Release\decFactor.sbr
+.\Release\decMan.sbr
+.\Release\decPrint.sbr
+.\Release\decUtil.sbr
+.\Release\simMan.sbr
+.\Release\simSat.sbr
+.\Release\simSeq.sbr
+.\Release\simSupp.sbr
+.\Release\simSwitch.sbr
+.\Release\simSym.sbr
+.\Release\simSymSat.sbr
+.\Release\simSymSim.sbr
+.\Release\simSymStr.sbr
+.\Release\simUtils.sbr
+.\Release\retArea.sbr
+.\Release\retCore.sbr
+.\Release\retDelay.sbr
+.\Release\retFlow.sbr
+.\Release\retIncrem.sbr
+.\Release\retInit.sbr
+.\Release\retLvalue.sbr
+.\Release\resCore.sbr
+.\Release\resDivs.sbr
+.\Release\resFilter.sbr
+.\Release\resSat.sbr
+.\Release\resSim.sbr
+.\Release\resStrash.sbr
+.\Release\resWin.sbr
+.\Release\lpkAbcDec.sbr
+.\Release\lpkAbcDsd.sbr
+.\Release\lpkAbcMux.sbr
+.\Release\lpkAbcUtil.sbr
+.\Release\lpkCore.sbr
+.\Release\lpkCut.sbr
+.\Release\lpkMan.sbr
+.\Release\lpkMap.sbr
+.\Release\lpkMulti.sbr
+.\Release\lpkMux.sbr
+.\Release\lpkSets.sbr
+.\Release\fpga.sbr
+.\Release\fpgaCore.sbr
+.\Release\fpgaCreate.sbr
+.\Release\fpgaCut.sbr
+.\Release\fpgaCutUtils.sbr
+.\Release\fpgaFanout.sbr
+.\Release\fpgaLib.sbr
+.\Release\fpgaMatch.sbr
+.\Release\fpgaSwitch.sbr
+.\Release\fpgaTime.sbr
+.\Release\fpgaTruth.sbr
+.\Release\fpgaUtils.sbr
+.\Release\fpgaVec.sbr
+.\Release\mapper.sbr
+.\Release\mapperCanon.sbr
+.\Release\mapperCore.sbr
+.\Release\mapperCreate.sbr
+.\Release\mapperCut.sbr
+.\Release\mapperCutUtils.sbr
+.\Release\mapperFanout.sbr
+.\Release\mapperLib.sbr
+.\Release\mapperMatch.sbr
+.\Release\mapperRefs.sbr
+.\Release\mapperSuper.sbr
+.\Release\mapperSwitch.sbr
+.\Release\mapperTable.sbr
+.\Release\mapperTime.sbr
+.\Release\mapperTree.sbr
+.\Release\mapperTruth.sbr
+.\Release\mapperUtils.sbr
+.\Release\mapperVec.sbr
+.\Release\mio.sbr
+.\Release\mioApi.sbr
+.\Release\mioFunc.sbr
+.\Release\mioRead.sbr
+.\Release\mioUtils.sbr
+.\Release\super.sbr
+.\Release\superAnd.sbr
+.\Release\superGate.sbr
+.\Release\superWrite.sbr
+.\Release\ifCore.sbr
+.\Release\ifCut.sbr
+.\Release\ifMan.sbr
+.\Release\ifMap.sbr
+.\Release\ifReduce.sbr
+.\Release\ifSeq.sbr
+.\Release\ifTime.sbr
+.\Release\ifTruth.sbr
+.\Release\ifUtil.sbr
+.\Release\extraBddAuto.sbr
+.\Release\extraBddCas.sbr
+.\Release\extraBddKmap.sbr
+.\Release\extraBddMisc.sbr
+.\Release\extraBddSymm.sbr
+.\Release\extraBddUnate.sbr
+.\Release\extraUtilBitMatrix.sbr
+.\Release\extraUtilCanon.sbr
+.\Release\extraUtilFile.sbr
+.\Release\extraUtilMemory.sbr
+.\Release\extraUtilMisc.sbr
+.\Release\extraUtilProgress.sbr
+.\Release\extraUtilReader.sbr
+.\Release\extraUtilTruth.sbr
+.\Release\extraUtilUtil.sbr
+.\Release\st.sbr
+.\Release\stmm.sbr
+.\Release\mvc.sbr
+.\Release\mvcApi.sbr
+.\Release\mvcCompare.sbr
+.\Release\mvcContain.sbr
+.\Release\mvcCover.sbr
+.\Release\mvcCube.sbr
+.\Release\mvcDivide.sbr
+.\Release\mvcDivisor.sbr
+.\Release\mvcList.sbr
+.\Release\mvcLits.sbr
+.\Release\mvcMan.sbr
+.\Release\mvcOpAlg.sbr
+.\Release\mvcOpBool.sbr
+.\Release\mvcPrint.sbr
+.\Release\mvcSort.sbr
+.\Release\mvcUtils.sbr
+.\Release\cofactor.sbr
+.\Release\cols.sbr
+.\Release\compl.sbr
+.\Release\contain.sbr
+.\Release\cubehack.sbr
+.\Release\cubestr.sbr
+.\Release\cvrin.sbr
+.\Release\cvrm.sbr
+.\Release\cvrmisc.sbr
+.\Release\cvrout.sbr
+.\Release\dominate.sbr
+.\Release\equiv.sbr
+.\Release\espresso.sbr
+.\Release\essen.sbr
+.\Release\exact.sbr
+.\Release\expand.sbr
+.\Release\gasp.sbr
+.\Release\gimpel.sbr
+.\Release\globals.sbr
+.\Release\hack.sbr
+.\Release\indep.sbr
+.\Release\irred.sbr
+.\Release\map.sbr
+.\Release\matrix.sbr
+.\Release\mincov.sbr
+.\Release\opo.sbr
+.\Release\pair.sbr
+.\Release\part.sbr
+.\Release\primes.sbr
+.\Release\reduce.sbr
+.\Release\rows.sbr
+.\Release\set.sbr
+.\Release\setc.sbr
+.\Release\sharp.sbr
+.\Release\sminterf.sbr
+.\Release\solution.sbr
+.\Release\sparse.sbr
+.\Release\unate.sbr
+.\Release\verify.sbr
+.\Release\nmApi.sbr
+.\Release\nmTable.sbr
+.\Release\hopBalance.sbr
+.\Release\hopCheck.sbr
+.\Release\hopDfs.sbr
+.\Release\hopMan.sbr
+.\Release\hopMem.sbr
+.\Release\hopObj.sbr
+.\Release\hopOper.sbr
+.\Release\hopTable.sbr
+.\Release\hopUtil.sbr
+.\Release\ivyBalance.sbr
+.\Release\ivyCanon.sbr
+.\Release\ivyCheck.sbr
+.\Release\ivyCut.sbr
+.\Release\ivyCutTrav.sbr
+.\Release\ivyDfs.sbr
+.\Release\ivyDsd.sbr
+.\Release\ivyFanout.sbr
+.\Release\ivyFastMap.sbr
+.\Release\ivyFraig.sbr
+.\Release\ivyHaig.sbr
+.\Release\ivyMan.sbr
+.\Release\ivyMem.sbr
+.\Release\ivyMulti.sbr
+.\Release\ivyObj.sbr
+.\Release\ivyOper.sbr
+.\Release\ivyResyn.sbr
+.\Release\ivyRwr.sbr
+.\Release\ivySeq.sbr
+.\Release\ivyShow.sbr
+.\Release\ivyTable.sbr
+.\Release\ivyUtil.sbr
+.\Release\rwtDec.sbr
+.\Release\rwtMan.sbr
+.\Release\rwtUtil.sbr
+.\Release\mem.sbr
+.\Release\ioaReadAig.sbr
+.\Release\ioaUtil.sbr
+.\Release\ioaWriteAig.sbr
+.\Release\darBalance.sbr
+.\Release\darCore.sbr
+.\Release\darCut.sbr
+.\Release\darData.sbr
+.\Release\darLib.sbr
+.\Release\darMan.sbr
+.\Release\darPrec.sbr
+.\Release\darRefact.sbr
+.\Release\darResub.sbr
+.\Release\darScript.sbr
+.\Release\fraBmc.sbr
+.\Release\fraCec.sbr
+.\Release\fraClass.sbr
+.\Release\fraCnf.sbr
+.\Release\fraCore.sbr
+.\Release\fraImp.sbr
+.\Release\fraInd.sbr
+.\Release\fraLcr.sbr
+.\Release\fraMan.sbr
+.\Release\fraPart.sbr
+.\Release\fraSat.sbr
+.\Release\fraSec.sbr
+.\Release\fraSim.sbr
+.\Release\cnfCore.sbr
+.\Release\cnfCut.sbr
+.\Release\cnfData.sbr
+.\Release\cnfMan.sbr
+.\Release\cnfMap.sbr
+.\Release\cnfPost.sbr
+.\Release\cnfUtil.sbr
+.\Release\cnfWrite.sbr
+.\Release\cswCore.sbr
+.\Release\cswCut.sbr
+.\Release\cswMan.sbr
+.\Release\cswTable.sbr
+.\Release\cloud.sbr
+.\Release\kitAig.sbr
+.\Release\kitBdd.sbr
+.\Release\kitCloud.sbr
+.\Release\kitDsd.sbr
+.\Release\kitFactor.sbr
+.\Release\kitGraph.sbr
+.\Release\kitHop.sbr
+.\Release\kitIsop.sbr
+.\Release\kitSop.sbr
+.\Release\kitTruth.sbr
+.\Release\bdcCore.sbr
+.\Release\bdcDec.sbr
+.\Release\bdcTable.sbr
+.\Release\aigCheck.sbr
+.\Release\aigDfs.sbr
+.\Release\aigFanout.sbr
+.\Release\aigMan.sbr
+.\Release\aigMem.sbr
+.\Release\aigMffc.sbr
+.\Release\aigObj.sbr
+.\Release\aigOper.sbr
+.\Release\aigOrder.sbr
+.\Release\aigPart.sbr
+.\Release\aigRepr.sbr
+.\Release\aigRet.sbr
+.\Release\aigScl.sbr
+.\Release\aigSeq.sbr
+.\Release\aigShow.sbr
+.\Release\aigTable.sbr
+.\Release\aigTime.sbr
+.\Release\aigTiming.sbr
+.\Release\aigTruth.sbr
+.\Release\aigTsim.sbr
+.\Release\aigUtil.sbr
+.\Release\aigWin.sbr
+.\Release\bar.sbr]
+Creating command line "bscmake.exe @C:\DOCUME~1\alanmi\LOCALS~1\Temp\RSP2089.tmp"
+Creating browse info file...
+<h3>Output Window</h3>
+
+
+
+<h3>Results</h3>
+abc.exe - 0 error(s), 0 warning(s)
+</pre>
+</body>
+</html>
diff --git a/abc.rc b/abc70930/abc.rc
index d04fc6aa..a76cc04f 100644
--- a/abc.rc
+++ b/abc70930/abc.rc
@@ -88,7 +88,6 @@ alias wp write_pla
alias wv write_verilog
# standard scripts
-alias share "b; multi; fx; b"
alias resyn "b; rw; rwz; b; rwz; b"
alias resyn2 "b; rw; rf; b; rw; rwz; b; rfz; rwz; b"
alias resyn2a "b; rw; b; rw; rwz; b; rwz; b"
@@ -100,6 +99,7 @@ alias choice2 "fraig_store; balance; fraig_store; resyn; fraig_store; resyn2
alias rwsat "st; rw -l; b -l; rw -l; rf -l"
alias rwsat2 "st; rw -l; b -l; rw -l; rf -l; fraig; rw -l; b -l; rw -l; rf -l"
alias shake "st; ps; sat -C 5000; rw -l; ps; sat -C 5000; b -l; rf -l; ps; sat -C 5000; rfz -l; ps; sat -C 5000; rwz -l; ps; sat -C 5000; rfz -l; ps; sat -C 5000"
+alias share "st; multi -m; fx; resyn2"
# resubstitution scripts for the IWLS paper
alias src_rw "st; rw -l; rwz -l; rwz -l"
@@ -112,65 +112,13 @@ alias compress2rs "b -l; rs -K 6 -l; rw -l; rs -K 6 -N 2 -l; rf -l; rs -K 8 -l;
alias resyn2rsdc "b; rs -K 6 -F 2; rw; rs -K 6 -N 2 -F 2; rf; rs -K 8 -F 2; b; rs -K 8 -N 2 -F 2; rw; rs -K 10 -F 2; rwz; rs -K 10 -N 2 -F 2; b; rs -K 12 -F 2; rfz; rs -K 12 -N 2 -F 2; rwz; b"
alias compress2rsdc "b -l; rs -K 6 -F 2 -l; rw -l; rs -K 6 -N 2 -F 2 -l; rf -l; rs -K 8 -F 2 -l; b -l; rs -K 8 -N 2 -F 2 -l; rw -l; rs -K 10 -F 2 -l; rwz -l; rs -K 10 -N 2 -F 2 -l; b -l; rs -K 12 -F 2 -l; rfz -l; rs -K 12 -N 2 -F 2 -l; rwz -l; b -l"
-# minimizing for FF literals
-alias fflitmin "compress2rs; ren; sop; ps -f"
-
# temporaries
-#alias t "rvl th/lib.v; rvv th/t2.v"
-#alias t "so c/pure_sat/test.c"
-#alias t "r c/14/csat_998.bench; st; ps"
-#alias t0 "r res.blif; aig; mfs"
-#alias t "r res2.blif; aig; mfs"
-
-#alias tt "r a/quip_opt/nut_001_opt.blif"
-#alias ttb "wh a/quip_opt/nut_001_opt.blif 1.blif"
-#alias ttv "wh a/quip_opt/nut_001_opt.blif 1.v"
-
alias reach "st; ps; compress2; ps; qrel; ps; compress2; ps; qreach -v; ps"
-
-alias qs1 "qvar -I 96 -u; ps; qbf -P 96"
-alias qs2 "qvar -I 96 -u; qvar -I 97 -u; ps; qbf -P 96"
-alias qs3 "qvar -I 96 -u; qvar -I 97 -u; qvar -I 98 -u; ps; qbf -P 96"
-alias qs4 "qvar -I 96 -u; qvar -I 97 -u; qvar -I 98 -u; qvar -I 99 -u; ps; qbf -P 96"
-alias qs5 "qvar -I 96 -u; qvar -I 97 -u; qvar -I 98 -u; qvar -I 99 -u; qvar -I 100 -u; ps; qbf -P 96"
-alias qs6 "qvar -I 96 -u; qvar -I 97 -u; qvar -I 98 -u; qvar -I 99 -u; qvar -I 100 -u; qvar -I 101 -u; ps; qbf -P 96"
-alias qs7 "qvar -I 96 -u; qvar -I 97 -u; qvar -I 98 -u; qvar -I 99 -u; qvar -I 100 -u; qvar -I 101 -u; qvar -I 102 -u; ps; qbf -P 96"
-alias qs8 "qvar -I 96 -u; qvar -I 97 -u; qvar -I 98 -u; qvar -I 99 -u; qvar -I 100 -u; qvar -I 101 -u; qvar -I 102 -u; qvar -I 103 -u; ps; qbf -P 96"
-alias qs9 "qvar -I 96 -u; qvar -I 97 -u; qvar -I 98 -u; qvar -I 99 -u; qvar -I 100 -u; qvar -I 101 -u; qvar -I 102 -u; qvar -I 103 -u; qvar -I 104 -u; ps; qbf -P 96"
-alias qsA "qvar -I 96 -u; qvar -I 97 -u; qvar -I 98 -u; qvar -I 99 -u; qvar -I 100 -u; qvar -I 101 -u; qvar -I 102 -u; qvar -I 103 -u; qvar -I 104 -u; qvar -I 105 -u; ps; qbf -P 96"
-
alias chnew "st; haig_start; resyn2; haig_use"
alias chnewrs "st; haig_start; resyn2rs; haig_use"
-
alias stdsd "r test/6in.blif; st; ps; u; bdd; dsd -g; st; ps"
alias trec "rec_start; r c.blif; st; rec_add; rec_use"
alias trec4 "rec_start -K 4; r i10.blif; st; rec_add; rec_use"
-alias trec5 "rec_start -K 5; r i10.blif; st; rec_add; rec_use"
-alias trec6 "rec_start -K 6; r i10.blif; st; rec_add; rec_use"
-alias trec7 "rec_start -K 7; r i10.blif; st; rec_add; rec_use"
-alias trec8 "rec_start -K 8; r i10.blif; st; rec_add; rec_use"
-alias trec10 "rec_start -K 10; r i10.blif; st; rec_add; rec_use"
-alias trec12 "rec_start -K 12; r i10.blif; st; rec_add; rec_use"
-
-#alias tsh "r i10_if.blif; st; ps; u; sw; st; ps; cec"
-alias tst4 "r i10_if4.blif; st; ps; r x/rec4_.blif; st; rec_start; r i10_if4.blif; st -r; ps; cec"
-alias tst4n "r i10_if4.blif; st; ps; r 5npn/all_functions.aig; st; rec_start; r i10_if4.blif; st -r; ps; cec"
-alias tst6 "r i10_if6.blif; st; ps; r x/rec6_16_.blif; st; rec_start; r i10_if6.blif; st -r; ps; cec"
-
-#alias t "r c.blif; st; wc c.cnf"
-#alias t "r test/dsdmap6.blif; lutpack -vw; cec"
-#alias t "r i10_if4.blif; lp"
-#alias t1 "r pj1_if4.blif; lp"
-#alias t2 "r pj1_if6.blif; lp"
-#alias t "r pj/pj1.blif; st; dfraig -v"
-#alias t "r c/16/csat_2.bench; st; dfraig -C 100 -v -r"
-#alias t "r c/16/csat_147.bench; st; dfraig -C 10 -v -r"
-#alias t "r i10.blif; st; ps; csweep; ps; cec"
-#alias t "r c/5/csat_777.bench; st; csweep -v"
-#alias t "r i10.blif; st; drw -v"
-#alias t "r c.blif; st; drf"
-alias t "r i10.blif; st; dchoice; ps"
-
alias bmc "frames -i -F 10; orpos; iprove"
diff --git a/abclib.dsp b/abc70930/abclib.dsp
index 46078652..b9b88a15 100644
--- a/abclib.dsp
+++ b/abc70930/abclib.dsp
@@ -1,2336 +1,2844 @@
-# Microsoft Developer Studio Project File - Name="abclib" - Package Owner=<4>
-# Microsoft Developer Studio Generated Build File, Format Version 6.00
-# ** DO NOT EDIT **
-
-# TARGTYPE "Win32 (x86) Static Library" 0x0104
-
-CFG=abclib - Win32 Debug
-!MESSAGE This is not a valid makefile. To build this project using NMAKE,
-!MESSAGE use the Export Makefile command and run
-!MESSAGE
-!MESSAGE NMAKE /f "abclib.mak".
-!MESSAGE
-!MESSAGE You can specify a configuration when running NMAKE
-!MESSAGE by defining the macro CFG on the command line. For example:
-!MESSAGE
-!MESSAGE NMAKE /f "abclib.mak" CFG="abclib - Win32 Debug"
-!MESSAGE
-!MESSAGE Possible choices for configuration are:
-!MESSAGE
-!MESSAGE "abclib - Win32 Release" (based on "Win32 (x86) Static Library")
-!MESSAGE "abclib - Win32 Debug" (based on "Win32 (x86) Static Library")
-!MESSAGE
-
-# Begin Project
-# PROP AllowPerConfigDependencies 0
-# PROP Scc_ProjName ""
-# PROP Scc_LocalPath ""
-CPP=cl.exe
-RSC=rc.exe
-
-!IF "$(CFG)" == "abclib - Win32 Release"
-
-# PROP BASE Use_MFC 0
-# PROP BASE Use_Debug_Libraries 0
-# PROP BASE Output_Dir "abclib___Win32_Release"
-# PROP BASE Intermediate_Dir "abclib___Win32_Release"
-# PROP BASE Target_Dir ""
-# PROP Use_MFC 0
-# PROP Use_Debug_Libraries 0
-# PROP Output_Dir "abclib\ReleaseLib"
-# PROP Intermediate_Dir "abclib\ReleaseLib"
-# PROP Target_Dir ""
-# ADD BASE CPP /nologo /W3 /GX /O2 /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_LIB" /YX /FD /c
-# ADD CPP /nologo /W3 /GX /O2 /I "src\base\abc" /I "src\base\abci" /I "src\base\abcs" /I "src\base\seq" /I "src\base\cmd" /I "src\base\io" /I "src\base\main" /I "src\bdd\cudd" /I "src\bdd\epd" /I "src\bdd\mtr" /I "src\bdd\parse" /I "src\bdd\dsd" /I "src\bdd\reo" /I "src\sop\ft" /I "src\sat\asat" /I "src\sat\bsat" /I "src\sat\msat" /I "src\sat\fraig" /I "src\opt\cut" /I "src\opt\dec" /I "src\opt\fxu" /I "src\opt\sim" /I "src\opt\rwr" /I "src\opt\kit" /I "src\map\fpga" /I "src\map\if" /I "src\map\mapper" /I "src\map\mio" /I "src\map\super" /I "src\misc\extra" /I "src\misc\st" /I "src\misc\mvc" /I "src\misc\util" /I "src\misc\npn" /I "src\misc\vec" /I "src\misc\espresso" /I "src\misc\nm" /I "src\misc\hash" /I "src\aig\ivy" /I "src\aig\hop" /I "src\aig\rwt" /I "src\aig\deco" /I "src\aig\mem" /I "src\temp\esop" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_LIB" /D "__STDC__" /D "HAVE_ASSERT_H" /FR /YX /FD /c
-# ADD BASE RSC /l 0x409 /d "NDEBUG"
-# ADD RSC /l 0x409 /d "NDEBUG"
-BSC32=bscmake.exe
-# ADD BASE BSC32 /nologo
-# ADD BSC32 /nologo
-LIB32=link.exe -lib
-# ADD BASE LIB32 /nologo
-# ADD LIB32 /nologo /out:"abclib\abclib_release.lib"
-
-!ELSEIF "$(CFG)" == "abclib - Win32 Debug"
-
-# PROP BASE Use_MFC 0
-# PROP BASE Use_Debug_Libraries 1
-# PROP BASE Output_Dir "abclib___Win32_Debug"
-# PROP BASE Intermediate_Dir "abclib___Win32_Debug"
-# PROP BASE Target_Dir ""
-# PROP Use_MFC 0
-# PROP Use_Debug_Libraries 1
-# PROP Output_Dir "abclib\DebugLib"
-# PROP Intermediate_Dir "abclib\DebugLib"
-# PROP Target_Dir ""
-# ADD BASE CPP /nologo /W3 /Gm /GX /ZI /Od /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_LIB" /YX /FD /GZ /c
-# ADD CPP /nologo /W3 /Gm /GX /ZI /Od /I "src\base\abc" /I "src\base\abci" /I "src\base\abcs" /I "src\base\seq" /I "src\base\cmd" /I "src\base\io" /I "src\base\main" /I "src\bdd\cudd" /I "src\bdd\epd" /I "src\bdd\mtr" /I "src\bdd\parse" /I "src\bdd\dsd" /I "src\bdd\reo" /I "src\sop\ft" /I "src\sat\asat" /I "src\sat\bsat" /I "src\sat\msat" /I "src\sat\fraig" /I "src\opt\cut" /I "src\opt\dec" /I "src\opt\fxu" /I "src\opt\sim" /I "src\opt\rwr" /I "src\opt\kit" /I "src\map\fpga" /I "src\map\if" /I "src\map\mapper" /I "src\map\mio" /I "src\map\super" /I "src\misc\extra" /I "src\misc\st" /I "src\misc\mvc" /I "src\misc\util" /I "src\misc\npn" /I "src\misc\vec" /I "src\misc\espresso" /I "src\misc\nm" /I "src\misc\hash" /I "src\aig\ivy" /I "src\aig\hop" /I "src\aig\rwt" /I "src\aig\deco" /I "src\aig\mem" /I "src\temp\esop" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_LIB" /D "__STDC__" /D "HAVE_ASSERT_H" /FR /YX /FD /GZ /c
-# ADD BASE RSC /l 0x409 /d "_DEBUG"
-# ADD RSC /l 0x409 /d "_DEBUG"
-BSC32=bscmake.exe
-# ADD BASE BSC32 /nologo
-# ADD BSC32 /nologo
-LIB32=link.exe -lib
-# ADD BASE LIB32 /nologo
-# ADD LIB32 /nologo /out:"abclib\abclib_debug.lib"
-
-!ENDIF
-
-# Begin Target
-
-# Name "abclib - Win32 Release"
-# Name "abclib - Win32 Debug"
-# Begin Group "Source Files"
-
-# PROP Default_Filter "cpp;c;cxx;rc;def;r;odl;idl;hpj;bat"
-# Begin Group "base"
-
-# PROP Default_Filter ""
-# Begin Group "abc"
-
-# PROP Default_Filter ""
-# Begin Source File
-
-SOURCE=.\src\base\abc\abc.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abc\abcAig.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abc\abcCheck.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abc\abcDfs.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abc\abcFanio.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abc\abcFunc.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abc\abcInt.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abc\abcLatch.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abc\abcLib.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abc\abcMinBase.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abc\abcNames.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abc\abcNetlist.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abc\abcNtk.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abc\abcObj.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abc\abcRefs.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abc\abcShow.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abc\abcSop.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abc\abcUtil.c
-# End Source File
-# End Group
-# Begin Group "abci"
-
-# PROP Default_Filter ""
-# Begin Source File
-
-SOURCE=.\src\base\abci\abc.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abci\abcAttach.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abci\abcAuto.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abci\abcBalance.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abci\abcBmc.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abci\abcClpBdd.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abci\abcClpSop.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abci\abcCut.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abci\abcDebug.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abci\abcDress.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abci\abcDsd.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abci\abcEspresso.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abci\abcExtract.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abci\abcFpga.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abci\abcFpgaFast.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abci\abcFraig.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abci\abcFxu.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abci\abcGen.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abci\abcIf.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abci\abcIvy.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abci\abcLut.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abci\abcMap.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abci\abcMini.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abci\abcMiter.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abci\abcMulti.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abci\abcMv.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abci\abcNtbdd.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abci\abcOrder.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abci\abcPrint.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abci\abcProve.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abci\abcReconv.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abci\abcRefactor.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abci\abcRenode.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abci\abcReorder.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abci\abcRestruct.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abci\abcResub.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abci\abcRewrite.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abci\abcRr.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abci\abcSat.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abci\abcStrash.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abci\abcSweep.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abci\abcSymm.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abci\abcTiming.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abci\abcUnate.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abci\abcUnreach.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abci\abcVerify.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\abci\abcXsim.c
-# End Source File
-# End Group
-# Begin Group "cmd"
-
-# PROP Default_Filter ""
-# Begin Source File
-
-SOURCE=.\src\base\cmd\cmd.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\cmd\cmd.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\cmd\cmdAlias.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\cmd\cmdApi.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\cmd\cmdFlag.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\cmd\cmdHist.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\cmd\cmdInt.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\cmd\cmdUtils.c
-# End Source File
-# End Group
-# Begin Group "io"
-
-# PROP Default_Filter ""
-# Begin Source File
-
-SOURCE=.\src\base\io\io.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\io\io.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\io\ioInt.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\io\ioReadAiger.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\io\ioReadBaf.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\io\ioReadBench.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\io\ioReadBlif.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\io\ioReadBlifAig.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\io\ioReadEdif.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\io\ioReadEqn.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\io\ioReadPla.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\io\ioUtil.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\io\ioWriteAiger.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\io\ioWriteBaf.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\io\ioWriteBench.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\io\ioWriteBlif.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\io\ioWriteCnf.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\io\ioWriteDot.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\io\ioWriteEqn.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\io\ioWriteGml.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\io\ioWriteList.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\io\ioWritePla.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\io\ioWriteVer.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\io\ioWriteVerAux.c
-# End Source File
-# End Group
-# Begin Group "main"
-
-# PROP Default_Filter ""
-# Begin Source File
-
-SOURCE=.\src\base\main\libSupport.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\main\main.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\main\main.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\main\mainFrame.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\main\mainInit.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\main\mainInt.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\main\mainUtils.c
-# End Source File
-# End Group
-# Begin Group "ver"
-
-# PROP Default_Filter ""
-# Begin Source File
-
-SOURCE=.\src\base\ver\ver.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\ver\verCore.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\ver\verFormula.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\ver\verParse.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\ver\verStream.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\base\ver\verWords.c
-# End Source File
-# End Group
-# End Group
-# Begin Group "bdd"
-
-# PROP Default_Filter ""
-# Begin Group "cudd"
-
-# PROP Default_Filter ""
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cudd.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddAddAbs.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddAddApply.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddAddFind.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddAddInv.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddAddIte.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddAddNeg.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddAddWalsh.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddAndAbs.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddAnneal.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddApa.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddAPI.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddApprox.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddBddAbs.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddBddCorr.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddBddIte.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddBridge.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddCache.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddCheck.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddClip.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddCof.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddCompose.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddDecomp.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddEssent.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddExact.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddExport.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddGenCof.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddGenetic.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddGroup.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddHarwell.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddInit.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddInt.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddInteract.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddLCache.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddLevelQ.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddLinear.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddLiteral.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddMatMult.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddPriority.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddRead.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddRef.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddReorder.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddSat.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddSign.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddSolve.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddSplit.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddSubsetHB.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddSubsetSP.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddSymmetry.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddTable.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddUtil.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddWindow.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddZddCount.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddZddFuncs.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddZddGroup.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddZddIsop.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddZddLin.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddZddMisc.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddZddPort.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddZddReord.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddZddSetop.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddZddSymm.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\cudd\cuddZddUtil.c
-# End Source File
-# End Group
-# Begin Group "epd"
-
-# PROP Default_Filter ""
-# Begin Source File
-
-SOURCE=.\src\bdd\epd\epd.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\epd\epd.h
-# End Source File
-# End Group
-# Begin Group "mtr"
-
-# PROP Default_Filter ""
-# Begin Source File
-
-SOURCE=.\src\bdd\mtr\mtr.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\mtr\mtrBasic.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\mtr\mtrGroup.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\mtr\mtrInt.h
-# End Source File
-# End Group
-# Begin Group "parse"
-
-# PROP Default_Filter ""
-# Begin Source File
-
-SOURCE=.\src\bdd\parse\parse.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\parse\parseCore.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\parse\parseEqn.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\parse\parseInt.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\parse\parseStack.c
-# End Source File
-# End Group
-# Begin Group "dsd"
-
-# PROP Default_Filter ""
-# Begin Source File
-
-SOURCE=.\src\bdd\dsd\dsd.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\dsd\dsdApi.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\dsd\dsdCheck.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\dsd\dsdInt.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\dsd\dsdLocal.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\dsd\dsdMan.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\dsd\dsdProc.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\dsd\dsdTree.c
-# End Source File
-# End Group
-# Begin Group "reo"
-
-# PROP Default_Filter ""
-# Begin Source File
-
-SOURCE=.\src\bdd\reo\reo.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\reo\reoApi.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\reo\reoCore.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\reo\reoProfile.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\reo\reoSift.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\reo\reoSwap.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\reo\reoTest.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\reo\reoTransfer.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\bdd\reo\reoUnits.c
-# End Source File
-# End Group
-# End Group
-# Begin Group "sat"
-
-# PROP Default_Filter ""
-# Begin Group "asat"
-
-# PROP Default_Filter ""
-# Begin Source File
-
-SOURCE=.\src\sat\asat\added.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\sat\asat\asatmem.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\sat\asat\asatmem.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\sat\asat\jfront.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\sat\asat\solver.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\sat\asat\solver.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\sat\asat\solver_vec.h
-# End Source File
-# End Group
-# Begin Group "msat"
-
-# PROP Default_Filter ""
-# Begin Source File
-
-SOURCE=.\src\sat\msat\msat.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\sat\msat\msatActivity.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\sat\msat\msatClause.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\sat\msat\msatClauseVec.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\sat\msat\msatInt.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\sat\msat\msatMem.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\sat\msat\msatOrderH.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\sat\msat\msatQueue.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\sat\msat\msatRead.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\sat\msat\msatSolverApi.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\sat\msat\msatSolverCore.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\sat\msat\msatSolverIo.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\sat\msat\msatSolverSearch.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\sat\msat\msatSort.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\sat\msat\msatVec.c
-# End Source File
-# End Group
-# Begin Group "fraig"
-
-# PROP Default_Filter ""
-# Begin Source File
-
-SOURCE=.\src\sat\fraig\fraig.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\sat\fraig\fraigApi.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\sat\fraig\fraigCanon.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\sat\fraig\fraigChoice.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\sat\fraig\fraigFanout.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\sat\fraig\fraigFeed.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\sat\fraig\fraigInt.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\sat\fraig\fraigMan.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\sat\fraig\fraigMem.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\sat\fraig\fraigNode.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\sat\fraig\fraigPrime.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\sat\fraig\fraigSat.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\sat\fraig\fraigTable.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\sat\fraig\fraigUtil.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\sat\fraig\fraigVec.c
-# End Source File
-# End Group
-# Begin Group "csat"
-
-# PROP Default_Filter ""
-# Begin Source File
-
-SOURCE=.\src\sat\csat\csat_apis.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\sat\csat\csat_apis.h
-# End Source File
-# End Group
-# Begin Group "bsat"
-
-# PROP Default_Filter ""
-# Begin Source File
-
-SOURCE=.\src\sat\bsat\satMem.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\sat\bsat\satMem.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\sat\bsat\satSolver.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\sat\bsat\satSolver.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\sat\bsat\satUtil.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\sat\bsat\satVec.h
-# End Source File
-# End Group
-# End Group
-# Begin Group "opt"
-
-# PROP Default_Filter ""
-# Begin Group "fxu"
-
-# PROP Default_Filter ""
-# Begin Source File
-
-SOURCE=.\src\opt\fxu\fxu.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\fxu\fxu.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\fxu\fxuCreate.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\fxu\fxuHeapD.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\fxu\fxuHeapS.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\fxu\fxuInt.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\fxu\fxuList.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\fxu\fxuMatrix.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\fxu\fxuPair.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\fxu\fxuPrint.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\fxu\fxuReduce.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\fxu\fxuSelect.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\fxu\fxuSingle.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\fxu\fxuUpdate.c
-# End Source File
-# End Group
-# Begin Group "rwr"
-
-# PROP Default_Filter ""
-# Begin Source File
-
-SOURCE=.\src\opt\rwr\rwr.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\rwr\rwrDec.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\rwr\rwrEva.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\rwr\rwrExp.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\rwr\rwrLib.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\rwr\rwrMan.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\rwr\rwrPrint.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\rwr\rwrTemp.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\rwr\rwrUtil.c
-# End Source File
-# End Group
-# Begin Group "cut"
-
-# PROP Default_Filter ""
-# Begin Source File
-
-SOURCE=.\src\opt\cut\cut.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\cut\cutApi.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\cut\cutCut.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\cut\cutExpand.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\cut\cutInt.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\cut\cutList.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\cut\cutMan.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\cut\cutMerge.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\cut\cutNode.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\cut\cutOracle.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\cut\cutPre22.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\cut\cutSeq.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\cut\cutTruth.c
-# End Source File
-# End Group
-# Begin Group "dec"
-
-# PROP Default_Filter ""
-# Begin Source File
-
-SOURCE=.\src\opt\dec\dec.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\dec\decAbc.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\dec\decFactor.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\dec\decMan.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\dec\decPrint.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\dec\decUtil.c
-# End Source File
-# End Group
-# Begin Group "sim"
-
-# PROP Default_Filter ""
-# Begin Source File
-
-SOURCE=.\src\opt\sim\sim.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\sim\simMan.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\sim\simSat.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\sim\simSeq.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\sim\simSupp.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\sim\simSwitch.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\sim\simSym.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\sim\simSymSat.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\sim\simSymSim.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\sim\simSymStr.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\sim\simUtils.c
-# End Source File
-# End Group
-# Begin Group "ret"
-
-# PROP Default_Filter ""
-# Begin Source File
-
-SOURCE=.\src\opt\ret\retArea.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\ret\retCore.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\ret\retDelay.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\ret\retFlow.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\ret\retIncrem.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\ret\retInit.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\ret\retInt.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\ret\retLvalue.c
-# End Source File
-# End Group
-# Begin Group "kit"
-
-# PROP Default_Filter ""
-# Begin Source File
-
-SOURCE=.\src\opt\kit\kit.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\kit\kitBdd.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\kit\kitFactor.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\kit\kitGraph.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\kit\kitHop.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\kit\kitIsop.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\kit\kitSop.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\opt\kit\kitTruth.c
-# End Source File
-# End Group
-# End Group
-# Begin Group "map"
-
-# PROP Default_Filter ""
-# Begin Group "fpga"
-
-# PROP Default_Filter ""
-# Begin Source File
-
-SOURCE=.\src\map\fpga\fpga.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\fpga\fpga.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\fpga\fpgaCore.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\fpga\fpgaCreate.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\fpga\fpgaCut.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\fpga\fpgaCutUtils.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\fpga\fpgaFanout.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\fpga\fpgaInt.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\fpga\fpgaLib.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\fpga\fpgaMatch.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\fpga\fpgaSwitch.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\fpga\fpgaTime.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\fpga\fpgaTruth.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\fpga\fpgaUtils.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\fpga\fpgaVec.c
-# End Source File
-# End Group
-# Begin Group "mapper"
-
-# PROP Default_Filter ""
-# Begin Source File
-
-SOURCE=.\src\map\mapper\mapper.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\mapper\mapper.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\mapper\mapperCanon.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\mapper\mapperCore.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\mapper\mapperCreate.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\mapper\mapperCut.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\mapper\mapperCutUtils.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\mapper\mapperFanout.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\mapper\mapperInt.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\mapper\mapperLib.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\mapper\mapperMatch.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\mapper\mapperRefs.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\mapper\mapperSuper.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\mapper\mapperSwitch.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\mapper\mapperTable.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\mapper\mapperTime.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\mapper\mapperTree.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\mapper\mapperTruth.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\mapper\mapperUtils.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\mapper\mapperVec.c
-# End Source File
-# End Group
-# Begin Group "mio"
-
-# PROP Default_Filter ""
-# Begin Source File
-
-SOURCE=.\src\map\mio\mio.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\mio\mio.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\mio\mioApi.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\mio\mioFunc.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\mio\mioInt.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\mio\mioRead.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\mio\mioUtils.c
-# End Source File
-# End Group
-# Begin Group "super"
-
-# PROP Default_Filter ""
-# Begin Source File
-
-SOURCE=.\src\map\super\super.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\super\super.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\super\superAnd.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\super\superGate.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\super\superInt.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\super\superWrite.c
-# End Source File
-# End Group
-# Begin Group "if"
-
-# PROP Default_Filter ""
-# Begin Source File
-
-SOURCE=.\src\map\if\if.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\if\ifCore.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\if\ifCut.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\if\ifMan.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\if\ifMap.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\if\ifPrepro.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\if\ifReduce.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\if\ifSeq.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\if\ifTime.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\if\ifTruth.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\map\if\ifUtil.c
-# End Source File
-# End Group
-# End Group
-# Begin Group "misc"
-
-# PROP Default_Filter ""
-# Begin Group "extra"
-
-# PROP Default_Filter ""
-# Begin Source File
-
-SOURCE=.\src\misc\extra\extra.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\extra\extraBddAuto.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\extra\extraBddKmap.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\extra\extraBddMisc.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\extra\extraBddSymm.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\extra\extraBddUnate.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\extra\extraUtilBitMatrix.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\extra\extraUtilCanon.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\extra\extraUtilFile.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\extra\extraUtilMemory.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\extra\extraUtilMisc.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\extra\extraUtilProgress.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\extra\extraUtilReader.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\extra\extraUtilTruth.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\extra\extraUtilUtil.c
-# End Source File
-# End Group
-# Begin Group "st"
-
-# PROP Default_Filter ""
-# Begin Source File
-
-SOURCE=.\src\misc\st\st.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\st\st.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\st\stmm.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\st\stmm.h
-# End Source File
-# End Group
-# Begin Group "util"
-
-# PROP Default_Filter ""
-# Begin Source File
-
-SOURCE=.\src\misc\util\util_hack.h
-# End Source File
-# End Group
-# Begin Group "mvc"
-
-# PROP Default_Filter ""
-# Begin Source File
-
-SOURCE=.\src\misc\mvc\mvc.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\mvc\mvc.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\mvc\mvcApi.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\mvc\mvcCompare.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\mvc\mvcContain.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\mvc\mvcCover.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\mvc\mvcCube.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\mvc\mvcDivide.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\mvc\mvcDivisor.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\mvc\mvcList.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\mvc\mvcLits.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\mvc\mvcMan.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\mvc\mvcOpAlg.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\mvc\mvcOpBool.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\mvc\mvcPrint.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\mvc\mvcSort.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\mvc\mvcUtils.c
-# End Source File
-# End Group
-# Begin Group "vec"
-
-# PROP Default_Filter ""
-# Begin Source File
-
-SOURCE=.\src\misc\vec\vec.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\vec\vecAtt.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\vec\vecFlt.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\vec\vecInt.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\vec\vecPtr.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\vec\vecStr.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\vec\vecVec.h
-# End Source File
-# End Group
-# Begin Group "espresso"
-
-# PROP Default_Filter ""
-# Begin Source File
-
-SOURCE=.\src\misc\espresso\cofactor.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\espresso\cols.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\espresso\compl.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\espresso\contain.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\espresso\cubehack.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\espresso\cubestr.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\espresso\cvrin.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\espresso\cvrm.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\espresso\cvrmisc.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\espresso\cvrout.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\espresso\dominate.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\espresso\equiv.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\espresso\espresso.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\espresso\espresso.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\espresso\essen.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\espresso\exact.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\espresso\expand.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\espresso\gasp.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\espresso\gimpel.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\espresso\globals.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\espresso\hack.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\espresso\indep.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\espresso\irred.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\espresso\map.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\espresso\matrix.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\espresso\mincov.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\espresso\mincov.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\espresso\mincov_int.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\espresso\opo.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\espresso\pair.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\espresso\part.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\espresso\primes.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\espresso\reduce.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\espresso\rows.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\espresso\set.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\espresso\setc.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\espresso\sharp.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\espresso\sminterf.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\espresso\solution.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\espresso\sparse.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\espresso\sparse.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\espresso\sparse_int.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\espresso\unate.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\espresso\util_old.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\espresso\verify.c
-# End Source File
-# End Group
-# Begin Group "nm"
-
-# PROP Default_Filter ""
-# Begin Source File
-
-SOURCE=.\src\misc\nm\nm.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\nm\nmApi.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\nm\nmInt.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\nm\nmTable.c
-# End Source File
-# End Group
-# Begin Group "hash"
-
-# PROP Default_Filter ""
-# Begin Source File
-
-SOURCE=.\src\misc\hash\hash.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\hash\hashFlt.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\hash\hashInt.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\misc\hash\hashPtr.h
-# End Source File
-# End Group
-# End Group
-# Begin Group "aig"
-
-# PROP Default_Filter ""
-# Begin Group "hop"
-
-# PROP Default_Filter ""
-# Begin Source File
-
-SOURCE=.\src\aig\hop\hop.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\hop\hopBalance.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\hop\hopCheck.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\hop\hopDfs.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\hop\hopMan.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\hop\hopMem.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\hop\hopObj.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\hop\hopOper.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\hop\hopTable.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\hop\hopUtil.c
-# End Source File
-# End Group
-# Begin Group "ivy"
-
-# PROP Default_Filter ""
-# Begin Source File
-
-SOURCE=.\src\aig\ivy\ivy.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\ivy\ivyBalance.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\ivy\ivyCanon.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\ivy\ivyCheck.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\ivy\ivyCut.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\ivy\ivyCutTrav.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\ivy\ivyDfs.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\ivy\ivyDsd.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\ivy\ivyFanout.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\ivy\ivyFastMap.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\ivy\ivyFraig.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\ivy\ivyHaig.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\ivy\ivyMan.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\ivy\ivyMem.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\ivy\ivyMulti.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\ivy\ivyObj.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\ivy\ivyOper.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\ivy\ivyResyn.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\ivy\ivyRwr.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\ivy\ivySeq.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\ivy\ivyShow.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\ivy\ivyTable.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\ivy\ivyUtil.c
-# End Source File
-# End Group
-# Begin Group "rwt"
-
-# PROP Default_Filter ""
-# Begin Source File
-
-SOURCE=.\src\aig\rwt\rwt.h
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\rwt\rwtDec.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\rwt\rwtMan.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\rwt\rwtUtil.c
-# End Source File
-# End Group
-# Begin Group "deco"
-
-# PROP Default_Filter ""
-# Begin Source File
-
-SOURCE=.\src\aig\deco\deco.h
-# End Source File
-# End Group
-# Begin Group "mem"
-
-# PROP Default_Filter ""
-# Begin Source File
-
-SOURCE=.\src\aig\mem\mem.c
-# End Source File
-# Begin Source File
-
-SOURCE=.\src\aig\mem\mem.h
-# End Source File
-# End Group
-# Begin Group "ec"
-
-# PROP Default_Filter ""
-# End Group
-# End Group
-# End Group
-# Begin Group "Header Files"
-
-# PROP Default_Filter "h;hpp;hxx;hm;inl"
-# End Group
-# End Target
-# End Project
+# Microsoft Developer Studio Project File - Name="abclib" - Package Owner=<4>
+# Microsoft Developer Studio Generated Build File, Format Version 6.00
+# ** DO NOT EDIT **
+
+# TARGTYPE "Win32 (x86) Static Library" 0x0104
+
+CFG=abclib - Win32 Debug
+!MESSAGE This is not a valid makefile. To build this project using NMAKE,
+!MESSAGE use the Export Makefile command and run
+!MESSAGE
+!MESSAGE NMAKE /f "abclib.mak".
+!MESSAGE
+!MESSAGE You can specify a configuration when running NMAKE
+!MESSAGE by defining the macro CFG on the command line. For example:
+!MESSAGE
+!MESSAGE NMAKE /f "abclib.mak" CFG="abclib - Win32 Debug"
+!MESSAGE
+!MESSAGE Possible choices for configuration are:
+!MESSAGE
+!MESSAGE "abclib - Win32 Release" (based on "Win32 (x86) Static Library")
+!MESSAGE "abclib - Win32 Debug" (based on "Win32 (x86) Static Library")
+!MESSAGE
+
+# Begin Project
+# PROP AllowPerConfigDependencies 0
+# PROP Scc_ProjName ""
+# PROP Scc_LocalPath ""
+CPP=cl.exe
+RSC=rc.exe
+
+!IF "$(CFG)" == "abclib - Win32 Release"
+
+# PROP BASE Use_MFC 0
+# PROP BASE Use_Debug_Libraries 0
+# PROP BASE Output_Dir "abclib___Win32_Release"
+# PROP BASE Intermediate_Dir "abclib___Win32_Release"
+# PROP BASE Target_Dir ""
+# PROP Use_MFC 0
+# PROP Use_Debug_Libraries 0
+# PROP Output_Dir "abclib\ReleaseLib"
+# PROP Intermediate_Dir "abclib\ReleaseLib"
+# PROP Target_Dir ""
+# ADD BASE CPP /nologo /W3 /GX /O2 /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_LIB" /YX /FD /c
+# ADD CPP /nologo /W3 /GX /O2 /I "src/base/abc" /I "src/base/abci" /I "src/base/cmd" /I "src/base/io" /I "src/base/main" /I "src/base/ver" /I "src/bdd/cudd" /I "src/bdd/dsd" /I "src/bdd/epd" /I "src/bdd/mtr" /I "src/bdd/parse" /I "src/bdd/reo" /I "src/bdd/cas" /I "src/map/fpga" /I "src/map/mapper" /I "src/map/mio" /I "src/map/super" /I "src/map/if" /I "src/map/pcm" /I "src/map/ply" /I "src/misc/extra" /I "src/misc/mvc" /I "src/misc/st" /I "src/misc/util" /I "src/misc/espresso" /I "src/misc/nm" /I "src/misc/vec" /I "src/misc/hash" /I "src/opt/cut" /I "src/opt/dec" /I "src/opt/fxu" /I "src/opt/rwr" /I "src/opt/sim" /I "src/opt/ret" /I "src/opt/res" /I "src/opt/lpk" /I "src/sat/bsat" /I "src/sat/csat" /I "src/sat/msat" /I "src/sat/fraig" /I "src/aig/ivy" /I "src/aig/hop" /I "src/aig/rwt" /I "src/aig/deco" /I "src/aig/mem" /I "src/aig/dar" /I "src/aig/fra" /I "src/aig/cnf" /I "src/aig/csw" /I "src/aig/ioa" /I "src/aig/aig" /I "src/aig/kit" /I "src/aig/bdc" /I "src/aig/bar" /D "WIN32" /D "NDEBUG" /D "_MBCS" /D "_LIB" /D "__STDC__" /D "HAVE_ASSERT_H" /FR /YX /FD /c
+# ADD BASE RSC /l 0x409 /d "NDEBUG"
+# ADD RSC /l 0x409 /d "NDEBUG"
+BSC32=bscmake.exe
+# ADD BASE BSC32 /nologo
+# ADD BSC32 /nologo
+LIB32=link.exe -lib
+# ADD BASE LIB32 /nologo
+# ADD LIB32 /nologo /out:"abclib\abclib_release.lib"
+
+!ELSEIF "$(CFG)" == "abclib - Win32 Debug"
+
+# PROP BASE Use_MFC 0
+# PROP BASE Use_Debug_Libraries 1
+# PROP BASE Output_Dir "abclib___Win32_Debug"
+# PROP BASE Intermediate_Dir "abclib___Win32_Debug"
+# PROP BASE Target_Dir ""
+# PROP Use_MFC 0
+# PROP Use_Debug_Libraries 1
+# PROP Output_Dir "abclib\DebugLib"
+# PROP Intermediate_Dir "abclib\DebugLib"
+# PROP Target_Dir ""
+# ADD BASE CPP /nologo /W3 /Gm /GX /ZI /Od /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_LIB" /YX /FD /GZ /c
+# ADD CPP /nologo /W3 /Gm /GX /ZI /Od /I "src/base/abc" /I "src/base/abci" /I "src/base/cmd" /I "src/base/io" /I "src/base/main" /I "src/base/ver" /I "src/bdd/cudd" /I "src/bdd/dsd" /I "src/bdd/epd" /I "src/bdd/mtr" /I "src/bdd/parse" /I "src/bdd/reo" /I "src/bdd/cas" /I "src/map/fpga" /I "src/map/mapper" /I "src/map/mio" /I "src/map/super" /I "src/map/if" /I "src/map/pcm" /I "src/map/ply" /I "src/misc/extra" /I "src/misc/mvc" /I "src/misc/st" /I "src/misc/util" /I "src/misc/espresso" /I "src/misc/nm" /I "src/misc/vec" /I "src/misc/hash" /I "src/opt/cut" /I "src/opt/dec" /I "src/opt/fxu" /I "src/opt/rwr" /I "src/opt/sim" /I "src/opt/ret" /I "src/opt/res" /I "src/opt/lpk" /I "src/sat/bsat" /I "src/sat/csat" /I "src/sat/msat" /I "src/sat/fraig" /I "src/aig/ivy" /I "src/aig/hop" /I "src/aig/rwt" /I "src/aig/deco" /I "src/aig/mem" /I "src/aig/dar" /I "src/aig/fra" /I "src/aig/cnf" /I "src/aig/csw" /I "src/aig/ioa" /I "src/aig/aig" /I "src/aig/kit" /I "src/aig/bdc" /I "src/aig/bar" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_LIB" /D "__STDC__" /D "HAVE_ASSERT_H" /FR /YX /FD /GZ /c
+# ADD BASE RSC /l 0x409 /d "_DEBUG"
+# ADD RSC /l 0x409 /d "_DEBUG"
+BSC32=bscmake.exe
+# ADD BASE BSC32 /nologo
+# ADD BSC32 /nologo
+LIB32=link.exe -lib
+# ADD BASE LIB32 /nologo
+# ADD LIB32 /nologo /out:"abclib\abclib_debug.lib"
+
+!ENDIF
+
+# Begin Target
+
+# Name "abclib - Win32 Release"
+# Name "abclib - Win32 Debug"
+# Begin Group "Source Files"
+
+# PROP Default_Filter "cpp;c;cxx;rc;def;r;odl;idl;hpj;bat"
+# Begin Group "base"
+
+# PROP Default_Filter ""
+# Begin Group "abc"
+
+# PROP Default_Filter ""
+# Begin Source File
+
+SOURCE=.\src\base\abc\abc.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abc\abcAig.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abc\abcBlifMv.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abc\abcCheck.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abc\abcDfs.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abc\abcFanio.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abc\abcFunc.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abc\abcHie.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abc\abcInt.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abc\abcLatch.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abc\abcLib.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abc\abcMinBase.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abc\abcNames.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abc\abcNetlist.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abc\abcNtk.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abc\abcObj.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abc\abcRefs.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abc\abcShow.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abc\abcSop.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abc\abcUtil.c
+# End Source File
+# End Group
+# Begin Group "abci"
+
+# PROP Default_Filter ""
+# Begin Source File
+
+SOURCE=.\src\base\abci\abc.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abci\abcAttach.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abci\abcAuto.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abci\abcBalance.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abci\abcBmc.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abci\abcCas.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abci\abcClpBdd.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abci\abcClpSop.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abci\abcCut.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abci\abcDar.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abci\abcDebug.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abci\abcDress.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abci\abcDsd.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abci\abcEspresso.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abci\abcExtract.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abci\abcFpga.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abci\abcFpgaFast.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abci\abcFraig.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abci\abcFxu.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abci\abcGen.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abci\abcHaig.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abci\abcIf.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abci\abcIvy.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abci\abcLut.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abci\abcMap.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abci\abcMeasure.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abci\abcMini.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abci\abcMiter.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abci\abcMulti.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abci\abcMv.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abci\abcNtbdd.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abci\abcOdc.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abci\abcOrder.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abci\abcPart.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abci\abcPrint.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abci\abcProve.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abci\abcQbf.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abci\abcQuant.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abci\abcRec.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abci\abcReconv.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abci\abcRefactor.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abci\abcRenode.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abci\abcReorder.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abci\abcRestruct.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abci\abcResub.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abci\abcRewrite.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abci\abcRr.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abci\abcSat.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abci\abcStrash.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abci\abcSweep.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abci\abcSymm.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abci\abcTiming.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abci\abcUnate.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abci\abcUnreach.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abci\abcVerify.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\abci\abcXsim.c
+# End Source File
+# End Group
+# Begin Group "cmd"
+
+# PROP Default_Filter ""
+# Begin Source File
+
+SOURCE=.\src\base\cmd\cmd.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\cmd\cmd.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\cmd\cmdAlias.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\cmd\cmdApi.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\cmd\cmdFlag.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\cmd\cmdHist.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\cmd\cmdInt.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\cmd\cmdUtils.c
+# End Source File
+# End Group
+# Begin Group "io"
+
+# PROP Default_Filter ""
+# Begin Source File
+
+SOURCE=.\src\base\io\io.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\io\io.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\io\ioInt.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\io\ioReadAiger.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\io\ioReadBaf.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\io\ioReadBench.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\io\ioReadBlif.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\io\ioReadBlifAig.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\io\ioReadBlifMv.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\io\ioReadDsd.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\io\ioReadEdif.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\io\ioReadEqn.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\io\ioReadPla.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\io\ioReadVerilog.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\io\ioUtil.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\io\ioWriteAiger.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\io\ioWriteBaf.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\io\ioWriteBench.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\io\ioWriteBlif.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\io\ioWriteBlifMv.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\io\ioWriteCnf.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\io\ioWriteDot.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\io\ioWriteEqn.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\io\ioWriteGml.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\io\ioWriteList.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\io\ioWritePla.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\io\ioWriteVerilog.c
+# End Source File
+# End Group
+# Begin Group "main"
+
+# PROP Default_Filter ""
+# Begin Source File
+
+SOURCE=.\src\base\main\libSupport.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\main\main.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\main\main.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\main\mainFrame.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\main\mainInit.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\main\mainInt.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\main\mainUtils.c
+# End Source File
+# End Group
+# Begin Group "ver"
+
+# PROP Default_Filter ""
+# Begin Source File
+
+SOURCE=.\src\base\ver\ver.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\ver\verCore.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\ver\verFormula.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\ver\verParse.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\base\ver\verStream.c
+# End Source File
+# End Group
+# End Group
+# Begin Group "bdd"
+
+# PROP Default_Filter ""
+# Begin Group "cudd"
+
+# PROP Default_Filter ""
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cudd.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddAddAbs.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddAddApply.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddAddFind.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddAddInv.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddAddIte.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddAddNeg.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddAddWalsh.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddAndAbs.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddAnneal.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddApa.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddAPI.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddApprox.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddBddAbs.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddBddCorr.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddBddIte.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddBridge.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddCache.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddCheck.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddClip.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddCof.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddCompose.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddDecomp.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddEssent.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddExact.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddExport.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddGenCof.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddGenetic.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddGroup.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddHarwell.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddInit.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddInt.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddInteract.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddLCache.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddLevelQ.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddLinear.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddLiteral.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddMatMult.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddPriority.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddRead.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddRef.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddReorder.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddSat.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddSign.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddSolve.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddSplit.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddSubsetHB.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddSubsetSP.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddSymmetry.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddTable.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddUtil.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddWindow.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddZddCount.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddZddFuncs.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddZddGroup.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddZddIsop.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddZddLin.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddZddMisc.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddZddPort.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddZddReord.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddZddSetop.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddZddSymm.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cudd\cuddZddUtil.c
+# End Source File
+# End Group
+# Begin Group "epd"
+
+# PROP Default_Filter ""
+# Begin Source File
+
+SOURCE=.\src\bdd\epd\epd.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\epd\epd.h
+# End Source File
+# End Group
+# Begin Group "mtr"
+
+# PROP Default_Filter ""
+# Begin Source File
+
+SOURCE=.\src\bdd\mtr\mtr.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\mtr\mtrBasic.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\mtr\mtrGroup.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\mtr\mtrInt.h
+# End Source File
+# End Group
+# Begin Group "parse"
+
+# PROP Default_Filter ""
+# Begin Source File
+
+SOURCE=.\src\bdd\parse\parse.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\parse\parseCore.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\parse\parseEqn.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\parse\parseInt.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\parse\parseStack.c
+# End Source File
+# End Group
+# Begin Group "dsd"
+
+# PROP Default_Filter ""
+# Begin Source File
+
+SOURCE=.\src\bdd\dsd\dsd.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\dsd\dsdApi.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\dsd\dsdCheck.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\dsd\dsdInt.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\dsd\dsdLocal.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\dsd\dsdMan.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\dsd\dsdProc.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\dsd\dsdTree.c
+# End Source File
+# End Group
+# Begin Group "reo"
+
+# PROP Default_Filter ""
+# Begin Source File
+
+SOURCE=.\src\bdd\reo\reo.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\reo\reoApi.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\reo\reoCore.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\reo\reoProfile.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\reo\reoSift.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\reo\reoSwap.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\reo\reoTest.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\reo\reoTransfer.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\reo\reoUnits.c
+# End Source File
+# End Group
+# Begin Group "cas"
+
+# PROP Default_Filter ""
+# Begin Source File
+
+SOURCE=.\src\bdd\cas\cas.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cas\casCore.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\bdd\cas\casDec.c
+# End Source File
+# End Group
+# End Group
+# Begin Group "sat"
+
+# PROP Default_Filter ""
+# Begin Group "msat"
+
+# PROP Default_Filter ""
+# Begin Source File
+
+SOURCE=.\src\sat\msat\msat.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\sat\msat\msatActivity.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\sat\msat\msatClause.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\sat\msat\msatClauseVec.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\sat\msat\msatInt.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\sat\msat\msatMem.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\sat\msat\msatOrderH.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\sat\msat\msatQueue.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\sat\msat\msatRead.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\sat\msat\msatSolverApi.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\sat\msat\msatSolverCore.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\sat\msat\msatSolverIo.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\sat\msat\msatSolverSearch.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\sat\msat\msatSort.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\sat\msat\msatVec.c
+# End Source File
+# End Group
+# Begin Group "fraig"
+
+# PROP Default_Filter ""
+# Begin Source File
+
+SOURCE=.\src\sat\fraig\fraig.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\sat\fraig\fraigApi.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\sat\fraig\fraigCanon.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\sat\fraig\fraigChoice.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\sat\fraig\fraigFanout.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\sat\fraig\fraigFeed.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\sat\fraig\fraigInt.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\sat\fraig\fraigMan.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\sat\fraig\fraigMem.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\sat\fraig\fraigNode.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\sat\fraig\fraigPrime.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\sat\fraig\fraigSat.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\sat\fraig\fraigTable.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\sat\fraig\fraigUtil.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\sat\fraig\fraigVec.c
+# End Source File
+# End Group
+# Begin Group "csat"
+
+# PROP Default_Filter ""
+# Begin Source File
+
+SOURCE=.\src\sat\csat\csat_apis.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\sat\csat\csat_apis.h
+# End Source File
+# End Group
+# Begin Group "bsat"
+
+# PROP Default_Filter ""
+# Begin Source File
+
+SOURCE=.\src\sat\bsat\satInter.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\sat\bsat\satMem.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\sat\bsat\satMem.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\sat\bsat\satSolver.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\sat\bsat\satSolver.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\sat\bsat\satStore.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\sat\bsat\satStore.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\sat\bsat\satTrace.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\sat\bsat\satUtil.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\sat\bsat\satVec.h
+# End Source File
+# End Group
+# Begin Group "proof"
+
+# PROP Default_Filter ""
+# Begin Source File
+
+SOURCE=.\src\sat\proof\pr.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\sat\proof\pr.h
+# End Source File
+# End Group
+# End Group
+# Begin Group "opt"
+
+# PROP Default_Filter ""
+# Begin Group "fxu"
+
+# PROP Default_Filter ""
+# Begin Source File
+
+SOURCE=.\src\opt\fxu\fxu.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\fxu\fxu.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\fxu\fxuCreate.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\fxu\fxuHeapD.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\fxu\fxuHeapS.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\fxu\fxuInt.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\fxu\fxuList.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\fxu\fxuMatrix.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\fxu\fxuPair.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\fxu\fxuPrint.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\fxu\fxuReduce.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\fxu\fxuSelect.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\fxu\fxuSingle.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\fxu\fxuUpdate.c
+# End Source File
+# End Group
+# Begin Group "rwr"
+
+# PROP Default_Filter ""
+# Begin Source File
+
+SOURCE=.\src\opt\rwr\rwr.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\rwr\rwrDec.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\rwr\rwrEva.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\rwr\rwrExp.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\rwr\rwrLib.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\rwr\rwrMan.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\rwr\rwrPrint.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\rwr\rwrTemp.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\rwr\rwrUtil.c
+# End Source File
+# End Group
+# Begin Group "cut"
+
+# PROP Default_Filter ""
+# Begin Source File
+
+SOURCE=.\src\opt\cut\cut.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\cut\cutApi.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\cut\cutCut.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\cut\cutExpand.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\cut\cutInt.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\cut\cutList.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\cut\cutMan.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\cut\cutMerge.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\cut\cutNode.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\cut\cutOracle.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\cut\cutPre22.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\cut\cutSeq.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\cut\cutTruth.c
+# End Source File
+# End Group
+# Begin Group "dec"
+
+# PROP Default_Filter ""
+# Begin Source File
+
+SOURCE=.\src\opt\dec\dec.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\dec\decAbc.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\dec\decFactor.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\dec\decMan.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\dec\decPrint.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\dec\decUtil.c
+# End Source File
+# End Group
+# Begin Group "sim"
+
+# PROP Default_Filter ""
+# Begin Source File
+
+SOURCE=.\src\opt\sim\sim.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\sim\simMan.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\sim\simSat.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\sim\simSeq.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\sim\simSupp.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\sim\simSwitch.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\sim\simSym.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\sim\simSymSat.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\sim\simSymSim.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\sim\simSymStr.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\sim\simUtils.c
+# End Source File
+# End Group
+# Begin Group "ret"
+
+# PROP Default_Filter ""
+# Begin Source File
+
+SOURCE=.\src\opt\ret\retArea.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\ret\retCore.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\ret\retDelay.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\ret\retFlow.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\ret\retIncrem.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\ret\retInit.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\ret\retInt.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\ret\retLvalue.c
+# End Source File
+# End Group
+# Begin Group "res"
+
+# PROP Default_Filter ""
+# Begin Source File
+
+SOURCE=.\src\opt\res\res.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\res\resCore.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\res\resDivs.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\res\resFilter.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\res\resInt.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\res\resSat.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\res\resSim.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\res\resStrash.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\res\resWin.c
+# End Source File
+# End Group
+# Begin Group "lpk"
+
+# PROP Default_Filter ""
+# Begin Source File
+
+SOURCE=.\src\opt\lpk\lpk.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\lpk\lpkAbcDec.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\lpk\lpkAbcDsd.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\lpk\lpkAbcMux.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\lpk\lpkAbcUtil.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\lpk\lpkCore.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\lpk\lpkCut.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\lpk\lpkInt.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\lpk\lpkMan.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\lpk\lpkMap.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\lpk\lpkMulti.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\lpk\lpkMux.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\opt\lpk\lpkSets.c
+# End Source File
+# End Group
+# End Group
+# Begin Group "map"
+
+# PROP Default_Filter ""
+# Begin Group "fpga"
+
+# PROP Default_Filter ""
+# Begin Source File
+
+SOURCE=.\src\map\fpga\fpga.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\map\fpga\fpga.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\map\fpga\fpgaCore.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\map\fpga\fpgaCreate.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\map\fpga\fpgaCut.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\map\fpga\fpgaCutUtils.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\map\fpga\fpgaFanout.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\map\fpga\fpgaInt.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\map\fpga\fpgaLib.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\map\fpga\fpgaMatch.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\map\fpga\fpgaSwitch.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\map\fpga\fpgaTime.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\map\fpga\fpgaTruth.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\map\fpga\fpgaUtils.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\map\fpga\fpgaVec.c
+# End Source File
+# End Group
+# Begin Group "mapper"
+
+# PROP Default_Filter ""
+# Begin Source File
+
+SOURCE=.\src\map\mapper\mapper.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\map\mapper\mapper.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\map\mapper\mapperCanon.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\map\mapper\mapperCore.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\map\mapper\mapperCreate.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\map\mapper\mapperCut.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\map\mapper\mapperCutUtils.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\map\mapper\mapperFanout.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\map\mapper\mapperInt.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\map\mapper\mapperLib.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\map\mapper\mapperMatch.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\map\mapper\mapperRefs.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\map\mapper\mapperSuper.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\map\mapper\mapperSwitch.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\map\mapper\mapperTable.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\map\mapper\mapperTime.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\map\mapper\mapperTree.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\map\mapper\mapperTruth.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\map\mapper\mapperUtils.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\map\mapper\mapperVec.c
+# End Source File
+# End Group
+# Begin Group "mio"
+
+# PROP Default_Filter ""
+# Begin Source File
+
+SOURCE=.\src\map\mio\mio.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\map\mio\mio.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\map\mio\mioApi.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\map\mio\mioFunc.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\map\mio\mioInt.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\map\mio\mioRead.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\map\mio\mioUtils.c
+# End Source File
+# End Group
+# Begin Group "super"
+
+# PROP Default_Filter ""
+# Begin Source File
+
+SOURCE=.\src\map\super\super.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\map\super\super.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\map\super\superAnd.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\map\super\superGate.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\map\super\superInt.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\map\super\superWrite.c
+# End Source File
+# End Group
+# Begin Group "if"
+
+# PROP Default_Filter ""
+# Begin Source File
+
+SOURCE=.\src\map\if\if.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\map\if\ifCore.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\map\if\ifCut.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\map\if\ifMan.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\map\if\ifMap.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\map\if\ifReduce.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\map\if\ifSeq.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\map\if\ifTime.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\map\if\ifTruth.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\map\if\ifUtil.c
+# End Source File
+# End Group
+# End Group
+# Begin Group "misc"
+
+# PROP Default_Filter ""
+# Begin Group "extra"
+
+# PROP Default_Filter ""
+# Begin Source File
+
+SOURCE=.\src\misc\extra\extra.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\extra\extraBddAuto.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\extra\extraBddCas.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\extra\extraBddKmap.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\extra\extraBddMisc.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\extra\extraBddSymm.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\extra\extraBddUnate.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\extra\extraUtilBitMatrix.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\extra\extraUtilCanon.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\extra\extraUtilFile.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\extra\extraUtilMemory.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\extra\extraUtilMisc.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\extra\extraUtilProgress.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\extra\extraUtilReader.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\extra\extraUtilTruth.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\extra\extraUtilUtil.c
+# End Source File
+# End Group
+# Begin Group "st"
+
+# PROP Default_Filter ""
+# Begin Source File
+
+SOURCE=.\src\misc\st\st.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\st\st.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\st\stmm.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\st\stmm.h
+# End Source File
+# End Group
+# Begin Group "util"
+
+# PROP Default_Filter ""
+# Begin Source File
+
+SOURCE=.\src\misc\util\util_hack.h
+# End Source File
+# End Group
+# Begin Group "mvc"
+
+# PROP Default_Filter ""
+# Begin Source File
+
+SOURCE=.\src\misc\mvc\mvc.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\mvc\mvc.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\mvc\mvcApi.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\mvc\mvcCompare.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\mvc\mvcContain.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\mvc\mvcCover.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\mvc\mvcCube.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\mvc\mvcDivide.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\mvc\mvcDivisor.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\mvc\mvcList.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\mvc\mvcLits.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\mvc\mvcMan.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\mvc\mvcOpAlg.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\mvc\mvcOpBool.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\mvc\mvcPrint.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\mvc\mvcSort.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\mvc\mvcUtils.c
+# End Source File
+# End Group
+# Begin Group "vec"
+
+# PROP Default_Filter ""
+# Begin Source File
+
+SOURCE=.\src\misc\vec\vec.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\vec\vecAtt.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\vec\vecFlt.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\vec\vecInt.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\vec\vecPtr.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\vec\vecStr.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\vec\vecVec.h
+# End Source File
+# End Group
+# Begin Group "espresso"
+
+# PROP Default_Filter ""
+# Begin Source File
+
+SOURCE=.\src\misc\espresso\cofactor.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\espresso\cols.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\espresso\compl.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\espresso\contain.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\espresso\cubehack.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\espresso\cubestr.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\espresso\cvrin.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\espresso\cvrm.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\espresso\cvrmisc.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\espresso\cvrout.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\espresso\dominate.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\espresso\equiv.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\espresso\espresso.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\espresso\espresso.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\espresso\essen.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\espresso\exact.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\espresso\expand.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\espresso\gasp.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\espresso\gimpel.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\espresso\globals.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\espresso\hack.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\espresso\indep.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\espresso\irred.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\espresso\map.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\espresso\matrix.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\espresso\mincov.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\espresso\mincov.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\espresso\mincov_int.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\espresso\opo.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\espresso\pair.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\espresso\part.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\espresso\primes.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\espresso\reduce.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\espresso\rows.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\espresso\set.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\espresso\setc.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\espresso\sharp.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\espresso\sminterf.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\espresso\solution.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\espresso\sparse.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\espresso\sparse.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\espresso\sparse_int.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\espresso\unate.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\espresso\util_old.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\espresso\verify.c
+# End Source File
+# End Group
+# Begin Group "nm"
+
+# PROP Default_Filter ""
+# Begin Source File
+
+SOURCE=.\src\misc\nm\nm.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\nm\nmApi.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\nm\nmInt.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\nm\nmTable.c
+# End Source File
+# End Group
+# Begin Group "hash"
+
+# PROP Default_Filter ""
+# Begin Source File
+
+SOURCE=.\src\misc\hash\hash.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\hash\hashFlt.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\hash\hashInt.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\misc\hash\hashPtr.h
+# End Source File
+# End Group
+# End Group
+# Begin Group "ai"
+
+# PROP Default_Filter ""
+# Begin Group "hop"
+
+# PROP Default_Filter ""
+# Begin Source File
+
+SOURCE=.\src\aig\hop\hop.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\hop\hopBalance.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\hop\hopCheck.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\hop\hopDfs.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\hop\hopMan.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\hop\hopMem.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\hop\hopObj.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\hop\hopOper.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\hop\hopTable.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\hop\hopUtil.c
+# End Source File
+# End Group
+# Begin Group "ivy"
+
+# PROP Default_Filter ""
+# Begin Source File
+
+SOURCE=.\src\aig\ivy\ivy.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\ivy\ivyBalance.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\ivy\ivyCanon.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\ivy\ivyCheck.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\ivy\ivyCut.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\ivy\ivyCutTrav.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\ivy\ivyDfs.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\ivy\ivyDsd.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\ivy\ivyFanout.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\ivy\ivyFastMap.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\ivy\ivyFraig.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\ivy\ivyHaig.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\ivy\ivyMan.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\ivy\ivyMem.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\ivy\ivyMulti.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\ivy\ivyObj.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\ivy\ivyOper.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\ivy\ivyResyn.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\ivy\ivyRwr.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\ivy\ivySeq.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\ivy\ivyShow.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\ivy\ivyTable.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\ivy\ivyUtil.c
+# End Source File
+# End Group
+# Begin Group "rwt"
+
+# PROP Default_Filter ""
+# Begin Source File
+
+SOURCE=.\src\aig\rwt\rwt.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\rwt\rwtDec.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\rwt\rwtMan.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\rwt\rwtUtil.c
+# End Source File
+# End Group
+# Begin Group "deco"
+
+# PROP Default_Filter ""
+# Begin Source File
+
+SOURCE=.\src\aig\deco\deco.h
+# End Source File
+# End Group
+# Begin Group "mem"
+
+# PROP Default_Filter ""
+# Begin Source File
+
+SOURCE=.\src\aig\mem\mem.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\mem\mem.h
+# End Source File
+# End Group
+# Begin Group "ioa"
+
+# PROP Default_Filter ""
+# Begin Source File
+
+SOURCE=.\src\aig\ioa\ioa.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\ioa\ioaReadAig.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\ioa\ioaUtil.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\ioa\ioaWriteAig.c
+# End Source File
+# End Group
+# Begin Group "dar"
+
+# PROP Default_Filter ""
+# Begin Source File
+
+SOURCE=.\src\aig\dar\dar.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\dar\darBalance.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\dar\darCore.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\dar\darCut.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\dar\darData.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\dar\darInt.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\dar\darLib.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\dar\darMan.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\dar\darPrec.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\dar\darRefact.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\dar\darResub.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\dar\darScript.c
+# End Source File
+# End Group
+# Begin Group "fra"
+
+# PROP Default_Filter ""
+# Begin Source File
+
+SOURCE=.\src\aig\fra\fra.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\fra\fraBmc.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\fra\fraCec.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\fra\fraClass.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\fra\fraCnf.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\fra\fraCore.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\fra\fraImp.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\fra\fraInd.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\fra\fraLcr.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\fra\fraMan.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\fra\fraPart.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\fra\fraSat.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\fra\fraSec.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\fra\fraSim.c
+# End Source File
+# End Group
+# Begin Group "cnf"
+
+# PROP Default_Filter ""
+# Begin Source File
+
+SOURCE=.\src\aig\cnf\cnf.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\cnf\cnfCore.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\cnf\cnfCut.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\cnf\cnfData.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\cnf\cnfMan.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\cnf\cnfMap.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\cnf\cnfPost.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\cnf\cnfUtil.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\cnf\cnfWrite.c
+# End Source File
+# End Group
+# Begin Group "csw"
+
+# PROP Default_Filter ""
+# Begin Source File
+
+SOURCE=.\src\aig\csw\csw.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\csw\cswCore.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\csw\cswCut.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\csw\cswInt.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\csw\cswMan.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\csw\cswTable.c
+# End Source File
+# End Group
+# Begin Group "kit"
+
+# PROP Default_Filter ""
+# Begin Source File
+
+SOURCE=.\src\aig\kit\cloud.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\kit\cloud.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\kit\kit.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\kit\kitAig.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\kit\kitBdd.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\kit\kitCloud.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\kit\kitDsd.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\kit\kitFactor.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\kit\kitGraph.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\kit\kitHop.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\kit\kitIsop.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\kit\kitSop.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\kit\kitTruth.c
+# End Source File
+# End Group
+# Begin Group "bdc"
+
+# PROP Default_Filter ""
+# Begin Source File
+
+SOURCE=.\src\aig\bdc\bdc.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\bdc\bdcCore.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\bdc\bdcDec.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\bdc\bdcInt.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\bdc\bdcTable.c
+# End Source File
+# End Group
+# Begin Group "aig"
+
+# PROP Default_Filter ""
+# Begin Source File
+
+SOURCE=.\src\aig\aig\aig.h
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\aig\aigCheck.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\aig\aigDfs.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\aig\aigFanout.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\aig\aigMan.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\aig\aigMem.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\aig\aigMffc.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\aig\aigObj.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\aig\aigOper.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\aig\aigOrder.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\aig\aigPart.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\aig\aigRepr.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\aig\aigRet.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\aig\aigScl.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\aig\aigSeq.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\aig\aigShow.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\aig\aigTable.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\aig\aigTime.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\aig\aigTiming.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\aig\aigTruth.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\aig\aigTsim.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\aig\aigUtil.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\aig\aigWin.c
+# End Source File
+# End Group
+# Begin Group "bar"
+
+# PROP Default_Filter ""
+# Begin Source File
+
+SOURCE=.\src\aig\bar\bar.c
+# End Source File
+# Begin Source File
+
+SOURCE=.\src\aig\bar\bar.h
+# End Source File
+# End Group
+# End Group
+# End Group
+# Begin Group "Header Files"
+
+# PROP Default_Filter "h;hpp;hxx;hm;inl"
+# End Group
+# End Target
+# End Project
diff --git a/abclib.dsw b/abc70930/abclib.dsw
index 260ade17..2e323b59 100644
--- a/abclib.dsw
+++ b/abc70930/abclib.dsw
@@ -1,29 +1,29 @@
-Microsoft Developer Studio Workspace File, Format Version 6.00
-# WARNING: DO NOT EDIT OR DELETE THIS WORKSPACE FILE!
-
-###############################################################################
-
-Project: "abclib"=.\abclib.dsp - Package Owner=<4>
-
-Package=<5>
-{{{
-}}}
-
-Package=<4>
-{{{
-}}}
-
-###############################################################################
-
-Global:
-
-Package=<5>
-{{{
-}}}
-
-Package=<3>
-{{{
-}}}
-
-###############################################################################
-
+Microsoft Developer Studio Workspace File, Format Version 6.00
+# WARNING: DO NOT EDIT OR DELETE THIS WORKSPACE FILE!
+
+###############################################################################
+
+Project: "abclib"=.\abclib.dsp - Package Owner=<4>
+
+Package=<5>
+{{{
+}}}
+
+Package=<4>
+{{{
+}}}
+
+###############################################################################
+
+Global:
+
+Package=<5>
+{{{
+}}}
+
+Package=<3>
+{{{
+}}}
+
+###############################################################################
+
diff --git a/abc70930/abclib.plg b/abc70930/abclib.plg
new file mode 100644
index 00000000..b346e07b
--- /dev/null
+++ b/abc70930/abclib.plg
@@ -0,0 +1,2208 @@
+<html>
+<body>
+<pre>
+<h1>Build Log</h1>
+<h3>
+--------------------Configuration: abclib - Win32 Debug--------------------
+</h3>
+<h3>Command Lines</h3>
+Creating temporary file "C:\DOCUME~1\alanmi\LOCALS~1\Temp\RSP20AB.tmp" with contents
+[
+/nologo /MLd /W3 /Gm /GX /ZI /Od /I "src/base/abc" /I "src/base/abci" /I "src/base/cmd" /I "src/base/io" /I "src/base/main" /I "src/base/ver" /I "src/bdd/cudd" /I "src/bdd/dsd" /I "src/bdd/epd" /I "src/bdd/mtr" /I "src/bdd/parse" /I "src/bdd/reo" /I "src/bdd/cas" /I "src/map/fpga" /I "src/map/mapper" /I "src/map/mio" /I "src/map/super" /I "src/map/if" /I "src/map/pcm" /I "src/map/ply" /I "src/misc/extra" /I "src/misc/mvc" /I "src/misc/st" /I "src/misc/util" /I "src/misc/espresso" /I "src/misc/nm" /I "src/misc/vec" /I "src/misc/hash" /I "src/opt/cut" /I "src/opt/dec" /I "src/opt/fxu" /I "src/opt/rwr" /I "src/opt/sim" /I "src/opt/ret" /I "src/opt/res" /I "src/opt/lpk" /I "src/sat/bsat" /I "src/sat/csat" /I "src/sat/msat" /I "src/sat/fraig" /I "src/aig/ivy" /I "src/aig/hop" /I "src/aig/rwt" /I "src/aig/deco" /I "src/aig/mem" /I "src/aig/dar" /I "src/aig/fra" /I "src/aig/cnf" /I "src/aig/csw" /I "src/aig/ioa" /I "src/aig/aig" /I "src/aig/kit" /I "src/aig/bdc" /I "src/aig/bar" /D "WIN32" /D "_DEBUG" /D "_MBCS" /D "_LIB" /D "__STDC__" /D "HAVE_ASSERT_H" /FR"abclib\DebugLib/" /Fp"abclib\DebugLib/abclib.pch" /YX /Fo"abclib\DebugLib/" /Fd"abclib\DebugLib/" /FD /GZ /c
+"C:\_projects\abc\src\base\abc\abcAig.c"
+"C:\_projects\abc\src\base\abc\abcCheck.c"
+"C:\_projects\abc\src\base\abc\abcDfs.c"
+"C:\_projects\abc\src\base\abc\abcFanio.c"
+"C:\_projects\abc\src\base\abc\abcFunc.c"
+"C:\_projects\abc\src\base\abc\abcLatch.c"
+"C:\_projects\abc\src\base\abc\abcLib.c"
+"C:\_projects\abc\src\base\abc\abcMinBase.c"
+"C:\_projects\abc\src\base\abc\abcNames.c"
+"C:\_projects\abc\src\base\abc\abcNetlist.c"
+"C:\_projects\abc\src\base\abc\abcNtk.c"
+"C:\_projects\abc\src\base\abc\abcObj.c"
+"C:\_projects\abc\src\base\abc\abcRefs.c"
+"C:\_projects\abc\src\base\abc\abcShow.c"
+"C:\_projects\abc\src\base\abc\abcSop.c"
+"C:\_projects\abc\src\base\abc\abcUtil.c"
+"C:\_projects\abc\src\base\abci\abc.c"
+"C:\_projects\abc\src\base\abci\abcAttach.c"
+"C:\_projects\abc\src\base\abci\abcAuto.c"
+"C:\_projects\abc\src\base\abci\abcBalance.c"
+"C:\_projects\abc\src\base\abci\abcBmc.c"
+"C:\_projects\abc\src\base\abci\abcClpBdd.c"
+"C:\_projects\abc\src\base\abci\abcClpSop.c"
+"C:\_projects\abc\src\base\abci\abcCut.c"
+"C:\_projects\abc\src\base\abci\abcDebug.c"
+"C:\_projects\abc\src\base\abci\abcDress.c"
+"C:\_projects\abc\src\base\abci\abcDsd.c"
+"C:\_projects\abc\src\base\abci\abcEspresso.c"
+"C:\_projects\abc\src\base\abci\abcExtract.c"
+"C:\_projects\abc\src\base\abci\abcFpga.c"
+"C:\_projects\abc\src\base\abci\abcFpgaFast.c"
+"C:\_projects\abc\src\base\abci\abcFraig.c"
+"C:\_projects\abc\src\base\abci\abcFxu.c"
+"C:\_projects\abc\src\base\abci\abcGen.c"
+"C:\_projects\abc\src\base\abci\abcIf.c"
+"C:\_projects\abc\src\base\abci\abcIvy.c"
+"C:\_projects\abc\src\base\abci\abcLut.c"
+"C:\_projects\abc\src\base\abci\abcMap.c"
+"C:\_projects\abc\src\base\abci\abcMini.c"
+"C:\_projects\abc\src\base\abci\abcMiter.c"
+"C:\_projects\abc\src\base\abci\abcMulti.c"
+"C:\_projects\abc\src\base\abci\abcMv.c"
+"C:\_projects\abc\src\base\abci\abcNtbdd.c"
+"C:\_projects\abc\src\base\abci\abcOrder.c"
+"C:\_projects\abc\src\base\abci\abcPrint.c"
+"C:\_projects\abc\src\base\abci\abcProve.c"
+"C:\_projects\abc\src\base\abci\abcReconv.c"
+"C:\_projects\abc\src\base\abci\abcRefactor.c"
+"C:\_projects\abc\src\base\abci\abcRenode.c"
+"C:\_projects\abc\src\base\abci\abcReorder.c"
+"C:\_projects\abc\src\base\abci\abcRestruct.c"
+"C:\_projects\abc\src\base\abci\abcResub.c"
+"C:\_projects\abc\src\base\abci\abcRewrite.c"
+"C:\_projects\abc\src\base\abci\abcRr.c"
+"C:\_projects\abc\src\base\abci\abcSat.c"
+"C:\_projects\abc\src\base\abci\abcStrash.c"
+"C:\_projects\abc\src\base\abci\abcSweep.c"
+"C:\_projects\abc\src\base\abci\abcSymm.c"
+"C:\_projects\abc\src\base\abci\abcTiming.c"
+"C:\_projects\abc\src\base\abci\abcUnate.c"
+"C:\_projects\abc\src\base\abci\abcUnreach.c"
+"C:\_projects\abc\src\base\abci\abcVerify.c"
+"C:\_projects\abc\src\base\abci\abcXsim.c"
+"C:\_projects\abc\src\base\cmd\cmd.c"
+"C:\_projects\abc\src\base\cmd\cmdAlias.c"
+"C:\_projects\abc\src\base\cmd\cmdApi.c"
+"C:\_projects\abc\src\base\cmd\cmdFlag.c"
+"C:\_projects\abc\src\base\cmd\cmdHist.c"
+"C:\_projects\abc\src\base\cmd\cmdUtils.c"
+"C:\_projects\abc\src\base\io\io.c"
+"C:\_projects\abc\src\base\io\ioReadAiger.c"
+"C:\_projects\abc\src\base\io\ioReadBaf.c"
+"C:\_projects\abc\src\base\io\ioReadBench.c"
+"C:\_projects\abc\src\base\io\ioReadBlif.c"
+"C:\_projects\abc\src\base\io\ioReadBlifAig.c"
+"C:\_projects\abc\src\base\io\ioReadEdif.c"
+"C:\_projects\abc\src\base\io\ioReadEqn.c"
+"C:\_projects\abc\src\base\io\ioReadPla.c"
+"C:\_projects\abc\src\base\io\ioUtil.c"
+"C:\_projects\abc\src\base\io\ioWriteAiger.c"
+"C:\_projects\abc\src\base\io\ioWriteBaf.c"
+"C:\_projects\abc\src\base\io\ioWriteBench.c"
+"C:\_projects\abc\src\base\io\ioWriteBlif.c"
+"C:\_projects\abc\src\base\io\ioWriteCnf.c"
+"C:\_projects\abc\src\base\io\ioWriteDot.c"
+"C:\_projects\abc\src\base\io\ioWriteEqn.c"
+"C:\_projects\abc\src\base\io\ioWriteGml.c"
+"C:\_projects\abc\src\base\io\ioWriteList.c"
+"C:\_projects\abc\src\base\io\ioWritePla.c"
+"C:\_projects\abc\src\base\main\libSupport.c"
+"C:\_projects\abc\src\base\main\main.c"
+"C:\_projects\abc\src\base\main\mainFrame.c"
+"C:\_projects\abc\src\base\main\mainInit.c"
+"C:\_projects\abc\src\base\main\mainUtils.c"
+"C:\_projects\abc\src\base\ver\verCore.c"
+"C:\_projects\abc\src\base\ver\verFormula.c"
+"C:\_projects\abc\src\base\ver\verParse.c"
+"C:\_projects\abc\src\base\ver\verStream.c"
+"C:\_projects\abc\src\bdd\cudd\cuddAddAbs.c"
+"C:\_projects\abc\src\bdd\cudd\cuddAddApply.c"
+"C:\_projects\abc\src\bdd\cudd\cuddAddFind.c"
+"C:\_projects\abc\src\bdd\cudd\cuddAddInv.c"
+"C:\_projects\abc\src\bdd\cudd\cuddAddIte.c"
+"C:\_projects\abc\src\bdd\cudd\cuddAddNeg.c"
+"C:\_projects\abc\src\bdd\cudd\cuddAddWalsh.c"
+"C:\_projects\abc\src\bdd\cudd\cuddAndAbs.c"
+"C:\_projects\abc\src\bdd\cudd\cuddAnneal.c"
+"C:\_projects\abc\src\bdd\cudd\cuddApa.c"
+"C:\_projects\abc\src\bdd\cudd\cuddAPI.c"
+"C:\_projects\abc\src\bdd\cudd\cuddApprox.c"
+"C:\_projects\abc\src\bdd\cudd\cuddBddAbs.c"
+"C:\_projects\abc\src\bdd\cudd\cuddBddCorr.c"
+"C:\_projects\abc\src\bdd\cudd\cuddBddIte.c"
+"C:\_projects\abc\src\bdd\cudd\cuddBridge.c"
+"C:\_projects\abc\src\bdd\cudd\cuddCache.c"
+"C:\_projects\abc\src\bdd\cudd\cuddCheck.c"
+"C:\_projects\abc\src\bdd\cudd\cuddClip.c"
+"C:\_projects\abc\src\bdd\cudd\cuddCof.c"
+"C:\_projects\abc\src\bdd\cudd\cuddCompose.c"
+"C:\_projects\abc\src\bdd\cudd\cuddDecomp.c"
+"C:\_projects\abc\src\bdd\cudd\cuddEssent.c"
+"C:\_projects\abc\src\bdd\cudd\cuddExact.c"
+"C:\_projects\abc\src\bdd\cudd\cuddExport.c"
+"C:\_projects\abc\src\bdd\cudd\cuddGenCof.c"
+"C:\_projects\abc\src\bdd\cudd\cuddGenetic.c"
+"C:\_projects\abc\src\bdd\cudd\cuddGroup.c"
+"C:\_projects\abc\src\bdd\cudd\cuddHarwell.c"
+"C:\_projects\abc\src\bdd\cudd\cuddInit.c"
+"C:\_projects\abc\src\bdd\cudd\cuddInteract.c"
+"C:\_projects\abc\src\bdd\cudd\cuddLCache.c"
+"C:\_projects\abc\src\bdd\cudd\cuddLevelQ.c"
+"C:\_projects\abc\src\bdd\cudd\cuddLinear.c"
+"C:\_projects\abc\src\bdd\cudd\cuddLiteral.c"
+"C:\_projects\abc\src\bdd\cudd\cuddMatMult.c"
+"C:\_projects\abc\src\bdd\cudd\cuddPriority.c"
+"C:\_projects\abc\src\bdd\cudd\cuddRead.c"
+"C:\_projects\abc\src\bdd\cudd\cuddRef.c"
+"C:\_projects\abc\src\bdd\cudd\cuddReorder.c"
+"C:\_projects\abc\src\bdd\cudd\cuddSat.c"
+"C:\_projects\abc\src\bdd\cudd\cuddSign.c"
+"C:\_projects\abc\src\bdd\cudd\cuddSolve.c"
+"C:\_projects\abc\src\bdd\cudd\cuddSplit.c"
+"C:\_projects\abc\src\bdd\cudd\cuddSubsetHB.c"
+"C:\_projects\abc\src\bdd\cudd\cuddSubsetSP.c"
+"C:\_projects\abc\src\bdd\cudd\cuddSymmetry.c"
+"C:\_projects\abc\src\bdd\cudd\cuddTable.c"
+"C:\_projects\abc\src\bdd\cudd\cuddUtil.c"
+"C:\_projects\abc\src\bdd\cudd\cuddWindow.c"
+"C:\_projects\abc\src\bdd\cudd\cuddZddCount.c"
+"C:\_projects\abc\src\bdd\cudd\cuddZddFuncs.c"
+"C:\_projects\abc\src\bdd\cudd\cuddZddGroup.c"
+"C:\_projects\abc\src\bdd\cudd\cuddZddIsop.c"
+"C:\_projects\abc\src\bdd\cudd\cuddZddLin.c"
+"C:\_projects\abc\src\bdd\cudd\cuddZddMisc.c"
+"C:\_projects\abc\src\bdd\cudd\cuddZddPort.c"
+"C:\_projects\abc\src\bdd\cudd\cuddZddReord.c"
+"C:\_projects\abc\src\bdd\cudd\cuddZddSetop.c"
+"C:\_projects\abc\src\bdd\cudd\cuddZddSymm.c"
+"C:\_projects\abc\src\bdd\cudd\cuddZddUtil.c"
+"C:\_projects\abc\src\bdd\epd\epd.c"
+"C:\_projects\abc\src\bdd\mtr\mtrBasic.c"
+"C:\_projects\abc\src\bdd\mtr\mtrGroup.c"
+"C:\_projects\abc\src\bdd\parse\parseCore.c"
+"C:\_projects\abc\src\bdd\parse\parseEqn.c"
+"C:\_projects\abc\src\bdd\parse\parseStack.c"
+"C:\_projects\abc\src\bdd\dsd\dsdApi.c"
+"C:\_projects\abc\src\bdd\dsd\dsdCheck.c"
+"C:\_projects\abc\src\bdd\dsd\dsdLocal.c"
+"C:\_projects\abc\src\bdd\dsd\dsdMan.c"
+"C:\_projects\abc\src\bdd\dsd\dsdProc.c"
+"C:\_projects\abc\src\bdd\dsd\dsdTree.c"
+"C:\_projects\abc\src\bdd\reo\reoApi.c"
+"C:\_projects\abc\src\bdd\reo\reoCore.c"
+"C:\_projects\abc\src\bdd\reo\reoProfile.c"
+"C:\_projects\abc\src\bdd\reo\reoSift.c"
+"C:\_projects\abc\src\bdd\reo\reoSwap.c"
+"C:\_projects\abc\src\bdd\reo\reoTest.c"
+"C:\_projects\abc\src\bdd\reo\reoTransfer.c"
+"C:\_projects\abc\src\bdd\reo\reoUnits.c"
+"C:\_projects\abc\src\sat\msat\msatActivity.c"
+"C:\_projects\abc\src\sat\msat\msatClause.c"
+"C:\_projects\abc\src\sat\msat\msatClauseVec.c"
+"C:\_projects\abc\src\sat\msat\msatMem.c"
+"C:\_projects\abc\src\sat\msat\msatOrderH.c"
+"C:\_projects\abc\src\sat\msat\msatQueue.c"
+"C:\_projects\abc\src\sat\msat\msatRead.c"
+"C:\_projects\abc\src\sat\msat\msatSolverApi.c"
+"C:\_projects\abc\src\sat\msat\msatSolverCore.c"
+"C:\_projects\abc\src\sat\msat\msatSolverIo.c"
+"C:\_projects\abc\src\sat\msat\msatSolverSearch.c"
+"C:\_projects\abc\src\sat\msat\msatSort.c"
+"C:\_projects\abc\src\sat\msat\msatVec.c"
+"C:\_projects\abc\src\sat\fraig\fraigApi.c"
+"C:\_projects\abc\src\sat\fraig\fraigCanon.c"
+"C:\_projects\abc\src\sat\fraig\fraigChoice.c"
+"C:\_projects\abc\src\sat\fraig\fraigFanout.c"
+"C:\_projects\abc\src\sat\fraig\fraigFeed.c"
+"C:\_projects\abc\src\sat\fraig\fraigMan.c"
+"C:\_projects\abc\src\sat\fraig\fraigMem.c"
+"C:\_projects\abc\src\sat\fraig\fraigNode.c"
+"C:\_projects\abc\src\sat\fraig\fraigPrime.c"
+"C:\_projects\abc\src\sat\fraig\fraigSat.c"
+"C:\_projects\abc\src\sat\fraig\fraigTable.c"
+"C:\_projects\abc\src\sat\fraig\fraigUtil.c"
+"C:\_projects\abc\src\sat\fraig\fraigVec.c"
+"C:\_projects\abc\src\sat\csat\csat_apis.c"
+"C:\_projects\abc\src\sat\bsat\satMem.c"
+"C:\_projects\abc\src\sat\bsat\satSolver.c"
+"C:\_projects\abc\src\sat\bsat\satUtil.c"
+"C:\_projects\abc\src\opt\fxu\fxu.c"
+"C:\_projects\abc\src\opt\fxu\fxuCreate.c"
+"C:\_projects\abc\src\opt\fxu\fxuHeapD.c"
+"C:\_projects\abc\src\opt\fxu\fxuHeapS.c"
+"C:\_projects\abc\src\opt\fxu\fxuList.c"
+"C:\_projects\abc\src\opt\fxu\fxuMatrix.c"
+"C:\_projects\abc\src\opt\fxu\fxuPair.c"
+"C:\_projects\abc\src\opt\fxu\fxuPrint.c"
+"C:\_projects\abc\src\opt\fxu\fxuReduce.c"
+"C:\_projects\abc\src\opt\fxu\fxuSelect.c"
+"C:\_projects\abc\src\opt\fxu\fxuSingle.c"
+"C:\_projects\abc\src\opt\fxu\fxuUpdate.c"
+"C:\_projects\abc\src\opt\rwr\rwrDec.c"
+"C:\_projects\abc\src\opt\rwr\rwrEva.c"
+"C:\_projects\abc\src\opt\rwr\rwrExp.c"
+"C:\_projects\abc\src\opt\rwr\rwrLib.c"
+"C:\_projects\abc\src\opt\rwr\rwrMan.c"
+"C:\_projects\abc\src\opt\rwr\rwrPrint.c"
+"C:\_projects\abc\src\opt\rwr\rwrTemp.c"
+"C:\_projects\abc\src\opt\rwr\rwrUtil.c"
+"C:\_projects\abc\src\opt\cut\cutApi.c"
+"C:\_projects\abc\src\opt\cut\cutCut.c"
+"C:\_projects\abc\src\opt\cut\cutExpand.c"
+"C:\_projects\abc\src\opt\cut\cutMan.c"
+"C:\_projects\abc\src\opt\cut\cutMerge.c"
+"C:\_projects\abc\src\opt\cut\cutNode.c"
+"C:\_projects\abc\src\opt\cut\cutOracle.c"
+"C:\_projects\abc\src\opt\cut\cutPre22.c"
+"C:\_projects\abc\src\opt\cut\cutSeq.c"
+"C:\_projects\abc\src\opt\cut\cutTruth.c"
+"C:\_projects\abc\src\opt\dec\decAbc.c"
+"C:\_projects\abc\src\opt\dec\decFactor.c"
+"C:\_projects\abc\src\opt\dec\decMan.c"
+"C:\_projects\abc\src\opt\dec\decPrint.c"
+"C:\_projects\abc\src\opt\dec\decUtil.c"
+"C:\_projects\abc\src\opt\sim\simMan.c"
+"C:\_projects\abc\src\opt\sim\simSat.c"
+"C:\_projects\abc\src\opt\sim\simSeq.c"
+"C:\_projects\abc\src\opt\sim\simSupp.c"
+"C:\_projects\abc\src\opt\sim\simSwitch.c"
+"C:\_projects\abc\src\opt\sim\simSym.c"
+"C:\_projects\abc\src\opt\sim\simSymSat.c"
+"C:\_projects\abc\src\opt\sim\simSymSim.c"
+"C:\_projects\abc\src\opt\sim\simSymStr.c"
+"C:\_projects\abc\src\opt\sim\simUtils.c"
+"C:\_projects\abc\src\opt\ret\retArea.c"
+"C:\_projects\abc\src\opt\ret\retCore.c"
+"C:\_projects\abc\src\opt\ret\retDelay.c"
+"C:\_projects\abc\src\opt\ret\retFlow.c"
+"C:\_projects\abc\src\opt\ret\retIncrem.c"
+"C:\_projects\abc\src\opt\ret\retInit.c"
+"C:\_projects\abc\src\opt\ret\retLvalue.c"
+"C:\_projects\abc\src\map\fpga\fpga.c"
+"C:\_projects\abc\src\map\fpga\fpgaCore.c"
+"C:\_projects\abc\src\map\fpga\fpgaCreate.c"
+"C:\_projects\abc\src\map\fpga\fpgaCut.c"
+"C:\_projects\abc\src\map\fpga\fpgaCutUtils.c"
+"C:\_projects\abc\src\map\fpga\fpgaFanout.c"
+"C:\_projects\abc\src\map\fpga\fpgaLib.c"
+"C:\_projects\abc\src\map\fpga\fpgaMatch.c"
+"C:\_projects\abc\src\map\fpga\fpgaSwitch.c"
+"C:\_projects\abc\src\map\fpga\fpgaTime.c"
+"C:\_projects\abc\src\map\fpga\fpgaTruth.c"
+"C:\_projects\abc\src\map\fpga\fpgaUtils.c"
+"C:\_projects\abc\src\map\fpga\fpgaVec.c"
+"C:\_projects\abc\src\map\mapper\mapper.c"
+"C:\_projects\abc\src\map\mapper\mapperCanon.c"
+"C:\_projects\abc\src\map\mapper\mapperCore.c"
+"C:\_projects\abc\src\map\mapper\mapperCreate.c"
+"C:\_projects\abc\src\map\mapper\mapperCut.c"
+"C:\_projects\abc\src\map\mapper\mapperCutUtils.c"
+"C:\_projects\abc\src\map\mapper\mapperFanout.c"
+"C:\_projects\abc\src\map\mapper\mapperLib.c"
+"C:\_projects\abc\src\map\mapper\mapperMatch.c"
+"C:\_projects\abc\src\map\mapper\mapperRefs.c"
+"C:\_projects\abc\src\map\mapper\mapperSuper.c"
+"C:\_projects\abc\src\map\mapper\mapperSwitch.c"
+"C:\_projects\abc\src\map\mapper\mapperTable.c"
+"C:\_projects\abc\src\map\mapper\mapperTime.c"
+"C:\_projects\abc\src\map\mapper\mapperTree.c"
+"C:\_projects\abc\src\map\mapper\mapperTruth.c"
+"C:\_projects\abc\src\map\mapper\mapperUtils.c"
+"C:\_projects\abc\src\map\mapper\mapperVec.c"
+"C:\_projects\abc\src\map\mio\mio.c"
+"C:\_projects\abc\src\map\mio\mioApi.c"
+"C:\_projects\abc\src\map\mio\mioFunc.c"
+"C:\_projects\abc\src\map\mio\mioRead.c"
+"C:\_projects\abc\src\map\mio\mioUtils.c"
+"C:\_projects\abc\src\map\super\super.c"
+"C:\_projects\abc\src\map\super\superAnd.c"
+"C:\_projects\abc\src\map\super\superGate.c"
+"C:\_projects\abc\src\map\super\superWrite.c"
+"C:\_projects\abc\src\map\if\ifCore.c"
+"C:\_projects\abc\src\map\if\ifCut.c"
+"C:\_projects\abc\src\map\if\ifMan.c"
+"C:\_projects\abc\src\map\if\ifMap.c"
+"C:\_projects\abc\src\map\if\ifReduce.c"
+"C:\_projects\abc\src\map\if\ifSeq.c"
+"C:\_projects\abc\src\map\if\ifTime.c"
+"C:\_projects\abc\src\map\if\ifTruth.c"
+"C:\_projects\abc\src\map\if\ifUtil.c"
+"C:\_projects\abc\src\misc\extra\extraBddAuto.c"
+"C:\_projects\abc\src\misc\extra\extraBddKmap.c"
+"C:\_projects\abc\src\misc\extra\extraBddMisc.c"
+"C:\_projects\abc\src\misc\extra\extraBddSymm.c"
+"C:\_projects\abc\src\misc\extra\extraBddUnate.c"
+"C:\_projects\abc\src\misc\extra\extraUtilBitMatrix.c"
+"C:\_projects\abc\src\misc\extra\extraUtilCanon.c"
+"C:\_projects\abc\src\misc\extra\extraUtilFile.c"
+"C:\_projects\abc\src\misc\extra\extraUtilMemory.c"
+"C:\_projects\abc\src\misc\extra\extraUtilMisc.c"
+"C:\_projects\abc\src\misc\extra\extraUtilProgress.c"
+"C:\_projects\abc\src\misc\extra\extraUtilReader.c"
+"C:\_projects\abc\src\misc\extra\extraUtilTruth.c"
+"C:\_projects\abc\src\misc\extra\extraUtilUtil.c"
+"C:\_projects\abc\src\misc\st\st.c"
+"C:\_projects\abc\src\misc\st\stmm.c"
+"C:\_projects\abc\src\misc\mvc\mvc.c"
+"C:\_projects\abc\src\misc\mvc\mvcApi.c"
+"C:\_projects\abc\src\misc\mvc\mvcCompare.c"
+"C:\_projects\abc\src\misc\mvc\mvcContain.c"
+"C:\_projects\abc\src\misc\mvc\mvcCover.c"
+"C:\_projects\abc\src\misc\mvc\mvcCube.c"
+"C:\_projects\abc\src\misc\mvc\mvcDivide.c"
+"C:\_projects\abc\src\misc\mvc\mvcDivisor.c"
+"C:\_projects\abc\src\misc\mvc\mvcList.c"
+"C:\_projects\abc\src\misc\mvc\mvcLits.c"
+"C:\_projects\abc\src\misc\mvc\mvcMan.c"
+"C:\_projects\abc\src\misc\mvc\mvcOpAlg.c"
+"C:\_projects\abc\src\misc\mvc\mvcOpBool.c"
+"C:\_projects\abc\src\misc\mvc\mvcPrint.c"
+"C:\_projects\abc\src\misc\mvc\mvcSort.c"
+"C:\_projects\abc\src\misc\mvc\mvcUtils.c"
+"C:\_projects\abc\src\misc\espresso\cofactor.c"
+"C:\_projects\abc\src\misc\espresso\cols.c"
+"C:\_projects\abc\src\misc\espresso\compl.c"
+"C:\_projects\abc\src\misc\espresso\contain.c"
+"C:\_projects\abc\src\misc\espresso\cubehack.c"
+"C:\_projects\abc\src\misc\espresso\cubestr.c"
+"C:\_projects\abc\src\misc\espresso\cvrin.c"
+"C:\_projects\abc\src\misc\espresso\cvrm.c"
+"C:\_projects\abc\src\misc\espresso\cvrmisc.c"
+"C:\_projects\abc\src\misc\espresso\cvrout.c"
+"C:\_projects\abc\src\misc\espresso\dominate.c"
+"C:\_projects\abc\src\misc\espresso\equiv.c"
+"C:\_projects\abc\src\misc\espresso\espresso.c"
+"C:\_projects\abc\src\misc\espresso\essen.c"
+"C:\_projects\abc\src\misc\espresso\exact.c"
+"C:\_projects\abc\src\misc\espresso\expand.c"
+"C:\_projects\abc\src\misc\espresso\gasp.c"
+"C:\_projects\abc\src\misc\espresso\gimpel.c"
+"C:\_projects\abc\src\misc\espresso\globals.c"
+"C:\_projects\abc\src\misc\espresso\hack.c"
+"C:\_projects\abc\src\misc\espresso\indep.c"
+"C:\_projects\abc\src\misc\espresso\irred.c"
+"C:\_projects\abc\src\misc\espresso\map.c"
+"C:\_projects\abc\src\misc\espresso\matrix.c"
+"C:\_projects\abc\src\misc\espresso\mincov.c"
+"C:\_projects\abc\src\misc\espresso\opo.c"
+"C:\_projects\abc\src\misc\espresso\pair.c"
+"C:\_projects\abc\src\misc\espresso\part.c"
+"C:\_projects\abc\src\misc\espresso\primes.c"
+"C:\_projects\abc\src\misc\espresso\reduce.c"
+"C:\_projects\abc\src\misc\espresso\rows.c"
+"C:\_projects\abc\src\misc\espresso\set.c"
+"C:\_projects\abc\src\misc\espresso\setc.c"
+"C:\_projects\abc\src\misc\espresso\sharp.c"
+"C:\_projects\abc\src\misc\espresso\sminterf.c"
+"C:\_projects\abc\src\misc\espresso\solution.c"
+"C:\_projects\abc\src\misc\espresso\sparse.c"
+"C:\_projects\abc\src\misc\espresso\unate.c"
+"C:\_projects\abc\src\misc\espresso\verify.c"
+"C:\_projects\abc\src\misc\nm\nmApi.c"
+"C:\_projects\abc\src\misc\nm\nmTable.c"
+"C:\_projects\abc\src\aig\hop\hopBalance.c"
+"C:\_projects\abc\src\aig\hop\hopCheck.c"
+"C:\_projects\abc\src\aig\hop\hopDfs.c"
+"C:\_projects\abc\src\aig\hop\hopMan.c"
+"C:\_projects\abc\src\aig\hop\hopMem.c"
+"C:\_projects\abc\src\aig\hop\hopObj.c"
+"C:\_projects\abc\src\aig\hop\hopOper.c"
+"C:\_projects\abc\src\aig\hop\hopTable.c"
+"C:\_projects\abc\src\aig\hop\hopUtil.c"
+"C:\_projects\abc\src\aig\ivy\ivyBalance.c"
+"C:\_projects\abc\src\aig\ivy\ivyCanon.c"
+"C:\_projects\abc\src\aig\ivy\ivyCheck.c"
+"C:\_projects\abc\src\aig\ivy\ivyCut.c"
+"C:\_projects\abc\src\aig\ivy\ivyCutTrav.c"
+"C:\_projects\abc\src\aig\ivy\ivyDfs.c"
+"C:\_projects\abc\src\aig\ivy\ivyDsd.c"
+"C:\_projects\abc\src\aig\ivy\ivyFanout.c"
+"C:\_projects\abc\src\aig\ivy\ivyFastMap.c"
+"C:\_projects\abc\src\aig\ivy\ivyFraig.c"
+"C:\_projects\abc\src\aig\ivy\ivyHaig.c"
+"C:\_projects\abc\src\aig\ivy\ivyMan.c"
+"C:\_projects\abc\src\aig\ivy\ivyMem.c"
+"C:\_projects\abc\src\aig\ivy\ivyMulti.c"
+"C:\_projects\abc\src\aig\ivy\ivyObj.c"
+"C:\_projects\abc\src\aig\ivy\ivyOper.c"
+"C:\_projects\abc\src\aig\ivy\ivyResyn.c"
+"C:\_projects\abc\src\aig\ivy\ivyRwr.c"
+"C:\_projects\abc\src\aig\ivy\ivySeq.c"
+"C:\_projects\abc\src\aig\ivy\ivyShow.c"
+"C:\_projects\abc\src\aig\ivy\ivyTable.c"
+"C:\_projects\abc\src\aig\ivy\ivyUtil.c"
+"C:\_projects\abc\src\aig\rwt\rwtDec.c"
+"C:\_projects\abc\src\aig\rwt\rwtMan.c"
+"C:\_projects\abc\src\aig\rwt\rwtUtil.c"
+"C:\_projects\abc\src\aig\mem\mem.c"
+"C:\_projects\abc\src\base\abc\abcHie.c"
+"C:\_projects\abc\src\base\abc\abcBlifMv.c"
+"C:\_projects\abc\src\base\abci\abcCas.c"
+"C:\_projects\abc\src\base\abci\abcDar.c"
+"C:\_projects\abc\src\base\abci\abcHaig.c"
+"C:\_projects\abc\src\base\abci\abcMeasure.c"
+"C:\_projects\abc\src\base\abci\abcOdc.c"
+"C:\_projects\abc\src\base\abci\abcPart.c"
+"C:\_projects\abc\src\base\abci\abcRec.c"
+"C:\_projects\abc\src\base\abci\abcQbf.c"
+"C:\_projects\abc\src\base\abci\abcQuant.c"
+"C:\_projects\abc\src\base\io\ioReadDsd.c"
+"C:\_projects\abc\src\base\io\ioReadBlifMv.c"
+"C:\_projects\abc\src\base\io\ioReadVerilog.c"
+"C:\_projects\abc\src\base\io\ioWriteVerilog.c"
+"C:\_projects\abc\src\base\io\ioWriteBlifMv.c"
+"C:\_projects\abc\src\bdd\cas\casDec.c"
+"C:\_projects\abc\src\bdd\cas\casCore.c"
+"C:\_projects\abc\src\sat\proof\pr.c"
+"C:\_projects\abc\src\sat\bsat\satTrace.c"
+"C:\_projects\abc\src\sat\bsat\satInter.c"
+"C:\_projects\abc\src\sat\bsat\satStore.c"
+"C:\_projects\abc\src\misc\extra\extraBddCas.c"
+"C:\_projects\abc\src\aig\ioa\ioaWriteAig.c"
+"C:\_projects\abc\src\aig\ioa\ioaReadAig.c"
+"C:\_projects\abc\src\aig\ioa\ioaUtil.c"
+"C:\_projects\abc\src\aig\dar\darBalance.c"
+"C:\_projects\abc\src\aig\dar\darCore.c"
+"C:\_projects\abc\src\aig\dar\darCut.c"
+"C:\_projects\abc\src\aig\dar\darData.c"
+"C:\_projects\abc\src\aig\dar\darLib.c"
+"C:\_projects\abc\src\aig\dar\darMan.c"
+"C:\_projects\abc\src\aig\dar\darPrec.c"
+"C:\_projects\abc\src\aig\dar\darRefact.c"
+"C:\_projects\abc\src\aig\dar\darResub.c"
+"C:\_projects\abc\src\aig\dar\darScript.c"
+"C:\_projects\abc\src\aig\fra\fraBmc.c"
+"C:\_projects\abc\src\aig\fra\fraCec.c"
+"C:\_projects\abc\src\aig\fra\fraClass.c"
+"C:\_projects\abc\src\aig\fra\fraCnf.c"
+"C:\_projects\abc\src\aig\fra\fraCore.c"
+"C:\_projects\abc\src\aig\fra\fraImp.c"
+"C:\_projects\abc\src\aig\fra\fraInd.c"
+"C:\_projects\abc\src\aig\fra\fraLcr.c"
+"C:\_projects\abc\src\aig\fra\fraMan.c"
+"C:\_projects\abc\src\aig\fra\fraPart.c"
+"C:\_projects\abc\src\aig\fra\fraSat.c"
+"C:\_projects\abc\src\aig\fra\fraSec.c"
+"C:\_projects\abc\src\aig\fra\fraSim.c"
+"C:\_projects\abc\src\aig\cnf\cnfCore.c"
+"C:\_projects\abc\src\aig\cnf\cnfCut.c"
+"C:\_projects\abc\src\aig\cnf\cnfData.c"
+"C:\_projects\abc\src\aig\cnf\cnfMan.c"
+"C:\_projects\abc\src\aig\cnf\cnfMap.c"
+"C:\_projects\abc\src\aig\cnf\cnfPost.c"
+"C:\_projects\abc\src\aig\cnf\cnfUtil.c"
+"C:\_projects\abc\src\aig\cnf\cnfWrite.c"
+"C:\_projects\abc\src\aig\csw\cswCore.c"
+"C:\_projects\abc\src\aig\csw\cswCut.c"
+"C:\_projects\abc\src\aig\csw\cswMan.c"
+"C:\_projects\abc\src\aig\csw\cswTable.c"
+"C:\_projects\abc\src\aig\kit\cloud.c"
+"C:\_projects\abc\src\aig\kit\kitAig.c"
+"C:\_projects\abc\src\aig\kit\kitBdd.c"
+"C:\_projects\abc\src\aig\kit\kitCloud.c"
+"C:\_projects\abc\src\aig\kit\kitDsd.c"
+"C:\_projects\abc\src\aig\kit\kitFactor.c"
+"C:\_projects\abc\src\aig\kit\kitGraph.c"
+"C:\_projects\abc\src\aig\kit\kitHop.c"
+"C:\_projects\abc\src\aig\kit\kitIsop.c"
+"C:\_projects\abc\src\aig\kit\kitSop.c"
+"C:\_projects\abc\src\aig\kit\kitTruth.c"
+"C:\_projects\abc\src\aig\bdc\bdcCore.c"
+"C:\_projects\abc\src\aig\bdc\bdcDec.c"
+"C:\_projects\abc\src\aig\bdc\bdcTable.c"
+"C:\_projects\abc\src\aig\aig\aigCheck.c"
+"C:\_projects\abc\src\aig\aig\aigDfs.c"
+"C:\_projects\abc\src\aig\aig\aigFanout.c"
+"C:\_projects\abc\src\aig\aig\aigMan.c"
+"C:\_projects\abc\src\aig\aig\aigMem.c"
+"C:\_projects\abc\src\aig\aig\aigMffc.c"
+"C:\_projects\abc\src\aig\aig\aigObj.c"
+"C:\_projects\abc\src\aig\aig\aigOper.c"
+"C:\_projects\abc\src\aig\aig\aigOrder.c"
+"C:\_projects\abc\src\aig\aig\aigPart.c"
+"C:\_projects\abc\src\aig\aig\aigRepr.c"
+"C:\_projects\abc\src\aig\aig\aigRet.c"
+"C:\_projects\abc\src\aig\aig\aigScl.c"
+"C:\_projects\abc\src\aig\aig\aigSeq.c"
+"C:\_projects\abc\src\aig\aig\aigShow.c"
+"C:\_projects\abc\src\aig\aig\aigTable.c"
+"C:\_projects\abc\src\aig\aig\aigTime.c"
+"C:\_projects\abc\src\aig\aig\aigTiming.c"
+"C:\_projects\abc\src\aig\aig\aigTruth.c"
+"C:\_projects\abc\src\aig\aig\aigTsim.c"
+"C:\_projects\abc\src\aig\aig\aigUtil.c"
+"C:\_projects\abc\src\aig\aig\aigWin.c"
+"C:\_projects\abc\src\aig\bar\bar.c"
+"C:\_projects\abc\src\opt\res\resCore.c"
+"C:\_projects\abc\src\opt\res\resDivs.c"
+"C:\_projects\abc\src\opt\res\resFilter.c"
+"C:\_projects\abc\src\opt\res\resSat.c"
+"C:\_projects\abc\src\opt\res\resSim.c"
+"C:\_projects\abc\src\opt\res\resStrash.c"
+"C:\_projects\abc\src\opt\res\resWin.c"
+"C:\_projects\abc\src\opt\lpk\lpkAbcDec.c"
+"C:\_projects\abc\src\opt\lpk\lpkAbcDsd.c"
+"C:\_projects\abc\src\opt\lpk\lpkAbcMux.c"
+"C:\_projects\abc\src\opt\lpk\lpkAbcUtil.c"
+"C:\_projects\abc\src\opt\lpk\lpkCore.c"
+"C:\_projects\abc\src\opt\lpk\lpkCut.c"
+"C:\_projects\abc\src\opt\lpk\lpkMan.c"
+"C:\_projects\abc\src\opt\lpk\lpkMap.c"
+"C:\_projects\abc\src\opt\lpk\lpkMulti.c"
+"C:\_projects\abc\src\opt\lpk\lpkMux.c"
+"C:\_projects\abc\src\opt\lpk\lpkSets.c"
+]
+Creating command line "cl.exe @C:\DOCUME~1\alanmi\LOCALS~1\Temp\RSP20AB.tmp"
+Creating temporary file "C:\DOCUME~1\alanmi\LOCALS~1\Temp\RSP20AC.tmp" with contents
+[
+/nologo /out:"abclib\abclib_debug.lib"
+.\abclib\DebugLib\abcAig.obj
+.\abclib\DebugLib\abcCheck.obj
+.\abclib\DebugLib\abcDfs.obj
+.\abclib\DebugLib\abcFanio.obj
+.\abclib\DebugLib\abcFunc.obj
+.\abclib\DebugLib\abcLatch.obj
+.\abclib\DebugLib\abcLib.obj
+.\abclib\DebugLib\abcMinBase.obj
+.\abclib\DebugLib\abcNames.obj
+.\abclib\DebugLib\abcNetlist.obj
+.\abclib\DebugLib\abcNtk.obj
+.\abclib\DebugLib\abcObj.obj
+.\abclib\DebugLib\abcRefs.obj
+.\abclib\DebugLib\abcShow.obj
+.\abclib\DebugLib\abcSop.obj
+.\abclib\DebugLib\abcUtil.obj
+.\abclib\DebugLib\abc.obj
+.\abclib\DebugLib\abcAttach.obj
+.\abclib\DebugLib\abcAuto.obj
+.\abclib\DebugLib\abcBalance.obj
+.\abclib\DebugLib\abcBmc.obj
+.\abclib\DebugLib\abcClpBdd.obj
+.\abclib\DebugLib\abcClpSop.obj
+.\abclib\DebugLib\abcCut.obj
+.\abclib\DebugLib\abcDebug.obj
+.\abclib\DebugLib\abcDress.obj
+.\abclib\DebugLib\abcDsd.obj
+.\abclib\DebugLib\abcEspresso.obj
+.\abclib\DebugLib\abcExtract.obj
+.\abclib\DebugLib\abcFpga.obj
+.\abclib\DebugLib\abcFpgaFast.obj
+.\abclib\DebugLib\abcFraig.obj
+.\abclib\DebugLib\abcFxu.obj
+.\abclib\DebugLib\abcGen.obj
+.\abclib\DebugLib\abcIf.obj
+.\abclib\DebugLib\abcIvy.obj
+.\abclib\DebugLib\abcLut.obj
+.\abclib\DebugLib\abcMap.obj
+.\abclib\DebugLib\abcMini.obj
+.\abclib\DebugLib\abcMiter.obj
+.\abclib\DebugLib\abcMulti.obj
+.\abclib\DebugLib\abcMv.obj
+.\abclib\DebugLib\abcNtbdd.obj
+.\abclib\DebugLib\abcOrder.obj
+.\abclib\DebugLib\abcPrint.obj
+.\abclib\DebugLib\abcProve.obj
+.\abclib\DebugLib\abcReconv.obj
+.\abclib\DebugLib\abcRefactor.obj
+.\abclib\DebugLib\abcRenode.obj
+.\abclib\DebugLib\abcReorder.obj
+.\abclib\DebugLib\abcRestruct.obj
+.\abclib\DebugLib\abcResub.obj
+.\abclib\DebugLib\abcRewrite.obj
+.\abclib\DebugLib\abcRr.obj
+.\abclib\DebugLib\abcSat.obj
+.\abclib\DebugLib\abcStrash.obj
+.\abclib\DebugLib\abcSweep.obj
+.\abclib\DebugLib\abcSymm.obj
+.\abclib\DebugLib\abcTiming.obj
+.\abclib\DebugLib\abcUnate.obj
+.\abclib\DebugLib\abcUnreach.obj
+.\abclib\DebugLib\abcVerify.obj
+.\abclib\DebugLib\abcXsim.obj
+.\abclib\DebugLib\cmd.obj
+.\abclib\DebugLib\cmdAlias.obj
+.\abclib\DebugLib\cmdApi.obj
+.\abclib\DebugLib\cmdFlag.obj
+.\abclib\DebugLib\cmdHist.obj
+.\abclib\DebugLib\cmdUtils.obj
+.\abclib\DebugLib\io.obj
+.\abclib\DebugLib\ioReadAiger.obj
+.\abclib\DebugLib\ioReadBaf.obj
+.\abclib\DebugLib\ioReadBench.obj
+.\abclib\DebugLib\ioReadBlif.obj
+.\abclib\DebugLib\ioReadBlifAig.obj
+.\abclib\DebugLib\ioReadEdif.obj
+.\abclib\DebugLib\ioReadEqn.obj
+.\abclib\DebugLib\ioReadPla.obj
+.\abclib\DebugLib\ioUtil.obj
+.\abclib\DebugLib\ioWriteAiger.obj
+.\abclib\DebugLib\ioWriteBaf.obj
+.\abclib\DebugLib\ioWriteBench.obj
+.\abclib\DebugLib\ioWriteBlif.obj
+.\abclib\DebugLib\ioWriteCnf.obj
+.\abclib\DebugLib\ioWriteDot.obj
+.\abclib\DebugLib\ioWriteEqn.obj
+.\abclib\DebugLib\ioWriteGml.obj
+.\abclib\DebugLib\ioWriteList.obj
+.\abclib\DebugLib\ioWritePla.obj
+.\abclib\DebugLib\libSupport.obj
+.\abclib\DebugLib\main.obj
+.\abclib\DebugLib\mainFrame.obj
+.\abclib\DebugLib\mainInit.obj
+.\abclib\DebugLib\mainUtils.obj
+.\abclib\DebugLib\verCore.obj
+.\abclib\DebugLib\verFormula.obj
+.\abclib\DebugLib\verParse.obj
+.\abclib\DebugLib\verStream.obj
+.\abclib\DebugLib\cuddAddAbs.obj
+.\abclib\DebugLib\cuddAddApply.obj
+.\abclib\DebugLib\cuddAddFind.obj
+.\abclib\DebugLib\cuddAddInv.obj
+.\abclib\DebugLib\cuddAddIte.obj
+.\abclib\DebugLib\cuddAddNeg.obj
+.\abclib\DebugLib\cuddAddWalsh.obj
+.\abclib\DebugLib\cuddAndAbs.obj
+.\abclib\DebugLib\cuddAnneal.obj
+.\abclib\DebugLib\cuddApa.obj
+.\abclib\DebugLib\cuddAPI.obj
+.\abclib\DebugLib\cuddApprox.obj
+.\abclib\DebugLib\cuddBddAbs.obj
+.\abclib\DebugLib\cuddBddCorr.obj
+.\abclib\DebugLib\cuddBddIte.obj
+.\abclib\DebugLib\cuddBridge.obj
+.\abclib\DebugLib\cuddCache.obj
+.\abclib\DebugLib\cuddCheck.obj
+.\abclib\DebugLib\cuddClip.obj
+.\abclib\DebugLib\cuddCof.obj
+.\abclib\DebugLib\cuddCompose.obj
+.\abclib\DebugLib\cuddDecomp.obj
+.\abclib\DebugLib\cuddEssent.obj
+.\abclib\DebugLib\cuddExact.obj
+.\abclib\DebugLib\cuddExport.obj
+.\abclib\DebugLib\cuddGenCof.obj
+.\abclib\DebugLib\cuddGenetic.obj
+.\abclib\DebugLib\cuddGroup.obj
+.\abclib\DebugLib\cuddHarwell.obj
+.\abclib\DebugLib\cuddInit.obj
+.\abclib\DebugLib\cuddInteract.obj
+.\abclib\DebugLib\cuddLCache.obj
+.\abclib\DebugLib\cuddLevelQ.obj
+.\abclib\DebugLib\cuddLinear.obj
+.\abclib\DebugLib\cuddLiteral.obj
+.\abclib\DebugLib\cuddMatMult.obj
+.\abclib\DebugLib\cuddPriority.obj
+.\abclib\DebugLib\cuddRead.obj
+.\abclib\DebugLib\cuddRef.obj
+.\abclib\DebugLib\cuddReorder.obj
+.\abclib\DebugLib\cuddSat.obj
+.\abclib\DebugLib\cuddSign.obj
+.\abclib\DebugLib\cuddSolve.obj
+.\abclib\DebugLib\cuddSplit.obj
+.\abclib\DebugLib\cuddSubsetHB.obj
+.\abclib\DebugLib\cuddSubsetSP.obj
+.\abclib\DebugLib\cuddSymmetry.obj
+.\abclib\DebugLib\cuddTable.obj
+.\abclib\DebugLib\cuddUtil.obj
+.\abclib\DebugLib\cuddWindow.obj
+.\abclib\DebugLib\cuddZddCount.obj
+.\abclib\DebugLib\cuddZddFuncs.obj
+.\abclib\DebugLib\cuddZddGroup.obj
+.\abclib\DebugLib\cuddZddIsop.obj
+.\abclib\DebugLib\cuddZddLin.obj
+.\abclib\DebugLib\cuddZddMisc.obj
+.\abclib\DebugLib\cuddZddPort.obj
+.\abclib\DebugLib\cuddZddReord.obj
+.\abclib\DebugLib\cuddZddSetop.obj
+.\abclib\DebugLib\cuddZddSymm.obj
+.\abclib\DebugLib\cuddZddUtil.obj
+.\abclib\DebugLib\epd.obj
+.\abclib\DebugLib\mtrBasic.obj
+.\abclib\DebugLib\mtrGroup.obj
+.\abclib\DebugLib\parseCore.obj
+.\abclib\DebugLib\parseEqn.obj
+.\abclib\DebugLib\parseStack.obj
+.\abclib\DebugLib\dsdApi.obj
+.\abclib\DebugLib\dsdCheck.obj
+.\abclib\DebugLib\dsdLocal.obj
+.\abclib\DebugLib\dsdMan.obj
+.\abclib\DebugLib\dsdProc.obj
+.\abclib\DebugLib\dsdTree.obj
+.\abclib\DebugLib\reoApi.obj
+.\abclib\DebugLib\reoCore.obj
+.\abclib\DebugLib\reoProfile.obj
+.\abclib\DebugLib\reoSift.obj
+.\abclib\DebugLib\reoSwap.obj
+.\abclib\DebugLib\reoTest.obj
+.\abclib\DebugLib\reoTransfer.obj
+.\abclib\DebugLib\reoUnits.obj
+.\abclib\DebugLib\msatActivity.obj
+.\abclib\DebugLib\msatClause.obj
+.\abclib\DebugLib\msatClauseVec.obj
+.\abclib\DebugLib\msatMem.obj
+.\abclib\DebugLib\msatOrderH.obj
+.\abclib\DebugLib\msatQueue.obj
+.\abclib\DebugLib\msatRead.obj
+.\abclib\DebugLib\msatSolverApi.obj
+.\abclib\DebugLib\msatSolverCore.obj
+.\abclib\DebugLib\msatSolverIo.obj
+.\abclib\DebugLib\msatSolverSearch.obj
+.\abclib\DebugLib\msatSort.obj
+.\abclib\DebugLib\msatVec.obj
+.\abclib\DebugLib\fraigApi.obj
+.\abclib\DebugLib\fraigCanon.obj
+.\abclib\DebugLib\fraigChoice.obj
+.\abclib\DebugLib\fraigFanout.obj
+.\abclib\DebugLib\fraigFeed.obj
+.\abclib\DebugLib\fraigMan.obj
+.\abclib\DebugLib\fraigMem.obj
+.\abclib\DebugLib\fraigNode.obj
+.\abclib\DebugLib\fraigPrime.obj
+.\abclib\DebugLib\fraigSat.obj
+.\abclib\DebugLib\fraigTable.obj
+.\abclib\DebugLib\fraigUtil.obj
+.\abclib\DebugLib\fraigVec.obj
+.\abclib\DebugLib\csat_apis.obj
+.\abclib\DebugLib\satMem.obj
+.\abclib\DebugLib\satSolver.obj
+.\abclib\DebugLib\satUtil.obj
+.\abclib\DebugLib\fxu.obj
+.\abclib\DebugLib\fxuCreate.obj
+.\abclib\DebugLib\fxuHeapD.obj
+.\abclib\DebugLib\fxuHeapS.obj
+.\abclib\DebugLib\fxuList.obj
+.\abclib\DebugLib\fxuMatrix.obj
+.\abclib\DebugLib\fxuPair.obj
+.\abclib\DebugLib\fxuPrint.obj
+.\abclib\DebugLib\fxuReduce.obj
+.\abclib\DebugLib\fxuSelect.obj
+.\abclib\DebugLib\fxuSingle.obj
+.\abclib\DebugLib\fxuUpdate.obj
+.\abclib\DebugLib\rwrDec.obj
+.\abclib\DebugLib\rwrEva.obj
+.\abclib\DebugLib\rwrExp.obj
+.\abclib\DebugLib\rwrLib.obj
+.\abclib\DebugLib\rwrMan.obj
+.\abclib\DebugLib\rwrPrint.obj
+.\abclib\DebugLib\rwrTemp.obj
+.\abclib\DebugLib\rwrUtil.obj
+.\abclib\DebugLib\cutApi.obj
+.\abclib\DebugLib\cutCut.obj
+.\abclib\DebugLib\cutExpand.obj
+.\abclib\DebugLib\cutMan.obj
+.\abclib\DebugLib\cutMerge.obj
+.\abclib\DebugLib\cutNode.obj
+.\abclib\DebugLib\cutOracle.obj
+.\abclib\DebugLib\cutPre22.obj
+.\abclib\DebugLib\cutSeq.obj
+.\abclib\DebugLib\cutTruth.obj
+.\abclib\DebugLib\decAbc.obj
+.\abclib\DebugLib\decFactor.obj
+.\abclib\DebugLib\decMan.obj
+.\abclib\DebugLib\decPrint.obj
+.\abclib\DebugLib\decUtil.obj
+.\abclib\DebugLib\simMan.obj
+.\abclib\DebugLib\simSat.obj
+.\abclib\DebugLib\simSeq.obj
+.\abclib\DebugLib\simSupp.obj
+.\abclib\DebugLib\simSwitch.obj
+.\abclib\DebugLib\simSym.obj
+.\abclib\DebugLib\simSymSat.obj
+.\abclib\DebugLib\simSymSim.obj
+.\abclib\DebugLib\simSymStr.obj
+.\abclib\DebugLib\simUtils.obj
+.\abclib\DebugLib\retArea.obj
+.\abclib\DebugLib\retCore.obj
+.\abclib\DebugLib\retDelay.obj
+.\abclib\DebugLib\retFlow.obj
+.\abclib\DebugLib\retIncrem.obj
+.\abclib\DebugLib\retInit.obj
+.\abclib\DebugLib\retLvalue.obj
+.\abclib\DebugLib\fpga.obj
+.\abclib\DebugLib\fpgaCore.obj
+.\abclib\DebugLib\fpgaCreate.obj
+.\abclib\DebugLib\fpgaCut.obj
+.\abclib\DebugLib\fpgaCutUtils.obj
+.\abclib\DebugLib\fpgaFanout.obj
+.\abclib\DebugLib\fpgaLib.obj
+.\abclib\DebugLib\fpgaMatch.obj
+.\abclib\DebugLib\fpgaSwitch.obj
+.\abclib\DebugLib\fpgaTime.obj
+.\abclib\DebugLib\fpgaTruth.obj
+.\abclib\DebugLib\fpgaUtils.obj
+.\abclib\DebugLib\fpgaVec.obj
+.\abclib\DebugLib\mapper.obj
+.\abclib\DebugLib\mapperCanon.obj
+.\abclib\DebugLib\mapperCore.obj
+.\abclib\DebugLib\mapperCreate.obj
+.\abclib\DebugLib\mapperCut.obj
+.\abclib\DebugLib\mapperCutUtils.obj
+.\abclib\DebugLib\mapperFanout.obj
+.\abclib\DebugLib\mapperLib.obj
+.\abclib\DebugLib\mapperMatch.obj
+.\abclib\DebugLib\mapperRefs.obj
+.\abclib\DebugLib\mapperSuper.obj
+.\abclib\DebugLib\mapperSwitch.obj
+.\abclib\DebugLib\mapperTable.obj
+.\abclib\DebugLib\mapperTime.obj
+.\abclib\DebugLib\mapperTree.obj
+.\abclib\DebugLib\mapperTruth.obj
+.\abclib\DebugLib\mapperUtils.obj
+.\abclib\DebugLib\mapperVec.obj
+.\abclib\DebugLib\mio.obj
+.\abclib\DebugLib\mioApi.obj
+.\abclib\DebugLib\mioFunc.obj
+.\abclib\DebugLib\mioRead.obj
+.\abclib\DebugLib\mioUtils.obj
+.\abclib\DebugLib\super.obj
+.\abclib\DebugLib\superAnd.obj
+.\abclib\DebugLib\superGate.obj
+.\abclib\DebugLib\superWrite.obj
+.\abclib\DebugLib\ifCore.obj
+.\abclib\DebugLib\ifCut.obj
+.\abclib\DebugLib\ifMan.obj
+.\abclib\DebugLib\ifMap.obj
+.\abclib\DebugLib\ifReduce.obj
+.\abclib\DebugLib\ifSeq.obj
+.\abclib\DebugLib\ifTime.obj
+.\abclib\DebugLib\ifTruth.obj
+.\abclib\DebugLib\ifUtil.obj
+.\abclib\DebugLib\extraBddAuto.obj
+.\abclib\DebugLib\extraBddKmap.obj
+.\abclib\DebugLib\extraBddMisc.obj
+.\abclib\DebugLib\extraBddSymm.obj
+.\abclib\DebugLib\extraBddUnate.obj
+.\abclib\DebugLib\extraUtilBitMatrix.obj
+.\abclib\DebugLib\extraUtilCanon.obj
+.\abclib\DebugLib\extraUtilFile.obj
+.\abclib\DebugLib\extraUtilMemory.obj
+.\abclib\DebugLib\extraUtilMisc.obj
+.\abclib\DebugLib\extraUtilProgress.obj
+.\abclib\DebugLib\extraUtilReader.obj
+.\abclib\DebugLib\extraUtilTruth.obj
+.\abclib\DebugLib\extraUtilUtil.obj
+.\abclib\DebugLib\st.obj
+.\abclib\DebugLib\stmm.obj
+.\abclib\DebugLib\mvc.obj
+.\abclib\DebugLib\mvcApi.obj
+.\abclib\DebugLib\mvcCompare.obj
+.\abclib\DebugLib\mvcContain.obj
+.\abclib\DebugLib\mvcCover.obj
+.\abclib\DebugLib\mvcCube.obj
+.\abclib\DebugLib\mvcDivide.obj
+.\abclib\DebugLib\mvcDivisor.obj
+.\abclib\DebugLib\mvcList.obj
+.\abclib\DebugLib\mvcLits.obj
+.\abclib\DebugLib\mvcMan.obj
+.\abclib\DebugLib\mvcOpAlg.obj
+.\abclib\DebugLib\mvcOpBool.obj
+.\abclib\DebugLib\mvcPrint.obj
+.\abclib\DebugLib\mvcSort.obj
+.\abclib\DebugLib\mvcUtils.obj
+.\abclib\DebugLib\cofactor.obj
+.\abclib\DebugLib\cols.obj
+.\abclib\DebugLib\compl.obj
+.\abclib\DebugLib\contain.obj
+.\abclib\DebugLib\cubehack.obj
+.\abclib\DebugLib\cubestr.obj
+.\abclib\DebugLib\cvrin.obj
+.\abclib\DebugLib\cvrm.obj
+.\abclib\DebugLib\cvrmisc.obj
+.\abclib\DebugLib\cvrout.obj
+.\abclib\DebugLib\dominate.obj
+.\abclib\DebugLib\equiv.obj
+.\abclib\DebugLib\espresso.obj
+.\abclib\DebugLib\essen.obj
+.\abclib\DebugLib\exact.obj
+.\abclib\DebugLib\expand.obj
+.\abclib\DebugLib\gasp.obj
+.\abclib\DebugLib\gimpel.obj
+.\abclib\DebugLib\globals.obj
+.\abclib\DebugLib\hack.obj
+.\abclib\DebugLib\indep.obj
+.\abclib\DebugLib\irred.obj
+.\abclib\DebugLib\map.obj
+.\abclib\DebugLib\matrix.obj
+.\abclib\DebugLib\mincov.obj
+.\abclib\DebugLib\opo.obj
+.\abclib\DebugLib\pair.obj
+.\abclib\DebugLib\part.obj
+.\abclib\DebugLib\primes.obj
+.\abclib\DebugLib\reduce.obj
+.\abclib\DebugLib\rows.obj
+.\abclib\DebugLib\set.obj
+.\abclib\DebugLib\setc.obj
+.\abclib\DebugLib\sharp.obj
+.\abclib\DebugLib\sminterf.obj
+.\abclib\DebugLib\solution.obj
+.\abclib\DebugLib\sparse.obj
+.\abclib\DebugLib\unate.obj
+.\abclib\DebugLib\verify.obj
+.\abclib\DebugLib\nmApi.obj
+.\abclib\DebugLib\nmTable.obj
+.\abclib\DebugLib\hopBalance.obj
+.\abclib\DebugLib\hopCheck.obj
+.\abclib\DebugLib\hopDfs.obj
+.\abclib\DebugLib\hopMan.obj
+.\abclib\DebugLib\hopMem.obj
+.\abclib\DebugLib\hopObj.obj
+.\abclib\DebugLib\hopOper.obj
+.\abclib\DebugLib\hopTable.obj
+.\abclib\DebugLib\hopUtil.obj
+.\abclib\DebugLib\ivyBalance.obj
+.\abclib\DebugLib\ivyCanon.obj
+.\abclib\DebugLib\ivyCheck.obj
+.\abclib\DebugLib\ivyCut.obj
+.\abclib\DebugLib\ivyCutTrav.obj
+.\abclib\DebugLib\ivyDfs.obj
+.\abclib\DebugLib\ivyDsd.obj
+.\abclib\DebugLib\ivyFanout.obj
+.\abclib\DebugLib\ivyFastMap.obj
+.\abclib\DebugLib\ivyFraig.obj
+.\abclib\DebugLib\ivyHaig.obj
+.\abclib\DebugLib\ivyMan.obj
+.\abclib\DebugLib\ivyMem.obj
+.\abclib\DebugLib\ivyMulti.obj
+.\abclib\DebugLib\ivyObj.obj
+.\abclib\DebugLib\ivyOper.obj
+.\abclib\DebugLib\ivyResyn.obj
+.\abclib\DebugLib\ivyRwr.obj
+.\abclib\DebugLib\ivySeq.obj
+.\abclib\DebugLib\ivyShow.obj
+.\abclib\DebugLib\ivyTable.obj
+.\abclib\DebugLib\ivyUtil.obj
+.\abclib\DebugLib\rwtDec.obj
+.\abclib\DebugLib\rwtMan.obj
+.\abclib\DebugLib\rwtUtil.obj
+.\abclib\DebugLib\mem.obj
+.\abclib\DebugLib\abcHie.obj
+.\abclib\DebugLib\abcBlifMv.obj
+.\abclib\DebugLib\abcCas.obj
+.\abclib\DebugLib\abcDar.obj
+.\abclib\DebugLib\abcHaig.obj
+.\abclib\DebugLib\abcMeasure.obj
+.\abclib\DebugLib\abcOdc.obj
+.\abclib\DebugLib\abcPart.obj
+.\abclib\DebugLib\abcRec.obj
+.\abclib\DebugLib\abcQbf.obj
+.\abclib\DebugLib\abcQuant.obj
+.\abclib\DebugLib\ioReadDsd.obj
+.\abclib\DebugLib\ioReadBlifMv.obj
+.\abclib\DebugLib\ioReadVerilog.obj
+.\abclib\DebugLib\ioWriteVerilog.obj
+.\abclib\DebugLib\ioWriteBlifMv.obj
+.\abclib\DebugLib\casDec.obj
+.\abclib\DebugLib\casCore.obj
+.\abclib\DebugLib\pr.obj
+.\abclib\DebugLib\satTrace.obj
+.\abclib\DebugLib\satInter.obj
+.\abclib\DebugLib\satStore.obj
+.\abclib\DebugLib\extraBddCas.obj
+.\abclib\DebugLib\ioaWriteAig.obj
+.\abclib\DebugLib\ioaReadAig.obj
+.\abclib\DebugLib\ioaUtil.obj
+.\abclib\DebugLib\darBalance.obj
+.\abclib\DebugLib\darCore.obj
+.\abclib\DebugLib\darCut.obj
+.\abclib\DebugLib\darData.obj
+.\abclib\DebugLib\darLib.obj
+.\abclib\DebugLib\darMan.obj
+.\abclib\DebugLib\darPrec.obj
+.\abclib\DebugLib\darRefact.obj
+.\abclib\DebugLib\darResub.obj
+.\abclib\DebugLib\darScript.obj
+.\abclib\DebugLib\fraBmc.obj
+.\abclib\DebugLib\fraCec.obj
+.\abclib\DebugLib\fraClass.obj
+.\abclib\DebugLib\fraCnf.obj
+.\abclib\DebugLib\fraCore.obj
+.\abclib\DebugLib\fraImp.obj
+.\abclib\DebugLib\fraInd.obj
+.\abclib\DebugLib\fraLcr.obj
+.\abclib\DebugLib\fraMan.obj
+.\abclib\DebugLib\fraPart.obj
+.\abclib\DebugLib\fraSat.obj
+.\abclib\DebugLib\fraSec.obj
+.\abclib\DebugLib\fraSim.obj
+.\abclib\DebugLib\cnfCore.obj
+.\abclib\DebugLib\cnfCut.obj
+.\abclib\DebugLib\cnfData.obj
+.\abclib\DebugLib\cnfMan.obj
+.\abclib\DebugLib\cnfMap.obj
+.\abclib\DebugLib\cnfPost.obj
+.\abclib\DebugLib\cnfUtil.obj
+.\abclib\DebugLib\cnfWrite.obj
+.\abclib\DebugLib\cswCore.obj
+.\abclib\DebugLib\cswCut.obj
+.\abclib\DebugLib\cswMan.obj
+.\abclib\DebugLib\cswTable.obj
+.\abclib\DebugLib\cloud.obj
+.\abclib\DebugLib\kitAig.obj
+.\abclib\DebugLib\kitBdd.obj
+.\abclib\DebugLib\kitCloud.obj
+.\abclib\DebugLib\kitDsd.obj
+.\abclib\DebugLib\kitFactor.obj
+.\abclib\DebugLib\kitGraph.obj
+.\abclib\DebugLib\kitHop.obj
+.\abclib\DebugLib\kitIsop.obj
+.\abclib\DebugLib\kitSop.obj
+.\abclib\DebugLib\kitTruth.obj
+.\abclib\DebugLib\bdcCore.obj
+.\abclib\DebugLib\bdcDec.obj
+.\abclib\DebugLib\bdcTable.obj
+.\abclib\DebugLib\aigCheck.obj
+.\abclib\DebugLib\aigDfs.obj
+.\abclib\DebugLib\aigFanout.obj
+.\abclib\DebugLib\aigMan.obj
+.\abclib\DebugLib\aigMem.obj
+.\abclib\DebugLib\aigMffc.obj
+.\abclib\DebugLib\aigObj.obj
+.\abclib\DebugLib\aigOper.obj
+.\abclib\DebugLib\aigOrder.obj
+.\abclib\DebugLib\aigPart.obj
+.\abclib\DebugLib\aigRepr.obj
+.\abclib\DebugLib\aigRet.obj
+.\abclib\DebugLib\aigScl.obj
+.\abclib\DebugLib\aigSeq.obj
+.\abclib\DebugLib\aigShow.obj
+.\abclib\DebugLib\aigTable.obj
+.\abclib\DebugLib\aigTime.obj
+.\abclib\DebugLib\aigTiming.obj
+.\abclib\DebugLib\aigTruth.obj
+.\abclib\DebugLib\aigTsim.obj
+.\abclib\DebugLib\aigUtil.obj
+.\abclib\DebugLib\aigWin.obj
+.\abclib\DebugLib\bar.obj
+.\abclib\DebugLib\resCore.obj
+.\abclib\DebugLib\resDivs.obj
+.\abclib\DebugLib\resFilter.obj
+.\abclib\DebugLib\resSat.obj
+.\abclib\DebugLib\resSim.obj
+.\abclib\DebugLib\resStrash.obj
+.\abclib\DebugLib\resWin.obj
+.\abclib\DebugLib\lpkAbcDec.obj
+.\abclib\DebugLib\lpkAbcDsd.obj
+.\abclib\DebugLib\lpkAbcMux.obj
+.\abclib\DebugLib\lpkAbcUtil.obj
+.\abclib\DebugLib\lpkCore.obj
+.\abclib\DebugLib\lpkCut.obj
+.\abclib\DebugLib\lpkMan.obj
+.\abclib\DebugLib\lpkMap.obj
+.\abclib\DebugLib\lpkMulti.obj
+.\abclib\DebugLib\lpkMux.obj
+.\abclib\DebugLib\lpkSets.obj
+]
+Creating command line "link.exe -lib @C:\DOCUME~1\alanmi\LOCALS~1\Temp\RSP20AC.tmp"
+<h3>Output Window</h3>
+Compiling...
+abcAig.c
+abcCheck.c
+abcDfs.c
+abcFanio.c
+abcFunc.c
+abcLatch.c
+abcLib.c
+abcMinBase.c
+abcNames.c
+abcNetlist.c
+abcNtk.c
+abcObj.c
+abcRefs.c
+abcShow.c
+abcSop.c
+abcUtil.c
+abc.c
+abcAttach.c
+abcAuto.c
+abcBalance.c
+abcBmc.c
+abcClpBdd.c
+abcClpSop.c
+abcCut.c
+abcDebug.c
+abcDress.c
+abcDsd.c
+abcEspresso.c
+abcExtract.c
+abcFpga.c
+abcFpgaFast.c
+abcFraig.c
+abcFxu.c
+abcGen.c
+abcIf.c
+abcIvy.c
+abcLut.c
+abcMap.c
+abcMini.c
+abcMiter.c
+abcMulti.c
+abcMv.c
+abcNtbdd.c
+abcOrder.c
+abcPrint.c
+abcProve.c
+abcReconv.c
+abcRefactor.c
+abcRenode.c
+abcReorder.c
+abcRestruct.c
+abcResub.c
+abcRewrite.c
+abcRr.c
+abcSat.c
+abcStrash.c
+abcSweep.c
+abcSymm.c
+abcTiming.c
+abcUnate.c
+abcUnreach.c
+abcVerify.c
+abcXsim.c
+cmd.c
+cmdAlias.c
+cmdApi.c
+cmdFlag.c
+cmdHist.c
+cmdUtils.c
+io.c
+ioReadAiger.c
+ioReadBaf.c
+ioReadBench.c
+ioReadBlif.c
+ioReadBlifAig.c
+ioReadEdif.c
+ioReadEqn.c
+ioReadPla.c
+ioUtil.c
+ioWriteAiger.c
+ioWriteBaf.c
+ioWriteBench.c
+ioWriteBlif.c
+ioWriteCnf.c
+ioWriteDot.c
+ioWriteEqn.c
+ioWriteGml.c
+ioWriteList.c
+ioWritePla.c
+libSupport.c
+main.c
+mainFrame.c
+mainInit.c
+mainUtils.c
+verCore.c
+verFormula.c
+verParse.c
+verStream.c
+cuddAddAbs.c
+cuddAddApply.c
+cuddAddFind.c
+cuddAddInv.c
+cuddAddIte.c
+cuddAddNeg.c
+cuddAddWalsh.c
+cuddAndAbs.c
+cuddAnneal.c
+cuddApa.c
+C:\_projects\abc\src\bdd\cudd\cuddApa.c(181) : warning C4244: 'return' : conversion from 'unsigned long ' to 'unsigned short ', possible loss of data
+C:\_projects\abc\src\bdd\cudd\cuddApa.c(213) : warning C4244: 'return' : conversion from 'unsigned long ' to 'unsigned short ', possible loss of data
+C:\_projects\abc\src\bdd\cudd\cuddApa.c(530) : warning C4244: '=' : conversion from 'unsigned short ' to 'unsigned char ', possible loss of data
+C:\_projects\abc\src\bdd\cudd\cuddApa.c(588) : warning C4244: '=' : conversion from 'unsigned short ' to 'unsigned char ', possible loss of data
+cuddAPI.c
+cuddApprox.c
+cuddBddAbs.c
+cuddBddCorr.c
+cuddBddIte.c
+cuddBridge.c
+cuddCache.c
+C:\_projects\abc\src\bdd\cudd\cuddCache.c(902) : warning C4146: unary minus operator applied to unsigned type, result still unsigned
+cuddCheck.c
+cuddClip.c
+cuddCof.c
+cuddCompose.c
+cuddDecomp.c
+cuddEssent.c
+cuddExact.c
+cuddExport.c
+cuddGenCof.c
+cuddGenetic.c
+cuddGroup.c
+C:\_projects\abc\src\bdd\cudd\cuddGroup.c(2062) : warning C4018: '<=' : signed/unsigned mismatch
+cuddHarwell.c
+cuddInit.c
+cuddInteract.c
+cuddLCache.c
+c:\_projects\abc\src\bdd\cudd\cuddlcache.c(1387) : warning C4146: unary minus operator applied to unsigned type, result still unsigned
+cuddLevelQ.c
+cuddLinear.c
+cuddLiteral.c
+cuddMatMult.c
+cuddPriority.c
+cuddRead.c
+cuddRef.c
+cuddReorder.c
+C:\_projects\abc\src\bdd\cudd\cuddReorder.c(395) : warning C4146: unary minus operator applied to unsigned type, result still unsigned
+cuddSat.c
+cuddSign.c
+cuddSolve.c
+cuddSplit.c
+cuddSubsetHB.c
+cuddSubsetSP.c
+cuddSymmetry.c
+cuddTable.c
+C:\_projects\abc\src\bdd\cudd\cuddTable.c(1822) : warning C4018: '<' : signed/unsigned mismatch
+C:\_projects\abc\src\bdd\cudd\cuddTable.c(1927) : warning C4018: '<' : signed/unsigned mismatch
+C:\_projects\abc\src\bdd\cudd\cuddTable.c(2235) : warning C4018: '<' : signed/unsigned mismatch
+C:\_projects\abc\src\bdd\cudd\cuddTable.c(2303) : warning C4018: '<' : signed/unsigned mismatch
+C:\_projects\abc\src\bdd\cudd\cuddTable.c(2358) : warning C4146: unary minus operator applied to unsigned type, result still unsigned
+cuddUtil.c
+cuddWindow.c
+cuddZddCount.c
+cuddZddFuncs.c
+cuddZddGroup.c
+cuddZddIsop.c
+cuddZddLin.c
+cuddZddMisc.c
+cuddZddPort.c
+cuddZddReord.c
+cuddZddSetop.c
+cuddZddSymm.c
+cuddZddUtil.c
+epd.c
+mtrBasic.c
+mtrGroup.c
+parseCore.c
+parseEqn.c
+parseStack.c
+dsdApi.c
+dsdCheck.c
+dsdLocal.c
+dsdMan.c
+dsdProc.c
+dsdTree.c
+reoApi.c
+reoCore.c
+reoProfile.c
+reoSift.c
+reoSwap.c
+reoTest.c
+reoTransfer.c
+reoUnits.c
+msatActivity.c
+msatClause.c
+msatClauseVec.c
+msatMem.c
+msatOrderH.c
+msatQueue.c
+msatRead.c
+msatSolverApi.c
+msatSolverCore.c
+msatSolverIo.c
+msatSolverSearch.c
+msatSort.c
+msatVec.c
+fraigApi.c
+fraigCanon.c
+fraigChoice.c
+fraigFanout.c
+fraigFeed.c
+fraigMan.c
+fraigMem.c
+fraigNode.c
+fraigPrime.c
+fraigSat.c
+fraigTable.c
+fraigUtil.c
+fraigVec.c
+csat_apis.c
+satMem.c
+satSolver.c
+satUtil.c
+fxu.c
+fxuCreate.c
+fxuHeapD.c
+fxuHeapS.c
+fxuList.c
+fxuMatrix.c
+fxuPair.c
+fxuPrint.c
+fxuReduce.c
+fxuSelect.c
+fxuSingle.c
+fxuUpdate.c
+rwrDec.c
+rwrEva.c
+rwrExp.c
+rwrLib.c
+rwrMan.c
+rwrPrint.c
+rwrTemp.c
+rwrUtil.c
+cutApi.c
+cutCut.c
+cutExpand.c
+cutMan.c
+cutMerge.c
+cutNode.c
+cutOracle.c
+cutPre22.c
+cutSeq.c
+cutTruth.c
+decAbc.c
+decFactor.c
+decMan.c
+decPrint.c
+decUtil.c
+simMan.c
+simSat.c
+simSeq.c
+simSupp.c
+simSwitch.c
+simSym.c
+simSymSat.c
+simSymSim.c
+simSymStr.c
+simUtils.c
+retArea.c
+retCore.c
+retDelay.c
+retFlow.c
+retIncrem.c
+retInit.c
+retLvalue.c
+fpga.c
+fpgaCore.c
+fpgaCreate.c
+fpgaCut.c
+fpgaCutUtils.c
+fpgaFanout.c
+fpgaLib.c
+fpgaMatch.c
+fpgaSwitch.c
+fpgaTime.c
+fpgaTruth.c
+fpgaUtils.c
+fpgaVec.c
+mapper.c
+mapperCanon.c
+mapperCore.c
+mapperCreate.c
+mapperCut.c
+mapperCutUtils.c
+mapperFanout.c
+mapperLib.c
+mapperMatch.c
+mapperRefs.c
+mapperSuper.c
+mapperSwitch.c
+mapperTable.c
+mapperTime.c
+mapperTree.c
+mapperTruth.c
+mapperUtils.c
+mapperVec.c
+mio.c
+mioApi.c
+mioFunc.c
+mioRead.c
+mioUtils.c
+super.c
+superAnd.c
+superGate.c
+superWrite.c
+ifCore.c
+ifCut.c
+ifMan.c
+ifMap.c
+ifReduce.c
+ifSeq.c
+ifTime.c
+ifTruth.c
+ifUtil.c
+extraBddAuto.c
+extraBddKmap.c
+extraBddMisc.c
+extraBddSymm.c
+extraBddUnate.c
+extraUtilBitMatrix.c
+extraUtilCanon.c
+extraUtilFile.c
+extraUtilMemory.c
+extraUtilMisc.c
+extraUtilProgress.c
+extraUtilReader.c
+extraUtilTruth.c
+extraUtilUtil.c
+st.c
+stmm.c
+mvc.c
+mvcApi.c
+mvcCompare.c
+mvcContain.c
+mvcCover.c
+mvcCube.c
+mvcDivide.c
+mvcDivisor.c
+mvcList.c
+mvcLits.c
+mvcMan.c
+mvcOpAlg.c
+mvcOpBool.c
+mvcPrint.c
+mvcSort.c
+mvcUtils.c
+cofactor.c
+c:\_projects\abc\src\misc\espresso\cofactor.c(370) : warning C4113: 'int (__cdecl *)()' differs in parameter lists from 'int (__cdecl *)(const void *,const void *)'
+cols.c
+compl.c
+c:\_projects\abc\src\misc\espresso\compl.c(200) : warning C4113: 'int (__cdecl *)()' differs in parameter lists from 'int (__cdecl *)(const void *,const void *)'
+c:\_projects\abc\src\misc\espresso\compl.c(201) : warning C4113: 'int (__cdecl *)()' differs in parameter lists from 'int (__cdecl *)(const void *,const void *)'
+contain.c
+c:\_projects\abc\src\misc\espresso\contain.c(221) : warning C4018: '!=' : signed/unsigned mismatch
+c:\_projects\abc\src\misc\espresso\contain.c(249) : warning C4018: '!=' : signed/unsigned mismatch
+c:\_projects\abc\src\misc\espresso\contain.c(338) : warning C4113: 'int (__cdecl *)()' differs in parameter lists from 'int (__cdecl *)(const void *,const void *)'
+cubehack.c
+cubestr.c
+cvrin.c
+C:\_projects\abc\src\misc\espresso\cvrin.c(38) : warning C4013: 'isspace' undefined; assuming extern returning int
+cvrm.c
+C:\_projects\abc\src\misc\espresso\cvrm.c(161) : warning C4113: 'int (__cdecl *)()' differs in parameter lists from 'int (__cdecl *)(const void *,const void *)'
+C:\_projects\abc\src\misc\espresso\cvrm.c(189) : warning C4113: 'int (__cdecl *)()' differs in parameter lists from 'int (__cdecl *)(const void *,const void *)'
+C:\_projects\abc\src\misc\espresso\cvrm.c(380) : warning C4033: 'foreach_output_function' must return a value
+C:\_projects\abc\src\misc\espresso\cvrm.c(391) : warning C4033: 'foreach_output_function' must return a value
+cvrmisc.c
+cvrout.c
+C:\_projects\abc\src\misc\espresso\cvrout.c(528) : warning C4033: 'output_symbolic_constraints' must return a value
+dominate.c
+C:\_projects\abc\src\misc\espresso\cvrout.c(453) : warning C4716: 'makeup_labels' : must return a value
+equiv.c
+espresso.c
+essen.c
+exact.c
+expand.c
+gasp.c
+gimpel.c
+globals.c
+hack.c
+C:\_projects\abc\src\misc\espresso\hack.c(21) : warning C4033: 'map_dcset' must return a value
+C:\_projects\abc\src\misc\espresso\hack.c(35) : warning C4033: 'map_dcset' must return a value
+C:\_projects\abc\src\misc\espresso\hack.c(420) : warning C4033: 'symbolic_hack_labels' must return a value
+indep.c
+irred.c
+map.c
+matrix.c
+mincov.c
+opo.c
+pair.c
+C:\_projects\abc\src\misc\espresso\pair.c(597) : warning C4033: 'generate_all_pairs' must return a value
+part.c
+C:\_projects\abc\src\misc\espresso\pair.c(456) : warning C4716: 'find_best_cost' : must return a value
+C:\_projects\abc\src\misc\espresso\pair.c(583) : warning C4716: 'minimize_pair' : must return a value
+C:\_projects\abc\src\misc\espresso\pair.c(675) : warning C4716: 'pair_free' : must return a value
+primes.c
+reduce.c
+rows.c
+set.c
+c:\_projects\abc\src\misc\espresso\set.c(453) : warning C4018: '<=' : signed/unsigned mismatch
+setc.c
+c:\_projects\abc\src\misc\espresso\set.c(27) : warning C4716: 'intcpy' : must return a value
+sharp.c
+sminterf.c
+solution.c
+sparse.c
+unate.c
+C:\_projects\abc\src\misc\espresso\unate.c(172) : warning C4018: '<' : signed/unsigned mismatch
+C:\_projects\abc\src\misc\espresso\unate.c(175) : warning C4018: '==' : signed/unsigned mismatch
+verify.c
+nmApi.c
+nmTable.c
+hopBalance.c
+hopCheck.c
+hopDfs.c
+hopMan.c
+hopMem.c
+hopObj.c
+hopOper.c
+hopTable.c
+hopUtil.c
+ivyBalance.c
+ivyCanon.c
+ivyCheck.c
+ivyCut.c
+ivyCutTrav.c
+ivyDfs.c
+ivyDsd.c
+ivyFanout.c
+ivyFastMap.c
+ivyFraig.c
+ivyHaig.c
+ivyMan.c
+ivyMem.c
+ivyMulti.c
+ivyObj.c
+ivyOper.c
+ivyResyn.c
+ivyRwr.c
+ivySeq.c
+ivyShow.c
+ivyTable.c
+ivyUtil.c
+rwtDec.c
+rwtMan.c
+rwtUtil.c
+mem.c
+abcHie.c
+abcBlifMv.c
+abcCas.c
+abcDar.c
+abcHaig.c
+abcMeasure.c
+abcOdc.c
+abcPart.c
+abcRec.c
+abcQbf.c
+abcQuant.c
+ioReadDsd.c
+ioReadBlifMv.c
+ioReadVerilog.c
+ioWriteVerilog.c
+ioWriteBlifMv.c
+casDec.c
+casCore.c
+pr.c
+satTrace.c
+satInter.c
+satStore.c
+extraBddCas.c
+ioaWriteAig.c
+ioaReadAig.c
+ioaUtil.c
+darBalance.c
+darCore.c
+darCut.c
+darData.c
+darLib.c
+darMan.c
+darPrec.c
+darRefact.c
+darResub.c
+darScript.c
+fraBmc.c
+fraCec.c
+fraClass.c
+fraCnf.c
+fraCore.c
+fraImp.c
+fraInd.c
+fraLcr.c
+fraMan.c
+fraPart.c
+fraSat.c
+fraSec.c
+fraSim.c
+cnfCore.c
+cnfCut.c
+cnfData.c
+cnfMan.c
+cnfMap.c
+cnfPost.c
+cnfUtil.c
+cnfWrite.c
+cswCore.c
+cswCut.c
+cswMan.c
+cswTable.c
+cloud.c
+kitAig.c
+kitBdd.c
+kitCloud.c
+kitDsd.c
+kitFactor.c
+kitGraph.c
+kitHop.c
+kitIsop.c
+kitSop.c
+kitTruth.c
+bdcCore.c
+bdcDec.c
+bdcTable.c
+aigCheck.c
+aigDfs.c
+aigFanout.c
+aigMan.c
+aigMem.c
+aigMffc.c
+aigObj.c
+aigOper.c
+aigOrder.c
+aigPart.c
+aigRepr.c
+aigRet.c
+aigScl.c
+aigSeq.c
+aigShow.c
+aigTable.c
+aigTime.c
+aigTiming.c
+aigTruth.c
+aigTsim.c
+aigUtil.c
+aigWin.c
+bar.c
+resCore.c
+resDivs.c
+resFilter.c
+resSat.c
+resSim.c
+resStrash.c
+resWin.c
+lpkAbcDec.c
+lpkAbcDsd.c
+lpkAbcMux.c
+lpkAbcUtil.c
+lpkCore.c
+lpkCut.c
+lpkMan.c
+lpkMap.c
+lpkMulti.c
+lpkMux.c
+lpkSets.c
+Creating library...
+Creating temporary file "C:\DOCUME~1\alanmi\LOCALS~1\Temp\RSP20AD.tmp" with contents
+[
+/nologo /o"abclib\DebugLib/abclib.bsc"
+.\abclib\DebugLib\abcAig.sbr
+.\abclib\DebugLib\abcCheck.sbr
+.\abclib\DebugLib\abcDfs.sbr
+.\abclib\DebugLib\abcFanio.sbr
+.\abclib\DebugLib\abcFunc.sbr
+.\abclib\DebugLib\abcLatch.sbr
+.\abclib\DebugLib\abcLib.sbr
+.\abclib\DebugLib\abcMinBase.sbr
+.\abclib\DebugLib\abcNames.sbr
+.\abclib\DebugLib\abcNetlist.sbr
+.\abclib\DebugLib\abcNtk.sbr
+.\abclib\DebugLib\abcObj.sbr
+.\abclib\DebugLib\abcRefs.sbr
+.\abclib\DebugLib\abcShow.sbr
+.\abclib\DebugLib\abcSop.sbr
+.\abclib\DebugLib\abcUtil.sbr
+.\abclib\DebugLib\abc.sbr
+.\abclib\DebugLib\abcAttach.sbr
+.\abclib\DebugLib\abcAuto.sbr
+.\abclib\DebugLib\abcBalance.sbr
+.\abclib\DebugLib\abcBmc.sbr
+.\abclib\DebugLib\abcClpBdd.sbr
+.\abclib\DebugLib\abcClpSop.sbr
+.\abclib\DebugLib\abcCut.sbr
+.\abclib\DebugLib\abcDebug.sbr
+.\abclib\DebugLib\abcDress.sbr
+.\abclib\DebugLib\abcDsd.sbr
+.\abclib\DebugLib\abcEspresso.sbr
+.\abclib\DebugLib\abcExtract.sbr
+.\abclib\DebugLib\abcFpga.sbr
+.\abclib\DebugLib\abcFpgaFast.sbr
+.\abclib\DebugLib\abcFraig.sbr
+.\abclib\DebugLib\abcFxu.sbr
+.\abclib\DebugLib\abcGen.sbr
+.\abclib\DebugLib\abcIf.sbr
+.\abclib\DebugLib\abcIvy.sbr
+.\abclib\DebugLib\abcLut.sbr
+.\abclib\DebugLib\abcMap.sbr
+.\abclib\DebugLib\abcMini.sbr
+.\abclib\DebugLib\abcMiter.sbr
+.\abclib\DebugLib\abcMulti.sbr
+.\abclib\DebugLib\abcMv.sbr
+.\abclib\DebugLib\abcNtbdd.sbr
+.\abclib\DebugLib\abcOrder.sbr
+.\abclib\DebugLib\abcPrint.sbr
+.\abclib\DebugLib\abcProve.sbr
+.\abclib\DebugLib\abcReconv.sbr
+.\abclib\DebugLib\abcRefactor.sbr
+.\abclib\DebugLib\abcRenode.sbr
+.\abclib\DebugLib\abcReorder.sbr
+.\abclib\DebugLib\abcRestruct.sbr
+.\abclib\DebugLib\abcResub.sbr
+.\abclib\DebugLib\abcRewrite.sbr
+.\abclib\DebugLib\abcRr.sbr
+.\abclib\DebugLib\abcSat.sbr
+.\abclib\DebugLib\abcStrash.sbr
+.\abclib\DebugLib\abcSweep.sbr
+.\abclib\DebugLib\abcSymm.sbr
+.\abclib\DebugLib\abcTiming.sbr
+.\abclib\DebugLib\abcUnate.sbr
+.\abclib\DebugLib\abcUnreach.sbr
+.\abclib\DebugLib\abcVerify.sbr
+.\abclib\DebugLib\abcXsim.sbr
+.\abclib\DebugLib\cmd.sbr
+.\abclib\DebugLib\cmdAlias.sbr
+.\abclib\DebugLib\cmdApi.sbr
+.\abclib\DebugLib\cmdFlag.sbr
+.\abclib\DebugLib\cmdHist.sbr
+.\abclib\DebugLib\cmdUtils.sbr
+.\abclib\DebugLib\io.sbr
+.\abclib\DebugLib\ioReadAiger.sbr
+.\abclib\DebugLib\ioReadBaf.sbr
+.\abclib\DebugLib\ioReadBench.sbr
+.\abclib\DebugLib\ioReadBlif.sbr
+.\abclib\DebugLib\ioReadBlifAig.sbr
+.\abclib\DebugLib\ioReadEdif.sbr
+.\abclib\DebugLib\ioReadEqn.sbr
+.\abclib\DebugLib\ioReadPla.sbr
+.\abclib\DebugLib\ioUtil.sbr
+.\abclib\DebugLib\ioWriteAiger.sbr
+.\abclib\DebugLib\ioWriteBaf.sbr
+.\abclib\DebugLib\ioWriteBench.sbr
+.\abclib\DebugLib\ioWriteBlif.sbr
+.\abclib\DebugLib\ioWriteCnf.sbr
+.\abclib\DebugLib\ioWriteDot.sbr
+.\abclib\DebugLib\ioWriteEqn.sbr
+.\abclib\DebugLib\ioWriteGml.sbr
+.\abclib\DebugLib\ioWriteList.sbr
+.\abclib\DebugLib\ioWritePla.sbr
+.\abclib\DebugLib\libSupport.sbr
+.\abclib\DebugLib\main.sbr
+.\abclib\DebugLib\mainFrame.sbr
+.\abclib\DebugLib\mainInit.sbr
+.\abclib\DebugLib\mainUtils.sbr
+.\abclib\DebugLib\verCore.sbr
+.\abclib\DebugLib\verFormula.sbr
+.\abclib\DebugLib\verParse.sbr
+.\abclib\DebugLib\verStream.sbr
+.\abclib\DebugLib\cuddAddAbs.sbr
+.\abclib\DebugLib\cuddAddApply.sbr
+.\abclib\DebugLib\cuddAddFind.sbr
+.\abclib\DebugLib\cuddAddInv.sbr
+.\abclib\DebugLib\cuddAddIte.sbr
+.\abclib\DebugLib\cuddAddNeg.sbr
+.\abclib\DebugLib\cuddAddWalsh.sbr
+.\abclib\DebugLib\cuddAndAbs.sbr
+.\abclib\DebugLib\cuddAnneal.sbr
+.\abclib\DebugLib\cuddApa.sbr
+.\abclib\DebugLib\cuddAPI.sbr
+.\abclib\DebugLib\cuddApprox.sbr
+.\abclib\DebugLib\cuddBddAbs.sbr
+.\abclib\DebugLib\cuddBddCorr.sbr
+.\abclib\DebugLib\cuddBddIte.sbr
+.\abclib\DebugLib\cuddBridge.sbr
+.\abclib\DebugLib\cuddCache.sbr
+.\abclib\DebugLib\cuddCheck.sbr
+.\abclib\DebugLib\cuddClip.sbr
+.\abclib\DebugLib\cuddCof.sbr
+.\abclib\DebugLib\cuddCompose.sbr
+.\abclib\DebugLib\cuddDecomp.sbr
+.\abclib\DebugLib\cuddEssent.sbr
+.\abclib\DebugLib\cuddExact.sbr
+.\abclib\DebugLib\cuddExport.sbr
+.\abclib\DebugLib\cuddGenCof.sbr
+.\abclib\DebugLib\cuddGenetic.sbr
+.\abclib\DebugLib\cuddGroup.sbr
+.\abclib\DebugLib\cuddHarwell.sbr
+.\abclib\DebugLib\cuddInit.sbr
+.\abclib\DebugLib\cuddInteract.sbr
+.\abclib\DebugLib\cuddLCache.sbr
+.\abclib\DebugLib\cuddLevelQ.sbr
+.\abclib\DebugLib\cuddLinear.sbr
+.\abclib\DebugLib\cuddLiteral.sbr
+.\abclib\DebugLib\cuddMatMult.sbr
+.\abclib\DebugLib\cuddPriority.sbr
+.\abclib\DebugLib\cuddRead.sbr
+.\abclib\DebugLib\cuddRef.sbr
+.\abclib\DebugLib\cuddReorder.sbr
+.\abclib\DebugLib\cuddSat.sbr
+.\abclib\DebugLib\cuddSign.sbr
+.\abclib\DebugLib\cuddSolve.sbr
+.\abclib\DebugLib\cuddSplit.sbr
+.\abclib\DebugLib\cuddSubsetHB.sbr
+.\abclib\DebugLib\cuddSubsetSP.sbr
+.\abclib\DebugLib\cuddSymmetry.sbr
+.\abclib\DebugLib\cuddTable.sbr
+.\abclib\DebugLib\cuddUtil.sbr
+.\abclib\DebugLib\cuddWindow.sbr
+.\abclib\DebugLib\cuddZddCount.sbr
+.\abclib\DebugLib\cuddZddFuncs.sbr
+.\abclib\DebugLib\cuddZddGroup.sbr
+.\abclib\DebugLib\cuddZddIsop.sbr
+.\abclib\DebugLib\cuddZddLin.sbr
+.\abclib\DebugLib\cuddZddMisc.sbr
+.\abclib\DebugLib\cuddZddPort.sbr
+.\abclib\DebugLib\cuddZddReord.sbr
+.\abclib\DebugLib\cuddZddSetop.sbr
+.\abclib\DebugLib\cuddZddSymm.sbr
+.\abclib\DebugLib\cuddZddUtil.sbr
+.\abclib\DebugLib\epd.sbr
+.\abclib\DebugLib\mtrBasic.sbr
+.\abclib\DebugLib\mtrGroup.sbr
+.\abclib\DebugLib\parseCore.sbr
+.\abclib\DebugLib\parseEqn.sbr
+.\abclib\DebugLib\parseStack.sbr
+.\abclib\DebugLib\dsdApi.sbr
+.\abclib\DebugLib\dsdCheck.sbr
+.\abclib\DebugLib\dsdLocal.sbr
+.\abclib\DebugLib\dsdMan.sbr
+.\abclib\DebugLib\dsdProc.sbr
+.\abclib\DebugLib\dsdTree.sbr
+.\abclib\DebugLib\reoApi.sbr
+.\abclib\DebugLib\reoCore.sbr
+.\abclib\DebugLib\reoProfile.sbr
+.\abclib\DebugLib\reoSift.sbr
+.\abclib\DebugLib\reoSwap.sbr
+.\abclib\DebugLib\reoTest.sbr
+.\abclib\DebugLib\reoTransfer.sbr
+.\abclib\DebugLib\reoUnits.sbr
+.\abclib\DebugLib\msatActivity.sbr
+.\abclib\DebugLib\msatClause.sbr
+.\abclib\DebugLib\msatClauseVec.sbr
+.\abclib\DebugLib\msatMem.sbr
+.\abclib\DebugLib\msatOrderH.sbr
+.\abclib\DebugLib\msatQueue.sbr
+.\abclib\DebugLib\msatRead.sbr
+.\abclib\DebugLib\msatSolverApi.sbr
+.\abclib\DebugLib\msatSolverCore.sbr
+.\abclib\DebugLib\msatSolverIo.sbr
+.\abclib\DebugLib\msatSolverSearch.sbr
+.\abclib\DebugLib\msatSort.sbr
+.\abclib\DebugLib\msatVec.sbr
+.\abclib\DebugLib\fraigApi.sbr
+.\abclib\DebugLib\fraigCanon.sbr
+.\abclib\DebugLib\fraigChoice.sbr
+.\abclib\DebugLib\fraigFanout.sbr
+.\abclib\DebugLib\fraigFeed.sbr
+.\abclib\DebugLib\fraigMan.sbr
+.\abclib\DebugLib\fraigMem.sbr
+.\abclib\DebugLib\fraigNode.sbr
+.\abclib\DebugLib\fraigPrime.sbr
+.\abclib\DebugLib\fraigSat.sbr
+.\abclib\DebugLib\fraigTable.sbr
+.\abclib\DebugLib\fraigUtil.sbr
+.\abclib\DebugLib\fraigVec.sbr
+.\abclib\DebugLib\csat_apis.sbr
+.\abclib\DebugLib\satMem.sbr
+.\abclib\DebugLib\satSolver.sbr
+.\abclib\DebugLib\satUtil.sbr
+.\abclib\DebugLib\fxu.sbr
+.\abclib\DebugLib\fxuCreate.sbr
+.\abclib\DebugLib\fxuHeapD.sbr
+.\abclib\DebugLib\fxuHeapS.sbr
+.\abclib\DebugLib\fxuList.sbr
+.\abclib\DebugLib\fxuMatrix.sbr
+.\abclib\DebugLib\fxuPair.sbr
+.\abclib\DebugLib\fxuPrint.sbr
+.\abclib\DebugLib\fxuReduce.sbr
+.\abclib\DebugLib\fxuSelect.sbr
+.\abclib\DebugLib\fxuSingle.sbr
+.\abclib\DebugLib\fxuUpdate.sbr
+.\abclib\DebugLib\rwrDec.sbr
+.\abclib\DebugLib\rwrEva.sbr
+.\abclib\DebugLib\rwrExp.sbr
+.\abclib\DebugLib\rwrLib.sbr
+.\abclib\DebugLib\rwrMan.sbr
+.\abclib\DebugLib\rwrPrint.sbr
+.\abclib\DebugLib\rwrTemp.sbr
+.\abclib\DebugLib\rwrUtil.sbr
+.\abclib\DebugLib\cutApi.sbr
+.\abclib\DebugLib\cutCut.sbr
+.\abclib\DebugLib\cutExpand.sbr
+.\abclib\DebugLib\cutMan.sbr
+.\abclib\DebugLib\cutMerge.sbr
+.\abclib\DebugLib\cutNode.sbr
+.\abclib\DebugLib\cutOracle.sbr
+.\abclib\DebugLib\cutPre22.sbr
+.\abclib\DebugLib\cutSeq.sbr
+.\abclib\DebugLib\cutTruth.sbr
+.\abclib\DebugLib\decAbc.sbr
+.\abclib\DebugLib\decFactor.sbr
+.\abclib\DebugLib\decMan.sbr
+.\abclib\DebugLib\decPrint.sbr
+.\abclib\DebugLib\decUtil.sbr
+.\abclib\DebugLib\simMan.sbr
+.\abclib\DebugLib\simSat.sbr
+.\abclib\DebugLib\simSeq.sbr
+.\abclib\DebugLib\simSupp.sbr
+.\abclib\DebugLib\simSwitch.sbr
+.\abclib\DebugLib\simSym.sbr
+.\abclib\DebugLib\simSymSat.sbr
+.\abclib\DebugLib\simSymSim.sbr
+.\abclib\DebugLib\simSymStr.sbr
+.\abclib\DebugLib\simUtils.sbr
+.\abclib\DebugLib\retArea.sbr
+.\abclib\DebugLib\retCore.sbr
+.\abclib\DebugLib\retDelay.sbr
+.\abclib\DebugLib\retFlow.sbr
+.\abclib\DebugLib\retIncrem.sbr
+.\abclib\DebugLib\retInit.sbr
+.\abclib\DebugLib\retLvalue.sbr
+.\abclib\DebugLib\fpga.sbr
+.\abclib\DebugLib\fpgaCore.sbr
+.\abclib\DebugLib\fpgaCreate.sbr
+.\abclib\DebugLib\fpgaCut.sbr
+.\abclib\DebugLib\fpgaCutUtils.sbr
+.\abclib\DebugLib\fpgaFanout.sbr
+.\abclib\DebugLib\fpgaLib.sbr
+.\abclib\DebugLib\fpgaMatch.sbr
+.\abclib\DebugLib\fpgaSwitch.sbr
+.\abclib\DebugLib\fpgaTime.sbr
+.\abclib\DebugLib\fpgaTruth.sbr
+.\abclib\DebugLib\fpgaUtils.sbr
+.\abclib\DebugLib\fpgaVec.sbr
+.\abclib\DebugLib\mapper.sbr
+.\abclib\DebugLib\mapperCanon.sbr
+.\abclib\DebugLib\mapperCore.sbr
+.\abclib\DebugLib\mapperCreate.sbr
+.\abclib\DebugLib\mapperCut.sbr
+.\abclib\DebugLib\mapperCutUtils.sbr
+.\abclib\DebugLib\mapperFanout.sbr
+.\abclib\DebugLib\mapperLib.sbr
+.\abclib\DebugLib\mapperMatch.sbr
+.\abclib\DebugLib\mapperRefs.sbr
+.\abclib\DebugLib\mapperSuper.sbr
+.\abclib\DebugLib\mapperSwitch.sbr
+.\abclib\DebugLib\mapperTable.sbr
+.\abclib\DebugLib\mapperTime.sbr
+.\abclib\DebugLib\mapperTree.sbr
+.\abclib\DebugLib\mapperTruth.sbr
+.\abclib\DebugLib\mapperUtils.sbr
+.\abclib\DebugLib\mapperVec.sbr
+.\abclib\DebugLib\mio.sbr
+.\abclib\DebugLib\mioApi.sbr
+.\abclib\DebugLib\mioFunc.sbr
+.\abclib\DebugLib\mioRead.sbr
+.\abclib\DebugLib\mioUtils.sbr
+.\abclib\DebugLib\super.sbr
+.\abclib\DebugLib\superAnd.sbr
+.\abclib\DebugLib\superGate.sbr
+.\abclib\DebugLib\superWrite.sbr
+.\abclib\DebugLib\ifCore.sbr
+.\abclib\DebugLib\ifCut.sbr
+.\abclib\DebugLib\ifMan.sbr
+.\abclib\DebugLib\ifMap.sbr
+.\abclib\DebugLib\ifReduce.sbr
+.\abclib\DebugLib\ifSeq.sbr
+.\abclib\DebugLib\ifTime.sbr
+.\abclib\DebugLib\ifTruth.sbr
+.\abclib\DebugLib\ifUtil.sbr
+.\abclib\DebugLib\extraBddAuto.sbr
+.\abclib\DebugLib\extraBddKmap.sbr
+.\abclib\DebugLib\extraBddMisc.sbr
+.\abclib\DebugLib\extraBddSymm.sbr
+.\abclib\DebugLib\extraBddUnate.sbr
+.\abclib\DebugLib\extraUtilBitMatrix.sbr
+.\abclib\DebugLib\extraUtilCanon.sbr
+.\abclib\DebugLib\extraUtilFile.sbr
+.\abclib\DebugLib\extraUtilMemory.sbr
+.\abclib\DebugLib\extraUtilMisc.sbr
+.\abclib\DebugLib\extraUtilProgress.sbr
+.\abclib\DebugLib\extraUtilReader.sbr
+.\abclib\DebugLib\extraUtilTruth.sbr
+.\abclib\DebugLib\extraUtilUtil.sbr
+.\abclib\DebugLib\st.sbr
+.\abclib\DebugLib\stmm.sbr
+.\abclib\DebugLib\mvc.sbr
+.\abclib\DebugLib\mvcApi.sbr
+.\abclib\DebugLib\mvcCompare.sbr
+.\abclib\DebugLib\mvcContain.sbr
+.\abclib\DebugLib\mvcCover.sbr
+.\abclib\DebugLib\mvcCube.sbr
+.\abclib\DebugLib\mvcDivide.sbr
+.\abclib\DebugLib\mvcDivisor.sbr
+.\abclib\DebugLib\mvcList.sbr
+.\abclib\DebugLib\mvcLits.sbr
+.\abclib\DebugLib\mvcMan.sbr
+.\abclib\DebugLib\mvcOpAlg.sbr
+.\abclib\DebugLib\mvcOpBool.sbr
+.\abclib\DebugLib\mvcPrint.sbr
+.\abclib\DebugLib\mvcSort.sbr
+.\abclib\DebugLib\mvcUtils.sbr
+.\abclib\DebugLib\cofactor.sbr
+.\abclib\DebugLib\cols.sbr
+.\abclib\DebugLib\compl.sbr
+.\abclib\DebugLib\contain.sbr
+.\abclib\DebugLib\cubehack.sbr
+.\abclib\DebugLib\cubestr.sbr
+.\abclib\DebugLib\cvrin.sbr
+.\abclib\DebugLib\cvrm.sbr
+.\abclib\DebugLib\cvrmisc.sbr
+.\abclib\DebugLib\cvrout.sbr
+.\abclib\DebugLib\dominate.sbr
+.\abclib\DebugLib\equiv.sbr
+.\abclib\DebugLib\espresso.sbr
+.\abclib\DebugLib\essen.sbr
+.\abclib\DebugLib\exact.sbr
+.\abclib\DebugLib\expand.sbr
+.\abclib\DebugLib\gasp.sbr
+.\abclib\DebugLib\gimpel.sbr
+.\abclib\DebugLib\globals.sbr
+.\abclib\DebugLib\hack.sbr
+.\abclib\DebugLib\indep.sbr
+.\abclib\DebugLib\irred.sbr
+.\abclib\DebugLib\map.sbr
+.\abclib\DebugLib\matrix.sbr
+.\abclib\DebugLib\mincov.sbr
+.\abclib\DebugLib\opo.sbr
+.\abclib\DebugLib\pair.sbr
+.\abclib\DebugLib\part.sbr
+.\abclib\DebugLib\primes.sbr
+.\abclib\DebugLib\reduce.sbr
+.\abclib\DebugLib\rows.sbr
+.\abclib\DebugLib\set.sbr
+.\abclib\DebugLib\setc.sbr
+.\abclib\DebugLib\sharp.sbr
+.\abclib\DebugLib\sminterf.sbr
+.\abclib\DebugLib\solution.sbr
+.\abclib\DebugLib\sparse.sbr
+.\abclib\DebugLib\unate.sbr
+.\abclib\DebugLib\verify.sbr
+.\abclib\DebugLib\nmApi.sbr
+.\abclib\DebugLib\nmTable.sbr
+.\abclib\DebugLib\hopBalance.sbr
+.\abclib\DebugLib\hopCheck.sbr
+.\abclib\DebugLib\hopDfs.sbr
+.\abclib\DebugLib\hopMan.sbr
+.\abclib\DebugLib\hopMem.sbr
+.\abclib\DebugLib\hopObj.sbr
+.\abclib\DebugLib\hopOper.sbr
+.\abclib\DebugLib\hopTable.sbr
+.\abclib\DebugLib\hopUtil.sbr
+.\abclib\DebugLib\ivyBalance.sbr
+.\abclib\DebugLib\ivyCanon.sbr
+.\abclib\DebugLib\ivyCheck.sbr
+.\abclib\DebugLib\ivyCut.sbr
+.\abclib\DebugLib\ivyCutTrav.sbr
+.\abclib\DebugLib\ivyDfs.sbr
+.\abclib\DebugLib\ivyDsd.sbr
+.\abclib\DebugLib\ivyFanout.sbr
+.\abclib\DebugLib\ivyFastMap.sbr
+.\abclib\DebugLib\ivyFraig.sbr
+.\abclib\DebugLib\ivyHaig.sbr
+.\abclib\DebugLib\ivyMan.sbr
+.\abclib\DebugLib\ivyMem.sbr
+.\abclib\DebugLib\ivyMulti.sbr
+.\abclib\DebugLib\ivyObj.sbr
+.\abclib\DebugLib\ivyOper.sbr
+.\abclib\DebugLib\ivyResyn.sbr
+.\abclib\DebugLib\ivyRwr.sbr
+.\abclib\DebugLib\ivySeq.sbr
+.\abclib\DebugLib\ivyShow.sbr
+.\abclib\DebugLib\ivyTable.sbr
+.\abclib\DebugLib\ivyUtil.sbr
+.\abclib\DebugLib\rwtDec.sbr
+.\abclib\DebugLib\rwtMan.sbr
+.\abclib\DebugLib\rwtUtil.sbr
+.\abclib\DebugLib\mem.sbr
+.\abclib\DebugLib\abcHie.sbr
+.\abclib\DebugLib\abcBlifMv.sbr
+.\abclib\DebugLib\abcCas.sbr
+.\abclib\DebugLib\abcDar.sbr
+.\abclib\DebugLib\abcHaig.sbr
+.\abclib\DebugLib\abcMeasure.sbr
+.\abclib\DebugLib\abcOdc.sbr
+.\abclib\DebugLib\abcPart.sbr
+.\abclib\DebugLib\abcRec.sbr
+.\abclib\DebugLib\abcQbf.sbr
+.\abclib\DebugLib\abcQuant.sbr
+.\abclib\DebugLib\ioReadDsd.sbr
+.\abclib\DebugLib\ioReadBlifMv.sbr
+.\abclib\DebugLib\ioReadVerilog.sbr
+.\abclib\DebugLib\ioWriteVerilog.sbr
+.\abclib\DebugLib\ioWriteBlifMv.sbr
+.\abclib\DebugLib\casDec.sbr
+.\abclib\DebugLib\casCore.sbr
+.\abclib\DebugLib\pr.sbr
+.\abclib\DebugLib\satTrace.sbr
+.\abclib\DebugLib\satInter.sbr
+.\abclib\DebugLib\satStore.sbr
+.\abclib\DebugLib\extraBddCas.sbr
+.\abclib\DebugLib\ioaWriteAig.sbr
+.\abclib\DebugLib\ioaReadAig.sbr
+.\abclib\DebugLib\ioaUtil.sbr
+.\abclib\DebugLib\darBalance.sbr
+.\abclib\DebugLib\darCore.sbr
+.\abclib\DebugLib\darCut.sbr
+.\abclib\DebugLib\darData.sbr
+.\abclib\DebugLib\darLib.sbr
+.\abclib\DebugLib\darMan.sbr
+.\abclib\DebugLib\darPrec.sbr
+.\abclib\DebugLib\darRefact.sbr
+.\abclib\DebugLib\darResub.sbr
+.\abclib\DebugLib\darScript.sbr
+.\abclib\DebugLib\fraBmc.sbr
+.\abclib\DebugLib\fraCec.sbr
+.\abclib\DebugLib\fraClass.sbr
+.\abclib\DebugLib\fraCnf.sbr
+.\abclib\DebugLib\fraCore.sbr
+.\abclib\DebugLib\fraImp.sbr
+.\abclib\DebugLib\fraInd.sbr
+.\abclib\DebugLib\fraLcr.sbr
+.\abclib\DebugLib\fraMan.sbr
+.\abclib\DebugLib\fraPart.sbr
+.\abclib\DebugLib\fraSat.sbr
+.\abclib\DebugLib\fraSec.sbr
+.\abclib\DebugLib\fraSim.sbr
+.\abclib\DebugLib\cnfCore.sbr
+.\abclib\DebugLib\cnfCut.sbr
+.\abclib\DebugLib\cnfData.sbr
+.\abclib\DebugLib\cnfMan.sbr
+.\abclib\DebugLib\cnfMap.sbr
+.\abclib\DebugLib\cnfPost.sbr
+.\abclib\DebugLib\cnfUtil.sbr
+.\abclib\DebugLib\cnfWrite.sbr
+.\abclib\DebugLib\cswCore.sbr
+.\abclib\DebugLib\cswCut.sbr
+.\abclib\DebugLib\cswMan.sbr
+.\abclib\DebugLib\cswTable.sbr
+.\abclib\DebugLib\cloud.sbr
+.\abclib\DebugLib\kitAig.sbr
+.\abclib\DebugLib\kitBdd.sbr
+.\abclib\DebugLib\kitCloud.sbr
+.\abclib\DebugLib\kitDsd.sbr
+.\abclib\DebugLib\kitFactor.sbr
+.\abclib\DebugLib\kitGraph.sbr
+.\abclib\DebugLib\kitHop.sbr
+.\abclib\DebugLib\kitIsop.sbr
+.\abclib\DebugLib\kitSop.sbr
+.\abclib\DebugLib\kitTruth.sbr
+.\abclib\DebugLib\bdcCore.sbr
+.\abclib\DebugLib\bdcDec.sbr
+.\abclib\DebugLib\bdcTable.sbr
+.\abclib\DebugLib\aigCheck.sbr
+.\abclib\DebugLib\aigDfs.sbr
+.\abclib\DebugLib\aigFanout.sbr
+.\abclib\DebugLib\aigMan.sbr
+.\abclib\DebugLib\aigMem.sbr
+.\abclib\DebugLib\aigMffc.sbr
+.\abclib\DebugLib\aigObj.sbr
+.\abclib\DebugLib\aigOper.sbr
+.\abclib\DebugLib\aigOrder.sbr
+.\abclib\DebugLib\aigPart.sbr
+.\abclib\DebugLib\aigRepr.sbr
+.\abclib\DebugLib\aigRet.sbr
+.\abclib\DebugLib\aigScl.sbr
+.\abclib\DebugLib\aigSeq.sbr
+.\abclib\DebugLib\aigShow.sbr
+.\abclib\DebugLib\aigTable.sbr
+.\abclib\DebugLib\aigTime.sbr
+.\abclib\DebugLib\aigTiming.sbr
+.\abclib\DebugLib\aigTruth.sbr
+.\abclib\DebugLib\aigTsim.sbr
+.\abclib\DebugLib\aigUtil.sbr
+.\abclib\DebugLib\aigWin.sbr
+.\abclib\DebugLib\bar.sbr
+.\abclib\DebugLib\resCore.sbr
+.\abclib\DebugLib\resDivs.sbr
+.\abclib\DebugLib\resFilter.sbr
+.\abclib\DebugLib\resSat.sbr
+.\abclib\DebugLib\resSim.sbr
+.\abclib\DebugLib\resStrash.sbr
+.\abclib\DebugLib\resWin.sbr
+.\abclib\DebugLib\lpkAbcDec.sbr
+.\abclib\DebugLib\lpkAbcDsd.sbr
+.\abclib\DebugLib\lpkAbcMux.sbr
+.\abclib\DebugLib\lpkAbcUtil.sbr
+.\abclib\DebugLib\lpkCore.sbr
+.\abclib\DebugLib\lpkCut.sbr
+.\abclib\DebugLib\lpkMan.sbr
+.\abclib\DebugLib\lpkMap.sbr
+.\abclib\DebugLib\lpkMulti.sbr
+.\abclib\DebugLib\lpkMux.sbr
+.\abclib\DebugLib\lpkSets.sbr]
+Creating command line "bscmake.exe @C:\DOCUME~1\alanmi\LOCALS~1\Temp\RSP20AD.tmp"
+Creating browse info file...
+<h3>Output Window</h3>
+
+
+
+<h3>Results</h3>
+abclib_debug.lib - 0 error(s), 37 warning(s)
+</pre>
+</body>
+</html>
diff --git a/abctestlib.dsp b/abc70930/abctestlib.dsp
index e901cbda..3c8fdc4f 100644
--- a/abctestlib.dsp
+++ b/abc70930/abctestlib.dsp
@@ -1,102 +1,102 @@
-# Microsoft Developer Studio Project File - Name="abctestlib" - Package Owner=<4>
-# Microsoft Developer Studio Generated Build File, Format Version 6.00
-# ** DO NOT EDIT **
-
-# TARGTYPE "Win32 (x86) Console Application" 0x0103
-
-CFG=abctestlib - Win32 Debug
-!MESSAGE This is not a valid makefile. To build this project using NMAKE,
-!MESSAGE use the Export Makefile command and run
-!MESSAGE
-!MESSAGE NMAKE /f "abctestlib.mak".
-!MESSAGE
-!MESSAGE You can specify a configuration when running NMAKE
-!MESSAGE by defining the macro CFG on the command line. For example:
-!MESSAGE
-!MESSAGE NMAKE /f "abctestlib.mak" CFG="abctestlib - Win32 Debug"
-!MESSAGE
-!MESSAGE Possible choices for configuration are:
-!MESSAGE
-!MESSAGE "abctestlib - Win32 Release" (based on "Win32 (x86) Console Application")
-!MESSAGE "abctestlib - Win32 Debug" (based on "Win32 (x86) Console Application")
-!MESSAGE
-
-# Begin Project
-# PROP AllowPerConfigDependencies 0
-# PROP Scc_ProjName ""
-# PROP Scc_LocalPath ""
-CPP=cl.exe
-RSC=rc.exe
-
-!IF "$(CFG)" == "abctestlib - Win32 Release"
-
-# PROP BASE Use_MFC 0
-# PROP BASE Use_Debug_Libraries 0
-# PROP BASE Output_Dir "Release"
-# PROP BASE Intermediate_Dir "Release"
-# PROP BASE Target_Dir ""
-# PROP Use_MFC 0
-# PROP Use_Debug_Libraries 0
-# PROP Output_Dir "Release"
-# PROP Intermediate_Dir "Release"
-# PROP Ignore_Export_Lib 0
-# PROP Target_Dir ""
-# ADD BASE CPP /nologo /W3 /GX /O2 /D "WIN32" /D "NDEBUG" /D "_CONSOLE" /D "_MBCS" /YX /FD /c
-# ADD CPP /nologo /W3 /GX /O2 /D "WIN32" /D "NDEBUG" /D "_CONSOLE" /D "_MBCS" /YX /FD /c
-# ADD BASE RSC /l 0x409 /d "NDEBUG"
-# ADD RSC /l 0x409 /d "NDEBUG"
-BSC32=bscmake.exe
-# ADD BASE BSC32 /nologo
-# ADD BSC32 /nologo
-LINK32=link.exe
-# ADD BASE LINK32 kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib /nologo /subsystem:console /machine:I386
-# ADD LINK32 kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib C:\_projects\abc\abclib\abclib_release.lib /nologo /subsystem:console /machine:I386 /out:"_TEST/abctestlib.exe"
-
-!ELSEIF "$(CFG)" == "abctestlib - Win32 Debug"
-
-# PROP BASE Use_MFC 0
-# PROP BASE Use_Debug_Libraries 1
-# PROP BASE Output_Dir "Debug"
-# PROP BASE Intermediate_Dir "Debug"
-# PROP BASE Target_Dir ""
-# PROP Use_MFC 0
-# PROP Use_Debug_Libraries 1
-# PROP Output_Dir "Debug"
-# PROP Intermediate_Dir "Debug"
-# PROP Ignore_Export_Lib 0
-# PROP Target_Dir ""
-# ADD BASE CPP /nologo /W3 /Gm /GX /ZI /Od /D "WIN32" /D "_DEBUG" /D "_CONSOLE" /D "_MBCS" /YX /FD /GZ /c
-# ADD CPP /nologo /W3 /Gm /GX /ZI /Od /D "WIN32" /D "_DEBUG" /D "_CONSOLE" /D "_MBCS" /FR /YX /FD /GZ /c
-# ADD BASE RSC /l 0x409 /d "_DEBUG"
-# ADD RSC /l 0x409 /d "_DEBUG"
-BSC32=bscmake.exe
-# ADD BASE BSC32 /nologo
-# ADD BSC32 /nologo
-LINK32=link.exe
-# ADD BASE LINK32 kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib /nologo /subsystem:console /debug /machine:I386 /pdbtype:sept
-# ADD LINK32 kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib C:\_projects\abc\abclib\abclib_debug.lib /nologo /subsystem:console /debug /machine:I386 /out:"_TEST/abctestlib.exe" /pdbtype:sept
-
-!ENDIF
-
-# Begin Target
-
-# Name "abctestlib - Win32 Release"
-# Name "abctestlib - Win32 Debug"
-# Begin Group "Source Files"
-
-# PROP Default_Filter "cpp;c;cxx;rc;def;r;odl;idl;hpj;bat"
-# Begin Source File
-
-SOURCE=.\demo.c
-# End Source File
-# End Group
-# Begin Group "Header Files"
-
-# PROP Default_Filter "h;hpp;hxx;hm;inl"
-# End Group
-# Begin Group "Resource Files"
-
-# PROP Default_Filter "ico;cur;bmp;dlg;rc2;rct;bin;rgs;gif;jpg;jpeg;jpe"
-# End Group
-# End Target
-# End Project
+# Microsoft Developer Studio Project File - Name="abctestlib" - Package Owner=<4>
+# Microsoft Developer Studio Generated Build File, Format Version 6.00
+# ** DO NOT EDIT **
+
+# TARGTYPE "Win32 (x86) Console Application" 0x0103
+
+CFG=abctestlib - Win32 Debug
+!MESSAGE This is not a valid makefile. To build this project using NMAKE,
+!MESSAGE use the Export Makefile command and run
+!MESSAGE
+!MESSAGE NMAKE /f "abctestlib.mak".
+!MESSAGE
+!MESSAGE You can specify a configuration when running NMAKE
+!MESSAGE by defining the macro CFG on the command line. For example:
+!MESSAGE
+!MESSAGE NMAKE /f "abctestlib.mak" CFG="abctestlib - Win32 Debug"
+!MESSAGE
+!MESSAGE Possible choices for configuration are:
+!MESSAGE
+!MESSAGE "abctestlib - Win32 Release" (based on "Win32 (x86) Console Application")
+!MESSAGE "abctestlib - Win32 Debug" (based on "Win32 (x86) Console Application")
+!MESSAGE
+
+# Begin Project
+# PROP AllowPerConfigDependencies 0
+# PROP Scc_ProjName ""
+# PROP Scc_LocalPath ""
+CPP=cl.exe
+RSC=rc.exe
+
+!IF "$(CFG)" == "abctestlib - Win32 Release"
+
+# PROP BASE Use_MFC 0
+# PROP BASE Use_Debug_Libraries 0
+# PROP BASE Output_Dir "Release"
+# PROP BASE Intermediate_Dir "Release"
+# PROP BASE Target_Dir ""
+# PROP Use_MFC 0
+# PROP Use_Debug_Libraries 0
+# PROP Output_Dir "Release"
+# PROP Intermediate_Dir "Release"
+# PROP Ignore_Export_Lib 0
+# PROP Target_Dir ""
+# ADD BASE CPP /nologo /W3 /GX /O2 /D "WIN32" /D "NDEBUG" /D "_CONSOLE" /D "_MBCS" /YX /FD /c
+# ADD CPP /nologo /W3 /GX /O2 /D "WIN32" /D "NDEBUG" /D "_CONSOLE" /D "_MBCS" /YX /FD /c
+# ADD BASE RSC /l 0x409 /d "NDEBUG"
+# ADD RSC /l 0x409 /d "NDEBUG"
+BSC32=bscmake.exe
+# ADD BASE BSC32 /nologo
+# ADD BSC32 /nologo
+LINK32=link.exe
+# ADD BASE LINK32 kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib /nologo /subsystem:console /machine:I386
+# ADD LINK32 kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib C:\_projects\abc\abclib\abclib_release.lib /nologo /subsystem:console /machine:I386 /out:"_TEST/abctestlib.exe"
+
+!ELSEIF "$(CFG)" == "abctestlib - Win32 Debug"
+
+# PROP BASE Use_MFC 0
+# PROP BASE Use_Debug_Libraries 1
+# PROP BASE Output_Dir "Debug"
+# PROP BASE Intermediate_Dir "Debug"
+# PROP BASE Target_Dir ""
+# PROP Use_MFC 0
+# PROP Use_Debug_Libraries 1
+# PROP Output_Dir "Debug"
+# PROP Intermediate_Dir "Debug"
+# PROP Ignore_Export_Lib 0
+# PROP Target_Dir ""
+# ADD BASE CPP /nologo /W3 /Gm /GX /ZI /Od /D "WIN32" /D "_DEBUG" /D "_CONSOLE" /D "_MBCS" /YX /FD /GZ /c
+# ADD CPP /nologo /W3 /Gm /GX /ZI /Od /D "WIN32" /D "_DEBUG" /D "_CONSOLE" /D "_MBCS" /FR /YX /FD /GZ /c
+# ADD BASE RSC /l 0x409 /d "_DEBUG"
+# ADD RSC /l 0x409 /d "_DEBUG"
+BSC32=bscmake.exe
+# ADD BASE BSC32 /nologo
+# ADD BSC32 /nologo
+LINK32=link.exe
+# ADD BASE LINK32 kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib /nologo /subsystem:console /debug /machine:I386 /pdbtype:sept
+# ADD LINK32 kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib C:\_projects\abc\abclib\abclib_debug.lib /nologo /subsystem:console /debug /machine:I386 /out:"_TEST/abctestlib.exe" /pdbtype:sept
+
+!ENDIF
+
+# Begin Target
+
+# Name "abctestlib - Win32 Release"
+# Name "abctestlib - Win32 Debug"
+# Begin Group "Source Files"
+
+# PROP Default_Filter "cpp;c;cxx;rc;def;r;odl;idl;hpj;bat"
+# Begin Source File
+
+SOURCE=.\demo.c
+# End Source File
+# End Group
+# Begin Group "Header Files"
+
+# PROP Default_Filter "h;hpp;hxx;hm;inl"
+# End Group
+# Begin Group "Resource Files"
+
+# PROP Default_Filter "ico;cur;bmp;dlg;rc2;rct;bin;rgs;gif;jpg;jpeg;jpe"
+# End Group
+# End Target
+# End Project
diff --git a/abctestlib.dsw b/abc70930/abctestlib.dsw
index 7ae6cac9..45048e1c 100644
--- a/abctestlib.dsw
+++ b/abc70930/abctestlib.dsw
@@ -1,29 +1,29 @@
-Microsoft Developer Studio Workspace File, Format Version 6.00
-# WARNING: DO NOT EDIT OR DELETE THIS WORKSPACE FILE!
-
-###############################################################################
-
-Project: "abctestlib"=.\abctestlib.dsp - Package Owner=<4>
-
-Package=<5>
-{{{
-}}}
-
-Package=<4>
-{{{
-}}}
-
-###############################################################################
-
-Global:
-
-Package=<5>
-{{{
-}}}
-
-Package=<3>
-{{{
-}}}
-
-###############################################################################
-
+Microsoft Developer Studio Workspace File, Format Version 6.00
+# WARNING: DO NOT EDIT OR DELETE THIS WORKSPACE FILE!
+
+###############################################################################
+
+Project: "abctestlib"=.\abctestlib.dsp - Package Owner=<4>
+
+Package=<5>
+{{{
+}}}
+
+Package=<4>
+{{{
+}}}
+
+###############################################################################
+
+Global:
+
+Package=<5>
+{{{
+}}}
+
+Package=<3>
+{{{
+}}}
+
+###############################################################################
+
diff --git a/abc70930/abctestlib.plg b/abc70930/abctestlib.plg
new file mode 100644
index 00000000..4bf69035
--- /dev/null
+++ b/abc70930/abctestlib.plg
@@ -0,0 +1,32 @@
+<html>
+<body>
+<pre>
+<h1>Build Log</h1>
+<h3>
+--------------------Configuration: abctestlib - Win32 Release--------------------
+</h3>
+<h3>Command Lines</h3>
+Creating temporary file "C:\DOCUME~1\alanmi\LOCALS~1\Temp\RSP20B8.tmp" with contents
+[
+/nologo /ML /W3 /GX /O2 /D "WIN32" /D "NDEBUG" /D "_CONSOLE" /D "_MBCS" /Fp"Release/abctestlib.pch" /YX /Fo"Release/" /Fd"Release/" /FD /c
+"C:\_projects\abc\demo.c"
+]
+Creating command line "cl.exe @C:\DOCUME~1\alanmi\LOCALS~1\Temp\RSP20B8.tmp"
+Creating temporary file "C:\DOCUME~1\alanmi\LOCALS~1\Temp\RSP20B9.tmp" with contents
+[
+kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib C:\_projects\abc\abclib\abclib_release.lib /nologo /subsystem:console /incremental:no /pdb:"Release/abctestlib.pdb" /machine:I386 /out:"_TEST/abctestlib.exe"
+.\Release\demo.obj
+]
+Creating command line "link.exe @C:\DOCUME~1\alanmi\LOCALS~1\Temp\RSP20B9.tmp"
+<h3>Output Window</h3>
+Compiling...
+demo.c
+Linking...
+
+
+
+<h3>Results</h3>
+abctestlib.exe - 0 error(s), 0 warning(s)
+</pre>
+</body>
+</html>
diff --git a/copyright.txt b/abc70930/copyright.txt
index 121d63e8..121d63e8 100644
--- a/copyright.txt
+++ b/abc70930/copyright.txt
diff --git a/demo.c b/abc70930/demo.c
index de162409..de162409 100644
--- a/demo.c
+++ b/abc70930/demo.c
diff --git a/depends.sh b/abc70930/depends.sh
index d302cd04..d302cd04 100755
--- a/depends.sh
+++ b/abc70930/depends.sh
diff --git a/abc70930/examples/C2670.blif b/abc70930/examples/C2670.blif
new file mode 100644
index 00000000..d8116b93
--- /dev/null
+++ b/abc70930/examples/C2670.blif
@@ -0,0 +1,2395 @@
+
+
+# ATPG -- Automatic Test Pattern Generation for
+# Combinational Circuits
+# ATPG, Version 1.0, 4/29/86, Author: Ruey-sing Wei and Tony Ma
+.model C2670.iscas
+.inputs 1(0) 2(1) 3(2) 4(3) 5(4) 6(5) 7(6) 8(7) 11(8) 14(9) 15(10) 16(11) 19(12) 20(13) 21(14) 22(15) 23(16) 24(17) 25(18) 26(19) 27(20) 28(21) 29(22) 32(23) 33(24) 34(25) 35(26) 36(27) 37(28) 40(29) 43(30) 44(31) 47(32) 48(33) 49(34) 50(35) 51(36) 52(37) 53(38) 54(39) 55(40) 56(41) 57(42) 60(43) 61(44) 62(45) 63(46) 64(47) 65(48) 66(49) 67(50) 68(51) 69(52) 72(53) 73(54) 74(55) 75(56) 76(57) 77(58) 78(59) 79(60) 80(61) 81(62) 82(63) 85(64) 86(65) 87(66) 88(67) 89(68) 90(69) 91(70) 92(71) 93(72) 94(73) 95(74) 96(75) 99(76) 100(77) 101(78) 102(79) 103(80) 104(81) 105(82) 106(83) 107(84) 108(85) 111(86) 112(87) 113(88) 114(89) 115(90) 116(91) 117(92) 118(93) 119(94) 120(95) 123(96) 124(97) 125(98) 126(99) 127(100) 128(101) 129(102) 130(103) 131(104) 132(105) 135(106) 136(107) 137(108) 138(109) 139(110) 140(111) 141(112) 142(113) 169(114) 174(115) 177(116) 178(117) 179(118) 180(119) 181(120) 182(121) 183(122) 184(123) 185(124) 186(125) 189(126) 190(127) 191(128) 192(129) 193(130) 194(131) 195(132) 196(133) 197(134) 198(135) 199(136) 200(137) 201(138) 202(139) 203(140) 204(141) 205(142) 206(143) 207(144) 208(145) 209(146) 210(147) 211(148) 212(149) 213(150) 214(151) 215(152) 239(153) 240(154) 241(155) 242(156) 243(157) 244(158) 245(159) 246(160) 247(161) 248(162) 249(163) 250(164) 251(165) 252(166) 253(167) 254(168) 255(169) 256(170) 257(171) 262(172) 263(173) 264(174) 265(175) 266(176) 267(177) 268(178) 269(179) 270(180) 271(181) 272(182) 273(183) 274(184) 275(185) 276(186) 277(187) 278(188) 279(189) 452(190) 483(191) 543(192) 559(193) 567(194) 651(195) 661(196) 860(197) 868(198) 1083(199) 1341(200) 1348(201) 1384(202) 1956(203) 1961(204) 1966(205) 1971(206) 1976(207) 1981(208) 1986(209) 1991(210) 1996(211) 2066(212) 2067(213) 2072(214) 2078(215) 2084(216) 2090(217) 2096(218) 2100(219) 2104(220) 2105(221) 2106(222) 2427(223) 2430(224) 2435(225) 2438(226) 2443(227) 2446(228) 2451(229) 2454(230) 2474(231) 2678(232)
+.outputs 169(114) 174(115) 177(116) 178(117) 179(118) 180(119) 181(120) 182(121) 183(122) 184(123) 185(124) 186(125) 189(126) 190(127) 191(128) 192(129) 193(130) 194(131) 195(132) 196(133) 197(134) 198(135) 199(136) 200(137) 201(138) 202(139) 203(140) 204(141) 205(142) 206(143) 207(144) 208(145) 209(146) 210(147) 211(148) 212(149) 213(150) 214(151) 215(152) 239(153) 240(154) 241(155) 242(156) 243(157) 244(158) 245(159) 246(160) 247(161) 248(162) 249(163) 250(164) 251(165) 252(166) 253(167) 254(168) 255(169) 256(170) 257(171) 262(172) 263(173) 264(174) 265(175) 266(176) 267(177) 268(178) 269(179) 270(180) 271(181) 272(182) 273(183) 274(184) 275(185) 276(186) 277(187) 278(188) 279(189) 350(301) 335(299) 409(298) 369(289) 367(288) 411(264) 337(263) 384(262) 218(311) 219(302) 220(306) 221(305) 235(307) 236(303) 237(309) 238(304) 158(349) 259(414) 391(379) 173(389) 223(413) 234(376) 217(423) 325(507) 261(506) 319(656) 160(609) 162(612) 164(607) 166(625) 168(623) 171(621) 153(671) 176(803) 188(761) 299(692) 301(694) 286(696) 303(698) 288(700) 305(702) 290(704) 284(847) 321(848) 297(849) 280(850) 148(851) 282(922) 323(923) 156(1046) 401(1276) 227(1179) 229(1180) 311(1278) 150(1277) 145(1358) 395(1392) 295(1400) 331(1401) 397(1406) 329(1414) 231(1422) 308(1425) 225(1424)
+.names 2678(232) 2682(233)
+1 0
+.names 2474(231) 2478(234)
+1 0
+.names 2454(230) 2458(235)
+1 0
+.names 2451(229) 2457(236)
+1 0
+.names 2446(228) 2450(237)
+1 0
+.names 2443(227) 2449(238)
+1 0
+.names 2438(226) 2442(239)
+1 0
+.names 2435(225) 2441(240)
+1 0
+.names 2430(224) 2434(241)
+1 0
+.names 2427(223) 2433(242)
+1 0
+.names 2105(221) 1655(243)
+1 1
+.names 2105(221) 1418(244)
+1 1
+.names 2104(220) 1631(245)
+1 1
+.names 2104(220) 1394(246)
+1 1
+.names 2100(219) 2103(247)
+1 0
+.names 2100(219) 2699(248)
+1 1
+.names 2096(218) 2099(249)
+1 0
+.names 2096(218) 2702(250)
+1 1
+.names 2090(217) 2094(251)
+1 0
+.names 2090(217) 2691(252)
+1 1
+.names 2084(216) 2088(253)
+1 0
+.names 2084(216) 2694(254)
+1 1
+.names 2078(215) 2082(255)
+1 0
+.names 2078(215) 2683(256)
+1 1
+.names 2072(214) 2076(257)
+1 0
+.names 2072(214) 2686(258)
+1 1
+.names 2090(217) 2084(216) 2078(215) 2072(214) 157(259)
+1111 1
+.names 2067(213) 2070(260)
+1 0
+.names 2067(213) 2675(261)
+1 1
+.names 2066(212) 384(262)
+1 1
+.names 2066(212) 337(263)
+1 1
+.names 2066(212) 411(264)
+1 1
+.names 1996(211) 1999(265)
+1 0
+.names 1996(211) 2505(266)
+1 1
+.names 1991(210) 1994(267)
+1 0
+.names 1991(210) 2508(268)
+1 1
+.names 1986(209) 1989(269)
+1 0
+.names 1986(209) 2495(270)
+1 1
+.names 1981(208) 1984(271)
+1 0
+.names 1981(208) 2498(272)
+1 1
+.names 1976(207) 1979(273)
+1 0
+.names 1976(207) 2487(274)
+1 1
+.names 1971(206) 1974(275)
+1 0
+.names 1971(206) 2490(276)
+1 1
+.names 1966(205) 1969(277)
+1 0
+.names 1966(205) 2479(278)
+1 1
+.names 1961(204) 1964(279)
+1 0
+.names 1961(204) 2482(280)
+1 1
+.names 1956(203) 1959(281)
+1 0
+.names 1956(203) 2471(282)
+1 1
+.names 1384(202) 1385(283)
+1 0
+.names 1348(201) 1351(284)
+1 0
+.names 1348(201) 2461(285)
+1 1
+.names 1341(200) 1344(286)
+1 0
+.names 1341(200) 2464(287)
+1 1
+.names 1083(199) 367(288)
+1 1
+.names 1083(199) 369(289)
+1 1
+.names 868(198) 875(290)
+1 0
+.names 860(197) 865(291)
+1 0
+.names 661(196) 480(292)
+1 1
+.names 651(195) 1284(293)
+1 1
+.names 651(195) 795(294)
+1 1
+.names 559(193) 560(295)
+1 0
+.names 543(192) 1261(296)
+1 1
+.names 543(192) 772(297)
+1 1
+.names 452(190) 409(298)
+1 1
+.names 452(190) 335(299)
+1 1
+.names 452(190) 654(300)
+1 1
+.names 452(190) 350(301)
+1 1
+.names 132(105) 219(302)
+1 0
+.names 120(95) 236(303)
+1 0
+.names 108(85) 238(304)
+1 0
+.names 96(75) 221(305)
+1 0
+.names 82(63) 220(306)
+1 0
+.names 69(52) 235(307)
+1 0
+.names 120(95) 57(42) 108(85) 69(52) 1254(308)
+1111 1
+.names 57(42) 237(309)
+1 0
+.names 132(105) 82(63) 96(75) 44(31) 1251(310)
+1111 1
+.names 44(31) 218(311)
+1 0
+.names 37(28) 37(28) 486(312)
+11 1
+.names 29(22) 2012(313)
+1 1
+.names 29(22) 2001(314)
+1 1
+.names 16(11) 1721(315)
+1 1
+.names 16(11) 1710(316)
+1 1
+.names 11(8) 868(198) 882(317)
+11 1
+.names 8(7) 658(318)
+1 1
+.names 8(7) 655(319)
+1 1
+.names 7(6) 661(196) 1955(320)
+11 1
+.names 2(1) 15(10) 661(196) 258(321)
+111 1
+.names 1(0) 3(2) 546(322)
+11 1
+.names 2675(261) 2682(233) 1776(323)
+11 0
+.names 2471(282) 2478(234) 1499(324)
+11 0
+.names 2454(230) 2457(236) 2459(325)
+11 0
+.names 2451(229) 2458(235) 2460(326)
+11 0
+.names 2446(228) 2449(238) 1493(327)
+11 0
+.names 2443(227) 2450(237) 1494(328)
+11 0
+.names 2438(226) 2441(240) 1484(329)
+11 0
+.names 2435(225) 2442(239) 1485(330)
+11 0
+.names 2430(224) 2433(242) 1475(331)
+11 0
+.names 2427(223) 2434(241) 1476(332)
+11 0
+.names 2106(222) 1955(320) 216(333)
+11 1
+.names 1655(243) 1667(334)
+1 0
+.names 1394(246) 1418(244) 1460(335)
+11 1
+.names 1418(244) 1430(336)
+1 0
+.names 1631(245) 1643(337)
+1 0
+.names 1394(246) 1406(338)
+1 0
+.names 2699(248) 2705(339)
+1 0
+.names 2702(250) 2706(340)
+1 0
+.names 2094(251) 2775(341)
+1 1
+.names 2691(252) 2697(342)
+1 0
+.names 2088(253) 2767(343)
+1 1
+.names 2694(254) 2698(344)
+1 0
+.names 2082(255) 2759(345)
+1 1
+.names 2683(256) 2689(346)
+1 0
+.names 2076(257) 2751(347)
+1 1
+.names 2686(258) 2690(348)
+1 0
+.names 157(259) 158(349)
+1 0
+.names 2070(260) 2743(350)
+1 1
+.names 2675(261) 2681(351)
+1 0
+.names 1999(265) 2735(352)
+1 1
+.names 2505(266) 2511(353)
+1 0
+.names 1994(267) 2623(354)
+1 1
+.names 2508(268) 2512(355)
+1 0
+.names 1989(269) 2615(356)
+1 1
+.names 2495(270) 2501(357)
+1 0
+.names 1984(271) 2607(358)
+1 1
+.names 2498(272) 2502(359)
+1 0
+.names 1979(273) 2599(360)
+1 1
+.names 2487(274) 2493(361)
+1 0
+.names 1974(275) 2591(362)
+1 1
+.names 2490(276) 2494(363)
+1 0
+.names 1969(277) 2583(364)
+1 1
+.names 2479(278) 2485(365)
+1 0
+.names 1964(279) 2575(366)
+1 1
+.names 2482(280) 2486(367)
+1 0
+.names 1959(281) 2567(368)
+1 1
+.names 2471(282) 2477(369)
+1 0
+.names 1351(284) 2559(370)
+1 1
+.names 2461(285) 2467(371)
+1 0
+.names 1344(286) 2551(372)
+1 1
+.names 2464(287) 2468(373)
+1 0
+.names 1284(293) 1296(374)
+1 0
+.names 795(294) 807(375)
+1 0
+.names 567(194) 1955(320) 234(376)
+11 0
+.names 1261(296) 1273(377)
+1 0
+.names 772(297) 784(378)
+1 0
+.names 654(300) 391(379)
+1 1
+.names 118(93) 1631(245) 1655(243) 1681(380)
+111 1
+.names 117(92) 1631(245) 1655(243) 1689(381)
+111 1
+.names 116(91) 1631(245) 1655(243) 1693(382)
+111 1
+.names 115(90) 1631(245) 1655(243) 1697(383)
+111 1
+.names 114(89) 1394(246) 1418(244) 1444(384)
+111 1
+.names 113(88) 1394(246) 1418(244) 1448(385)
+111 1
+.names 112(87) 1394(246) 1418(244) 1452(386)
+111 1
+.names 111(86) 1394(246) 1418(244) 1456(387)
+111 1
+.names 107(84) 1631(245) 1655(243) 1685(388)
+111 1
+.names 94(73) 654(300) 173(389)
+11 1
+.names 80(61) 772(297) 795(294) 821(390)
+111 1
+.names 79(60) 772(297) 795(294) 829(391)
+111 1
+.names 78(59) 772(297) 795(294) 833(392)
+111 1
+.names 77(58) 772(297) 795(294) 837(393)
+111 1
+.names 76(57) 1261(296) 1284(293) 1310(394)
+111 1
+.names 75(56) 1261(296) 1284(293) 1314(395)
+111 1
+.names 74(55) 1261(296) 1284(293) 1318(396)
+111 1
+.names 73(54) 1261(296) 1284(293) 1322(397)
+111 1
+.names 72(53) 1261(296) 1284(293) 1326(398)
+111 1
+.names 68(51) 772(297) 795(294) 825(399)
+111 1
+.names 1254(308) 1251(310) 558(400)
+11 1
+.names 1254(308) 1256(401)
+1 0
+.names 1251(310) 1253(402)
+1 0
+.names 486(312) 487(403)
+1 0
+.names 2012(313) 2018(404)
+1 0
+.names 2001(314) 2007(405)
+1 0
+.names 1721(315) 1728(406)
+1 0
+.names 1710(316) 1716(407)
+1 0
+.names 11(8) 875(290) 881(408)
+11 1
+.names 658(318) 1831(409)
+1 1
+.names 658(318) 1893(410)
+1 1
+.names 655(319) 748(411)
+1 1
+.names 655(319) 994(412)
+1 1
+.names 1955(320) 223(413)
+1 0
+.names 258(321) 259(414)
+1 0
+.names 546(322) 547(415)
+1 0
+.names 2678(232) 2681(351) 1775(416)
+11 0
+.names 2474(231) 2477(369) 1498(417)
+11 0
+.names 2459(325) 2460(326) 2518(418)
+11 0
+.names 1493(327) 1494(328) 1495(419)
+11 0
+.names 1484(329) 1485(330) 1486(420)
+11 0
+.names 1475(331) 1476(332) 1477(421)
+11 0
+.names 2106(222) 1253(402) 550(422)
+11 1
+.names 216(333) 217(423)
+1 0
+.names 1406(338) 1418(244) 1459(424)
+11 1
+.names 1406(338) 1430(336) 1457(425)
+11 1
+.names 1394(246) 1430(336) 1458(426)
+11 1
+.names 2699(248) 2706(340) 2708(427)
+11 0
+.names 2702(250) 2705(339) 2707(428)
+11 0
+.names 2775(341) 2781(429)
+1 0
+.names 2691(252) 2698(344) 1794(430)
+11 0
+.names 2767(343) 2773(431)
+1 0
+.names 2694(254) 2697(342) 1793(432)
+11 0
+.names 2759(345) 2765(433)
+1 0
+.names 2683(256) 2690(348) 1785(434)
+11 0
+.names 2751(347) 2757(435)
+1 0
+.names 2686(258) 2689(346) 1784(436)
+11 0
+.names 2743(350) 2749(437)
+1 0
+.names 2735(352) 2741(438)
+1 0
+.names 2505(266) 2512(355) 2514(439)
+11 0
+.names 2623(354) 2629(440)
+1 0
+.names 2508(268) 2511(353) 2513(441)
+11 0
+.names 2615(356) 2621(442)
+1 0
+.names 2495(270) 2502(359) 2504(443)
+11 0
+.names 2607(358) 2613(444)
+1 0
+.names 2498(272) 2501(357) 2503(445)
+11 0
+.names 2599(360) 2605(446)
+1 0
+.names 2487(274) 2494(363) 1517(447)
+11 0
+.names 2591(362) 2597(448)
+1 0
+.names 2490(276) 2493(361) 1516(449)
+11 0
+.names 2583(364) 2589(450)
+1 0
+.names 2479(278) 2486(367) 1508(451)
+11 0
+.names 2575(366) 2581(452)
+1 0
+.names 2482(280) 2485(365) 1507(453)
+11 0
+.names 2567(368) 2573(454)
+1 0
+.names 2559(370) 2565(455)
+1 0
+.names 2461(285) 2468(373) 2470(456)
+11 0
+.names 2551(372) 2557(457)
+1 0
+.names 2464(287) 2467(371) 2469(458)
+11 0
+.names 1273(377) 1284(293) 1317(459)
+11 1
+.names 567(194) 1256(401) 552(460)
+11 1
+.names 142(113) 1643(337) 1667(334) 1678(461)
+111 1
+.names 141(112) 1643(337) 1667(334) 1686(462)
+111 1
+.names 140(111) 1643(337) 1667(334) 1690(463)
+111 1
+.names 139(110) 1643(337) 1667(334) 1694(464)
+111 1
+.names 138(109) 1406(338) 1430(336) 1441(465)
+111 1
+.names 137(108) 1406(338) 1430(336) 1445(466)
+111 1
+.names 136(107) 1406(338) 1430(336) 1449(467)
+111 1
+.names 135(106) 1406(338) 1430(336) 1453(468)
+111 1
+.names 131(104) 1643(337) 1667(334) 1682(469)
+111 1
+.names 130(103) 1643(337) 1655(243) 1680(470)
+111 1
+.names 129(102) 1643(337) 1655(243) 1688(471)
+111 1
+.names 128(101) 1643(337) 1655(243) 1692(472)
+111 1
+.names 127(100) 1643(337) 1655(243) 1696(473)
+111 1
+.names 126(99) 1406(338) 1418(244) 1443(474)
+111 1
+.names 125(98) 1406(338) 1418(244) 1447(475)
+111 1
+.names 124(97) 1406(338) 1418(244) 1451(476)
+111 1
+.names 123(96) 1406(338) 1418(244) 1455(477)
+111 1
+.names 119(94) 1643(337) 1655(243) 1684(478)
+111 1
+.names 106(83) 1631(245) 1667(334) 1679(479)
+111 1
+.names 105(82) 1631(245) 1667(334) 1687(480)
+111 1
+.names 104(81) 1631(245) 1667(334) 1691(481)
+111 1
+.names 103(80) 1631(245) 1667(334) 1695(482)
+111 1
+.names 102(79) 1394(246) 1430(336) 1442(483)
+111 1
+.names 101(78) 1394(246) 1430(336) 1446(484)
+111 1
+.names 100(77) 1394(246) 1430(336) 1450(485)
+111 1
+.names 99(76) 1394(246) 1430(336) 1454(486)
+111 1
+.names 95(74) 1631(245) 1667(334) 1683(487)
+111 1
+.names 93(72) 784(378) 807(375) 818(488)
+111 1
+.names 92(71) 784(378) 807(375) 826(489)
+111 1
+.names 91(70) 784(378) 807(375) 830(490)
+111 1
+.names 90(69) 784(378) 807(375) 834(491)
+111 1
+.names 89(68) 1273(377) 1296(374) 1307(492)
+111 1
+.names 88(67) 1273(377) 1296(374) 1311(493)
+111 1
+.names 87(66) 1273(377) 1296(374) 1315(494)
+111 1
+.names 86(65) 1273(377) 1296(374) 1319(495)
+111 1
+.names 85(64) 1273(377) 1296(374) 1323(496)
+111 1
+.names 81(62) 784(378) 807(375) 822(497)
+111 1
+.names 67(50) 784(378) 795(294) 820(498)
+111 1
+.names 66(49) 784(378) 795(294) 828(499)
+111 1
+.names 65(48) 784(378) 795(294) 832(500)
+111 1
+.names 64(47) 784(378) 795(294) 836(501)
+111 1
+.names 63(46) 1273(377) 1284(293) 1309(502)
+111 1
+.names 62(45) 1273(377) 1284(293) 1313(503)
+111 1
+.names 61(44) 1273(377) 1284(293) 1321(504)
+111 1
+.names 60(43) 1273(377) 1284(293) 1325(505)
+111 1
+.names 558(400) 261(506)
+1 0
+.names 558(400) 325(507)
+1 1
+.names 56(41) 784(378) 795(294) 824(508)
+111 1
+.names 55(40) 772(297) 807(375) 819(509)
+111 1
+.names 54(39) 772(297) 807(375) 827(510)
+111 1
+.names 53(38) 772(297) 807(375) 831(511)
+111 1
+.names 52(37) 772(297) 807(375) 835(512)
+111 1
+.names 51(36) 1261(296) 1296(374) 1308(513)
+111 1
+.names 50(35) 1261(296) 1296(374) 1312(514)
+111 1
+.names 49(34) 1261(296) 1296(374) 1316(515)
+111 1
+.names 48(33) 1261(296) 1296(374) 1320(516)
+111 1
+.names 47(32) 1261(296) 1296(374) 1324(517)
+111 1
+.names 43(30) 772(297) 807(375) 823(518)
+111 1
+.names 35(26) 2018(404) 2035(519)
+11 1
+.names 34(25) 2018(404) 2033(520)
+11 1
+.names 33(24) 2007(405) 2029(521)
+11 1
+.names 32(23) 2007(405) 2025(522)
+11 1
+.names 28(21) 2018(404) 2037(523)
+11 1
+.names 27(20) 2018(404) 2031(524)
+11 1
+.names 26(19) 2007(405) 2027(525)
+11 1
+.names 25(18) 2007(405) 2023(526)
+11 1
+.names 24(17) 1728(406) 1750(527)
+11 1
+.names 23(16) 1728(406) 1746(528)
+11 1
+.names 22(15) 1728(406) 1744(529)
+11 1
+.names 21(14) 1728(406) 1742(530)
+11 1
+.names 20(13) 1716(407) 1738(531)
+11 1
+.names 19(12) 1716(407) 1734(532)
+11 1
+.names 881(408) 882(317) 894(533)
+00 0
+.names 6(5) 1728(406) 1748(534)
+11 1
+.names 5(4) 1716(407) 1740(535)
+11 1
+.names 4(3) 1716(407) 1736(536)
+11 1
+.names 1775(416) 1776(323) 1777(537)
+11 0
+.names 1498(417) 1499(324) 1500(538)
+11 0
+.names 2518(418) 2522(539)
+1 0
+.names 1495(419) 1525(540)
+1 1
+.names 1495(419) 1521(541)
+1 1
+.names 1486(420) 1490(542)
+1 0
+.names 1477(421) 1481(543)
+1 0
+.names 550(422) 551(544)
+1 0
+.names 1457(425) 1458(426) 1459(424) 1460(335) 1473(545)
+0000 0
+.names 2707(428) 2708(427) 2730(546)
+11 0
+.names 1793(432) 1794(430) 1795(547)
+11 0
+.names 1784(436) 1785(434) 1786(548)
+11 0
+.names 2513(441) 2514(439) 2525(549)
+11 0
+.names 2503(445) 2504(443) 2528(550)
+11 0
+.names 1516(449) 1517(447) 1518(551)
+11 0
+.names 1507(453) 1508(451) 1509(552)
+11 0
+.names 2469(458) 2470(456) 2515(553)
+11 0
+.names 552(460) 553(554)
+1 0
+.names 1678(461) 1679(479) 1680(470) 1681(380) 2634(555)
+0000 0
+.names 1686(462) 1687(480) 1688(471) 1689(381) 1701(556)
+0000 0
+.names 1690(463) 1691(481) 1692(472) 1693(382) 1704(557)
+0000 0
+.names 1694(464) 1695(482) 1696(473) 1697(383) 1707(558)
+0000 0
+.names 1441(465) 1442(483) 1443(474) 1444(384) 1461(559)
+0000 0
+.names 1445(466) 1446(484) 1447(475) 1448(385) 1464(560)
+0000 0
+.names 1449(467) 1450(485) 1451(476) 1452(386) 1467(561)
+0000 0
+.names 1453(468) 1454(486) 1455(477) 1456(387) 1470(562)
+0000 0
+.names 1682(469) 1683(487) 1684(478) 1685(388) 1698(563)
+0000 0
+.names 818(488) 819(509) 820(498) 821(390) 838(564)
+0000 0
+.names 826(489) 827(510) 828(499) 829(391) 846(565)
+0000 0
+.names 830(490) 831(511) 832(500) 833(392) 854(566)
+0000 0
+.names 834(491) 835(512) 836(501) 837(393) 857(567)
+0000 0
+.names 1307(492) 1308(513) 1309(502) 1310(394) 1327(568)
+0000 0
+.names 1311(493) 1312(514) 1313(503) 1314(395) 1329(569)
+0000 0
+.names 1315(494) 1316(515) 1317(459) 1318(396) 1331(570)
+0000 0
+.names 1319(495) 1320(516) 1321(504) 1322(397) 1333(571)
+0000 0
+.names 1323(496) 1324(517) 1325(505) 1326(398) 1335(572)
+0000 0
+.names 822(497) 823(518) 824(508) 825(399) 841(573)
+0000 0
+.names 1777(537) 1781(574)
+1 0
+.names 1500(538) 1504(575)
+1 0
+.names 2515(553) 2522(539) 2524(576)
+11 0
+.names 1490(542) 1481(543) 1525(540) 1541(577)
+111 1
+.names 1525(540) 1528(578)
+1 0
+.names 1521(541) 1524(579)
+1 0
+.names 1486(420) 1477(421) 1521(541) 1538(580)
+111 1
+.names 551(544) 553(554) 554(581)
+11 1
+.names 1473(545) 2665(582)
+1 1
+.names 2103(247) 1473(545) 1218(583)
+11 0
+.names 2730(546) 2734(584)
+1 0
+.names 2099(249) 1470(562) 1213(585)
+11 0
+.names 1795(547) 1810(586)
+1 1
+.names 1795(547) 1806(587)
+1 1
+.names 1786(548) 1790(588)
+1 0
+.names 2525(549) 2531(589)
+1 0
+.names 2528(550) 2532(590)
+1 0
+.names 1518(551) 1533(591)
+1 1
+.names 1518(551) 1529(592)
+1 1
+.names 1509(552) 1513(593)
+1 0
+.names 1461(559) 1385(283) 1387(594)
+11 1
+.names 2515(553) 2521(595)
+1 0
+.names 841(573) 875(290) 885(596)
+11 1
+.names 846(565) 875(290) 887(597)
+11 1
+.names 1327(568) 868(198) 893(598)
+11 1
+.names 841(573) 860(197) 152(599)
+11 1
+.names 846(565) 860(197) 147(600)
+11 1
+.names 838(564) 860(197) 144(601)
+11 1
+.names 2634(555) 2638(602)
+1 0
+.names 1701(556) 2642(603)
+1 1
+.names 1704(557) 1250(604)
+1 1
+.names 1704(557) 2639(605)
+1 1
+.names 1707(558) 2650(606)
+1 1
+.names 1461(559) 164(607)
+1 0
+.names 1461(559) 2647(608)
+1 1
+.names 1464(560) 160(609)
+1 0
+.names 1464(560) 1389(610)
+1 0
+.names 1464(560) 2658(611)
+1 1
+.names 1467(561) 162(612)
+1 0
+.names 1467(561) 2655(613)
+1 1
+.names 1470(562) 2668(614)
+1 1
+.names 1698(563) 2631(615)
+1 1
+.names 838(564) 516(616)
+1 1
+.names 838(564) 1028(617)
+1 0
+.names 846(565) 1035(618)
+1 0
+.names 846(565) 852(619)
+1 0
+.names 854(566) 1041(620)
+1 1
+.names 857(567) 171(621)
+1 0
+.names 857(567) 1049(622)
+1 1
+.names 1327(568) 168(623)
+1 0
+.names 1327(568) 1057(624)
+1 1
+.names 1329(569) 166(625)
+1 0
+.names 1329(569) 1060(626)
+1 1
+.names 1331(570) 1066(627)
+1 1
+.names 1333(571) 1072(628)
+1 1
+.names 1335(572) 1078(629)
+1 1
+.names 841(573) 1031(630)
+1 0
+.names 841(573) 2154(631)
+1 1
+.names 1467(561) 2012(313) 2036(632)
+11 1
+.names 1464(560) 2012(313) 2034(633)
+11 1
+.names 1461(559) 2012(313) 2032(634)
+11 1
+.names 1470(562) 2012(313) 2038(635)
+11 1
+.names 1698(563) 2001(314) 2024(636)
+11 1
+.names 1707(558) 2001(314) 2030(637)
+11 1
+.names 1704(557) 2001(314) 2028(638)
+11 1
+.names 1701(556) 2001(314) 2026(639)
+11 1
+.names 1331(570) 1721(315) 1747(640)
+11 1
+.names 1329(569) 1721(315) 1745(641)
+11 1
+.names 1327(568) 1721(315) 1743(642)
+11 1
+.names 1335(572) 1721(315) 1751(643)
+11 1
+.names 1333(571) 1721(315) 1749(644)
+11 1
+.names 841(573) 1710(316) 1735(645)
+11 1
+.names 857(567) 1710(316) 1741(646)
+11 1
+.names 854(566) 1710(316) 1739(647)
+11 1
+.names 846(565) 1710(316) 1737(648)
+11 1
+.names 1786(548) 1777(537) 1806(587) 1821(649)
+111 1
+.names 1790(588) 1781(574) 1810(586) 1824(650)
+111 1
+.names 1509(552) 1500(538) 1529(592) 1544(651)
+111 1
+.names 1513(593) 1504(575) 1533(591) 1547(652)
+111 1
+.names 2518(418) 2521(595) 2523(653)
+11 0
+.names 1481(543) 1486(420) 1524(579) 1537(654)
+111 1
+.names 1477(421) 1490(542) 1528(578) 1540(655)
+111 1
+.names 554(581) 319(656)
+1 1
+.names 1218(583) 1473(545) 1234(657)
+11 1
+.names 2665(582) 2671(658)
+1 0
+.names 2103(247) 1218(583) 1232(659)
+11 1
+.names 2099(249) 1213(585) 1225(660)
+11 1
+.names 1810(586) 1813(661)
+1 0
+.names 1806(587) 1809(662)
+1 0
+.names 2525(549) 2532(590) 2534(663)
+11 0
+.names 2528(550) 2531(589) 2533(664)
+11 0
+.names 1533(591) 1536(665)
+1 0
+.names 1529(592) 1532(666)
+1 0
+.names 1387(594) 466(667)
+1 0
+.names 516(616) 875(290) 883(668)
+11 1
+.names 1041(620) 875(290) 891(669)
+11 1
+.names 1049(622) 868(198) 889(670)
+11 1
+.names 865(291) 152(599) 153(671)
+00 0
+.names 560(295) 852(619) 562(672)
+11 0
+.names 480(292) 483(191) 554(581) 547(415) 187(673)
+1111 1
+.names 2631(615) 2638(602) 1753(674)
+11 0
+.names 2642(603) 2646(675)
+1 0
+.names 2639(605) 2645(676)
+1 0
+.names 2650(606) 2654(677)
+1 0
+.names 2647(608) 2653(678)
+1 0
+.names 2658(611) 2662(679)
+1 0
+.names 2655(613) 2661(680)
+1 0
+.names 1213(585) 1470(562) 1227(681)
+11 1
+.names 2668(614) 2672(682)
+1 0
+.names 2631(615) 2637(683)
+1 0
+.names 516(616) 2235(684)
+1 1
+.names 1028(617) 2110(685)
+1 1
+.names 1028(617) 2164(686)
+1 1
+.names 1035(618) 2350(687)
+1 1
+.names 1035(618) 2118(688)
+1 1
+.names 1035(618) 2262(689)
+1 1
+.names 1035(618) 2172(690)
+1 1
+.names 852(619) 2151(691)
+1 0
+.names 1041(620) 299(692)
+1 1
+.names 1041(620) 1043(693)
+1 0
+.names 1049(622) 301(694)
+1 1
+.names 1049(622) 1051(695)
+1 0
+.names 1057(624) 286(696)
+1 1
+.names 1057(624) 2123(697)
+1 0
+.names 1060(626) 303(698)
+1 1
+.names 1060(626) 1062(699)
+1 0
+.names 1066(627) 288(700)
+1 1
+.names 1066(627) 1068(701)
+1 0
+.names 1072(628) 305(702)
+1 1
+.names 1072(628) 1074(703)
+1 0
+.names 1078(629) 290(704)
+1 1
+.names 1078(629) 1080(705)
+1 0
+.names 1031(630) 2107(706)
+1 1
+.names 1031(630) 2161(707)
+1 1
+.names 2154(631) 2158(708)
+1 0
+.names 1389(610) 1387(594) 40(29) 456(709)
+111 1
+.names 480(292) 483(191) 36(27) 554(581) 175(710)
+1111 1
+.names 2035(519) 2036(632) 2778(711)
+00 0
+.names 2033(520) 2034(633) 2770(712)
+00 0
+.names 2029(521) 2030(637) 2754(713)
+00 0
+.names 2025(522) 2026(639) 2738(714)
+00 0
+.names 2037(523) 2038(635) 2065(715)
+00 0
+.names 2031(524) 2032(634) 2762(716)
+00 0
+.names 2027(525) 2028(638) 2746(717)
+00 0
+.names 2023(526) 2024(636) 2626(718)
+00 0
+.names 1750(527) 1751(643) 2618(719)
+00 0
+.names 1746(528) 1747(640) 2602(720)
+00 0
+.names 1744(529) 1745(641) 2594(721)
+00 0
+.names 1742(530) 1743(642) 2586(722)
+00 0
+.names 1738(531) 1739(647) 2570(723)
+00 0
+.names 1734(532) 1735(645) 2554(724)
+00 0
+.names 1748(534) 1749(644) 2610(725)
+00 0
+.names 1740(535) 1741(646) 2578(726)
+00 0
+.names 1736(536) 1737(648) 2562(727)
+00 0
+.names 1777(537) 1790(588) 1813(661) 1823(728)
+111 1
+.names 1500(538) 1513(593) 1536(665) 1546(729)
+111 1
+.names 2523(653) 2524(576) 2538(730)
+11 0
+.names 1540(655) 1541(577) 1542(731)
+00 1
+.names 1537(654) 1538(580) 1539(732)
+00 1
+.names 1232(659) 1234(657) 1235(733)
+00 0
+.names 2665(582) 2672(682) 2674(734)
+11 0
+.names 1225(660) 1227(681) 1228(735)
+00 0
+.names 2778(711) 2781(429) 2059(736)
+11 0
+.names 2770(712) 2773(431) 2055(737)
+11 0
+.names 2762(716) 2765(433) 2051(738)
+11 0
+.names 1781(574) 1786(548) 1809(662) 1820(739)
+111 1
+.names 2754(713) 2757(435) 2047(740)
+11 0
+.names 2746(717) 2749(437) 2043(741)
+11 0
+.names 2738(714) 2741(438) 2039(742)
+11 0
+.names 2533(664) 2534(663) 2546(743)
+11 0
+.names 2626(718) 2629(440) 1597(744)
+11 0
+.names 2618(719) 2621(442) 1593(745)
+11 0
+.names 2610(725) 2613(444) 1589(746)
+11 0
+.names 2602(720) 2605(446) 1585(747)
+11 0
+.names 2594(721) 2597(448) 1581(748)
+11 0
+.names 2586(722) 2589(450) 1577(749)
+11 0
+.names 1504(575) 1509(552) 1532(666) 1543(750)
+111 1
+.names 2578(726) 2581(452) 1573(751)
+11 0
+.names 2570(723) 2573(454) 1569(752)
+11 0
+.names 2562(727) 2565(455) 1565(753)
+11 0
+.names 2554(724) 2557(457) 1561(754)
+11 0
+.names 887(597) 889(670) 897(755)
+00 0
+.names 891(669) 893(598) 898(756)
+00 0
+.names 562(672) 868(198) 886(757)
+11 1
+.names 562(672) 865(291) 146(758)
+11 1
+.names 562(672) 2207(759)
+1 0
+.names 562(672) 592(760)
+1 1
+.names 187(673) 188(761)
+1 0
+.names 2634(555) 2637(683) 1752(762)
+11 0
+.names 2642(603) 2645(676) 1761(763)
+11 0
+.names 2639(605) 2646(675) 1762(764)
+11 0
+.names 2650(606) 2653(678) 1770(765)
+11 0
+.names 2647(608) 2654(677) 1771(766)
+11 0
+.names 2658(611) 2661(680) 2663(767)
+11 0
+.names 2655(613) 2662(679) 2664(768)
+11 0
+.names 2668(614) 2671(658) 2673(769)
+11 0
+.names 2235(684) 2241(770)
+1 0
+.names 2110(685) 2114(771)
+1 0
+.names 2164(686) 2168(772)
+1 0
+.names 2350(687) 2354(773)
+1 0
+.names 2118(688) 2122(774)
+1 0
+.names 2262(689) 2266(775)
+1 0
+.names 2172(690) 2176(776)
+1 0
+.names 2151(691) 2157(777)
+1 0
+.names 2151(691) 2158(708) 2160(778)
+11 0
+.names 1043(693) 2342(779)
+1 1
+.names 1043(693) 2115(780)
+1 1
+.names 1043(693) 2254(781)
+1 1
+.names 1043(693) 2169(782)
+1 1
+.names 1051(695) 2422(783)
+1 1
+.names 1051(695) 2334(784)
+1 1
+.names 1051(695) 2126(785)
+1 1
+.names 2123(697) 2129(786)
+1 0
+.names 1062(699) 2134(787)
+1 1
+.names 1062(699) 2180(788)
+1 1
+.names 1068(701) 2131(789)
+1 1
+.names 1068(701) 2177(790)
+1 1
+.names 1074(703) 2144(791)
+1 1
+.names 1074(703) 2190(792)
+1 1
+.names 1080(705) 2141(793)
+1 1
+.names 1080(705) 2187(794)
+1 1
+.names 2107(706) 2113(795)
+1 0
+.names 2161(707) 2167(796)
+1 0
+.names 40(29) 1389(610) 466(667) 468(797)
+111 1
+.names 456(709) 995(798)
+1 1
+.names 456(709) 1006(799)
+1 0
+.names 456(709) 743(800)
+1 0
+.names 456(709) 749(801)
+1 1
+.names 456(709) 462(802)
+1 0
+.names 175(710) 176(803)
+1 0
+.names 2778(711) 2782(804)
+1 0
+.names 2770(712) 2774(805)
+1 0
+.names 2754(713) 2758(806)
+1 0
+.names 2738(714) 2742(807)
+1 0
+.names 2762(716) 2766(808)
+1 0
+.names 2746(717) 2750(809)
+1 0
+.names 2626(718) 2630(810)
+1 0
+.names 2618(719) 2622(811)
+1 0
+.names 2602(720) 2606(812)
+1 0
+.names 2594(721) 2598(813)
+1 0
+.names 2586(722) 2590(814)
+1 0
+.names 2570(723) 2574(815)
+1 0
+.names 2554(724) 2558(816)
+1 0
+.names 2610(725) 2614(817)
+1 0
+.names 2578(726) 2582(818)
+1 0
+.names 2562(727) 2566(819)
+1 0
+.names 1820(739) 1821(649) 1822(820)
+00 1
+.names 1823(728) 1824(650) 1825(821)
+00 1
+.names 1543(750) 1544(651) 1545(822)
+00 1
+.names 1546(729) 1547(652) 1548(823)
+00 1
+.names 2538(730) 2542(824)
+1 0
+.names 1542(731) 1539(732) 2535(825)
+11 0
+.names 1235(733) 1245(826)
+1 0
+.names 2673(769) 2674(734) 2709(827)
+11 0
+.names 1228(735) 1243(828)
+1 0
+.names 2775(341) 2782(804) 2060(829)
+11 0
+.names 2767(343) 2774(805) 2056(830)
+11 0
+.names 2759(345) 2766(808) 2052(831)
+11 0
+.names 2751(347) 2758(806) 2048(832)
+11 0
+.names 2743(350) 2750(809) 2044(833)
+11 0
+.names 2735(352) 2742(807) 2040(834)
+11 0
+.names 2546(743) 2550(835)
+1 0
+.names 2623(354) 2630(810) 1598(836)
+11 0
+.names 2615(356) 2622(811) 1594(837)
+11 0
+.names 2607(358) 2614(817) 1590(838)
+11 0
+.names 2599(360) 2606(812) 1586(839)
+11 0
+.names 2591(362) 2598(813) 1582(840)
+11 0
+.names 2583(364) 2590(814) 1578(841)
+11 0
+.names 2575(366) 2582(818) 1574(842)
+11 0
+.names 2567(368) 2574(815) 1570(843)
+11 0
+.names 2559(370) 2566(819) 1566(844)
+11 0
+.names 2551(372) 2558(816) 1562(845)
+11 0
+.names 885(596) 886(757) 896(846)
+00 0
+.names 897(755) 284(847)
+1 1
+.names 897(755) 321(848)
+1 1
+.names 898(756) 297(849)
+1 1
+.names 898(756) 280(850)
+1 1
+.names 146(758) 147(600) 148(851)
+00 0
+.names 2207(759) 2213(852)
+1 0
+.names 592(760) 596(853)
+1 0
+.names 1752(762) 1753(674) 1754(854)
+11 0
+.names 1701(556) 743(800) 502(855)
+11 1
+.names 1701(556) 1006(799) 729(856)
+11 1
+.names 1761(763) 1762(764) 1763(857)
+11 0
+.names 1250(604) 743(800) 508(858)
+11 1
+.names 1250(604) 1006(799) 735(859)
+11 1
+.names 1770(765) 1771(766) 1772(860)
+11 0
+.names 2663(767) 2664(768) 2712(861)
+11 0
+.names 1698(563) 743(800) 496(862)
+11 1
+.names 1698(563) 1006(799) 723(863)
+11 1
+.names 2110(685) 2113(795) 569(864)
+11 0
+.names 2164(686) 2167(796) 599(865)
+11 0
+.names 2115(780) 2122(774) 579(866)
+11 0
+.names 2169(782) 2176(776) 609(867)
+11 0
+.names 2342(779) 2346(868)
+1 0
+.names 2115(780) 2121(869)
+1 0
+.names 2254(781) 2258(870)
+1 0
+.names 2169(782) 2175(871)
+1 0
+.names 2422(783) 2426(872)
+1 0
+.names 2334(784) 2338(873)
+1 0
+.names 2126(785) 2129(786) 587(874)
+11 0
+.names 2126(785) 2130(875)
+1 0
+.names 1057(624) 749(801) 765(876)
+11 1
+.names 1057(624) 995(798) 1014(877)
+11 1
+.names 1060(626) 749(801) 769(878)
+11 1
+.names 1060(626) 995(798) 1018(879)
+11 1
+.names 2134(787) 2138(880)
+1 0
+.names 2180(788) 2184(881)
+1 0
+.names 2131(789) 2137(882)
+1 0
+.names 2177(790) 2183(883)
+1 0
+.names 2144(791) 2148(884)
+1 0
+.names 2190(792) 2194(885)
+1 0
+.names 1078(629) 743(800) 490(886)
+11 1
+.names 1078(629) 1006(799) 717(887)
+11 1
+.names 2141(793) 2147(888)
+1 0
+.names 2187(794) 2193(889)
+1 0
+.names 2107(706) 2114(771) 570(890)
+11 0
+.names 2161(707) 2168(772) 600(891)
+11 0
+.names 2154(631) 2157(777) 2159(892)
+11 0
+.names 468(797) 1257(893)
+1 1
+.names 468(797) 1258(894)
+1 1
+.names 995(798) 999(895)
+1 0
+.names 749(801) 753(896)
+1 0
+.names 462(802) 475(897)
+1 1
+.names 462(802) 1337(898)
+1 1
+.names 1825(821) 1822(820) 2727(899)
+11 0
+.names 1548(823) 1545(822) 2543(900)
+11 0
+.names 2535(825) 2542(824) 1550(901)
+11 0
+.names 2535(825) 2541(902)
+1 0
+.names 1235(733) 1245(826) 1094(903)
+11 1
+.names 2709(827) 2715(904)
+1 0
+.names 1228(735) 1243(828) 1096(905)
+11 1
+.names 2059(736) 2060(829) 2061(906)
+11 0
+.names 2055(737) 2056(830) 2057(907)
+11 0
+.names 2051(738) 2052(831) 2053(908)
+11 0
+.names 2047(740) 2048(832) 2049(909)
+11 0
+.names 2043(741) 2044(833) 2045(910)
+11 0
+.names 2039(742) 2040(834) 2041(911)
+11 0
+.names 1597(744) 1598(836) 1599(912)
+11 0
+.names 1593(745) 1594(837) 1595(913)
+11 0
+.names 1589(746) 1590(838) 1591(914)
+11 0
+.names 1585(747) 1586(839) 1587(915)
+11 0
+.names 1581(748) 1582(840) 1583(916)
+11 0
+.names 1577(749) 1578(841) 1579(917)
+11 0
+.names 1573(751) 1574(842) 1575(918)
+11 0
+.names 1569(752) 1570(843) 1571(919)
+11 0
+.names 1565(753) 1566(844) 1567(920)
+11 0
+.names 1561(754) 1562(845) 1563(921)
+11 0
+.names 896(846) 282(922)
+1 1
+.names 896(846) 323(923)
+1 1
+.names 1754(854) 1758(924)
+1 0
+.names 1763(857) 1767(925)
+1 0
+.names 1772(860) 1802(926)
+1 1
+.names 1772(860) 1798(927)
+1 1
+.names 2712(861) 2716(928)
+1 0
+.names 569(864) 570(890) 571(929)
+11 0
+.names 599(865) 600(891) 601(930)
+11 0
+.names 2118(688) 2121(869) 578(931)
+11 0
+.names 2172(690) 2175(871) 608(932)
+11 0
+.names 2159(892) 2160(778) 2210(933)
+11 0
+.names 1057(624) 753(896) 763(934)
+11 1
+.names 1057(624) 999(895) 1012(935)
+11 1
+.names 2123(697) 2130(875) 588(936)
+11 0
+.names 1060(626) 753(896) 767(937)
+11 1
+.names 1060(626) 999(895) 1016(938)
+11 1
+.names 2134(787) 2137(882) 2139(939)
+11 0
+.names 2180(788) 2183(883) 2185(940)
+11 0
+.names 1066(627) 753(896) 531(941)
+11 1
+.names 1066(627) 999(895) 705(942)
+11 1
+.names 2131(789) 2138(880) 2140(943)
+11 0
+.names 2177(790) 2184(881) 2186(944)
+11 0
+.names 1072(628) 753(896) 537(945)
+11 1
+.names 1072(628) 999(895) 711(946)
+11 1
+.names 2144(791) 2147(888) 2149(947)
+11 0
+.names 2190(792) 2193(889) 2195(948)
+11 0
+.names 2141(793) 2148(884) 2150(949)
+11 0
+.names 2187(794) 2194(885) 2196(950)
+11 0
+.names 1257(893) 742(951)
+1 1
+.names 1257(893) 1005(952)
+1 1
+.names 1258(894) 1845(953)
+1 1
+.names 1258(894) 1907(954)
+1 1
+.names 475(897) 1836(955)
+1 1
+.names 475(897) 1850(956)
+1 1
+.names 475(897) 1355(957)
+1 1
+.names 1337(898) 1898(958)
+1 1
+.names 1337(898) 1912(959)
+1 1
+.names 1337(898) 1601(960)
+1 1
+.names 2727(899) 2733(961)
+1 0
+.names 2543(900) 2549(962)
+1 0
+.names 2538(730) 2541(902) 1549(963)
+11 0
+.names 1094(903) 1245(826) 154(964)
+00 0
+.names 2709(827) 2716(928) 2718(965)
+11 0
+.names 2727(899) 2734(584) 1829(966)
+11 0
+.names 1096(905) 1243(828) 155(967)
+00 0
+.names 2061(906) 2062(968)
+1 0
+.names 2057(907) 2058(969)
+1 0
+.names 2053(908) 2054(970)
+1 0
+.names 2049(909) 2050(971)
+1 0
+.names 2070(260) 1850(956) 1876(972)
+11 1
+.names 2070(260) 1912(959) 1938(973)
+11 1
+.names 2045(910) 2046(974)
+1 0
+.names 1999(265) 1850(956) 1874(975)
+11 1
+.names 1999(265) 1912(959) 1936(976)
+11 1
+.names 2041(911) 2042(977)
+1 0
+.names 2543(900) 2550(835) 1552(978)
+11 0
+.names 1994(267) 1850(956) 1872(979)
+11 1
+.names 1994(267) 1912(959) 1934(980)
+11 1
+.names 1599(912) 1600(981)
+1 0
+.names 1989(269) 1850(956) 1870(982)
+11 1
+.names 1989(269) 1912(959) 1932(983)
+11 1
+.names 1595(913) 1596(984)
+1 0
+.names 1984(271) 1836(955) 1868(985)
+11 1
+.names 1984(271) 1898(958) 1930(986)
+11 1
+.names 1591(914) 1592(987)
+1 0
+.names 1979(273) 1836(955) 1866(988)
+11 1
+.names 1979(273) 1898(958) 1928(989)
+11 1
+.names 1587(915) 1588(990)
+1 0
+.names 1974(275) 1836(955) 1863(991)
+11 1
+.names 1974(275) 1898(958) 1925(992)
+11 1
+.names 1583(916) 1584(993)
+1 0
+.names 1969(277) 1836(955) 1858(994)
+11 1
+.names 1969(277) 1898(958) 1920(995)
+11 1
+.names 1579(917) 1580(996)
+1 0
+.names 1964(279) 1355(957) 1377(997)
+11 1
+.names 1964(279) 1601(960) 1623(998)
+11 1
+.names 1575(918) 1576(999)
+1 0
+.names 1959(281) 1355(957) 1373(1000)
+11 1
+.names 1959(281) 1601(960) 1619(1001)
+11 1
+.names 1571(919) 1572(1002)
+1 0
+.names 1351(284) 1601(960) 1615(1003)
+11 1
+.names 1351(284) 1355(957) 1369(1004)
+11 1
+.names 1567(920) 1568(1005)
+1 0
+.names 1344(286) 1355(957) 676(1006)
+11 1
+.names 1344(286) 1601(960) 1108(1007)
+11 1
+.names 1563(921) 1564(1008)
+1 0
+.names 2210(933) 2213(852) 2215(1009)
+11 0
+.names 1763(857) 1754(854) 1798(927) 1815(1010)
+111 1
+.names 1767(925) 1758(924) 1802(926) 1818(1011)
+111 1
+.names 502(855) 742(951) 504(1012)
+11 1
+.names 729(856) 1005(952) 731(1013)
+11 1
+.names 508(858) 742(951) 510(1014)
+11 1
+.names 735(859) 1005(952) 737(1015)
+11 1
+.names 1802(926) 1805(1016)
+1 0
+.names 1798(927) 1801(1017)
+1 0
+.names 2712(861) 2715(904) 2717(1018)
+11 0
+.names 496(862) 742(951) 498(1019)
+11 1
+.names 723(863) 1005(952) 725(1020)
+11 1
+.names 571(929) 575(1021)
+1 0
+.names 601(930) 605(1022)
+1 0
+.names 578(931) 579(866) 580(1023)
+11 0
+.names 608(932) 609(867) 610(1024)
+11 0
+.names 2210(933) 2214(1025)
+1 0
+.names 587(874) 588(936) 589(1026)
+11 0
+.names 763(934) 765(876) 519(1027)
+00 0
+.names 1012(935) 1014(877) 693(1028)
+00 0
+.names 767(937) 769(878) 525(1029)
+00 0
+.names 1016(938) 1018(879) 699(1030)
+00 0
+.names 2139(939) 2140(943) 2200(1031)
+11 0
+.names 2185(940) 2186(944) 2220(1032)
+11 0
+.names 2149(947) 2150(949) 2197(1033)
+11 0
+.names 2195(948) 2196(950) 2217(1034)
+11 0
+.names 490(886) 742(951) 492(1035)
+11 1
+.names 717(887) 1005(952) 719(1036)
+11 1
+.names 1836(955) 1842(1037)
+1 0
+.names 1355(957) 1361(1038)
+1 0
+.names 1898(958) 1904(1039)
+1 0
+.names 1601(960) 1607(1040)
+1 0
+.names 531(941) 748(411) 533(1041)
+11 1
+.names 537(945) 748(411) 539(1042)
+11 1
+.names 705(942) 994(412) 707(1043)
+11 1
+.names 711(946) 994(412) 713(1044)
+11 1
+.names 1549(963) 1550(901) 1091(1045)
+11 0
+.names 154(964) 155(967) 156(1046)
+11 0
+.names 2717(1018) 2718(965) 2722(1047)
+11 0
+.names 2730(546) 2733(961) 1828(1048)
+11 0
+.names 2094(251) 1842(1037) 1861(1049)
+11 1
+.names 2094(251) 1904(1039) 1923(1050)
+11 1
+.names 2088(253) 1842(1037) 1856(1051)
+11 1
+.names 2088(253) 1904(1039) 1918(1052)
+11 1
+.names 2058(969) 2054(970) 2050(971) 2046(974) 2042(977) 1558(1053)
+11111 1
+.names 2082(255) 1361(1038) 1375(1054)
+11 1
+.names 2082(255) 1607(1040) 1621(1055)
+11 1
+.names 2076(257) 1361(1038) 1371(1056)
+11 1
+.names 2076(257) 1607(1040) 1617(1057)
+11 1
+.names 2070(260) 1361(1038) 1368(1058)
+11 1
+.names 2070(260) 1607(1040) 1614(1059)
+11 1
+.names 1999(265) 1361(1038) 675(1060)
+11 1
+.names 1999(265) 1607(1040) 1107(1061)
+11 1
+.names 2546(743) 2549(962) 1551(1062)
+11 0
+.names 1600(981) 1596(984) 1592(987) 1588(990) 1584(993) 1554(1063)
+11111 1
+.names 1580(996) 1576(999) 1572(1002) 1568(1005) 1564(1008) 1555(1064)
+11111 1
+.names 2207(759) 2214(1025) 2216(1065)
+11 0
+.names 1754(854) 1767(925) 1805(1016) 1817(1066)
+111 1
+.names 504(1012) 505(1067)
+1 0
+.names 731(1013) 732(1068)
+1 0
+.names 1758(924) 1763(857) 1801(1017) 1814(1069)
+111 1
+.names 510(1014) 511(1070)
+1 0
+.names 737(1015) 738(1071)
+1 0
+.names 498(1019) 499(1072)
+1 0
+.names 725(1020) 726(1073)
+1 0
+.names 580(1023) 584(1074)
+1 0
+.names 610(1024) 621(1075)
+1 1
+.names 610(1024) 625(1076)
+1 1
+.names 589(1026) 617(1077)
+1 1
+.names 589(1026) 613(1078)
+1 1
+.names 2200(1031) 2204(1079)
+1 0
+.names 2220(1032) 2224(1080)
+1 0
+.names 2197(1033) 2203(1081)
+1 0
+.names 2217(1034) 2223(1082)
+1 0
+.names 492(1035) 493(1083)
+1 0
+.names 719(1036) 720(1084)
+1 0
+.names 1874(975) 1845(953) 1889(1085)
+11 1
+.names 1872(979) 1845(953) 1887(1086)
+11 1
+.names 1870(982) 1845(953) 1885(1087)
+11 1
+.names 1876(972) 1845(953) 1891(1088)
+11 1
+.names 1936(976) 1907(954) 1951(1089)
+11 1
+.names 1934(980) 1907(954) 1949(1090)
+11 1
+.names 1932(983) 1907(954) 1947(1091)
+11 1
+.names 1938(973) 1907(954) 1953(1092)
+11 1
+.names 2065(715) 2062(968) 1557(1093)
+11 1
+.names 1868(985) 1831(409) 1883(1094)
+11 1
+.names 1866(988) 1831(409) 1881(1095)
+11 1
+.names 1930(986) 1893(410) 1945(1096)
+11 1
+.names 1928(989) 1893(410) 1943(1097)
+11 1
+.names 519(1027) 748(411) 521(1098)
+11 1
+.names 525(1029) 748(411) 527(1099)
+11 1
+.names 533(1041) 534(1100)
+1 0
+.names 539(1042) 540(1101)
+1 0
+.names 693(1028) 994(412) 695(1102)
+11 1
+.names 699(1030) 994(412) 701(1103)
+11 1
+.names 707(1043) 708(1104)
+1 0
+.names 713(1044) 714(1105)
+1 0
+.names 1091(1045) 1092(1106)
+1 0
+.names 2722(1047) 2726(1107)
+1 0
+.names 1828(1048) 1829(966) 1830(1108)
+11 0
+.names 1557(1093) 1558(1053) 1559(1109)
+11 1
+.names 1551(1062) 1552(978) 1553(1110)
+11 0
+.names 1554(1063) 1555(1064) 1556(1111)
+11 1
+.names 1861(1049) 1863(991) 1864(1112)
+00 0
+.names 1923(1050) 1925(992) 1926(1113)
+00 0
+.names 1856(1051) 1858(994) 1859(1114)
+00 0
+.names 1918(1052) 1920(995) 1921(1115)
+00 0
+.names 1375(1054) 1377(997) 1382(1116)
+00 0
+.names 1621(1055) 1623(998) 1628(1117)
+00 0
+.names 1371(1056) 1373(1000) 1380(1118)
+00 0
+.names 1617(1057) 1619(1001) 1626(1119)
+00 0
+.names 1614(1059) 1615(1003) 1624(1120)
+00 0
+.names 1368(1058) 1369(1004) 1378(1121)
+00 0
+.names 675(1060) 676(1006) 677(1122)
+00 0
+.names 1107(1061) 1108(1007) 1109(1123)
+00 0
+.names 2215(1009) 2216(1065) 2238(1124)
+11 0
+.names 601(930) 592(760) 621(1075) 636(1125)
+111 1
+.names 605(1022) 596(853) 625(1076) 639(1126)
+111 1
+.names 1814(1069) 1815(1010) 1816(1127)
+00 1
+.names 1817(1066) 1818(1011) 1819(1128)
+00 1
+.names 1889(1085) 505(1067) 915(1129)
+11 1
+.names 505(1067) 2278(1130)
+1 1
+.names 1951(1089) 732(1068) 1133(1131)
+11 1
+.names 732(1068) 2366(1132)
+1 1
+.names 1891(1088) 511(1070) 907(1133)
+11 1
+.names 511(1070) 2270(1134)
+1 1
+.names 1953(1092) 738(1071) 1125(1135)
+11 1
+.names 738(1071) 2358(1136)
+1 1
+.names 1887(1086) 499(1072) 922(1137)
+11 1
+.names 499(1072) 2286(1138)
+1 1
+.names 1949(1090) 726(1073) 1140(1139)
+11 1
+.names 726(1073) 2374(1140)
+1 1
+.names 580(1023) 571(929) 613(1078) 630(1141)
+111 1
+.names 584(1074) 575(1021) 617(1077) 633(1142)
+111 1
+.names 621(1075) 624(1143)
+1 0
+.names 625(1076) 628(1144)
+1 0
+.names 617(1077) 620(1145)
+1 0
+.names 613(1078) 616(1146)
+1 0
+.names 2200(1031) 2203(1081) 2205(1147)
+11 0
+.names 2220(1032) 2223(1082) 2225(1148)
+11 0
+.names 2197(1033) 2204(1079) 2206(1149)
+11 0
+.names 2217(1034) 2224(1080) 2226(1150)
+11 0
+.names 493(1083) 1885(1087) 924(1151)
+11 1
+.names 493(1083) 2294(1152)
+1 1
+.names 720(1084) 1947(1091) 1142(1153)
+11 1
+.names 720(1084) 2382(1154)
+1 1
+.names 1889(1085) 2275(1155)
+1 1
+.names 1887(1086) 2283(1156)
+1 1
+.names 1885(1087) 2291(1157)
+1 1
+.names 1891(1088) 2267(1158)
+1 1
+.names 1951(1089) 2363(1159)
+1 1
+.names 1949(1090) 2371(1160)
+1 1
+.names 1947(1091) 2379(1161)
+1 1
+.names 1953(1092) 2355(1162)
+1 1
+.names 1883(1094) 540(1101) 937(1163)
+11 1
+.names 1883(1094) 2299(1164)
+1 1
+.names 1881(1095) 534(1100) 946(1165)
+11 1
+.names 1881(1095) 2307(1166)
+1 1
+.names 1945(1096) 714(1105) 1155(1167)
+11 1
+.names 1945(1096) 2387(1168)
+1 1
+.names 1943(1097) 708(1104) 1164(1169)
+11 1
+.names 1943(1097) 2395(1170)
+1 1
+.names 521(1098) 522(1171)
+1 0
+.names 527(1099) 528(1172)
+1 0
+.names 534(1100) 2310(1173)
+1 1
+.names 540(1101) 2302(1174)
+1 1
+.names 695(1102) 696(1175)
+1 0
+.names 701(1103) 702(1176)
+1 0
+.names 708(1104) 2398(1177)
+1 1
+.names 714(1105) 2390(1178)
+1 1
+.names 1830(1108) 227(1179)
+1 0
+.names 1553(1110) 229(1180)
+1 0
+.names 1382(1116) 2331(1181)
+1 1
+.names 1628(1117) 2419(1182)
+1 1
+.names 1380(1118) 2251(1183)
+1 1
+.names 1626(1119) 2339(1184)
+1 1
+.names 1624(1120) 2347(1185)
+1 1
+.names 1378(1121) 2259(1186)
+1 1
+.names 2238(1124) 2242(1187)
+1 0
+.names 592(760) 605(1022) 628(1144) 638(1188)
+111 1
+.names 596(853) 601(930) 624(1143) 635(1189)
+111 1
+.names 1819(1128) 1816(1127) 2719(1190)
+11 0
+.names 2278(1130) 2282(1191)
+1 0
+.names 2366(1132) 2370(1192)
+1 0
+.names 2270(1134) 2274(1193)
+1 0
+.names 2358(1136) 2362(1194)
+1 0
+.names 2286(1138) 2290(1195)
+1 0
+.names 2374(1140) 2378(1196)
+1 0
+.names 2238(1124) 2241(770) 645(1197)
+11 0
+.names 571(929) 584(1074) 620(1145) 632(1198)
+111 1
+.names 575(1021) 580(1023) 616(1146) 629(1199)
+111 1
+.names 1378(1121) 1035(618) 674(1200)
+11 1
+.names 1624(1120) 1035(618) 1106(1201)
+11 1
+.names 1380(1118) 1043(693) 671(1202)
+11 1
+.names 1626(1119) 1043(693) 1104(1203)
+11 1
+.names 1382(1116) 1051(695) 967(1204)
+11 1
+.names 1628(1117) 1051(695) 1184(1205)
+11 1
+.names 2205(1147) 2206(1149) 2230(1206)
+11 0
+.names 2225(1148) 2226(1150) 2246(1207)
+11 0
+.names 2294(1152) 2298(1208)
+1 0
+.names 2382(1154) 2386(1209)
+1 0
+.names 677(1122) 1031(630) 679(1210)
+11 1
+.names 1109(1123) 1031(630) 1110(1211)
+11 1
+.names 2275(1155) 2281(1212)
+1 0
+.names 2283(1156) 2289(1213)
+1 0
+.names 2291(1157) 2297(1214)
+1 0
+.names 2267(1158) 2273(1215)
+1 0
+.names 2363(1159) 2369(1216)
+1 0
+.names 2371(1160) 2377(1217)
+1 0
+.names 2379(1161) 2385(1218)
+1 0
+.names 2355(1162) 2361(1219)
+1 0
+.names 1092(1106) 14(9) 1093(1220)
+11 1
+.names 1556(1111) 1559(1109) 894(533) 1560(1221)
+111 1
+.names 2299(1164) 2305(1222)
+1 0
+.names 2307(1166) 2313(1223)
+1 0
+.names 1864(1112) 1831(409) 1879(1224)
+11 1
+.names 1859(1114) 1831(409) 1877(1225)
+11 1
+.names 2387(1168) 2393(1226)
+1 0
+.names 2395(1170) 2401(1227)
+1 0
+.names 1926(1113) 1893(410) 1941(1228)
+11 1
+.names 1921(1115) 1893(410) 1939(1229)
+11 1
+.names 522(1171) 2326(1230)
+1 1
+.names 528(1172) 2318(1231)
+1 1
+.names 2310(1173) 2314(1232)
+1 0
+.names 2302(1174) 2306(1233)
+1 0
+.names 696(1175) 2414(1234)
+1 1
+.names 702(1176) 2406(1235)
+1 1
+.names 2398(1177) 2402(1236)
+1 0
+.names 2390(1178) 2394(1237)
+1 0
+.names 2719(1190) 2726(1107) 1827(1238)
+11 0
+.names 2331(1181) 2337(1239)
+1 0
+.names 2419(1182) 2425(1240)
+1 0
+.names 2251(1183) 2257(1241)
+1 0
+.names 2339(1184) 2345(1242)
+1 0
+.names 2347(1185) 2353(1243)
+1 0
+.names 2259(1186) 2265(1244)
+1 0
+.names 635(1189) 636(1125) 637(1245)
+00 1
+.names 638(1188) 639(1126) 640(1246)
+00 1
+.names 2719(1190) 2725(1247)
+1 0
+.names 2278(1130) 2281(1212) 908(1248)
+11 0
+.names 2366(1132) 2369(1216) 1126(1249)
+11 0
+.names 2270(1134) 2273(1215) 899(1250)
+11 0
+.names 2358(1136) 2361(1219) 1117(1251)
+11 0
+.names 2286(1138) 2289(1213) 916(1252)
+11 0
+.names 2374(1140) 2377(1217) 1134(1253)
+11 0
+.names 2235(684) 2242(1187) 646(1254)
+11 0
+.names 629(1199) 630(1141) 631(1255)
+00 1
+.names 632(1198) 633(1142) 634(1256)
+00 1
+.names 2347(1185) 2354(773) 1115(1257)
+11 0
+.names 2259(1186) 2266(775) 684(1258)
+11 0
+.names 2339(1184) 2346(868) 1099(1259)
+11 0
+.names 2251(1183) 2258(870) 665(1260)
+11 0
+.names 2419(1182) 2426(872) 1181(1261)
+11 0
+.names 2331(1181) 2338(873) 963(1262)
+11 0
+.names 2230(1206) 2234(1263)
+1 0
+.names 2246(1207) 2250(1264)
+1 0
+.names 2294(1152) 2297(1214) 925(1265)
+11 0
+.names 2382(1154) 2385(1218) 1143(1266)
+11 0
+.names 2275(1155) 2282(1191) 909(1267)
+11 0
+.names 2283(1156) 2290(1195) 917(1268)
+11 0
+.names 2291(1157) 2298(1208) 926(1269)
+11 0
+.names 2267(1158) 2274(1193) 900(1270)
+11 0
+.names 2363(1159) 2370(1192) 1127(1271)
+11 0
+.names 2371(1160) 2378(1196) 1135(1272)
+11 0
+.names 2379(1161) 2386(1209) 1144(1273)
+11 0
+.names 2355(1162) 2362(1194) 1118(1274)
+11 0
+.names 1093(1220) 1087(1275)
+1 0
+.names 1093(1220) 401(1276)
+1 1
+.names 1560(1221) 150(1277)
+1 0
+.names 1560(1221) 311(1278)
+1 1
+.names 2299(1164) 2306(1233) 929(1279)
+11 0
+.names 2307(1166) 2314(1232) 939(1280)
+11 0
+.names 1879(1224) 2315(1281)
+1 1
+.names 1877(1225) 2323(1282)
+1 1
+.names 2387(1168) 2394(1237) 1147(1283)
+11 0
+.names 2395(1170) 2402(1236) 1157(1284)
+11 0
+.names 1941(1228) 2403(1285)
+1 1
+.names 1939(1229) 2411(1286)
+1 1
+.names 2326(1230) 2330(1287)
+1 0
+.names 1877(1225) 522(1171) 961(1288)
+11 1
+.names 1879(1224) 528(1172) 954(1289)
+11 1
+.names 2318(1231) 2322(1290)
+1 0
+.names 2310(1173) 2313(1223) 938(1291)
+11 0
+.names 2302(1174) 2305(1222) 928(1292)
+11 0
+.names 2414(1234) 2418(1293)
+1 0
+.names 1939(1229) 696(1175) 1179(1294)
+11 1
+.names 1941(1228) 702(1176) 1172(1295)
+11 1
+.names 2406(1235) 2410(1296)
+1 0
+.names 2398(1177) 2401(1227) 1156(1297)
+11 0
+.names 2390(1178) 2393(1226) 1146(1298)
+11 0
+.names 2722(1047) 2725(1247) 1826(1299)
+11 0
+.names 640(1246) 637(1245) 2243(1300)
+11 0
+.names 908(1248) 909(1267) 910(1301)
+11 0
+.names 1126(1249) 1127(1271) 1128(1302)
+11 0
+.names 899(1250) 900(1270) 901(1303)
+11 0
+.names 1117(1251) 1118(1274) 1119(1304)
+11 0
+.names 916(1252) 917(1268) 918(1305)
+11 0
+.names 1134(1253) 1135(1272) 1136(1306)
+11 0
+.names 645(1197) 646(1254) 647(1307)
+11 0
+.names 634(1256) 631(1255) 2227(1308)
+11 0
+.names 2350(687) 2353(1243) 1114(1309)
+11 0
+.names 2262(689) 2265(1244) 683(1310)
+11 0
+.names 2342(779) 2345(1242) 1098(1311)
+11 0
+.names 2254(781) 2257(1241) 664(1312)
+11 0
+.names 2422(783) 2425(1240) 1180(1313)
+11 0
+.names 2334(784) 2337(1239) 962(1314)
+11 0
+.names 925(1265) 926(1269) 927(1315)
+11 0
+.names 1143(1266) 1144(1273) 1145(1316)
+11 0
+.names 928(1292) 929(1279) 930(1317)
+11 0
+.names 938(1291) 939(1280) 940(1318)
+11 0
+.names 2315(1281) 2322(1290) 948(1319)
+11 0
+.names 2315(1281) 2321(1320)
+1 0
+.names 2323(1282) 2330(1287) 956(1321)
+11 0
+.names 2323(1282) 2329(1322)
+1 0
+.names 1146(1298) 1147(1283) 1148(1323)
+11 0
+.names 1156(1297) 1157(1284) 1158(1324)
+11 0
+.names 2403(1285) 2410(1296) 1166(1325)
+11 0
+.names 2403(1285) 2409(1326)
+1 0
+.names 2411(1286) 2418(1293) 1174(1327)
+11 0
+.names 2411(1286) 2417(1328)
+1 0
+.names 1826(1299) 1827(1238) 686(1329)
+11 0
+.names 647(1307) 865(291) 143(1330)
+11 1
+.names 2243(1300) 2249(1331)
+1 0
+.names 901(1303) 915(1129) 970(1332)
+11 1
+.names 910(1301) 927(1315) 918(1305) 901(1303) 968(1333)
+1111 1
+.names 1119(1304) 1133(1131) 1187(1334)
+11 1
+.names 1128(1302) 1145(1316) 1136(1306) 1119(1304) 1185(1335)
+1111 1
+.names 910(1301) 901(1303) 922(1137) 971(1336)
+111 1
+.names 1128(1302) 1119(1304) 1140(1139) 1188(1337)
+111 1
+.names 2227(1308) 2233(1338)
+1 0
+.names 1114(1309) 1115(1257) 1112(1339)
+11 0
+.names 683(1310) 684(1258) 681(1340)
+11 0
+.names 1098(1311) 1099(1259) 1100(1341)
+11 0
+.names 664(1312) 665(1260) 666(1342)
+11 0
+.names 1180(1313) 1181(1261) 1182(1343)
+11 0
+.names 962(1314) 963(1262) 964(1344)
+11 0
+.names 2227(1308) 2234(1263) 642(1345)
+11 0
+.names 2243(1300) 2250(1264) 649(1346)
+11 0
+.names 918(1305) 901(1303) 924(1151) 910(1301) 972(1347)
+1111 1
+.names 1136(1306) 1119(1304) 1142(1153) 1128(1302) 1189(1348)
+1111 1
+.names 930(1317) 946(1165) 978(1349)
+11 1
+.names 1148(1323) 1164(1169) 1195(1350)
+11 1
+.names 2326(1230) 2329(1322) 955(1351)
+11 0
+.names 940(1318) 930(1317) 954(1289) 979(1352)
+111 1
+.names 2318(1231) 2321(1320) 947(1353)
+11 0
+.names 2414(1234) 2417(1328) 1173(1354)
+11 0
+.names 1158(1324) 1148(1323) 1172(1295) 1196(1355)
+111 1
+.names 2406(1235) 2409(1326) 1165(1356)
+11 0
+.names 686(1329) 687(1357)
+1 0
+.names 143(1330) 144(601) 145(1358)
+00 0
+.names 968(1333) 969(1359)
+1 0
+.names 1185(1335) 1186(1360)
+1 0
+.names 907(1133) 970(1332) 971(1336) 972(1347) 973(1361)
+0000 0
+.names 1125(1135) 1187(1334) 1188(1337) 1189(1348) 1190(1362)
+0000 0
+.names 666(1342) 674(1200) 680(1363)
+11 1
+.names 1100(1341) 1106(1201) 1111(1364)
+11 1
+.names 2230(1206) 2233(1338) 641(1365)
+11 0
+.names 2246(1207) 2249(1331) 648(1366)
+11 0
+.names 681(1340) 666(1342) 679(1210) 682(1367)
+111 1
+.names 1112(1339) 1100(1341) 1110(1211) 1113(1368)
+111 1
+.names 947(1353) 948(1319) 949(1369)
+11 0
+.names 955(1351) 956(1321) 957(1370)
+11 0
+.names 1165(1356) 1166(1325) 1167(1371)
+11 0
+.names 1173(1354) 1174(1327) 1175(1372)
+11 0
+.names 973(1361) 976(1373)
+1 0
+.names 1190(1362) 1193(1374)
+1 0
+.names 671(1202) 680(1363) 682(1367) 685(1375)
+000 0
+.names 1104(1203) 1111(1364) 1113(1368) 1116(1376)
+000 0
+.names 957(1370) 949(1369) 930(1317) 967(1204) 940(1318) 981(1377)
+11111 1
+.names 1175(1372) 1167(1371) 1148(1323) 1184(1205) 1158(1324) 1198(1378)
+11111 1
+.names 641(1365) 642(1345) 643(1379)
+11 0
+.names 648(1366) 649(1346) 650(1380)
+11 0
+.names 687(1357) 487(403) 688(1381)
+11 1
+.names 940(1318) 964(1344) 949(1369) 930(1317) 957(1370) 977(1382)
+11111 1
+.names 1158(1324) 1182(1343) 1167(1371) 1148(1323) 1175(1372) 1194(1383)
+11111 1
+.names 949(1369) 930(1317) 961(1288) 940(1318) 980(1384)
+1111 1
+.names 1167(1371) 1148(1323) 1179(1294) 1158(1324) 1197(1385)
+1111 1
+.names 650(1380) 868(198) 884(1386)
+11 1
+.names 976(1373) 969(1359) 988(1387)
+11 0
+.names 1193(1374) 1186(1360) 1205(1388)
+11 0
+.names 977(1382) 685(1375) 983(1389)
+11 1
+.names 1194(1383) 1116(1376) 1200(1390)
+11 1
+.names 643(1379) 644(1391)
+1 0
+.names 688(1381) 395(1392)
+1 1
+.names 688(1381) 690(1393)
+1 0
+.names 937(1163) 978(1349) 979(1352) 980(1384) 981(1377) 982(1394)
+00000 0
+.names 1155(1167) 1195(1350) 1196(1355) 1197(1385) 1198(1378) 1199(1395)
+00000 0
+.names 883(668) 884(1386) 895(1396)
+00 0
+.names 982(1394) 983(1389) 984(1397)
+00 0
+.names 1199(1395) 1200(1390) 1201(1398)
+00 0
+.names 644(1391) 487(403) 1025(1399)
+11 1
+.names 895(1396) 295(1400)
+1 1
+.names 895(1396) 331(1401)
+1 1
+.names 988(1387) 984(1397) 990(1402)
+11 1
+.names 1205(1388) 1201(1398) 1207(1403)
+11 1
+.names 984(1397) 987(1404)
+1 0
+.names 1201(1398) 1204(1405)
+1 0
+.names 1025(1399) 397(1406)
+1 1
+.names 1025(1399) 1027(1407)
+1 0
+.names 690(1393) 1027(1407) 1830(1108) 1085(1408)
+111 1
+.names 973(1361) 987(1404) 989(1409)
+11 1
+.names 1190(1362) 1204(1405) 1206(1410)
+11 1
+.names 989(1409) 990(1402) 991(1411)
+00 0
+.names 1206(1410) 1207(1403) 1208(1412)
+00 0
+.names 1208(1412) 991(1411) 1221(1413)
+11 0
+.names 1208(1412) 329(1414)
+1 1
+.names 1221(1413) 991(1411) 1239(1415)
+11 1
+.names 1208(1412) 1221(1413) 1238(1416)
+11 1
+.names 1238(1416) 1239(1415) 1240(1417)
+00 0
+.names 1240(1417) 1247(1418)
+1 0
+.names 1240(1417) 1247(1418) 471(1419)
+11 1
+.names 471(1419) 1247(1418) 473(1420)
+00 0
+.names 1553(1110) 1087(1275) 473(1420) 1088(1421)
+111 1
+.names 473(1420) 231(1422)
+1 0
+.names 1085(1408) 1088(1421) 554(581) 1089(1423)
+111 1
+.names 1089(1423) 225(1424)
+1 0
+.names 1089(1423) 308(1425)
+1 1
+.end
diff --git a/abc70930/examples/ac.v b/abc70930/examples/ac.v
new file mode 100644
index 00000000..cbeb0800
--- /dev/null
+++ b/abc70930/examples/ac.v
@@ -0,0 +1,18772 @@
+////
+//// This design was downloaded from http://www.opencores.org
+////
+//// The design was synthesized with Cadence RTL Compiler in a
+//// quick synthesis run.
+////
+/////////////////////////////////////////////////////////////////////
+//// ////
+//// WISHBONE AC 97 Controller ////
+//// ////
+//// Author: Rudolf Usselmann ////
+//// rudi@asics.ws ////
+//// ////
+//// Downloaded from: http://www.opencores.org/cores/ac97_ctrl/ ////
+//// ////
+/////////////////////////////////////////////////////////////////////
+//// ////
+//// Copyright (C) 2000-2002 Rudolf Usselmann ////
+//// www.asics.ws ////
+//// rudi@asics.ws ////
+//// ////
+//// This source file may be used and distributed without ////
+//// restriction provided that this copyright statement is not ////
+//// removed from the file and that any derivative work contains ////
+//// the original copyright notice and the associated disclaimer.////
+//// ////
+//// THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY ////
+//// EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED ////
+//// TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS ////
+//// FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR ////
+//// OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, ////
+//// INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES ////
+//// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE ////
+//// GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR ////
+//// BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF ////
+//// LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT ////
+//// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT ////
+//// OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE ////
+//// POSSIBILITY OF SUCH DAMAGE. ////
+//// ////
+/////////////////////////////////////////////////////////////////////
+
+// Generated by Cadence RTL Compiler (RC) v05.10-b006_1
+
+module ac97_ctrl(clk_i, rst_i, wb_data_i, wb_data_o, wb_addr_i,
+ wb_sel_i, wb_we_i, wb_cyc_i, wb_stb_i, wb_ack_o, wb_err_o, int_o,
+ dma_req_o, dma_ack_i, suspended_o, bit_clk_pad_i, sync_pad_o,
+ sdata_pad_o, sdata_pad_i, ac97_reset_pad_o_);
+ input clk_i, rst_i, wb_we_i, wb_cyc_i, wb_stb_i, bit_clk_pad_i,
+ sdata_pad_i;
+ input [31:0] wb_data_i, wb_addr_i;
+ input [3:0] wb_sel_i;
+ input [8:0] dma_ack_i;
+ output [31:0] wb_data_o;
+ output wb_ack_o, wb_err_o, int_o, suspended_o, sync_pad_o,
+ sdata_pad_o, ac97_reset_pad_o_;
+ output [8:0] dma_req_o;
+ wire clk_i, rst_i, wb_we_i, wb_cyc_i, wb_stb_i, bit_clk_pad_i,
+ sdata_pad_i;
+ wire [31:0] wb_data_i, wb_addr_i;
+ wire [3:0] wb_sel_i;
+ wire [8:0] dma_ack_i;
+ wire [31:0] wb_data_o;
+ wire wb_ack_o, wb_err_o, int_o, suspended_o, sync_pad_o, sdata_pad_o,
+ ac97_reset_pad_o_;
+ wire [8:0] dma_req_o;
+ wire ac97_rst_force, crac_din, crac_din_692, crac_din_693,
+ crac_din_694, crac_din_695, crac_din_696, crac_din_697;
+ wire crac_din_698, crac_din_699, crac_din_700, crac_din_701,
+ crac_din_702, crac_din_703, crac_din_704, crac_din_705;
+ wire crac_din_706, crac_out, crac_out_846, crac_out_847,
+ crac_out_848, crac_out_849, crac_out_850, crac_out_851;
+ wire crac_out_852, crac_out_853, crac_out_854, crac_out_855,
+ crac_out_856, crac_out_857, crac_out_858, crac_out_859;
+ wire crac_out_860, crac_out_861, crac_out_862, crac_out_863,
+ crac_out_864, crac_out_865, crac_out_866, crac_out_867;
+ wire crac_out_876, crac_wr, i3_dout, i3_dout_564, i3_dout_565,
+ i3_dout_566, i3_dout_567, i3_dout_568;
+ wire i3_dout_569, i3_dout_570, i3_dout_571, i3_dout_572, i3_dout_573,
+ i3_dout_574, i3_dout_575, i3_dout_576;
+ wire i3_dout_577, i3_dout_578, i3_dout_579, i3_dout_580, i3_dout_581,
+ i3_dout_582, i3_dout_583, i3_dout_584;
+ wire i3_dout_585, i3_dout_586, i3_dout_587, i3_dout_588, i3_dout_589,
+ i3_dout_590, i3_dout_591, i3_dout_592;
+ wire i3_dout_593, i3_dout_594, i3_empty, i3_full, i3_re, i3_status,
+ i3_status_1022, i4_dout;
+ wire i4_dout_595, i4_dout_596, i4_dout_597, i4_dout_598, i4_dout_599,
+ i4_dout_600, i4_dout_601, i4_dout_602;
+ wire i4_dout_603, i4_dout_604, i4_dout_605, i4_dout_606, i4_dout_607,
+ i4_dout_608, i4_dout_609, i4_dout_610;
+ wire i4_dout_611, i4_dout_612, i4_dout_613, i4_dout_614, i4_dout_615,
+ i4_dout_616, i4_dout_617, i4_dout_618;
+ wire i4_dout_619, i4_dout_620, i4_dout_621, i4_dout_622, i4_dout_623,
+ i4_dout_624, i4_dout_625, i4_empty;
+ wire i4_full, i4_re, i4_status, i4_status_1032, i6_dout, i6_dout_626,
+ i6_dout_627, i6_dout_628;
+ wire i6_dout_629, i6_dout_630, i6_dout_631, i6_dout_632, i6_dout_633,
+ i6_dout_634, i6_dout_635, i6_dout_636;
+ wire i6_dout_637, i6_dout_638, i6_dout_639, i6_dout_640, i6_dout_641,
+ i6_dout_642, i6_dout_643, i6_dout_644;
+ wire i6_dout_645, i6_dout_646, i6_dout_647, i6_dout_648, i6_dout_649,
+ i6_dout_650, i6_dout_651, i6_dout_652;
+ wire i6_dout_653, i6_dout_654, i6_dout_655, i6_dout_656, i6_empty,
+ i6_full, i6_re, i6_status;
+ wire i6_status_1042, ic0_cfg, ic0_cfg_1024, ic0_cfg_1025,
+ ic0_cfg_1026, ic0_cfg_1029, ic0_cfg_1030, ic0_int_set;
+ wire ic0_int_set_719, ic0_int_set_720, ic1_cfg, ic1_cfg_1034,
+ ic1_cfg_1035, ic1_cfg_1036, ic1_cfg_1039, ic1_cfg_1040;
+ wire ic1_int_set, ic1_int_set_721, ic1_int_set_722, ic2_cfg,
+ ic2_cfg_1044, ic2_cfg_1045, ic2_cfg_1046, ic2_cfg_1049;
+ wire ic2_cfg_1050, ic2_int_set, ic2_int_set_723, ic2_int_set_724,
+ in_slt3, in_slt4, in_slt6, in_slt_397;
+ wire in_slt_398, in_slt_399, in_slt_400, in_slt_401, in_slt_402,
+ in_slt_403, in_slt_404, in_slt_405;
+ wire in_slt_406, in_slt_407, in_slt_408, in_slt_409, in_slt_410,
+ in_slt_411, in_slt_412, in_slt_413;
+ wire in_slt_414, in_slt_415, in_slt_419, in_slt_420, in_slt_421,
+ in_slt_422, in_slt_423, in_slt_424;
+ wire in_slt_425, in_slt_426, in_slt_427, in_slt_428, in_slt_429,
+ in_slt_430, in_slt_431, in_slt_432;
+ wire in_slt_433, in_slt_434, in_slt_435, in_slt_436, in_slt_437,
+ in_slt_441, in_slt_442, in_slt_443;
+ wire in_slt_444, in_slt_445, in_slt_446, in_slt_447, in_slt_448,
+ in_slt_449, in_slt_450, in_slt_451;
+ wire in_slt_452, in_slt_453, in_slt_454, in_slt_455, in_slt_456,
+ in_slt_457, in_slt_458, in_slt_459;
+ wire in_slt_736, in_slt_738, in_slt_739, in_slt_742, in_slt_747,
+ in_slt_748, in_slt_749, in_slt_750;
+ wire in_slt_752, in_slt_753, in_slt_830, in_slt_831, in_slt_832,
+ in_slt_833, in_slt_834, in_slt_835;
+ wire in_slt_836, in_slt_837, in_slt_838, in_slt_839, in_slt_840,
+ in_slt_841, in_slt_842, in_slt_843;
+ wire in_slt_844, in_slt_845, in_valid, in_valid_8, in_valid_9,
+ in_valid_s1, \in_valid_s[0] , \in_valid_s[1] ;
+ wire \in_valid_s[2] , in_valid_s_1, in_valid_s_2, n_5, n_9, n_16,
+ n_19, n_21;
+ wire n_22, n_35, n_36, n_37, n_39, n_42, n_45, n_53;
+ wire n_56, n_57, n_58, n_63, n_71, n_76, n_77, n_79;
+ wire n_81, n_82, n_84, n_86, n_87, n_90, n_95, n_96;
+ wire n_98, n_101, n_102, n_103, n_105, n_109, n_112, n_113;
+ wire n_114, n_116, n_117, n_118, n_120, n_121, n_122, n_125;
+ wire n_127, n_129, n_130, n_134, n_138, n_141, n_143, n_145;
+ wire n_147, n_149, n_150, n_152, n_157, n_160, n_162, n_163;
+ wire n_166, n_167, n_170, n_174, n_175, n_177, n_178, n_179;
+ wire n_181, n_182, n_183, n_184, n_186, n_188, n_190, n_191;
+ wire n_192, n_195, n_196, n_197, n_198, n_200, n_201, n_203;
+ wire n_204, n_206, n_208, n_209, n_211, n_212, n_214, n_215;
+ wire n_217, n_220, n_221, n_223, n_227, n_228, n_229, n_230;
+ wire n_231, n_232, n_234, n_236, n_237, n_240, n_242, n_243;
+ wire n_244, n_248, n_249, n_251, n_254, n_256, n_257, n_259;
+ wire n_261, n_262, n_263, n_264, n_265, n_266, n_267, n_268;
+ wire n_270, n_271, n_273, n_275, n_277, n_278, n_279, n_280;
+ wire n_281, n_282, n_283, n_284, n_287, n_288, n_290, n_294;
+ wire n_295, n_297, n_298, n_299, n_300, n_302, n_303, n_304;
+ wire n_306, n_308, n_311, n_312, n_313, n_314, n_319, n_320;
+ wire n_321, n_322, n_324, n_328, n_329, n_330, n_332, n_333;
+ wire n_334, n_335, n_337, n_338, n_339, n_340, n_341, n_343;
+ wire n_345, n_346, n_347, n_348, n_349, n_351, n_352, n_356;
+ wire n_357, n_359, n_361, n_362, n_363, n_365, n_366, n_370;
+ wire n_372, n_373, n_375, n_376, n_379, n_380, n_383, n_384;
+ wire n_385, n_387, n_389, n_391, n_392, n_393, n_394, n_396;
+ wire n_397, n_398, n_399, n_401, n_403, n_406, n_410, n_411;
+ wire n_412, n_414, n_415, n_416, n_418, n_419, n_421, n_422;
+ wire n_431, n_433, n_434, n_438, n_440, n_441, n_442, n_444;
+ wire n_445, n_447, n_449, n_450, n_453, n_454, n_456, n_457;
+ wire n_458, n_459, n_461, n_462, n_463, n_465, n_469, n_471;
+ wire n_473, n_476, n_477, n_478, n_480, n_481, n_485, n_487;
+ wire n_488, n_490, n_492, n_494, n_495, n_496, n_498, n_501;
+ wire n_502, n_503, n_507, n_508, n_509, n_510, n_512, n_513;
+ wire n_514, n_515, n_518, n_520, n_521, n_522, n_523, n_524;
+ wire n_525, n_528, n_529, n_531, n_532, n_539, n_540, n_541;
+ wire n_543, n_544, n_549, n_550, n_551, n_552, n_553, n_554;
+ wire n_557, n_564, n_565, n_568, n_569, n_571, n_574, n_576;
+ wire n_577, n_580, n_581, n_587, n_590, n_593, n_594, n_597;
+ wire n_598, n_599, n_600, n_601, n_602, n_603, n_604, n_605;
+ wire n_606, n_608, n_609, n_610, n_611, n_612, n_614, n_615;
+ wire n_616, n_617, n_620, n_621, n_625, n_626, n_627, n_630;
+ wire n_631, n_632, n_634, n_635, n_637, n_638, n_639, n_641;
+ wire n_650, n_656, n_657, n_664, n_665, n_666, n_667, n_668;
+ wire n_669, n_670, n_671, n_672, n_673, n_674, n_675, n_676;
+ wire n_677, n_679, n_680, n_681, n_683, n_684, n_685, n_686;
+ wire n_687, n_688, n_689, n_690, n_691, n_692, n_693, n_694;
+ wire n_695, n_696, n_697, n_698, n_699, n_700, n_701, n_702;
+ wire n_703, n_704, n_705, n_706, n_707, n_708, n_709, n_710;
+ wire n_711, n_712, n_713, n_714, n_715, n_716, n_719, n_725;
+ wire n_726, n_728, n_729, n_730, n_731, n_732, n_733, n_734;
+ wire n_736, n_737, n_739, n_740, n_741, n_742, n_743, n_744;
+ wire n_745, n_746, n_748, n_749, n_750, n_751, n_752, n_753;
+ wire n_754, n_757, n_760, n_761, n_762, n_763, n_765, n_771;
+ wire n_781, n_782, n_784, n_785, n_786, n_792, n_793, n_794;
+ wire n_795, n_796, n_798, n_799, n_802, n_804, n_806, n_807;
+ wire n_808, n_811, n_813, n_814, n_818, n_819, n_821, n_822;
+ wire n_823, n_825, n_829, n_830, n_831, n_832, n_834, n_835;
+ wire n_836, n_837, n_838, n_839, n_840, n_841, n_843, n_844;
+ wire n_846, n_847, n_851, n_853, n_854, n_858, n_862, n_863;
+ wire n_864, n_865, n_866, n_867, n_868, n_869, n_870, n_872;
+ wire n_877, n_886, n_888, n_907, n_908, n_909, n_910, n_913;
+ wire n_914, n_916, n_920, n_921, n_923, n_924, n_925, n_928;
+ wire n_929, n_930, n_931, n_932, n_933, n_935, n_936, n_937;
+ wire n_938, n_940, n_941, n_942, n_945, n_968, n_969, n_970;
+ wire n_974, n_976, n_977, n_980, n_982, n_984, n_991, n_995;
+ wire n_996, n_997, n_1000, n_1001, n_1005, n_1006, n_1007, n_1008;
+ wire n_1009, n_1010, n_1012, n_1014, n_1016, n_1019, n_1021, n_1022;
+ wire n_1023, n_1025, n_1027, n_1028, n_1029, n_1030, n_1031, n_1033;
+ wire n_1034, n_1035, n_1036, n_1037, n_1038, n_1039, n_1040, n_1042;
+ wire n_1045, n_1047, n_1049, n_1051, n_1052, n_1054, n_1055, n_1059;
+ wire n_1060, n_1063, n_1064, n_1067, n_1068, n_1071, n_1072, n_1074;
+ wire n_1076, n_1080, n_1082, n_1083, n_1084, n_1085, n_1087, n_1090;
+ wire n_1096, n_1100, n_1101, n_1103, n_1104, n_1105, n_1106, n_1107;
+ wire n_1108, n_1111, n_1114, n_1116, n_1119, n_1120, n_1121, n_1122;
+ wire n_1124, n_1126, n_1127, n_1129, n_1130, n_1132, n_1133, n_1134;
+ wire n_1136, n_1138, n_1142, n_1143, n_1146, n_1147, n_1148, n_1149;
+ wire n_1153, n_1156, n_1157, n_1158, n_1159, n_1160, n_1162, n_1163;
+ wire n_1164, n_1167, n_1172, n_1174, n_1176, n_1178, n_1180, n_1184;
+ wire n_1185, n_1188, n_1189, n_1192, n_1193, n_1194, n_1196, n_1197;
+ wire n_1198, n_1199, n_1200, n_1202, n_1203, n_1204, n_1205, n_1206;
+ wire n_1207, n_1208, n_1209, n_1211, n_1212, n_1213, n_1214, n_1215;
+ wire n_1216, n_1220, n_1221, n_1222, n_1224, n_1225, n_1226, n_1227;
+ wire n_1229, n_1230, n_1231, n_1232, n_1233, n_1234, n_1235, n_1236;
+ wire n_1237, n_1238, n_1239, n_1240, n_1241, n_1242, n_1243, n_1244;
+ wire n_1245, n_1246, n_1247, n_1248, n_1249, n_1251, n_1252, n_1253;
+ wire n_1255, n_1256, n_1258, n_1259, n_1260, n_1262, n_1263, n_1265;
+ wire n_1267, n_1269, n_1271, n_1272, n_1273, n_1274, n_1275, n_1276;
+ wire n_1277, n_1278, n_1281, n_1282, n_1283, n_1284, n_1285, n_1289;
+ wire n_1290, n_1291, n_1292, n_1294, n_1295, n_1297, n_1299, n_1300;
+ wire n_1301, n_1302, n_1308, n_1309, n_1316, n_1317, n_1319, n_1320;
+ wire n_1322, n_1323, n_1324, n_1325, n_1326, n_1327, n_1328, n_1333;
+ wire n_1335, n_1336, n_1337, n_1338, n_1339, n_1340, n_1347, n_1348;
+ wire n_1354, n_1355, n_1356, n_1360, n_1362, n_1363, n_1364, n_1367;
+ wire n_1372, n_1373, n_1374, n_1375, n_1376, n_1377, n_1378, n_1379;
+ wire n_1381, n_1383, n_1384, n_1386, n_1388, n_1391, n_1395, n_1396;
+ wire n_1397, n_1404, n_1406, n_1409, n_1411, n_1412, n_1414, n_1415;
+ wire n_1416, n_1417, n_1418, n_1419, n_1420, n_1421, n_1422, n_1423;
+ wire n_1424, n_1425, n_1427, n_1429, n_1430, n_1432, n_1434, n_1435;
+ wire n_1438, n_1441, n_1443, n_1444, n_1446, n_1447, n_1448, n_1449;
+ wire n_1450, n_1453, n_1454, n_1457, n_1458, n_1460, n_1462, n_1464;
+ wire n_1466, n_1469, n_1470, n_1472, n_1473, n_1475, n_1476, n_1477;
+ wire n_1478, n_1479, n_1480, n_1481, n_1483, n_1484, n_1485, n_1486;
+ wire n_1487, n_1488, n_1489, n_1490, n_1491, n_1492, n_1493, n_1495;
+ wire n_1496, n_1498, n_1499, n_1500, n_1501, n_1502, n_1503, n_1504;
+ wire n_1506, n_1507, n_1508, n_1509, n_1511, n_1512, n_1513, n_1514;
+ wire n_1515, n_1516, n_1517, n_1518, n_1519, n_1520, n_1521, n_1522;
+ wire n_1523, n_1524, n_1525, n_1526, n_1527, n_1528, n_1529, n_1530;
+ wire n_1531, n_1532, n_1533, n_1534, n_1535, n_1536, n_1537, n_1538;
+ wire n_1541, n_1542, n_1543, n_1544, n_1545, n_1546, n_1547, n_1548;
+ wire n_1549, n_1552, n_1553, n_1555, n_1556, n_1557, n_1558, n_1559;
+ wire n_1560, n_1561, n_1562, n_1563, n_1564, n_1565, n_1566, n_1567;
+ wire n_1568, n_1569, n_1570, n_1571, n_1572, n_1573, n_1574, n_1575;
+ wire n_1576, n_1577, n_1578, n_1579, n_1580, n_1581, n_1582, n_1583;
+ wire n_1584, n_1585, n_1586, n_1587, n_1588, n_1590, n_1591, n_1592;
+ wire n_1593, n_1594, n_1595, n_1596, n_1597, n_1599, n_1600, n_1601;
+ wire n_1602, n_1603, n_1604, n_1605, n_1606, n_1607, n_1609, n_1610;
+ wire n_1611, n_1612, n_1613, n_1614, n_1615, n_1616, n_1617, n_1619;
+ wire n_1620, n_1621, n_1623, n_1624, n_1625, n_1627, n_1628, n_1629;
+ wire n_1631, n_1632, n_1633, n_1634, n_1635, n_1637, n_1638, n_1639;
+ wire n_1640, n_1642, n_1643, n_1644, n_1645, n_1646, n_1648, n_1650;
+ wire n_1651, n_1652, n_1653, n_1654, n_1655, n_1656, n_1657, n_1658;
+ wire n_1660, n_1661, n_1662, n_1663, n_1664, n_1665, n_1666, n_1667;
+ wire n_1668, n_1669, n_1671, n_1672, n_1673, n_1674, n_1675, n_1676;
+ wire n_1677, n_1678, n_1679, n_1680, n_1681, n_1683, n_1684, n_1685;
+ wire n_1686, n_1687, n_1689, n_1690, n_1691, n_1692, n_1693, n_1694;
+ wire n_1695, n_1696, n_1697, n_1698, n_1699, n_1700, n_1701, n_1702;
+ wire n_1703, n_1704, n_1705, n_1706, n_1707, n_1709, n_1710, n_1711;
+ wire n_1712, n_1714, n_1715, n_1716, n_1717, n_1718, n_1719, n_1720;
+ wire n_1722, n_1723, n_1724, n_1725, n_1726, n_1727, n_1728, n_1729;
+ wire n_1730, n_1731, n_1732, n_1733, n_1734, n_1735, n_1736, n_1737;
+ wire n_1738, n_1739, n_1741, n_1742, n_1743, n_1744, n_1745, n_1746;
+ wire n_1747, n_1748, n_1749, n_1750, n_1751, n_1752, n_1753, n_1754;
+ wire n_1755, n_1756, n_1757, n_1758, n_1759, n_1760, n_1761, n_1763;
+ wire n_1764, n_1765, n_1766, n_1767, n_1768, n_1770, n_1772, n_1773;
+ wire n_1774, n_1775, n_1776, n_1777, n_1778, n_1779, n_1782, n_1784;
+ wire n_1785, n_1786, n_1788, n_1790, n_1791, n_1792, n_1793, n_1794;
+ wire n_1795, n_1796, n_1798, n_1800, n_1804, n_1805, n_1806, n_1807;
+ wire n_1808, n_1809, n_1810, n_1811, n_1812, n_1813, n_1814, n_1815;
+ wire n_1816, n_1818, n_1819, n_1820, n_1821, n_1822, n_1823, n_1824;
+ wire n_1825, n_1826, n_1827, n_1829, n_1831, n_1832, n_1833, n_1834;
+ wire n_1835, n_1836, n_1837, n_1838, n_1839, n_1840, n_1845, n_1846;
+ wire n_1848, n_1851, n_1854, n_1855, n_1858, n_1859, n_1860, n_1861;
+ wire n_1862, n_1863, n_1864, n_1865, n_1867, n_1869, n_1870, n_1871;
+ wire n_1873, n_1875, n_1881, n_1883, n_1884, n_1885, n_1886, n_1887;
+ wire n_1889, n_1891, n_1892, n_1894, n_1899, n_1901, n_1907, n_1908;
+ wire n_1910, n_1915, n_1918, n_1920, n_1921, n_1923, n_1924, n_1925;
+ wire n_1926, n_1927, n_1928, n_1929, n_1930, n_1931, n_1932, n_1933;
+ wire n_1934, n_1935, n_1936, n_1937, n_1938, n_1939, n_1940, n_1941;
+ wire n_1942, n_1943, n_1944, n_1945, n_1946, n_1947, n_1948, n_1949;
+ wire n_1950, n_1951, n_1952, n_1953, n_1954, n_1955, n_1956, n_1957;
+ wire n_1958, n_1959, n_1960, n_1961, n_1962, n_1963, n_1964, n_1965;
+ wire n_1966, n_1967, n_1968, n_1969, n_1970, n_1971, n_1972, n_1973;
+ wire n_1974, n_1975, n_1976, n_1977, n_1979, n_1980, n_1981, n_1982;
+ wire n_1983, n_1984, n_1985, n_1986, n_1987, n_1988, n_1989, n_1990;
+ wire n_1991, n_1992, n_1993, n_1994, n_1995, n_1996, n_1997, n_1998;
+ wire n_1999, n_2000, n_2001, n_2002, n_2003, n_2004, n_2005, n_2006;
+ wire n_2007, n_2008, n_2009, n_2010, n_2011, n_2012, n_2013, n_2014;
+ wire n_2015, n_2016, n_2018, n_2019, n_2020, n_2021, n_2022, n_2023;
+ wire n_2024, n_2025, n_2026, n_2027, n_2028, n_2029, n_2030, n_2032;
+ wire n_2034, n_2035, n_2036, n_2037, n_2038, n_2039, n_2040, n_2041;
+ wire n_2042, n_2043, n_2044, n_2045, n_2046, n_2047, n_2048, n_2049;
+ wire n_2051, n_2052, n_2053, n_2054, n_2055, n_2056, n_2057, n_2058;
+ wire n_2059, n_2060, n_2061, n_2062, n_2063, n_2064, n_2065, n_2066;
+ wire n_2067, n_2068, n_2069, n_2070, n_2071, n_2072, n_2073, n_2074;
+ wire n_2075, n_2077, n_2079, n_2080, n_2081, n_2082, n_2083, n_2084;
+ wire n_2085, n_2086, n_2087, n_2089, n_2091, n_2092, n_2093, n_2094;
+ wire n_2095, n_2096, n_2097, n_2098, n_2099, n_2100, n_2102, n_2103;
+ wire n_2104, n_2105, n_2106, n_2107, n_2108, n_2109, n_2110, n_2111;
+ wire n_2113, n_2114, n_2115, n_2116, n_2117, n_2118, n_2119, n_2120;
+ wire n_2121, n_2122, n_2123, n_2124, n_2126, n_2127, n_2128, n_2129;
+ wire n_2130, n_2131, n_2132, n_2133, n_2134, n_2135, n_2136, n_2137;
+ wire n_2138, n_2140, n_2141, n_2142, n_2143, n_2144, n_2145, n_2146;
+ wire n_2147, n_2149, n_2151, n_2152, n_2153, n_2154, n_2155, n_2156;
+ wire n_2157, n_2159, n_2160, n_2161, n_2162, n_2164, n_2165, n_2167;
+ wire n_2168, n_2169, n_2170, n_2171, n_2172, n_2173, n_2174, n_2175;
+ wire n_2176, n_2177, n_2178, n_2180, n_2181, n_2182, n_2183, n_2184;
+ wire n_2185, n_2186, n_2188, n_2189, n_2190, n_2191, n_2192, n_2193;
+ wire n_2195, n_2196, n_2197, n_2198, n_2199, n_2200, n_2201, n_2202;
+ wire n_2203, n_2204, n_2205, n_2206, n_2207, n_2208, n_2209, n_2210;
+ wire n_2211, n_2212, n_2214, n_2215, n_2216, n_2217, n_2218, n_2219;
+ wire n_2221, n_2222, n_2223, n_2224, n_2225, n_2226, n_2227, n_2229;
+ wire n_2231, n_2232, n_2233, n_2234, n_2235, n_2236, n_2237, n_2238;
+ wire n_2239, n_2240, n_2241, n_2243, n_2244, n_2245, n_2246, n_2247;
+ wire n_2248, n_2249, n_2250, n_2251, n_2252, n_2253, n_2255, n_2257;
+ wire n_2258, n_2259, n_2260, n_2261, n_2263, n_2264, n_2268, n_2269;
+ wire n_2270, n_2271, n_2272, n_2273, n_2274, n_2276, n_2277, n_2278;
+ wire n_2279, n_2280, n_2281, n_2282, n_2284, n_2285, n_2286, n_2287;
+ wire n_2288, n_2289, n_2290, n_2291, n_2292, n_2294, n_2295, n_2296;
+ wire n_2297, n_2298, n_2299, n_2300, n_2301, n_2302, n_2303, n_2304;
+ wire n_2305, n_2307, n_2312, n_2314, n_2316, n_2317, n_2319, n_2320;
+ wire n_2321, n_2322, n_2325, n_2326, n_2327, n_2329, n_2330, n_2331;
+ wire n_2332, n_2333, n_2334, n_2335, n_2336, n_2337, n_2338, n_2341;
+ wire n_2343, n_2344, n_2345, n_2346, n_2348, n_2350, n_2351, n_2352;
+ wire n_2353, n_2354, n_2355, n_2357, n_2359, n_2360, n_2362, n_2363;
+ wire n_2364, n_2365, n_2366, n_2367, n_2368, n_2369, n_2370, n_2371;
+ wire n_2372, n_2373, n_2374, n_2375, n_2376, n_2377, n_2378, n_2379;
+ wire n_2380, n_2381, n_2382, n_2383, n_2384, n_2385, n_2386, n_2387;
+ wire n_2388, n_2389, n_2390, n_2391, n_2392, n_2393, n_2395, n_2396;
+ wire n_2397, n_2398, n_2399, n_2400, n_2401, n_2402, n_2403, n_2404;
+ wire n_2405, n_2406, n_2407, n_2408, n_2409, n_2410, n_2411, n_2412;
+ wire n_2413, n_2414, n_2416, n_2417, n_2418, n_2419, n_2420, n_2421;
+ wire n_2423, n_2424, n_2425, n_2426, n_2428, n_2429, n_2431, n_2432;
+ wire n_2433, n_2435, n_2437, n_2438, n_2439, n_2440, n_2441, n_2443;
+ wire n_2444, n_2445, n_2446, n_2447, n_2448, n_2450, n_2452, n_2453;
+ wire n_2455, n_2456, n_2457, n_2458, n_2459, n_2460, n_2461, n_2462;
+ wire n_2463, n_2464, n_2465, n_2466, n_2467, n_2468, n_2469, n_2470;
+ wire n_2471, n_2472, n_2473, n_2475, n_2476, n_2477, n_2478, n_2479;
+ wire n_2481, n_2482, n_2483, n_2484, n_2485, n_2486, n_2487, n_2488;
+ wire n_2489, n_2491, n_2493, n_2496, n_2498, n_2499, n_2500, n_2501;
+ wire n_2502, n_2503, n_2504, n_2505, n_2506, n_2507, n_2508, n_2509;
+ wire n_2510, n_2511, n_2513, n_2516, n_2517, n_2519, n_2520, n_2521;
+ wire n_2523, n_2525, n_2526, n_2527, n_2528, n_2529, n_2530, n_2531;
+ wire n_2532, n_2533, n_2534, n_2535, n_2536, n_2537, n_2538, n_2539;
+ wire n_2540, n_2542, n_2543, n_2544, n_2545, n_2547, n_2548, n_2549;
+ wire n_2550, n_2551, n_2552, n_2553, n_2554, n_2555, n_2556, n_2557;
+ wire n_2558, n_2559, n_2560, n_2561, n_2563, n_2565, n_2566, n_2567;
+ wire n_2568, n_2569, n_2570, n_2571, n_2572, n_2574, n_2575, n_2576;
+ wire n_2577, n_2578, n_2579, n_2580, n_2582, n_2583, n_2584, n_2585;
+ wire n_2586, n_2587, n_2588, n_2589, n_2592, n_2593, n_2594, n_2595;
+ wire n_2596, n_2597, n_2599, n_2600, n_2602, n_2603, n_2604, n_2606;
+ wire n_2607, n_2608, n_2609, n_2610, n_2611, n_2612, n_2613, n_2614;
+ wire n_2615, n_2616, n_2617, n_2618, n_2619, n_2621, n_2622, n_2624;
+ wire n_2625, n_2627, n_2628, n_2629, n_2630, n_2631, n_2632, n_2633;
+ wire n_2634, n_2635, n_2636, n_2638, n_2640, n_2644, n_2645, n_2646;
+ wire n_2647, n_2648, n_2649, n_2650, n_2651, n_2652, n_2653, n_2655;
+ wire n_2656, n_2657, n_2658, n_2659, n_2660, n_2661, n_2662, n_2663;
+ wire n_2664, n_2665, n_2666, n_2667, n_2668, n_2669, n_2670, n_2671;
+ wire n_2672, n_2673, n_2674, n_2675, n_2676, n_2677, n_2678, n_2679;
+ wire n_2680, n_2681, n_2682, n_2683, n_2684, n_2685, n_2686, n_2688;
+ wire n_2689, n_2690, n_2691, n_2692, n_2693, n_2694, n_2695, n_2696;
+ wire n_2697, n_2698, n_2699, n_2700, n_2701, n_2702, n_2704, n_2705;
+ wire n_2706, n_2707, n_2709, n_2711, n_2712, n_2713, n_2714, n_2715;
+ wire n_2716, n_2717, n_2718, n_2719, n_2720, n_2721, n_2722, n_2723;
+ wire n_2725, n_2726, n_2727, n_2728, n_2729, n_2730, n_2731, n_2732;
+ wire n_2734, n_2735, n_2736, n_2737, n_2738, n_2739, n_2740, n_2741;
+ wire n_2742, n_2743, n_2744, n_2746, n_2747, n_2748, n_2749, n_2750;
+ wire n_2751, n_2752, n_2753, n_2754, n_2755, n_2757, n_2758, n_2759;
+ wire n_2760, n_2761, n_2762, n_2763, n_2764, n_2765, n_2766, n_2767;
+ wire n_2769, n_2770, n_2771, n_2772, n_2773, n_2774, n_2775, n_2776;
+ wire n_2777, n_2778, n_2779, n_2780, n_2781, n_2782, n_2783, n_2784;
+ wire n_2785, n_2786, n_2787, n_2788, n_2789, n_2790, n_2791, n_2792;
+ wire n_2793, n_2794, n_2796, n_2797, n_2799, n_2800, n_2801, n_2802;
+ wire n_2803, n_2804, n_2805, n_2806, n_2807, n_2808, n_2809, n_2810;
+ wire n_2811, n_2812, n_2813, n_2814, n_2815, n_2816, n_2817, n_2818;
+ wire n_2819, n_2820, n_2821, n_2822, n_2823, n_2824, n_2826, n_2827;
+ wire n_2828, n_2829, n_2830, n_2831, n_2832, n_2833, n_2835, n_2836;
+ wire n_2837, n_2838, n_2839, n_2840, n_2841, n_2844, n_2846, n_2849;
+ wire n_2850, n_2851, n_2852, n_2854, n_2855, n_2856, n_2857, n_2858;
+ wire n_2859, n_2861, n_2863, n_2864, n_2865, n_2867, n_2868, n_2870;
+ wire n_2871, n_2872, n_2873, n_2874, n_2876, n_2877, n_2878, n_2879;
+ wire n_2880, n_2882, n_2883, n_2886, n_2888, n_2889, n_2890, n_2891;
+ wire n_2893, n_2894, n_2895, n_2896, n_2897, n_2898, n_2902, n_2903;
+ wire n_2905, n_2906, n_2907, n_2908, n_2909, n_2911, n_2912, n_2913;
+ wire n_2914, n_2915, n_2916, n_2917, n_2918, n_2922, n_2923, n_2924;
+ wire n_2925, n_2926, n_2927, n_2928, n_2929, n_2930, n_2932, n_2934;
+ wire n_2935, n_2937, n_2938, n_2939, n_2940, n_2941, n_2943, n_2945;
+ wire n_2946, n_2947, n_2950, n_2951, n_2952, n_2953, n_2954, n_2955;
+ wire n_2956, n_2957, n_2958, n_2959, n_2961, n_2962, n_2964, n_2965;
+ wire n_2966, n_2967, n_2968, n_2969, n_2970, n_2971, n_2972, n_2974;
+ wire n_2975, n_2976, n_2977, n_2981, n_2982, n_2983, n_2984, n_2985;
+ wire n_2986, n_2987, n_2989, n_2992, n_2993, n_2994, n_2995, n_2996;
+ wire n_2997, n_2998, n_2999, n_3000, n_3001, n_3003, n_3004, n_3006;
+ wire n_3007, n_3008, n_3010, n_3013, n_3014, n_3015, n_3016, n_3018;
+ wire n_3019, n_3020, n_3022, n_3023, n_3027, n_3029, n_3030, n_3031;
+ wire n_3032, n_3035, n_3036, n_3037, n_3038, n_3040, n_3041, n_3043;
+ wire n_3044, n_3045, n_3051, n_3052, n_3053, n_3054, n_3056, n_3057;
+ wire n_3058, n_3060, n_3061, n_3063, n_3065, n_3066, n_3067, n_3069;
+ wire n_3070, n_3071, n_3073, n_3074, n_3076, n_3078, n_3079, n_3080;
+ wire n_3081, n_3082, n_3084, n_3086, n_3087, n_3089, n_3090, n_3091;
+ wire n_3092, n_3093, n_3094, n_3098, n_3103, n_3104, n_3105, n_3106;
+ wire n_3107, n_3108, n_3109, n_3111, n_3112, n_3114, n_3116, n_3117;
+ wire n_3118, n_3119, n_3120, n_3121, n_3122, n_3123, n_3124, n_3125;
+ wire n_3126, n_3128, n_3129, n_3130, n_3131, n_3132, n_3133, n_3134;
+ wire n_3135, n_3136, n_3137, n_3138, n_3142, n_3143, n_3144, n_3150;
+ wire n_3151, n_3154, n_3158, n_3159, n_3160, n_3161, n_3162, n_3163;
+ wire n_3164, n_3166, n_3167, n_3169, n_3171, n_3172, n_3173, n_3175;
+ wire n_3176, n_3177, n_3180, n_3181, n_3183, n_3184, n_3185, n_3186;
+ wire n_3188, n_3191, n_3192, n_3193, n_3194, n_3195, n_3198, n_3199;
+ wire n_3202, n_3204, n_3205, n_3206, n_3207, n_3208, n_3209, n_3210;
+ wire n_3212, n_3213, n_3214, n_3215, n_3218, n_3220, n_3223, n_3224;
+ wire n_3225, n_3227, n_3228, n_3229, n_3231, n_3234, n_3235, n_3236;
+ wire n_3238, n_3239, n_3240, n_3242, n_3246, n_3248, n_3251, n_3252;
+ wire n_3253, n_3254, n_3255, n_3256, n_3257, n_3258, n_3259, n_3260;
+ wire n_3261, n_3264, n_3268, n_3270, n_3274, n_3275, n_3277, n_3278;
+ wire n_3279, n_3280, n_3282, n_3283, n_3285, n_3287, n_3288, n_3289;
+ wire n_3290, n_3291, n_3292, n_3293, n_3295, n_3296, n_3297, n_3299;
+ wire n_3302, n_3305, n_3307, n_3309, n_3311, n_3313, n_3315, n_3316;
+ wire n_3317, n_3318, n_3321, n_3324, n_3328, n_3329, n_3330, n_3331;
+ wire n_3332, n_3333, n_3334, n_3336, n_3338, n_3339, n_3341, n_3342;
+ wire n_3343, n_3344, n_3346, n_3347, n_3348, n_3350, n_3351, n_3353;
+ wire n_3354, n_3355, n_3357, n_3358, n_3360, n_3362, n_3363, n_3364;
+ wire n_3366, n_3367, n_3370, n_3373, n_3374, n_3375, n_3376, n_3378;
+ wire n_3381, n_3383, n_3388, n_3389, n_3391, n_3392, n_3393, n_3394;
+ wire n_3396, n_3398, n_3399, n_3400, n_3401, n_3404, n_3405, n_3406;
+ wire n_3407, n_3409, n_3410, n_3411, n_3412, n_3415, n_3416, n_3417;
+ wire n_3419, n_3420, n_3422, n_3423, n_3424, n_3425, n_3426, n_3428;
+ wire n_3429, n_3431, n_3432, n_3434, n_3436, n_3437, n_3441, n_3442;
+ wire n_3444, n_3446, n_3449, n_3452, n_3453, n_3454, n_3455, n_3460;
+ wire n_3463, n_3465, n_3466, n_3467, n_3468, n_3469, n_3470, n_3472;
+ wire n_3473, n_3474, n_3478, n_3480, n_3482, n_3483, n_3486, n_3487;
+ wire n_3489, n_3490, n_3492, n_3493, n_3494, n_3495, n_3497, n_3499;
+ wire n_3501, n_3502, n_3505, n_3506, n_3507, n_3509, n_3511, n_3512;
+ wire n_3514, n_3515, n_3516, n_3517, n_3519, n_3520, n_3521, n_3522;
+ wire n_3523, n_3524, n_3525, n_3526, n_3527, n_3528, n_3529, n_3530;
+ wire n_3531, n_3532, n_3533, n_3534, n_3535, n_3536, n_3537, n_3538;
+ wire n_3539, n_3540, n_3542, n_3543, n_3544, n_3545, n_3546, n_3547;
+ wire n_3548, n_3549, n_3550, n_3551, n_3552, n_3553, n_3554, n_3555;
+ wire n_3556, n_3557, n_3559, n_3560, n_3561, n_3563, n_3564, n_3565;
+ wire n_3566, n_3567, n_3568, n_3569, n_3570, n_3571, n_3572, n_3573;
+ wire n_3574, n_3575, n_3576, n_3577, n_3579, n_3580, n_3582, n_3583;
+ wire n_3584, n_3585, n_3586, n_3587, n_3588, n_3589, n_3590, n_3592;
+ wire n_3593, n_3595, n_3596, n_3598, n_3599, n_3600, n_3601, n_3602;
+ wire n_3603, n_3604, n_3606, n_3607, n_3608, n_3609, n_3610, n_3611;
+ wire n_3612, n_3613, n_3614, n_3615, n_3616, n_3617, n_3619, n_3620;
+ wire n_3621, n_3622, n_3623, n_3624, n_3625, n_3626, n_3627, n_3628;
+ wire n_3630, n_3631, n_3632, n_3633, n_3635, n_3636, n_3638, n_3639;
+ wire n_3641, n_3642, n_3644, n_3645, n_3647, n_3648, n_3649, n_3651;
+ wire n_3652, n_3654, n_3656, n_3658, n_3660, n_3663, n_3664, n_3666;
+ wire n_3667, n_3668, n_3670, n_3671, n_3674, n_3675, n_3676, n_3677;
+ wire n_3679, n_3681, n_3682, n_3683, n_3684, n_3686, n_3688, n_3690;
+ wire n_3692, n_3693, n_3694, n_3696, n_3697, n_3698, n_3699, n_3700;
+ wire n_3701, n_3704, n_3707, n_3709, n_3710, n_3712, n_3714, n_3715;
+ wire n_3717, n_3719, n_3720, n_3722, n_3724, n_3725, n_3727, n_3728;
+ wire n_3731, n_3732, n_3734, n_3735, n_3737, n_3739, n_3741, n_3744;
+ wire n_3746, n_3748, n_3750, n_3751, n_3752, n_3753, n_3754, n_3755;
+ wire n_3756, n_3757, n_3759, n_3760, n_3761, n_3762, n_3763, n_3764;
+ wire n_3765, n_3766, n_3768, n_3770, n_3771, n_3773, n_3776, n_3777;
+ wire n_3779, n_3780, n_3783, n_3784, n_3786, n_3788, n_3790, n_3791;
+ wire n_3792, n_3795, n_3796, n_3797, n_3799, n_3801, n_3802, n_3803;
+ wire n_3804, n_3806, n_3807, n_3808, n_3809, n_3811, n_3812, n_3813;
+ wire n_3814, n_3815, n_3817, n_3818, n_3820, n_3822, n_3823, n_3824;
+ wire n_3825, n_3826, n_3827, n_3828, n_3830, n_3831, n_3832, n_3833;
+ wire n_3834, n_3836, n_3837, n_3838, n_3840, n_3841, n_3842, n_3843;
+ wire n_3844, n_3845, n_3846, n_3847, n_3848, n_3849, n_3850, n_3851;
+ wire n_3852, n_3854, n_3855, n_3856, n_3857, n_3858, n_3860, n_3861;
+ wire n_3862, n_3864, n_3865, n_3866, n_3867, n_3869, n_3871, n_3872;
+ wire n_3874, n_3875, n_3877, n_3878, n_3879, n_3880, n_3881, n_3883;
+ wire n_3884, n_3885, n_3886, n_3887, n_3888, n_3889, n_3890, n_3892;
+ wire n_3893, n_3894, n_3895, n_3896, n_3898, n_3899, n_3900, n_3902;
+ wire n_3903, n_3904, n_3905, n_3907, n_3908, n_3909, n_3910, n_3911;
+ wire n_3913, n_3914, n_3915, n_3916, n_3917, n_3918, n_3919, n_3920;
+ wire n_3921, n_3922, n_3923, n_3925, n_3927, n_3928, n_3929, n_3930;
+ wire n_3932, n_3933, n_3934, n_3935, n_3937, n_3938, n_3939, n_3940;
+ wire n_3941, n_3942, n_3943, n_3944, n_3945, n_3946, n_3947, n_3948;
+ wire n_3949, n_3950, n_3952, n_3953, n_3955, n_3956, n_3957, n_3958;
+ wire n_3959, n_3960, n_3961, n_3963, n_3964, n_3965, n_3966, n_3967;
+ wire n_3968, n_3969, n_3970, n_3971, n_3973, n_3974, n_3975, n_3976;
+ wire n_3978, n_3979, n_3980, n_3981, n_3982, n_3983, n_3984, n_3985;
+ wire n_3986, n_3987, n_3989, n_3991, n_3992, n_3993, n_3994, n_3995;
+ wire n_3996, n_3997, n_3998, n_3999, n_4000, n_4001, n_4002, n_4003;
+ wire n_4004, n_4005, n_4006, n_4007, n_4008, n_4009, n_4010, n_4011;
+ wire n_4012, n_4013, n_4014, n_4015, n_4016, n_4017, n_4018, n_4019;
+ wire n_4020, n_4021, n_4022, n_4023, n_4024, n_4025, n_4026, n_4027;
+ wire n_4028, n_4029, n_4030, n_4031, n_4032, n_4033, n_4034, n_4035;
+ wire n_4036, n_4037, n_4038, n_4039, n_4040, n_4041, n_4042, n_4043;
+ wire n_4044, n_4045, n_4046, n_4047, n_4048, n_4049, n_4050, n_4051;
+ wire n_4052, n_4053, n_4054, n_4055, n_4056, n_4057, n_4058, n_4059;
+ wire n_4060, n_4061, n_4062, n_4063, n_4064, n_4065, n_4066, n_4067;
+ wire n_4068, n_4070, n_4072, n_4073, n_4074, n_4075, n_4076, n_4077;
+ wire n_4078, n_4079, n_4080, n_4081, n_4082, n_4084, n_4085, n_4086;
+ wire n_4087, n_4088, n_4089, n_4090, n_4091, n_4092, n_4093, n_4094;
+ wire n_4095, n_4096, n_4097, n_4098, n_4100, n_4101, n_4102, n_4103;
+ wire n_4104, n_4105, n_4106, n_4107, n_4108, n_4109, n_4111, n_4112;
+ wire n_4113, n_4114, n_4116, n_4117, n_4118, n_4120, n_4121, n_4123;
+ wire n_4124, n_4125, n_4126, n_4127, n_4128, n_4129, n_4130, n_4131;
+ wire n_4133, n_4134, n_4135, n_4136, n_4138, n_4140, n_4142, n_4143;
+ wire n_4144, n_4147, n_4148, n_4150, n_4153, n_4154, n_4155, n_4157;
+ wire n_4158, n_4159, n_4161, n_4163, n_4164, n_4165, n_4167, n_4171;
+ wire n_4173, n_4175, n_4177, n_4178, n_4182, n_4183, n_4190, n_4191;
+ wire n_4192, n_4193, n_4195, n_4196, n_4198, n_4199, n_4200, n_4202;
+ wire n_4203, n_4204, n_4205, n_4206, n_4207, n_4208, n_4209, n_4210;
+ wire n_4212, n_4214, n_4217, n_4218, n_4219, n_4220, n_4222, n_4223;
+ wire n_4224, n_4225, n_4226, n_4229, n_4230, n_4231, n_4232, n_4234;
+ wire n_4236, n_4237, n_4238, n_4239, n_4240, n_4243, n_4244, n_4246;
+ wire n_4247, n_4249, n_4250, n_4251, n_4252, n_4253, n_4256, n_4257;
+ wire n_4258, n_4259, n_4260, n_4261, n_4266, n_4267, n_4268, n_4269;
+ wire n_4270, n_4271, n_4272, n_4273, n_4274, n_4275, n_4276, n_4277;
+ wire n_4278, n_4279, n_4280, n_4281, n_4282, n_4283, n_4284, n_4285;
+ wire n_4286, n_4287, n_4288, n_4289, n_4290, n_4291, n_4292, n_4293;
+ wire n_4294, n_4295, n_4296, n_4297, n_4298, n_4299, n_4300, n_4301;
+ wire n_4302, n_4303, n_4304, n_4305, n_4306, n_4307, n_4308, n_4309;
+ wire n_4310, n_4311, n_4312, n_4313, n_4314, n_4315, n_4316, n_4317;
+ wire n_4318, n_4319, n_4320, n_4321, n_4322, n_4323, n_4324, n_4325;
+ wire n_4326, n_4327, n_4328, n_4329, n_4330, n_4331, n_4332, n_4333;
+ wire n_4334, n_4335, n_4336, n_4337, n_4338, n_4339, n_4340, n_4341;
+ wire n_4342, n_4343, n_4344, n_4345, n_4346, n_4347, n_4348, n_4349;
+ wire n_4350, n_4351, n_4352, n_4353, n_4354, n_4355, n_4356, n_4357;
+ wire n_4358, n_4359, n_4360, n_4361, n_4362, n_4363, n_4364, n_4365;
+ wire n_4366, n_4367, n_4368, n_4369, n_4370, n_4371, n_4372, n_4373;
+ wire n_4374, n_4375, n_4376, n_4377, n_4378, n_4379, n_4380, n_4381;
+ wire n_4382, n_4383, n_4384, n_4385, n_4386, n_4387, n_4388, n_4389;
+ wire n_4390, n_4391, n_4392, n_4393, n_4394, n_4395, n_4396, n_4397;
+ wire n_4398, n_4399, n_4400, n_4401, n_4402, n_4403, n_4404, n_4405;
+ wire n_4406, n_4407, n_4408, n_4409, n_4410, n_4411, n_4412, n_4413;
+ wire n_4414, n_4415, n_4416, n_4417, n_4418, n_4419, n_4420, n_4421;
+ wire n_4422, n_4423, n_4424, n_4425, n_4426, n_4427, n_4428, n_4429;
+ wire n_4430, n_4431, n_4432, n_4433, n_4434, n_4435, n_4436, n_4438;
+ wire n_4439, n_4440, n_4441, n_4442, n_4443, n_4444, n_4445, n_4446;
+ wire n_4447, n_4448, n_4449, n_4450, n_4451, n_4452, n_4453, n_4454;
+ wire n_4455, n_4456, n_4457, n_4458, n_4459, n_4460, n_4461, n_4462;
+ wire n_4463, n_4464, n_4465, n_4466, n_4467, n_4468, n_4469, n_4470;
+ wire n_4471, n_4472, n_4473, n_4474, n_4475, n_4476, n_4477, n_4478;
+ wire n_4479, n_4480, n_4481, n_4482, n_4483, n_4484, n_4485, n_4486;
+ wire n_4487, n_4488, n_4489, n_4490, n_4491, n_4492, n_4493, n_4494;
+ wire n_4495, n_4496, n_4497, n_4498, n_4499, n_4500, n_4501, n_4502;
+ wire n_4503, n_4504, n_4505, n_4506, n_4507, n_4508, n_4509, n_4510;
+ wire n_4511, n_4512, n_4513, n_4514, n_4515, n_4516, n_4517, n_4518;
+ wire n_4519, n_4520, n_4521, n_4522, n_4523, n_4524, n_4525, n_4526;
+ wire n_4527, n_4528, n_4529, n_4530, n_4531, n_4532, n_4533, n_4534;
+ wire n_4535, n_4536, n_4537, n_4538, n_4539, n_4540, n_4541, n_4542;
+ wire n_4543, n_4544, n_4545, n_4546, n_4547, n_4550, n_4551, n_4552;
+ wire n_4553, n_4555, n_4557, n_4558, n_4559, n_4560, n_4561, n_4563;
+ wire n_4565, n_4566, n_4567, n_4568, n_4569, n_4570, n_4571, n_4572;
+ wire n_4573, n_4574, n_4576, n_4580, n_4581, n_4584, n_4586, n_4587;
+ wire n_4589, n_4590, n_4591, n_4592, n_4593, n_4594, n_4595, n_4596;
+ wire n_4597, n_4598, n_4599, n_4600, n_4601, n_4603, n_4605, n_4606;
+ wire n_4607, n_4608, n_4609, n_4610, n_4611, n_4613, n_4614, n_4615;
+ wire n_4616, n_4617, n_4618, n_4619, n_4621, n_4623, n_4624, n_4625;
+ wire n_4626, n_4627, n_4628, n_4629, n_4630, n_4631, n_4632, n_4633;
+ wire n_4634, n_4635, n_4636, n_4637, n_4638, n_4639, n_4640, n_4641;
+ wire n_4642, n_4643, n_4644, n_4645, n_4646, n_4647, n_4648, n_4649;
+ wire n_4650, n_4651, n_4652, n_4653, n_4654, n_4655, n_4656, n_4658;
+ wire n_4659, n_4660, n_4661, n_4662, n_4663, n_4664, n_4665, n_4666;
+ wire n_4667, n_4668, n_4669, n_4670, n_4671, n_4672, n_4673, n_4675;
+ wire n_4676, n_4677, n_4679, n_4680, n_4681, n_4683, n_4685, n_4686;
+ wire n_4687, n_4688, n_4689, n_4690, n_4692, n_4693, n_4694, n_4695;
+ wire n_4697, n_4698, n_4699, n_4701, n_4702, n_4703, n_4704, n_4706;
+ wire n_4707, n_4708, n_4709, n_4710, n_4711, n_4712, n_4713, n_4714;
+ wire n_4715, n_4716, n_4718, n_4719, n_4720, n_4721, n_4722, n_4723;
+ wire n_4724, n_4725, n_4726, n_4727, n_4728, n_4729, n_4730, n_4731;
+ wire n_4733, n_4734, n_4735, n_4736, n_4737, n_4738, n_4741, n_4742;
+ wire n_4743, n_4744, n_4745, n_4746, n_4747, n_4748, n_4749, n_4750;
+ wire n_4751, n_4752, n_4753, n_4754, n_4755, n_4756, n_4757, n_4758;
+ wire n_4759, n_4760, n_4761, n_4762, n_4764, n_4765, n_4766, n_4767;
+ wire n_4768, n_4769, n_4770, n_4772, n_4773, n_4774, n_4775, n_4776;
+ wire n_4777, n_4778, n_4779, n_4780, n_4781, n_4782, n_4783, n_4784;
+ wire n_4785, n_4786, n_4788, n_4789, n_4790, n_4791, n_4792, n_4793;
+ wire n_4794, n_4795, n_4796, n_4797, n_4799, n_4800, n_4801, n_4802;
+ wire n_4803, n_4804, n_4805, n_4806, n_4807, n_4808, n_4809, n_4810;
+ wire n_4811, n_4812, n_4813, n_4814, n_4815, n_4816, n_4817, n_4818;
+ wire n_4819, n_4820, n_4821, n_4822, n_4823, n_4824, n_4825, n_4826;
+ wire n_4827, n_4828, n_4829, n_4830, n_4831, n_4832, n_4833, n_4834;
+ wire n_4835, n_4836, n_4837, n_4838, n_4839, n_4840, n_4841, n_4842;
+ wire n_4843, n_4844, n_4845, n_4846, n_4848, n_4850, n_4851, n_4852;
+ wire n_4853, n_4854, n_4855, n_4856, n_4857, n_4858, n_4859, n_4860;
+ wire n_4861, n_4862, n_4863, n_4864, n_4865, n_4866, n_4867, n_4868;
+ wire n_4869, n_4870, n_4871, n_4872, n_4873, n_4874, n_4875, n_4876;
+ wire n_4877, n_4878, n_4879, n_4880, n_4881, n_4882, n_4883, n_4884;
+ wire n_4885, n_4886, n_4887, n_4888, n_4889, n_4890, n_4891, n_4892;
+ wire n_4893, n_4894, n_4895, n_4896, n_4897, n_4898, n_4899, n_4900;
+ wire n_4901, n_4902, n_4903, n_4904, n_4905, n_4906, n_4907, n_4908;
+ wire n_4909, n_4910, n_4911, n_4912, n_4913, n_4914, n_4915, n_4916;
+ wire n_4917, n_4918, n_4919, n_4920, n_4921, n_4922, n_4923, n_4924;
+ wire n_4925, n_4926, n_4927, n_4928, n_4929, n_4930, n_4931, n_4932;
+ wire n_4933, n_4934, n_4935, n_4936, n_4937, n_4938, n_4939, n_4940;
+ wire n_4941, n_4942, n_4943, n_4944, n_4945, n_4946, n_4947, n_4948;
+ wire n_4949, n_4950, n_4951, n_4952, n_4953, n_4954, n_4955, n_4956;
+ wire n_4957, n_4958, n_4959, n_4960, n_4961, n_4962, n_4963, n_4964;
+ wire n_4965, n_4966, n_4967, n_4968, n_4969, n_4970, n_4971, n_4972;
+ wire n_4973, n_4974, n_4975, n_4976, n_4977, n_4978, n_4979, n_4980;
+ wire n_4981, n_4982, n_4983, n_4984, n_4985, n_4986, n_4987, n_4988;
+ wire n_4989, n_4990, n_4991, n_4992, n_4993, n_4994, n_4995, n_4996;
+ wire n_4997, n_4998, n_4999, n_5000, n_5001, n_5002, n_5003, n_5004;
+ wire n_5005, n_5006, n_5007, n_5008, n_5009, n_5010, n_5011, n_5012;
+ wire n_5013, n_5014, n_5015, n_5016, n_5017, n_5018, n_5019, n_5020;
+ wire n_5021, n_5022, n_5023, n_5024, n_5025, n_5026, n_5027, n_5028;
+ wire n_5029, n_5030, n_5031, n_5032, n_5033, n_5034, n_5035, n_5036;
+ wire n_5037, n_5038, n_5039, n_5040, n_5041, n_5042, n_5043, n_5044;
+ wire n_5045, n_5046, n_5047, n_5048, n_5049, n_5050, n_5051, n_5052;
+ wire n_5053, n_5054, n_5055, n_5056, n_5057, n_5058, n_5059, n_5060;
+ wire n_5061, n_5062, n_5063, n_5064, n_5065, n_5066, n_5067, n_5068;
+ wire n_5069, n_5070, n_5071, n_5072, n_5073, n_5074, n_5075, n_5076;
+ wire n_5077, n_5078, n_5079, n_5080, n_5081, n_5082, n_5083, n_5084;
+ wire n_5085, n_5086, n_5087, n_5088, n_5089, n_5090, n_5091, n_5092;
+ wire n_5093, n_5094, n_5095, n_5096, n_5097, n_5098, n_5099, n_5100;
+ wire n_5101, n_5102, n_5103, n_5104, n_5105, n_5106, n_5107, n_5108;
+ wire n_5109, n_5110, n_5111, n_5112, n_5113, n_5114, n_5115, n_5116;
+ wire n_5117, n_5118, n_5119, n_5120, n_5121, n_5122, n_5123, n_5124;
+ wire n_5125, n_5126, n_5127, n_5128, n_5129, n_5130, n_5131, n_5132;
+ wire n_5133, n_5134, n_5135, n_5136, n_5137, n_5138, n_5139, n_5140;
+ wire n_5141, n_5142, n_5143, n_5144, n_5145, n_5146, n_5147, n_5148;
+ wire n_5149, n_5150, n_5151, n_5152, n_5153, n_5154, n_5155, n_5156;
+ wire n_5157, n_5158, n_5159, n_5160, n_5161, n_5162, n_5163, n_5164;
+ wire n_5165, n_5166, n_5167, n_5168, n_5169, n_5170, n_5171, n_5172;
+ wire n_5173, n_5174, n_5175, n_5176, n_5177, n_5178, n_5179, n_5180;
+ wire n_5181, n_5182, n_5183, n_5184, n_5185, n_5186, n_5187, n_5188;
+ wire n_5189, n_5190, n_5191, n_5193, n_5194, n_5195, n_5196, n_5197;
+ wire n_5198, n_5199, n_5200, n_5202, n_5203, n_5205, n_5210, n_5211;
+ wire n_5212, n_5213, n_5214, n_5215, n_5218, n_5219, n_5220, n_5222;
+ wire n_5224, n_5225, n_5226, n_5227, n_5228, n_5229, n_5230, n_5231;
+ wire n_5232, n_5233, n_5234, n_5235, n_5236, n_5237, n_5238, n_5239;
+ wire n_5240, n_5241, n_5242, n_5243, n_5244, n_5245, n_5246, n_5248;
+ wire n_5249, n_5250, n_5251, n_5252, n_5253, n_5254, n_5255, n_5256;
+ wire n_5258, n_5260, n_5261, n_5263, n_5265, n_5266, n_5268, n_5270;
+ wire n_5272, n_5273, n_5274, n_5275, n_5276, n_5277, n_5278, n_5279;
+ wire n_5280, n_5281, n_5282, n_5283, n_5284, n_5285, n_5287, n_5288;
+ wire n_5289, n_5290, n_5291, n_5292, n_5293, n_5294, n_5295, n_5296;
+ wire n_5297, n_5298, n_5299, n_5300, n_5301, n_5302, n_5303, n_5304;
+ wire n_5305, n_5307, n_5308, n_5309, n_5310, n_5311, n_5312, n_5313;
+ wire n_5314, n_5315, n_5316, n_5317, n_5318, n_5319, n_5320, n_5321;
+ wire n_5322, n_5323, n_5325, n_5326, n_5327, n_5329, n_5330, n_5331;
+ wire n_5332, n_5333, n_5334, n_5335, n_5336, n_5337, n_5338, n_5339;
+ wire n_5340, n_5341, n_5342, n_5343, n_5344, n_5345, n_5346, n_5347;
+ wire n_5348, n_5349, n_5350, n_5351, n_5352, n_5353, n_5355, n_5356;
+ wire n_5357, n_5358, n_5359, n_5360, n_5361, n_5362, n_5363, n_5364;
+ wire n_5365, n_5366, n_5367, n_5368, n_5369, n_5370, n_5371, n_5372;
+ wire n_5373, n_5374, n_5375, n_5377, n_5378, n_5379, n_5380, n_5381;
+ wire n_5382, n_5383, n_5384, n_5385, n_5386, n_5387, n_5388, n_5389;
+ wire n_5390, n_5391, n_5392, n_5393, n_5394, n_5396, n_5398, n_5400;
+ wire n_5403, n_5404, n_5405, n_5406, n_5407, n_5408, n_5409, n_5410;
+ wire n_5411, n_5412, n_5413, n_5414, n_5415, n_5416, n_5418, n_5419;
+ wire n_5420, n_5421, n_5422, n_5423, n_5424, n_5425, n_5426, n_5428;
+ wire n_5430, n_5431, n_5432, n_5434, n_5436, n_5438, n_5439, n_5440;
+ wire n_5441, n_5442, n_5443, n_5444, n_5445, n_5447, n_5448, n_5449;
+ wire n_5450, n_5451, n_5452, n_5453, n_5454, n_5455, n_5456, n_5457;
+ wire n_5458, n_5459, n_5460, n_5461, n_5463, n_5466, n_5467, n_5468;
+ wire n_5469, n_5470, n_5471, n_5472, n_5473, n_5474, n_5475, n_5477;
+ wire n_5479, n_5480, n_5481, n_5482, n_5483, n_5484, n_5486, n_5487;
+ wire n_5488, n_5489, n_5490, n_5491, n_5492, n_5493, n_5494, n_5495;
+ wire n_5496, n_5497, n_5498, n_5499, n_5500, n_5501, n_5502, n_5503;
+ wire n_5504, n_5505, n_5506, n_5507, n_5508, n_5509, n_5510, n_5511;
+ wire n_5512, n_5513, n_5514, n_5515, n_5516, n_5517, n_5518, n_5519;
+ wire n_5520, n_5521, n_5522, n_5523, n_5524, n_5525, n_5526, n_5527;
+ wire n_5528, n_5529, n_5530, n_5531, n_5532, n_5533, n_5534, n_5535;
+ wire n_5536, n_5537, n_5538, n_5539, n_5541, n_5542, n_5544, n_5545;
+ wire n_5546, n_5547, n_5548, n_5549, n_5550, n_5551, n_5552, n_5553;
+ wire n_5554, n_5555, n_5556, n_5557, n_5558, n_5559, n_5561, n_5562;
+ wire n_5563, n_5564, n_5565, n_5566, n_5567, n_5569, n_5570, n_5571;
+ wire n_5572, n_5575, n_5576, n_5577, n_5578, n_5580, n_5581, n_5582;
+ wire n_5583, n_5584, n_5585, n_5586, n_5587, n_5588, n_5589, n_5590;
+ wire n_5591, n_5592, n_5593, n_5594, n_5595, n_5596, n_5597, n_5611;
+ wire n_5612, n_5613, n_5614, n_5615, n_5616, n_5617, n_5618, n_5619;
+ wire n_5620, n_5621, n_5622, n_5623, n_5624, n_5625, n_5626, n_5627;
+ wire n_5628, n_5629, n_5630, n_5631, n_5632, n_5633, n_5634, n_5635;
+ wire n_5636, n_5637, n_5638, n_5639, n_5641, n_5643, n_5644, n_5645;
+ wire n_5646, n_5647, n_5648, n_5649, n_5650, n_5651, n_5653, n_5655;
+ wire n_5656, n_5657, n_5658, n_5659, n_5660, n_5662, n_5664, n_5665;
+ wire n_5666, n_5667, n_5668, n_5669, n_5670, n_5671, n_5672, n_5673;
+ wire n_5675, n_5676, n_5677, n_5678, n_5679, n_5680, n_5682, n_5683;
+ wire n_5684, n_5685, n_5686, n_5687, n_5688, n_5690, n_5691, n_5692;
+ wire n_5693, n_5694, n_5696, n_5697, n_5698, n_5699, n_5700, n_5701;
+ wire n_5702, n_5703, n_5704, n_5705, n_5707, n_5708, n_5709, n_5710;
+ wire n_5711, n_5713, n_5714, n_5715, n_5716, n_5717, n_5719, n_5720;
+ wire n_5721, n_5722, n_5723, n_5724, n_5725, n_5726, n_5727, n_5728;
+ wire n_5729, n_5730, n_5731, n_5732, n_5733, n_5734, n_5735, n_5736;
+ wire n_5737, n_5738, n_5740, n_5741, n_5742, n_5743, n_5744, n_5745;
+ wire n_5747, n_5748, n_5749, n_5751, n_5753, n_5756, n_5757, n_5758;
+ wire n_5759, n_5760, n_5761, n_5762, n_5763, n_5764, n_5765, n_5767;
+ wire n_5768, n_5769, n_5770, n_5771, n_5772, n_5773, n_5774, n_5775;
+ wire n_5776, n_5777, n_5779, n_5780, n_5781, n_5782, n_5783, n_5784;
+ wire n_5785, n_5786, n_5787, n_5788, n_5789, n_5790, n_5791, n_5793;
+ wire n_5794, n_5795, n_5796, n_5797, n_5798, n_5799, n_5800, n_5801;
+ wire n_5803, n_5804, n_5805, n_5806, n_5807, n_5808, n_5809, n_5810;
+ wire n_5811, n_5812, n_5813, n_5814, n_5815, n_5816, n_5817, n_5818;
+ wire n_5819, n_5820, n_5821, n_5822, n_5823, n_5824, n_5825, n_5826;
+ wire n_5827, n_5828, n_5829, n_5830, n_5831, n_5832, n_5833, n_5834;
+ wire n_5835, n_5836, n_5837, n_5838, n_5839, n_5840, n_5841, n_5842;
+ wire n_5844, n_5845, n_5846, n_5847, n_5848, n_5850, n_5851, n_5852;
+ wire n_5853, n_5854, n_5855, n_5856, n_5857, n_5858, n_5859, n_5860;
+ wire n_5861, n_5862, n_5863, n_5864, n_5865, n_5866, n_5867, n_5868;
+ wire n_5869, n_5870, n_5871, n_5873, n_5874, n_5875, n_5876, n_5877;
+ wire n_5878, n_5879, n_5880, n_5881, n_5882, n_5883, n_5884, n_5885;
+ wire n_5886, n_5887, n_5888, n_5889, n_5890, n_5892, n_5893, n_5894;
+ wire n_5895, n_5896, n_5897, n_5898, n_5899, n_5901, n_5902, n_5903;
+ wire n_5904, n_5905, n_5906, n_5907, n_5908, n_5909, n_5910, n_5911;
+ wire n_5913, n_5914, n_5916, n_5917, n_5918, n_5919, n_5920, n_5921;
+ wire n_5922, n_5923, n_5924, n_5925, n_5926, n_5929, n_5931, n_5932;
+ wire n_5933, n_5934, n_5935, n_5936, n_5937, n_5938, n_5939, n_5941;
+ wire n_5942, n_5944, n_5945, n_5946, n_5947, n_5948, n_5949, n_5950;
+ wire n_5951, n_5952, n_5953, n_5954, n_5955, n_5956, n_5957, n_5958;
+ wire n_5959, n_5960, n_5962, n_5963, n_5964, n_5965, n_5966, n_5967;
+ wire n_5969, n_5970, n_5971, n_5973, n_5974, n_5975, n_5976, n_5978;
+ wire n_5979, n_5981, n_5982, n_5983, n_5984, n_5985, n_5987, n_5988;
+ wire n_5989, n_5990, n_5991, n_5993, n_5994, n_5995, n_5997, n_5998;
+ wire n_5999, n_6000, n_6001, n_6002, n_6003, n_6004, n_6005, n_6006;
+ wire n_6007, n_6008, n_6009, n_6010, n_6011, n_6012, n_6013, n_6014;
+ wire n_6015, n_6017, n_6018, n_6019, n_6021, n_6022, n_6023, n_6024;
+ wire n_6025, n_6026, n_6027, n_6028, n_6029, n_6030, n_6031, n_6033;
+ wire n_6034, n_6035, n_6036, n_6037, n_6038, n_6040, n_6041, n_6042;
+ wire n_6043, n_6044, n_6045, n_6046, n_6047, n_6048, n_6049, n_6050;
+ wire n_6051, n_6052, n_6053, n_6054, n_6055, n_6056, n_6057, n_6058;
+ wire n_6059, n_6060, n_6061, n_6062, n_6063, n_6064, n_6065, n_6066;
+ wire n_6067, n_6068, n_6069, n_6070, n_6072, n_6073, n_6074, n_6075;
+ wire n_6076, n_6077, n_6078, n_6079, n_6080, n_6081, n_6082, n_6084;
+ wire n_6085, n_6087, n_6088, n_6089, n_6090, n_6091, n_6092, n_6093;
+ wire n_6094, n_6095, n_6096, n_6097, n_6099, n_6103, n_6104, n_6105;
+ wire n_6106, n_6108, n_6109, n_6111, n_6112, n_6113, n_6114, n_6116;
+ wire n_6117, n_6118, n_6119, n_6121, n_6122, n_6123, n_6124, n_6125;
+ wire n_6126, n_6127, n_6129, n_6130, n_6131, n_6132, n_6133, n_6134;
+ wire n_6135, n_6136, n_6137, n_6138, n_6139, n_6140, n_6141, n_6142;
+ wire n_6143, n_6144, n_6145, n_6146, n_6147, n_6150, n_6151, n_6152;
+ wire n_6153, n_6154, n_6155, n_6156, n_6157, n_6158, n_6159, n_6160;
+ wire n_6161, n_6162, n_6163, n_6164, n_6165, n_6166, n_6167, n_6168;
+ wire n_6169, n_6172, n_6173, n_6174, n_6176, n_6177, n_6179, n_6180;
+ wire n_6181, n_6182, n_6183, n_6184, n_6185, n_6186, n_6187, n_6188;
+ wire n_6191, n_6193, n_6194, n_6195, n_6197, n_6199, n_6200, n_6201;
+ wire n_6202, n_6203, n_6204, n_6205, n_6206, n_6207, n_6208, n_6209;
+ wire n_6210, n_6211, n_6212, n_6215, n_6216, n_6217, n_6218, n_6220;
+ wire n_6221, n_6223, n_6224, n_6225, n_6226, n_6227, n_6228, n_6229;
+ wire n_6232, n_6233, n_6234, n_6235, n_6236, n_6237, n_6238, n_6239;
+ wire n_6240, n_6241, n_6243, n_6244, n_6245, n_6246, n_6248, n_6249;
+ wire n_6250, n_6251, n_6252, n_6253, n_6254, n_6255, n_6259, n_6260;
+ wire n_6261, n_6263, n_6264, n_6265, n_6266, n_6267, n_6268, n_6271;
+ wire n_6275, n_6277, n_6278, n_6279, n_6280, n_6282, n_6283, n_6286;
+ wire n_6287, n_6288, n_6289, n_6290, n_6292, n_6293, n_6295, n_6296;
+ wire n_6297, n_6298, n_6300, n_6304, n_6305, n_6307, n_6308, n_6309;
+ wire n_6312, n_6313, n_6314, n_6315, n_6316, n_6317, n_6318, n_6319;
+ wire n_6320, n_6321, n_6322, n_6323, n_6324, n_6325, n_6326, n_6328;
+ wire n_6330, n_6331, n_6332, n_6333, n_6334, n_6335, n_6336, n_6337;
+ wire n_6338, n_6339, n_6340, n_6341, n_6342, n_6343, n_6344, n_6345;
+ wire n_6347, n_6348, n_6349, n_6350, n_6351, n_6352, n_6353, n_6354;
+ wire n_6355, n_6356, n_6357, n_6358, n_6359, n_6360, n_6361, n_6363;
+ wire n_6364, n_6366, n_6367, n_6368, n_6369, n_6370, n_6371, n_6372;
+ wire n_6373, n_6375, n_6376, n_6377, n_6378, n_6380, n_6381, n_6382;
+ wire n_6383, n_6384, n_6385, n_6386, n_6387, n_6388, n_6389, n_6390;
+ wire n_6391, n_6392, n_6393, n_6394, n_6395, n_6396, n_6397, n_6398;
+ wire n_6399, n_6400, n_6401, n_6402, n_6403, n_6404, n_6405, n_6406;
+ wire n_6407, n_6408, n_6409, n_6411, n_6412, n_6413, n_6414, n_6415;
+ wire n_6416, n_6417, n_6418, n_6419, n_6420, n_6421, n_6422, n_6424;
+ wire n_6425, n_6426, n_6427, n_6428, n_6429, n_6431, n_6432, n_6434;
+ wire n_6435, n_6437, n_6438, n_6439, n_6440, n_6441, n_6442, n_6443;
+ wire n_6444, n_6445, n_6446, n_6448, n_6449, n_6450, n_6451, n_6452;
+ wire n_6453, n_6454, n_6455, n_6457, n_6458, n_6459, n_6460, n_6461;
+ wire n_6463, n_6464, n_6465, n_6466, n_6467, n_6468, n_6469, n_6471;
+ wire n_6472, n_6473, n_6474, n_6475, n_6476, n_6477, n_6478, n_6479;
+ wire n_6480, n_6481, n_6483, n_6484, n_6485, n_6486, n_6487, n_6488;
+ wire n_6490, n_6491, n_6492, n_6493, n_6495, n_6496, n_6497, n_6498;
+ wire n_6499, n_6500, n_6501, n_6502, n_6503, n_6504, n_6505, n_6506;
+ wire n_6507, n_6508, n_6510, n_6511, n_6512, n_6514, n_6515, n_6516;
+ wire n_6517, n_6518, n_6519, n_6520, n_6521, n_6522, n_6523, n_6524;
+ wire n_6525, n_6526, n_6527, n_6528, n_6529, n_6530, n_6531, n_6533;
+ wire n_6534, n_6535, n_6536, n_6537, n_6538, n_6539, n_6540, n_6541;
+ wire n_6542, n_6543, n_6544, n_6545, n_6546, n_6547, n_6548, n_6549;
+ wire n_6551, n_6552, n_6554, n_6555, n_6556, n_6557, n_6559, n_6560;
+ wire n_6561, n_6562, n_6563, n_6564, n_6565, n_6566, n_6567, n_6569;
+ wire n_6570, n_6571, n_6572, n_6573, n_6574, n_6575, n_6576, n_6577;
+ wire n_6578, n_6579, n_6580, n_6581, n_6582, n_6583, n_6585, n_6586;
+ wire n_6587, n_6588, n_6589, n_6590, n_6591, n_6592, n_6593, n_6594;
+ wire n_6595, n_6596, n_6597, n_6598, n_6599, n_6600, n_6601, n_6602;
+ wire n_6603, n_6604, n_6605, n_6607, n_6608, n_6610, n_6611, n_6612;
+ wire n_6613, n_6614, n_6615, n_6617, n_6618, n_6619, n_6620, n_6621;
+ wire n_6623, n_6624, n_6625, n_6626, n_6628, n_6629, n_6630, n_6631;
+ wire n_6633, n_6634, n_6635, n_6636, n_6637, n_6638, n_6639, n_6641;
+ wire n_6642, n_6644, n_6645, n_6646, n_6647, n_6648, n_6649, n_6650;
+ wire n_6651, n_6652, n_6653, n_6654, n_6655, n_6656, n_6657, n_6658;
+ wire n_6659, n_6660, n_6661, n_6662, n_6663, n_6664, n_6665, n_6666;
+ wire n_6667, n_6668, n_6669, n_6670, n_6671, n_6672, n_6673, n_6674;
+ wire n_6675, n_6676, n_6677, n_6678, n_6680, n_6681, n_6683, n_6684;
+ wire n_6685, n_6686, n_6687, n_6688, n_6690, n_6691, n_6692, n_6693;
+ wire n_6694, n_6696, n_6697, n_6699, n_6700, n_6702, n_6703, n_6705;
+ wire n_6706, n_6707, n_6708, n_6709, n_6710, n_6711, n_6712, n_6713;
+ wire n_6714, n_6715, n_6716, n_6718, n_6720, n_6721, n_6722, n_6723;
+ wire n_6724, n_6725, n_6726, n_6727, n_6728, n_6729, n_6730, n_6731;
+ wire n_6732, n_6733, n_6734, n_6737, n_6738, n_6739, n_6740, n_6741;
+ wire n_6742, n_6743, n_6744, n_6745, n_6746, n_6747, n_6749, n_6750;
+ wire n_6751, n_6752, n_6753, n_6754, n_6755, n_6756, n_6757, n_6758;
+ wire n_6759, n_6761, n_6763, n_6765, n_6766, n_6769, n_6771, n_6772;
+ wire n_6773, n_6774, n_6777, n_6778, n_6779, n_6780, n_6781, n_6782;
+ wire n_6783, n_6784, n_6785, n_6786, n_6787, n_6789, n_6790, n_6791;
+ wire n_6793, n_6794, n_6797, n_6800, n_6801, n_6803, n_6804, n_6805;
+ wire n_6806, n_6807, n_6808, n_6809, n_6810, n_6812, n_6814, n_6815;
+ wire n_6816, n_6817, n_6818, n_6819, n_6820, n_6821, n_6822, n_6823;
+ wire n_6824, n_6825, n_6826, n_6833, n_6834, n_6836, n_6837, n_6838;
+ wire n_6839, n_6840, n_6841, n_6842, n_6843, n_6845, n_6846, n_6847;
+ wire n_6848, n_6849, n_6850, n_6852, n_6853, n_6854, n_6855, n_6856;
+ wire n_6857, n_6858, n_6859, n_6860, n_6862, n_6863, n_6864, n_6865;
+ wire n_6866, n_6867, n_6868, n_6869, n_6871, n_6873, n_6874, n_6876;
+ wire n_6877, n_6879, n_6880, n_6881, n_6882, n_6883, n_6884, n_6885;
+ wire n_6886, n_6887, n_6888, n_6889, n_6890, n_6891, n_6892, n_6893;
+ wire n_6894, n_6895, n_6896, n_6897, n_6898, n_6899, n_6900, n_6901;
+ wire n_6902, n_6903, n_6905, n_6906, n_6907, n_6908, n_6909, n_6910;
+ wire n_6912, n_6913, n_6914, n_6915, n_6916, n_6917, n_6918, n_6919;
+ wire n_6920, n_6921, n_6922, n_6923, n_6924, n_6925, n_6926, n_6927;
+ wire n_6928, n_6929, n_6931, n_6932, n_6933, n_6934, n_6935, n_6936;
+ wire n_6937, n_6938, n_6940, n_6941, n_6942, n_6943, n_6945, n_6946;
+ wire n_6947, n_6948, n_6949, n_6950, n_6951, n_6952, n_6953, n_6954;
+ wire n_6955, n_6956, n_6957, n_6958, n_6959, n_6960, n_6961, n_6962;
+ wire n_6963, n_6964, n_6965, n_6966, n_6967, n_6968, n_6969, n_6971;
+ wire n_6972, n_6973, n_6974, n_6975, n_6976, n_6977, n_6978, n_6979;
+ wire n_6981, n_6982, n_6983, n_6984, n_6987, n_6989, n_6990, n_6991;
+ wire n_6993, n_6994, n_6995, n_6996, n_6997, n_6998, n_6999, n_7003;
+ wire n_7010, n_7011, n_7012, n_7013, n_7016, n_7017, n_7018, n_7019;
+ wire n_7020, n_7021, n_7022, n_7023, n_7024, n_7025, n_7026, n_7027;
+ wire n_7028, n_7030, n_7031, n_7032, n_7033, n_7034, n_7035, n_7036;
+ wire n_7037, n_7038, n_7042, n_7043, n_7045, n_7046, n_7047, n_7048;
+ wire n_7049, n_7050, n_7052, n_7053, n_7054, n_7055, n_7056, n_7057;
+ wire n_7058, n_7059, n_7060, n_7062, n_7063, n_7064, n_7065, n_7066;
+ wire n_7067, n_7069, n_7073, n_7076, n_7077, n_7079, n_7080, n_7081;
+ wire n_7084, n_7085, n_7087, n_7088, n_7089, n_7090, n_7091, n_7092;
+ wire n_7093, n_7094, n_7095, n_7096, n_7099, n_7100, n_7101, n_7102;
+ wire n_7103, n_7104, n_7105, n_7106, n_7107, n_7108, n_7109, n_7110;
+ wire n_7111, n_7112, n_7113, n_7114, n_7115, n_7116, n_7117, n_7118;
+ wire n_7119, n_7120, n_7121, n_7122, n_7123, n_7124, n_7126, n_7127;
+ wire n_7128, n_7129, n_7130, n_7132, n_7133, n_7134, n_7135, n_7136;
+ wire n_7137, n_7138, n_7139, n_7140, n_7141, n_7142, n_7143, n_7144;
+ wire n_7145, n_7146, n_7147, n_7148, n_7149, n_7150, n_7151, n_7152;
+ wire n_7153, n_7154, n_7155, n_7156, n_7157, n_7160, n_7161, n_7162;
+ wire n_7163, n_7164, n_7165, n_7166, n_7172, n_7173, n_7175, n_7177;
+ wire n_7178, n_7179, n_7181, n_7182, n_7183, n_7184, n_7186, n_7187;
+ wire n_7188, n_7189, n_7190, n_7192, n_7193, n_7194, n_7196, n_7199;
+ wire n_7201, n_7202, n_7203, n_7204, n_7205, n_7207, n_7208, n_7209;
+ wire n_7210, n_7211, n_7212, n_7213, n_7214, n_7215, n_7216, n_7217;
+ wire n_7218, n_7219, n_7225, n_7227, n_7230, n_7231, n_7232, n_7233;
+ wire n_7235, n_7236, n_7239, n_7241, n_7244, n_7245, n_7246, n_7247;
+ wire n_7248, n_7249, n_7250, n_7251, n_7253, n_7254, n_7255, n_7256;
+ wire n_7257, n_7258, n_7260, n_7262, n_7264, n_7265, n_7266, n_7267;
+ wire n_7268, n_7269, n_7270, n_7271, n_7273, n_7275, n_7276, n_7277;
+ wire n_7278, n_7279, n_7280, n_7281, n_7282, n_7285, n_7286, n_7287;
+ wire n_7288, n_7289, n_7292, n_7294, n_7295, n_7296, n_7297, n_7298;
+ wire n_7299, n_7300, n_7301, n_7302, n_7303, n_7306, n_7309, n_7311;
+ wire n_7312, n_7314, n_7315, n_7316, n_7318, n_7319, n_7320, n_7324;
+ wire n_7325, n_7326, n_7327, n_7328, n_7329, n_7330, n_7331, n_7332;
+ wire n_7333, n_7335, n_7336, n_7337, n_7338, n_7339, n_7340, n_7341;
+ wire n_7342, n_7343, n_7344, n_7345, n_7346, n_7347, n_7348, n_7349;
+ wire n_7350, n_7351, n_7352, n_7353, n_7354, n_7356, n_7357, n_7358;
+ wire n_7359, n_7360, n_7361, n_7362, n_7363, n_7364, n_7365, n_7366;
+ wire n_7367, n_7369, n_7371, n_7372, n_7373, n_7374, n_7375, n_7376;
+ wire n_7377, n_7378, n_7379, n_7380, n_7381, n_7382, n_7383, n_7384;
+ wire n_7385, n_7386, n_7387, n_7388, n_7389, n_7395, n_7396, n_7402;
+ wire n_7408, n_7414, n_7423, n_7424, n_7428, n_7434, n_7435, n_7436;
+ wire n_7437, n_7438, n_7439, n_7440, n_7441, n_7442, n_7443, n_7444;
+ wire n_7445, n_7446, n_7447, n_7448, n_7449, n_7450, n_7451, n_7452;
+ wire n_7453, n_7454, n_7455, n_7456, n_7457, n_7458, n_7459, n_7460;
+ wire n_7461, n_7462, n_7463, n_7464, n_7465, n_7466, n_7467, n_7468;
+ wire n_7469, n_7470, n_7471, n_7472, n_7473, n_7475, n_7476, n_7477;
+ wire n_7478, n_7479, n_7480, n_7481, n_7482, n_7483, n_7484, n_7485;
+ wire n_7486, n_7487, n_7488, n_7490, n_7493, n_7496, n_7499, n_7505;
+ wire n_7507, n_7508, n_7509, n_7510, n_7511, n_7512, n_7513, n_7514;
+ wire n_7515, n_7518, n_7519, n_7520, n_7521, n_7522, n_7523, n_7524;
+ wire n_7525, n_7526, n_7527, n_7528, n_7529, n_7530, n_7531, n_7532;
+ wire n_7533, n_7536, n_7537, n_7538, n_7539, n_7541, n_7542, n_7557;
+ wire n_7558, n_7559, n_7560, n_7561, n_7562, n_7563, n_7564, n_7565;
+ wire n_7566, n_7567, n_7568, n_7569, n_7570, n_7571, n_7572, n_7573;
+ wire n_7574, n_7575, n_7576, n_7577, n_7578, n_7579, n_7580, n_7581;
+ wire n_7582, n_7583, n_7584, n_7585, n_7586, n_7587, n_7588, n_7589;
+ wire n_7590, n_7591, n_7592, n_7593, n_7594, n_7595, n_7596, n_7597;
+ wire n_7598, n_7599, n_7600, n_7601, n_7602, n_7603, n_7604, n_7605;
+ wire n_7606, n_7607, n_7608, n_7609, n_7610, n_7611, n_7612, n_7613;
+ wire n_7614, n_7615, n_7616, n_7617, n_7618, n_7619, n_7620, n_7621;
+ wire n_7622, n_7623, n_7624, n_7625, n_7626, n_7627, n_7628, n_7629;
+ wire n_7630, n_7631, n_7632, n_7633, n_7634, n_7635, n_7636, n_7637;
+ wire n_7638, n_7639, n_7640, n_7641, n_7642, n_7643, n_7644, n_7645;
+ wire n_7646, n_7647, n_7648, n_7649, n_7650, n_7651, n_7652, n_7653;
+ wire n_7654, n_7655, n_7656, n_7657, n_7658, n_7659, n_7660, n_7661;
+ wire n_7662, n_7663, n_7664, n_7665, n_7666, n_7667, n_7668, n_7669;
+ wire n_7670, n_7671, n_7672, n_7673, n_7674, n_7675, n_7676, n_7677;
+ wire n_7678, n_7679, n_7680, n_7681, n_7682, n_7683, n_7684, n_7685;
+ wire n_7686, n_7687, n_7688, n_7689, n_7690, n_7691, n_7692, n_7693;
+ wire n_7694, n_7695, n_7696, n_7697, n_7698, n_7699, n_7700, n_7701;
+ wire n_7702, n_7703, n_7704, n_7705, n_7706, n_7707, n_7708, n_7710;
+ wire n_7712, n_7713, n_7715, n_7716, n_7717, n_7718, n_7719, n_7721;
+ wire n_7722, n_7723, n_7724, n_7725, n_7726, n_7727, n_7728, n_7729;
+ wire n_7730, n_7731, n_7732, n_7733, n_7734, n_7735, n_7736, n_7737;
+ wire n_7738, n_7739, n_7740, n_7741, n_7742, n_7743, n_7744, n_7745;
+ wire n_7746, n_7747, n_7748, n_7749, n_7750, n_7751, n_7752, n_7753;
+ wire n_7754, n_7755, n_7756, n_7757, n_7758, n_7759, n_7760, n_7761;
+ wire n_7762, n_7763, n_7764, n_7765, n_7766, n_7767, n_7768, n_7769;
+ wire n_7770, n_7771, n_7772, n_7773, n_7774, n_7775, n_7776, n_7777;
+ wire n_7778, n_7779, n_7780, n_7781, n_7782, n_7783, n_7784, n_7785;
+ wire n_7786, n_7787, n_7788, n_7789, n_7790, n_7791, n_7792, n_7793;
+ wire n_7794, n_7795, n_7796, n_7797, n_7798, n_7799, n_7800, n_7801;
+ wire n_7802, n_7803, n_7804, n_7805, n_7806, n_7807, n_7808, n_7809;
+ wire n_7810, n_7811, n_7812, n_7813, n_7815, n_7817, n_7818, n_7819;
+ wire n_7821, n_7822, n_7823, n_7824, n_7825, n_7827, n_7828, n_7830;
+ wire n_7831, n_7832, n_7833, n_7834, n_7835, n_7836, n_7837, n_7838;
+ wire n_7839, n_7840, n_7841, n_7842, n_7843, n_7844, n_7845, n_7846;
+ wire n_7847, n_7848, n_7849, n_7850, n_7851, n_7852, n_7853, n_7854;
+ wire n_7855, n_7856, n_7857, n_7858, n_7859, n_7860, n_7861, n_7862;
+ wire n_7863, n_7864, n_7865, n_7866, n_7867, n_7868, n_7869, n_7870;
+ wire n_7871, n_7872, n_7873, n_7874, n_7875, n_7876, n_7877, n_7878;
+ wire n_7879, n_7880, n_7881, n_7882, n_7883, n_7884, n_7885, n_7886;
+ wire n_7887, n_7888, n_7889, n_7890, n_7891, n_7892, n_7893, n_7894;
+ wire n_7895, n_7896, n_7897, n_7898, n_7899, n_7900, n_7901, n_7902;
+ wire n_7903, n_7904, n_7906, n_7907, n_7908, n_7909, n_7910, n_7911;
+ wire n_7912, n_7913, n_7914, n_7915, n_7916, n_7917, n_7918, n_7919;
+ wire n_7920, n_7921, n_7922, n_7923, n_7924, n_7925, n_7927, n_7929;
+ wire n_7930, n_7932, n_7933, n_7934, n_7935, n_7936, n_7938, n_7939;
+ wire n_7941, n_7942, n_7943, n_7945, n_7946, n_7947, n_7948, n_7949;
+ wire n_7950, n_7951, n_7952, n_7953, n_7954, n_7955, n_7956, n_7957;
+ wire n_7958, n_7959, n_7960, n_7961, n_7962, n_7963, n_7964, n_7965;
+ wire n_7966, n_7967, n_7968, n_7969, n_7970, n_7971, n_7972, n_7973;
+ wire n_7974, n_7975, n_7976, n_7977, n_7978, n_7979, n_7980, n_7981;
+ wire n_7982, n_7983, n_7984, n_7985, n_7986, n_7987, n_7988, n_7989;
+ wire n_7990, n_7991, n_7992, n_7993, n_7994, n_7995, n_7996, n_7997;
+ wire n_7998, n_7999, n_8000, n_8001, n_8002, n_8003, n_8004, n_8005;
+ wire n_8006, n_8007, n_8008, n_8009, n_8010, n_8011, n_8012, n_8013;
+ wire n_8014, n_8015, n_8016, n_8017, n_8018, n_8019, n_8020, n_8021;
+ wire n_8022, n_8023, n_8024, n_8025, n_8026, n_8027, n_8028, n_8029;
+ wire n_8030, n_8031, n_8032, n_8033, n_8034, n_8035, n_8036, n_8037;
+ wire n_8039, n_8041, n_8042, n_8044, n_8045, n_8046, n_8047, n_8048;
+ wire n_8049, n_8051, n_8052, n_8054, n_8055, n_8056, n_8057, n_8058;
+ wire n_8060, n_8061, n_8062, n_8063, n_8064, n_8065, n_8066, n_8067;
+ wire n_8068, n_8069, n_8070, n_8071, n_8072, n_8073, n_8074, n_8075;
+ wire n_8076, n_8077, n_8078, n_8079, n_8080, n_8081, n_8082, n_8083;
+ wire n_8084, n_8085, n_8086, n_8087, n_8088, n_8089, n_8090, n_8091;
+ wire n_8092, n_8093, n_8094, n_8095, n_8096, n_8097, n_8098, n_8099;
+ wire n_8100, n_8101, n_8102, n_8104, n_8105, n_8106, n_8107, n_8109;
+ wire n_8110, n_8112, n_8113, n_8114, n_8115, n_8116, n_8117, n_8118;
+ wire n_8119, n_8120, n_8121, n_8122, n_8123, n_8124, n_8125, n_8126;
+ wire n_8127, n_8129, n_8130, n_8131, n_8132, n_8133, n_8134, n_8136;
+ wire n_8137, n_8138, n_8139, n_8140, n_8141, n_8142, n_8143, n_8144;
+ wire n_8145, n_8146, n_8147, n_8148, n_8149, n_8150, n_8151, n_8152;
+ wire n_8153, n_8154, n_8156, n_8157, n_8158, n_8160, n_8162, n_8163;
+ wire n_8164, n_8165, n_8167, n_8168, n_8169, n_8171, n_8172, n_8173;
+ wire n_8175, n_8177, n_8178, n_8179, n_8181, n_8182, n_8183, n_8184;
+ wire n_8185, n_8186, n_8187, n_8188, n_8189, n_8190, n_8191, n_8192;
+ wire n_8193, n_8194, n_8195, n_8196, n_8197, n_8198, n_8199, n_8200;
+ wire n_8201, n_8202, n_8203, n_8204, n_8205, n_8206, n_8207, n_8208;
+ wire n_8209, n_8210, n_8211, n_8213, n_8215, n_8218, n_8221, n_8224;
+ wire n_8227, n_8228, n_8229, n_8230, n_8231, n_8232, n_8233, n_8234;
+ wire n_8235, n_8236, n_8237, n_8238, n_8241, n_8242, n_8243, n_8244;
+ wire n_8245, n_8248, n_8249, n_8250, n_8252, n_8253, n_8254, n_8255;
+ wire n_8256, n_8257, n_8258, n_8259, n_8260, n_8261, n_8262, n_8264;
+ wire n_8265, n_8266, n_8267, n_8268, n_8269, n_8270, n_8271, n_8272;
+ wire n_8273, n_8274, n_8275, n_8277, n_8278, n_8280, n_8281, n_8282;
+ wire n_8283, n_8284, n_8285, n_8286, n_8287, n_8289, n_8290, n_8291;
+ wire n_8293, n_8294, n_8295, n_8296, n_8297, n_8298, n_8300, n_8301;
+ wire n_8302, n_8303, n_8304, n_8305, n_8306, n_8308, n_8309, n_8310;
+ wire n_8311, n_8313, n_8315, n_8317, n_8318, n_8319, n_8320, n_8321;
+ wire n_8322, n_8324, n_8325, n_8326, n_8327, n_8328, n_8329, n_8330;
+ wire n_8332, n_8333, n_8334, n_8336, n_8338, n_8340, n_8341, n_8342;
+ wire n_8343, n_8344, n_8345, n_8346, n_8347, n_8348, n_8349, n_8350;
+ wire n_8351, n_8352, n_8353, n_8354, n_8355, n_8356, n_8357, n_8358;
+ wire n_8359, n_8360, n_8361, n_8362, n_8363, n_8364, n_8365, n_8366;
+ wire n_8367, n_8368, n_8369, n_8370, n_8371, n_8372, n_8373, n_8374;
+ wire n_8375, n_8376, n_8377, n_8378, n_8379, n_8380, n_8381, n_8382;
+ wire n_8383, n_8384, n_8385, n_8386, n_8387, n_8388, n_8389, n_8390;
+ wire n_8391, n_8392, n_8393, n_8394, n_8395, n_8396, n_8397, n_8398;
+ wire n_8399, n_8400, n_8401, n_8402, n_8403, n_8404, n_8405, n_8406;
+ wire n_8407, n_8408, n_8410, n_8411, n_8412, n_8413, n_8415, n_8416;
+ wire n_8418, n_8419, n_8420, n_8421, n_8422, n_8424, n_8425, n_8426;
+ wire n_8427, n_8428, n_8430, n_8431, n_8432, n_8433, n_8434, n_8435;
+ wire n_8436, n_8437, n_8438, n_8439, n_8440, n_8441, n_8442, n_8443;
+ wire n_8445, n_8446, n_8447, n_8448, n_8449, n_8450, n_8452, n_8453;
+ wire n_8454, n_8455, n_8456, n_8457, n_8458, n_8459, n_8460, n_8462;
+ wire n_8463, n_8464, n_8465, n_8467, n_8468, n_8469, n_8470, n_8471;
+ wire n_8472, n_8473, n_8474, n_8475, n_8476, n_8477, n_8478, n_8479;
+ wire n_8480, n_8481, n_8482, n_8483, n_8484, n_8485, n_8486, n_8487;
+ wire n_8488, n_8489, n_8490, n_8491, n_8492, n_8493, n_8494, n_8496;
+ wire n_8498, n_8500, n_8502, n_8504, n_8505, n_8506, n_8507, n_8508;
+ wire n_8509, n_8510, n_8511, n_8512, n_8513, n_8514, n_8515, n_8516;
+ wire n_8517, n_8518, n_8519, n_8520, n_8521, n_8522, n_8523, n_8524;
+ wire n_8525, n_8526, n_8527, n_8528, n_8529, n_8530, n_8532, n_8534;
+ wire n_8535, n_8536, n_8537, n_8538, n_8540, n_8542, n_8543, n_8545;
+ wire n_8546, n_8548, n_8549, n_8550, n_8551, n_8554, n_8555, n_8556;
+ wire n_8558, n_8560, n_8562, n_8563, n_8564, n_8565, n_8566, n_8567;
+ wire n_8568, n_8569, n_8570, n_8571, n_8573, n_8575, n_8577, n_8579;
+ wire n_8581, n_8584, n_8586, n_8588, n_8589, n_8592, n_8594, n_8597;
+ wire n_8599, n_8601, n_8604, n_8606, n_8608, n_8610, n_8611, n_8613;
+ wire n_8616, n_8618, n_8620, n_8622, n_8624, n_8626, n_8627, n_8628;
+ wire n_8630, n_8631, n_8633, n_8634, n_8635, n_8636, n_8637, n_8638;
+ wire n_8639, n_8640, n_8641, n_8642, n_8643, n_8644, n_8646, n_8648;
+ wire n_8649, n_8651, n_8652, n_8653, n_8654, n_8655, n_8660, n_8661;
+ wire n_8662, n_8663, n_8664, n_8665, n_8666, n_8667, n_8669, n_8670;
+ wire n_8671, n_8673, n_8674, n_8675, n_8676, n_8677, n_8678, n_8679;
+ wire n_8680, n_8681, n_8682, n_8684, n_8685, n_8686, n_8687, n_8688;
+ wire n_8689, n_8691, n_8692, n_8693, n_8694, n_8695, n_8696, n_8698;
+ wire n_8699, n_8700, n_8701, n_8703, n_8704, n_8705, n_8707, n_8708;
+ wire n_8709, n_8711, n_8713, n_8714, n_8715, n_8717, n_8718, n_8719;
+ wire n_8720, n_8721, n_8722, n_8724, n_8725, n_8726, n_8727, n_8728;
+ wire n_8730, n_8731, n_8732, n_8733, n_8735, n_8736, n_8737, n_8738;
+ wire n_8739, n_8740, n_8742, n_8744, n_8745, n_8747, n_8748, n_8750;
+ wire n_8751, n_8752, n_8753, n_8754, n_8755, n_8756, n_8758, n_8759;
+ wire n_8760, n_8761, n_8763, n_8764, n_8765, n_8766, n_8767, n_8768;
+ wire n_8770, n_8772, n_8773, n_8775, n_8776, n_8778, n_8779, n_8780;
+ wire n_8781, n_8782, n_8783, n_8784, n_8786, n_8787, n_8788, n_8789;
+ wire n_8791, n_8792, n_8793, n_8794, n_8795, n_8796, n_8798, n_8800;
+ wire n_8801, n_8803, n_8804, n_8806, n_8807, n_8808, n_8809, n_8810;
+ wire n_8811, n_8812, n_8813, n_8815, n_8816, n_8817, n_8818, n_8820;
+ wire n_8821, n_8822, n_8823, n_8824, n_8825, n_8827, n_8829, n_8830;
+ wire n_8832, n_8833, n_8835, n_8836, n_8837, n_8838, n_8839, n_8841;
+ wire n_8842, n_8843, n_8844, n_8845, n_8846, n_8847, n_8848, n_8849;
+ wire n_8850, n_8851, n_8852, n_8854, n_8855, n_8856, n_8857, n_8858;
+ wire n_8859, n_8860, n_8861, n_8862, n_8864, n_8865, n_8866, n_8867;
+ wire n_8868, n_8869, n_8870, n_8871, n_8872, n_8874, n_8875, n_8876;
+ wire n_8878, n_8879, n_8880, n_8881, n_8882, n_8883, n_8885, n_8886;
+ wire n_8888, n_8890, n_8891, n_8892, n_8893, n_8894, n_8895, n_8896;
+ wire n_8897, n_8898, n_8899, n_8900, n_8901, n_8902, n_8903, n_8905;
+ wire n_8906, n_8907, n_8908, n_8909, n_8910, n_8911, n_8912, n_8914;
+ wire n_8915, n_8916, n_8917, n_8918, n_8920, n_8921, n_8922, n_8924;
+ wire n_8925, n_8926, n_8928, n_8929, n_8930, n_8931, n_8932, n_8933;
+ wire n_8934, n_8935, n_8936, n_8938, n_8939, n_8940, n_8941, n_8943;
+ wire n_8944, n_8945, n_8946, n_8947, n_8948, n_8949, n_8950, n_8951;
+ wire n_8952, n_8953, n_8954, n_8955, n_8956, n_8957, n_8958, n_8959;
+ wire n_8960, n_8961, n_8962, n_8963, n_8964, n_8965, n_8967, n_8968;
+ wire n_8969, n_8970, n_8971, n_8972, n_8973, n_8974, n_8975, n_8976;
+ wire n_8977, n_8978, n_8979, n_8980, n_8981, n_8982, n_8983, n_8984;
+ wire n_8985, n_8986, n_8987, n_8988, n_8989, n_8990, n_8991, n_8992;
+ wire n_8993, n_8995, n_8996, n_8998, n_8999, n_9000, n_9001, n_9002;
+ wire n_9003, n_9004, n_9005, n_9007, n_9008, n_9009, n_9010, n_9011;
+ wire n_9012, n_9013, n_9014, n_9015, n_9016, n_9018, n_9019, n_9020;
+ wire n_9021, n_9022, n_9023, n_9024, n_9025, n_9026, n_9028, n_9029;
+ wire n_9030, n_9031, n_9033, n_9034, n_9035, n_9036, n_9037, n_9038;
+ wire n_9039, n_9040, n_9041, n_9042, n_9043, n_9044, n_9045, n_9046;
+ wire n_9047, n_9048, n_9049, n_9050, n_9052, n_9054, n_9055, n_9056;
+ wire n_9058, n_9060, n_9061, n_9062, n_9063, n_9064, n_9065, n_9066;
+ wire n_9067, n_9068, n_9069, n_9070, n_9071, n_9072, n_9073, n_9074;
+ wire n_9075, n_9076, n_9077, n_9078, n_9079, n_9080, n_9081, n_9082;
+ wire n_9083, n_9084, n_9085, n_9086, n_9087, n_9088, n_9089, n_9091;
+ wire n_9092, n_9093, n_9094, n_9096, n_9097, n_9098, n_9099, n_9100;
+ wire n_9101, n_9102, n_9103, n_9104, n_9105, n_9106, n_9107, n_9108;
+ wire n_9109, n_9110, n_9111, n_9112, n_9113, n_9114, n_9115, n_9116;
+ wire n_9117, n_9118, n_9119, n_9120, n_9121, n_9122, n_9124, n_9125;
+ wire n_9127, n_9128, n_9129, n_9130, n_9131, n_9132, n_9133, n_9134;
+ wire n_9136, n_9137, n_9138, n_9139, n_9140, n_9141, n_9142, n_9143;
+ wire n_9144, n_9145, n_9147, n_9148, n_9149, n_9150, n_9151, n_9152;
+ wire n_9153, n_9155, n_9156, n_9157, n_9158, n_9160, n_9161, n_9162;
+ wire n_9164, n_9165, n_9166, n_9167, n_9168, n_9169, n_9170, n_9171;
+ wire n_9172, n_9173, n_9174, n_9175, n_9176, n_9177, n_9179, n_9181;
+ wire n_9182, n_9183, n_9185, n_9187, n_9188, n_9189, n_9190, n_9191;
+ wire n_9192, n_9193, n_9195, n_9196, n_9197, n_9198, n_9199, n_9200;
+ wire n_9201, n_9202, n_9203, n_9204, n_9205, n_9206, n_9207, n_9208;
+ wire n_9209, n_9210, n_9211, n_9212, n_9213, n_9214, n_9216, n_9217;
+ wire n_9218, n_9219, n_9221, n_9222, n_9223, n_9224, n_9226, n_9227;
+ wire n_9228, n_9229, n_9230, n_9231, n_9232, n_9233, n_9234, n_9235;
+ wire n_9236, n_9237, n_9238, n_9239, n_9240, n_9241, n_9242, n_9243;
+ wire n_9244, n_9245, n_9246, n_9247, n_9249, n_9250, n_9252, n_9253;
+ wire n_9254, n_9255, n_9256, n_9257, n_9258, n_9259, n_9261, n_9262;
+ wire n_9263, n_9264, n_9265, n_9266, n_9267, n_9268, n_9269, n_9270;
+ wire n_9272, n_9273, n_9274, n_9275, n_9276, n_9277, n_9278, n_9280;
+ wire n_9281, n_9282, n_9283, n_9285, n_9286, n_9287, n_9288, n_9289;
+ wire n_9290, n_9291, n_9292, n_9293, n_9294, n_9296, n_9297, n_9298;
+ wire n_9299, n_9300, n_9301, n_9302, n_9304, n_9306, n_9307, n_9308;
+ wire n_9310, n_9312, n_9313, n_9314, n_9315, n_9316, n_9317, n_9318;
+ wire n_9319, n_9320, n_9321, n_9322, n_9324, n_9325, n_9326, n_9327;
+ wire n_9328, n_9329, n_9330, n_9331, n_9332, n_9333, n_9334, n_9335;
+ wire n_9336, n_9337, n_9338, n_9339, n_9340, n_9342, n_9343, n_9344;
+ wire n_9345, n_9346, n_9347, n_9348, n_9349, n_9350, n_9351, n_9352;
+ wire n_9353, n_9354, n_9355, n_9356, n_9357, n_9359, n_9360, n_9361;
+ wire n_9362, n_9363, n_9364, n_9365, n_9366, n_9368, n_9369, n_9370;
+ wire n_9371, n_9373, n_9375, n_9376, n_9378, n_9379, n_9380, n_9381;
+ wire n_9382, n_9383, n_9385, n_9386, n_9387, n_9388, n_9389, n_9391;
+ wire n_9392, n_9393, n_9394, n_9396, n_9398, n_9399, n_9400, n_9401;
+ wire n_9402, n_9404, n_9405, n_9406, n_9407, n_9409, n_9411, n_9412;
+ wire n_9413, n_9414, n_9416, n_9417, n_9419, n_9420, n_9421, n_9422;
+ wire n_9424, n_9426, n_9427, n_9428, n_9429, n_9430, n_9432, n_9433;
+ wire n_9434, n_9435, n_9437, n_9439, n_9440, n_9441, n_9442, n_9443;
+ wire n_9444, n_9445, n_9446, n_9447, n_9448, n_9449, n_9450, n_9451;
+ wire n_9452, n_9453, n_9454, n_9455, n_9456, n_9457, n_9458, n_9459;
+ wire n_9460, n_9461, n_9462, n_9463, n_9464, n_9465, n_9466, n_9467;
+ wire n_9468, n_9469, n_9470, n_9471, n_9472, n_9473, n_9474, n_9475;
+ wire n_9476, n_9477, n_9478, n_9479, n_9480, n_9481, n_9482, n_9483;
+ wire n_9484, n_9485, n_9486, n_9487, n_9488, n_9489, n_9490, n_9491;
+ wire n_9492, n_9493, n_9494, n_9495, n_9496, n_9497, n_9498, n_9499;
+ wire n_9500, n_9501, n_9502, n_9503, n_9504, n_9506, n_9507, n_9508;
+ wire n_9509, n_9510, n_9511, n_9512, n_9513, n_9514, n_9515, n_9516;
+ wire n_9517, n_9518, n_9519, n_9520, n_9521, n_9522, n_9523, n_9524;
+ wire n_9525, n_9526, n_9527, n_9528, n_9529, n_9530, n_9531, n_9532;
+ wire n_9533, n_9534, n_9535, n_9536, n_9537, n_9538, n_9539, n_9541;
+ wire n_9542, n_9543, n_9544, n_9545, n_9546, n_9547, n_9548, n_9549;
+ wire n_9550, n_9551, n_9552, n_9553, n_9554, n_9555, n_9556, n_9557;
+ wire n_9558, n_9559, n_9560, n_9561, n_9563, n_9564, n_9567, n_9569;
+ wire n_9571, n_9572, n_9573, n_9574, n_9575, n_9576, n_9577, n_9578;
+ wire n_9579, n_9580, n_9581, n_9582, n_9583, n_9584, n_9585, n_9586;
+ wire n_9587, n_9588, n_9589, n_9590, n_9591, n_9592, n_9593, n_9594;
+ wire n_9595, n_9596, n_9597, n_9598, n_9599, n_9600, n_9601, n_9602;
+ wire n_9603, n_9604, n_9605, n_9606, n_9607, n_9608, n_9611, n_9612;
+ wire n_9613, n_9614, n_9615, n_9616, n_9617, n_9618, n_9619, n_9620;
+ wire n_9625, n_9626, n_9628, n_9629, n_9630, n_9631, n_9632, n_9633;
+ wire n_9635, n_9636, n_9637, n_9638, n_9639, n_9640, n_9641, n_9642;
+ wire n_9643, n_9644, n_9645, n_9646, n_9647, n_9648, n_9650, n_9651;
+ wire n_9652, n_9653, n_9654, n_9655, n_9656, n_9657, n_9658, n_9659;
+ wire n_9660, n_9661, n_9666, n_9668, n_9672, n_9674, n_9675, n_9676;
+ wire n_9681, n_9685, n_9686, n_9687, n_9688, n_9689, n_9690, n_9691;
+ wire n_9692, n_9693, n_9694, n_9695, n_9696, n_9697, n_9698, n_9699;
+ wire n_9700, n_9701, n_9702, n_9703, n_9705, n_9710, n_9711, n_9712;
+ wire n_9717, n_9719, n_9720, n_9721, n_9724, n_9726, n_9734, n_9737;
+ wire n_9741, n_9749, n_9750, n_9751, n_9752, n_9753, n_9754, n_9755;
+ wire n_9756, n_9757, n_9758, n_9759, n_9760, n_9761, n_9762, n_9763;
+ wire n_9764, n_9765, n_9766, n_9767, n_9768, n_9769, n_9770, n_9771;
+ wire n_9772, n_9773, n_9774, n_9775, n_9776, n_9777, n_9778, n_9779;
+ wire n_9780, n_9782, n_9784, n_9785, n_9786, n_9788, n_9789, n_9794;
+ wire n_9795, n_9796, n_9797, n_9798, n_9803, n_9804, n_9806, n_9807;
+ wire n_9808, n_9810, n_9811, n_9812, n_9813, n_9815, n_9818, n_9820;
+ wire n_9827, n_9829, n_9831, n_9833, n_9834, n_9835, n_9836, n_9837;
+ wire n_9838, n_9840, n_9841, n_9842, n_9843, n_9844, n_9845, n_9846;
+ wire n_9847, n_9848, n_9849, n_9850, n_9853, n_9854, n_9855, n_9856;
+ wire n_9857, n_9858, n_9859, n_9860, n_9861, n_9862, n_9863, n_9865;
+ wire n_9867, n_9868, n_9869, n_9871, n_9872, n_9873, n_9876, n_9882;
+ wire n_9883, n_9884, n_9885, n_9901, n_9902, n_9903, n_9905, n_9907;
+ wire n_9908, n_9910, n_9914, n_9915, n_9920, n_9921, n_9922, n_9925;
+ wire n_9926, n_9927, n_9928, n_9929, n_9930, n_9931, n_9932, n_9933;
+ wire n_9940, n_9941, n_9943, n_9947, n_9951, n_9952, n_9953, n_9954;
+ wire n_9955, n_9956, n_9957, n_9958, n_9960, n_9961, n_9962, n_9963;
+ wire n_9964, n_9965, n_9966, n_9967, n_9968, n_9969, n_9970, n_9972;
+ wire n_9973, n_9974, n_9975, n_9976, n_9977, n_9978, n_9979, n_9980;
+ wire n_9981, n_9982, n_9983, n_9984, n_9985, n_9986, n_9987, n_9988;
+ wire n_9989, n_9990, n_9991, n_9992, n_9993, n_9994, n_9995, n_9996;
+ wire n_9997, n_9998, n_9999, n_10000, n_10001, n_10002, n_10003,
+ n_10004;
+ wire n_10005, n_10006, n_10007, n_10008, n_10009, n_10010, n_10011,
+ n_10012;
+ wire n_10013, n_10014, n_10015, n_10016, n_10017, n_10018, n_10019,
+ n_10020;
+ wire n_10022, n_10023, n_10024, n_10025, n_10026, n_10027, n_10028,
+ n_10029;
+ wire n_10030, n_10031, n_10032, n_10034, n_10035, n_10037, n_10038,
+ n_10040;
+ wire n_10041, n_10042, n_10043, n_10044, n_10045, n_10046, n_10047,
+ n_10048;
+ wire n_10049, n_10050, n_10052, n_10053, n_10054, n_10055, n_10056,
+ n_10057;
+ wire n_10058, n_10059, n_10060, n_10062, n_10063, n_10064, n_10065,
+ n_10066;
+ wire n_10067, n_10068, n_10069, n_10071, n_10072, n_10073, n_10074,
+ n_10075;
+ wire n_10076, n_10078, n_10079, n_10080, n_10081, n_10082, n_10083,
+ n_10084;
+ wire n_10085, n_10086, n_10087, n_10088, n_10089, n_10090, n_10091,
+ n_10092;
+ wire n_10094, n_10095, n_10096, n_10097, n_10098, n_10099, n_10100,
+ n_10101;
+ wire n_10102, n_10103, n_10104, n_10105, n_10106, n_10108, n_10110,
+ n_10111;
+ wire n_10112, n_10114, n_10115, n_10118, n_10119, n_10120, n_10122,
+ n_10123;
+ wire n_10124, n_10126, n_10127, n_10128, n_10129, n_10130, n_10131,
+ n_10132;
+ wire n_10133, n_10134, n_10135, n_10136, n_10137, n_10138, n_10139,
+ n_10140;
+ wire n_10141, n_10142, n_10143, n_10145, n_10146, n_10147, n_10148,
+ n_10150;
+ wire n_10151, n_10152, n_10154, n_10155, n_10156, n_10157, n_10158,
+ n_10159;
+ wire n_10160, n_10162, n_10163, n_10164, n_10165, n_10166, n_10167,
+ n_10168;
+ wire n_10169, n_10170, n_10172, n_10173, n_10174, n_10176, n_10178,
+ n_10179;
+ wire n_10180, n_10181, n_10182, n_10183, n_10184, n_10185, n_10187,
+ n_10188;
+ wire n_10190, n_10194, n_10196, n_10197, n_10198, n_10199, n_10200,
+ n_10201;
+ wire n_10202, n_10204, n_10205, n_10206, n_10207, n_10209, n_10211,
+ n_10212;
+ wire n_10214, n_10215, n_10216, n_10217, n_10219, n_10220, n_10221,
+ n_10223;
+ wire n_10224, n_10225, n_10226, n_10228, n_10229, n_10230, n_10232,
+ n_10233;
+ wire n_10234, n_10235, n_10236, n_10238, n_10239, n_10241, n_10242,
+ n_10243;
+ wire n_10244, n_10245, n_10247, n_10249, n_10250, n_10251, n_10252,
+ n_10253;
+ wire n_10254, n_10256, n_10258, n_10259, n_10261, n_10262, n_10263,
+ n_10264;
+ wire n_10265, n_10266, n_10267, n_10268, n_10269, n_10270, n_10272,
+ n_10274;
+ wire n_10275, n_10276, n_10277, n_10278, n_10279, n_10280, n_10281,
+ n_10283;
+ wire n_10284, n_10285, n_10287, n_10288, n_10289, n_10290, n_10291,
+ n_10293;
+ wire n_10295, n_10296, n_10298, n_10299, n_10300, n_10301, n_10302,
+ n_10303;
+ wire n_10304, n_10305, n_10306, n_10307, n_10308, n_10309, n_10310,
+ n_10311;
+ wire n_10313, n_10314, n_10315, n_10316, n_10317, n_10318, n_10319,
+ n_10321;
+ wire n_10322, n_10323, n_10325, n_10326, n_10327, n_10328, n_10329,
+ n_10330;
+ wire n_10331, n_10332, n_10333, n_10335, n_10337, n_10338, n_10339,
+ n_10340;
+ wire n_10341, n_10342, n_10343, n_10344, n_10345, n_10346, n_10347,
+ n_10348;
+ wire n_10349, n_10350, n_10351, n_10352, n_10353, n_10354, n_10355,
+ n_10356;
+ wire n_10357, n_10358, n_10359, n_10360, n_10361, n_10362, n_10363,
+ n_10364;
+ wire n_10365, n_10366, n_10367, n_10369, n_10370, n_10371, n_10372,
+ n_10373;
+ wire n_10374, n_10375, n_10376, n_10377, n_10378, n_10379, n_10380,
+ n_10382;
+ wire n_10383, n_10384, n_10385, n_10386, n_10387, n_10388, n_10389,
+ n_10390;
+ wire n_10391, n_10392, n_10393, n_10394, n_10397, n_10399, n_10400,
+ n_10401;
+ wire n_10402, n_10403, n_10404, n_10405, n_10406, n_10407, n_10408,
+ n_10409;
+ wire n_10411, n_10412, n_10413, n_10415, n_10416, n_10417, n_10418,
+ n_10420;
+ wire n_10421, n_10422, n_10423, n_10424, n_10425, n_10426, n_10428,
+ n_10429;
+ wire n_10430, n_10432, n_10433, n_10435, n_10436, n_10437, n_10438,
+ n_10439;
+ wire n_10440, n_10441, n_10443, n_10444, n_10445, n_10446, n_10447,
+ n_10448;
+ wire n_10449, n_10450, n_10451, n_10452, n_10453, n_10454, n_10455,
+ n_10456;
+ wire n_10457, n_10458, n_10459, n_10462, n_10466, n_10472, n_10476,
+ n_10481;
+ wire n_10483, n_10489, n_10493, n_10494, n_10496, n_10498, n_10501,
+ n_10504;
+ wire n_10508, n_10513, n_10514, n_10518, n_10521, n_10523, n_10529,
+ n_10535;
+ wire n_10537, n_10538, n_10539, n_10540, n_10542, n_10543, n_10544,
+ n_10545;
+ wire n_10546, n_10547, n_10549, n_10551, n_10552, n_10554, n_10555,
+ n_10556;
+ wire n_10558, n_10559, n_10561, n_10562, n_10563, n_10564, n_10565,
+ n_10566;
+ wire n_10567, n_10573, n_10574, n_10583, n_10587, n_10589, n_10592,
+ n_10595;
+ wire n_10599, n_10600, n_10601, n_10605, n_10607, n_10609, n_10613,
+ n_10614;
+ wire n_10615, n_10616, n_10617, n_10618, n_10619, n_10620, n_10621,
+ n_10622;
+ wire n_10623, n_10625, n_10626, n_10633, n_10634, n_10636, n_10645,
+ n_10649;
+ wire n_10651, n_10652, n_10654, n_10655, n_10657, n_10659, n_10661,
+ n_10663;
+ wire n_10664, n_10665, n_10666, n_10667, n_10668, n_10669, n_10670,
+ n_10671;
+ wire n_10672, n_10673, n_10674, n_10675, n_10676, n_10677, n_10678,
+ n_10679;
+ wire n_10680, n_10681, n_10682, n_10684, n_10685, n_10686, n_10688,
+ n_10690;
+ wire n_10691, n_10693, n_10694, n_10695, n_10697, n_10699, n_10700,
+ n_10701;
+ wire n_10702, n_10703, n_10704, n_10705, n_10706, n_10707, n_10708,
+ n_10709;
+ wire n_10710, n_10711, n_10712, n_10713, n_10714, n_10715, n_10717,
+ n_10718;
+ wire n_10719, n_10721, n_10722, n_10723, n_10725, n_10727, n_10728,
+ n_10730;
+ wire n_10731, n_10732, n_10733, n_10735, n_10737, n_10738, n_10739,
+ n_10740;
+ wire n_10742, n_10743, n_10744, n_10746, n_10747, n_10748, n_10750,
+ n_10751;
+ wire n_10752, n_10753, n_10754, n_10755, n_10756, n_10757, n_10758,
+ n_10760;
+ wire n_10762, n_10763, n_10764, n_10765, n_10766, n_10768, n_10769,
+ n_10770;
+ wire n_10771, n_10772, n_10773, n_10774, n_10775, n_10776, n_10777,
+ n_10778;
+ wire n_10780, n_10781, n_10783, n_10784, n_10785, n_10786, n_10787,
+ n_10788;
+ wire n_10789, n_10790, n_10791, n_10794, n_10795, n_10796, n_10798,
+ n_10799;
+ wire n_10800, n_10801, n_10802, n_10803, n_10804, n_10805, n_10806,
+ n_10807;
+ wire n_10808, n_10809, n_10810, n_10811, n_10812, n_10813, n_10814,
+ n_10815;
+ wire n_10817, n_10818, n_10819, n_10820, n_10821, n_10822, n_10825,
+ n_10827;
+ wire n_10828, n_10829, n_10830, n_10831, n_10832, n_10833, n_10834,
+ n_10836;
+ wire n_10837, n_10838, n_10839, n_10840, n_10841, n_10842, n_10843,
+ n_10844;
+ wire n_10845, n_10846, n_10847, n_10848, n_10849, n_10850, n_10851,
+ n_10852;
+ wire n_10853, n_10854, n_10855, n_10856, n_10857, n_10858, n_10859,
+ n_10860;
+ wire n_10862, n_10863, n_10864, n_10866, n_10867, n_10868, n_10870,
+ n_10872;
+ wire n_10873, n_10875, n_10876, n_10877, n_10879, n_10880, n_10881,
+ n_10882;
+ wire n_10884, n_10885, n_10886, n_10888, n_10890, n_10892, n_10893,
+ n_10894;
+ wire n_10895, n_10896, n_10897, n_10898, n_10899, n_10900, n_10902,
+ n_10903;
+ wire n_10904, n_10905, n_10906, n_10907, n_10908, n_10909, n_10910,
+ n_10911;
+ wire n_10912, n_10913, n_10914, n_10915, n_10916, n_10917, n_10919,
+ n_10920;
+ wire n_10921, n_10922, n_10923, n_10924, n_10926, n_10927, n_10928,
+ n_10929;
+ wire n_10930, n_10931, n_10932, n_10933, n_10934, n_10935, n_10937,
+ n_10939;
+ wire n_10940, n_10941, n_10942, n_10943, n_10944, n_10945, n_10946,
+ n_10947;
+ wire n_10948, n_10949, n_10950, n_10951, n_10952, n_10953, n_10954,
+ n_10955;
+ wire n_10956, n_10957, n_10958, n_10959, n_10960, n_10961, n_10963,
+ n_10964;
+ wire n_10966, n_10967, n_10968, n_10969, n_10970, n_10971, n_10973,
+ n_10974;
+ wire n_10976, n_10977, n_10978, n_10979, n_10981, n_10982, n_10983,
+ n_10984;
+ wire n_10985, n_10986, n_10988, n_10989, n_10990, n_10991, n_10992,
+ n_10993;
+ wire n_10994, n_10995, n_10996, n_10998, n_10999, n_11002, n_11004,
+ n_11005;
+ wire n_11006, n_11007, n_11008, n_11009, n_11010, n_11011, n_11012,
+ n_11014;
+ wire n_11015, n_11016, n_11017, n_11018, n_11019, n_11020, n_11021,
+ n_11022;
+ wire n_11023, n_11024, n_11025, n_11026, n_11027, n_11028, n_11029,
+ n_11030;
+ wire n_11031, n_11032, n_11033, n_11034, n_11035, n_11036, n_11037,
+ n_11038;
+ wire n_11039, n_11040, n_11041, n_11042, n_11043, n_11044, n_11045,
+ n_11047;
+ wire n_11049, n_11051, n_11052, n_11053, n_11054, n_11055, n_11056,
+ n_11057;
+ wire n_11058, n_11059, n_11060, n_11061, n_11062, n_11063, n_11064,
+ n_11065;
+ wire n_11066, n_11068, n_11069, n_11070, n_11072, n_11073, n_11074,
+ n_11075;
+ wire n_11076, n_11077, n_11078, n_11079, n_11080, n_11081, n_11082,
+ n_11083;
+ wire n_11084, n_11085, n_11086, n_11087, n_11089, n_11091, n_11092,
+ n_11093;
+ wire n_11094, n_11095, n_11096, n_11097, n_11098, n_11099, n_11100,
+ n_11101;
+ wire n_11102, n_11103, n_11104, n_11105, n_11106, n_11107, n_11108,
+ n_11109;
+ wire n_11110, n_11111, n_11112, n_11113, n_11114, n_11115, n_11116,
+ n_11117;
+ wire n_11118, n_11119, n_11120, n_11121, n_11122, n_11123, n_11124,
+ n_11125;
+ wire n_11126, n_11128, n_11129, n_11130, n_11131, n_11134, n_11135,
+ n_11136;
+ wire n_11142, n_11144, n_11145, n_11146, n_11148, n_11149, n_11150,
+ n_11151;
+ wire n_11152, n_11153, n_11155, n_11157, n_11158, n_11159, n_11160,
+ n_11161;
+ wire n_11162, n_11163, n_11164, n_11165, n_11166, n_11167, n_11168,
+ n_11169;
+ wire n_11170, n_11171, n_11172, n_11173, n_11174, n_11175, n_11176,
+ n_11178;
+ wire n_11179, n_11180, n_11181, n_11182, n_11183, n_11184, n_11185,
+ n_11186;
+ wire n_11187, n_11188, n_11189, n_11190, n_11191, n_11192, n_11193,
+ n_11194;
+ wire n_11195, n_11196, n_11197, n_11198, n_11199, n_11200, n_11201,
+ n_11202;
+ wire n_11203, n_11204, n_11205, n_11206, n_11207, n_11209, n_11210,
+ n_11211;
+ wire n_11212, n_11213, n_11214, n_11215, n_11216, n_11217, n_11218,
+ n_11219;
+ wire n_11220, n_11221, n_11223, n_11224, n_11225, n_11227, n_11228,
+ n_11230;
+ wire n_11231, n_11232, n_11233, n_11234, n_11235, n_11236, n_11237,
+ n_11238;
+ wire n_11239, n_11240, n_11241, n_11242, n_11243, n_11244, n_11245,
+ n_11246;
+ wire n_11247, n_11248, n_11249, n_11250, n_11251, n_11252, n_11253,
+ n_11254;
+ wire n_11255, n_11256, n_11257, n_11258, n_11260, n_11262, n_11263,
+ n_11264;
+ wire n_11266, n_11267, n_11268, n_11269, n_11270, n_11272, n_11273,
+ n_11274;
+ wire n_11276, n_11278, n_11279, n_11280, n_11281, n_11283, n_11284,
+ n_11286;
+ wire n_11287, n_11288, n_11289, n_11290, n_11291, n_11292, n_11293,
+ n_11294;
+ wire n_11295, n_11296, n_11297, n_11298, n_11299, n_11300, n_11301,
+ n_11302;
+ wire n_11303, n_11304, n_11305, n_11306, n_11307, n_11308, n_11310,
+ n_11311;
+ wire n_11312, n_11313, n_11314, n_11315, n_11317, n_11318, n_11319,
+ n_11320;
+ wire n_11321, n_11323, n_11324, n_11326, n_11327, n_11328, n_11329,
+ n_11330;
+ wire n_11332, n_11333, n_11334, n_11336, n_11338, n_11339, n_11341,
+ n_11342;
+ wire n_11344, n_11346, n_11347, n_11348, n_11349, n_11351, n_11352,
+ n_11353;
+ wire n_11354, n_11355, n_11356, n_11358, n_11360, n_11361, n_11363,
+ n_11364;
+ wire n_11365, n_11366, n_11368, n_11370, n_11371, n_11372, n_11373,
+ n_11375;
+ wire n_11376, n_11377, n_11378, n_11379, n_11381, n_11383, n_11384,
+ n_11385;
+ wire n_11387, n_11388, n_11389, n_11390, n_11391, n_11392, n_11393,
+ n_11394;
+ wire n_11395, n_11396, n_11397, n_11399, n_11400, n_11401, n_11403,
+ n_11404;
+ wire n_11406, n_11408, n_11409, n_11410, n_11411, n_11413, n_11414,
+ n_11416;
+ wire n_11417, n_11418, n_11419, n_11420, n_11421, n_11423, n_11424,
+ n_11425;
+ wire n_11426, n_11427, n_11428, n_11429, n_11430, n_11431, n_11433,
+ n_11434;
+ wire n_11435, n_11436, n_11437, n_11438, n_11439, n_11440, n_11441,
+ n_11442;
+ wire n_11443, n_11444, n_11445, n_11446, n_11447, n_11448, n_11449,
+ n_11450;
+ wire n_11451, n_11452, n_11453, n_11454, n_11455, n_11456, n_11457,
+ n_11458;
+ wire n_11459, n_11460, n_11461, n_11462, n_11463, n_11464, n_11465,
+ n_11466;
+ wire n_11467, n_11468, n_11472, n_11476, n_11482, n_11491, n_11494,
+ n_11495;
+ wire n_11499, n_11501, n_11503, n_11504, n_11505, n_11507, n_11508,
+ n_11509;
+ wire n_11510, n_11511, n_11512, n_11513, n_11514, n_11515, n_11516,
+ n_11518;
+ wire n_11520, n_11522, n_11526, n_11528, n_11529, n_11530, n_11533,
+ n_11534;
+ wire n_11536, n_11538, n_11539, n_11540, n_11541, n_11542, n_11563,
+ n_11564;
+ wire n_11578, n_11579, n_11585, n_11586, n_11587, n_11597, n_11600,
+ n_11612;
+ wire n_11613, n_11614, n_11615, n_11616, n_11617, n_11618, n_11619,
+ n_11620;
+ wire n_11621, n_11622, n_11623, n_11624, n_11625, n_11626, n_11627,
+ n_11628;
+ wire n_11629, n_11630, n_11631, n_11632, n_11633, n_11634, n_11635,
+ n_11636;
+ wire n_11637, n_11638, n_11639, n_11640, n_11641, n_11642, n_11646,
+ n_11647;
+ wire n_11650, n_11651, n_11654, n_11655, n_11656, n_11657, n_11658,
+ n_11659;
+ wire n_11660, n_11661, n_11662, n_11663, n_11666, n_11667, n_11668,
+ n_11669;
+ wire n_11670, n_11671, n_11672, n_11673, n_11674, n_11675, n_11676,
+ n_11677;
+ wire n_11697, n_11698, n_11699, n_11700, n_11703, n_11704, n_11707,
+ n_11708;
+ wire n_11709, n_11710, n_11711, n_11712, n_11713, n_11714, n_11715,
+ n_11716;
+ wire n_11717, n_11718, n_11719, n_11720, n_11721, n_11722, n_11724,
+ n_11729;
+ wire n_11730, n_11731, n_11732, n_11733, n_11734, n_11735, n_11736,
+ n_11737;
+ wire n_11738, n_11741, n_11742, n_11743, n_11744, n_11746, n_11748,
+ n_11749;
+ wire n_11750, n_11751, n_11752, n_11762, n_11772, n_11777, n_11789,
+ n_11797;
+ wire n_11798, n_11802, n_11804, n_11823, n_11827, n_11841, n_11842,
+ n_11843;
+ wire n_11844, n_11846, n_11851, n_11852, n_11853, n_11855, n_11856,
+ n_11887;
+ wire n_11888, n_11889, n_11890, n_11891, n_11892, n_11893, n_11894,
+ n_11895;
+ wire n_11897, n_11898, n_11900, n_11901, n_11903, n_11904, n_11905,
+ n_11906;
+ wire n_11907, n_11908, n_11911, n_11912, n_11913, n_11914, n_11919,
+ n_11922;
+ wire n_11923, n_11924, n_11925, n_11927, n_11928, n_11934, n_11948,
+ n_11949;
+ wire n_11950, n_11951, n_11952, n_11953, n_11954, n_11955, n_11956,
+ n_11957;
+ wire n_11958, n_11959, n_11960, n_11961, n_11962, n_11963, n_11964,
+ n_11965;
+ wire n_11966, n_11967, n_11968, n_11969, n_11970, n_11971, n_11972,
+ n_11973;
+ wire n_11974, n_11975, n_11976, n_11977, n_11978, n_11979, n_11980,
+ n_11981;
+ wire n_11984, n_11985, n_11986, n_11987, n_11988, n_11989, n_11990,
+ n_11991;
+ wire n_11992, n_11993, n_11994, n_11995, n_11996, n_11997, n_11999,
+ n_12000;
+ wire n_12001, n_12004, n_12005, n_12006, n_12007, n_12008, n_12009,
+ n_12010;
+ wire n_12011, n_12012, n_12013, n_12016, n_12017, n_12018, n_12019,
+ n_12026;
+ wire n_12027, n_12030, n_12031, n_12032, n_12033, n_12034, n_12035,
+ n_12036;
+ wire n_12037, n_12038, n_12039, n_12041, n_12042, n_12043, n_12046,
+ n_12047;
+ wire n_12048, n_12049, n_12050, n_12051, n_12052, n_12053, n_12054,
+ n_12055;
+ wire n_12056, n_12057, n_12058, n_12059, n_12060, n_12061, n_12062,
+ n_12063;
+ wire n_12064, n_12065, n_12066, n_12067, n_12076, n_12077, n_12079,
+ n_12087;
+ wire n_12091, n_12111, n_12114, n_12115, n_12116, n_12120, n_12121,
+ n_12124;
+ wire n_12125, n_12131, n_12132, n_12135, n_12136, n_12140, n_12142,
+ n_12143;
+ wire n_12144, n_12145, n_12146, n_12147, n_12148, n_12149, n_12150,
+ n_12152;
+ wire n_12153, n_12156, n_12157, n_12161, n_12164, n_12165, n_12166,
+ n_12167;
+ wire n_12168, n_12169, n_12170, n_12171, n_12172, n_12193, n_12194,
+ n_12195;
+ wire n_12196, n_12204, n_12214, n_12244, n_12250, n_12252, n_12256,
+ n_12258;
+ wire n_12259, n_12261, n_12262, n_12265, n_12267, n_12269, n_12270,
+ n_12272;
+ wire n_12273, n_12274, n_12278, n_12280, n_12281, n_12291, n_12295,
+ n_12301;
+ wire n_12303, n_12304, n_12330, n_12331, n_12332, n_12333, n_12334,
+ n_12335;
+ wire n_12336, n_12339, n_12340, n_12354, n_12355, n_12357, n_12358,
+ n_12359;
+ wire n_12361, n_12362, n_12363, n_12364, n_12365, n_12366, n_12367,
+ n_12368;
+ wire n_12369, n_12370, n_12371, n_12372, n_12373, n_12374, n_12375,
+ n_12376;
+ wire n_12377, n_12378, n_12379, n_12383, n_12384, n_12385, n_12389,
+ n_12399;
+ wire n_12400, n_12401, n_12403, n_12404, n_12405, n_12410, n_12411,
+ n_12453;
+ wire n_12454, n_12457, n_12458, n_12459, n_12460, n_12464, n_12478,
+ n_12479;
+ wire n_12480, n_12481, n_12482, n_12483, n_12495, n_12496, n_12499,
+ n_12500;
+ wire n_12501, n_12502, n_12503, n_12504, n_12505, n_12506, n_12507,
+ n_12508;
+ wire n_12509, n_12513, n_12514, n_12516, n_12517, n_12520, n_12521,
+ n_12526;
+ wire n_12527, n_12528, n_12529, n_12530, n_12531, n_12532, n_12533,
+ n_12534;
+ wire n_12535, n_12536, n_12537, n_12538, n_12539, n_12543, n_12581,
+ n_12583;
+ wire n_12584, n_12585, n_12588, n_12589, n_12590, n_12591, n_12592,
+ n_12601;
+ wire n_12602, n_12603, n_12604, n_12605, n_12606, n_12607, n_12608,
+ n_12609;
+ wire n_12610, n_12611, n_12612, n_12613, n_12614, n_12617, n_12618,
+ n_12619;
+ wire n_12620, n_12621, n_12622, n_12623, n_12624, n_12625, n_12626,
+ n_12627;
+ wire n_12630, n_12631, n_12632, n_12634, n_12635, n_12636, n_12637,
+ n_12638;
+ wire n_12639, n_12640, n_12641, n_12645, n_12650, n_12654, n_12656,
+ n_12659;
+ wire n_12662, n_12663, n_12664, n_12666, n_12667, n_12668, n_12672,
+ n_12674;
+ wire n_12675, n_12676, n_12677, n_12678, n_12679, n_12680, n_12681,
+ n_12682;
+ wire n_12683, n_12684, n_12685, n_12686, n_12687, n_12688, n_12689,
+ n_12690;
+ wire n_12721, n_12735, n_12738, n_12739, n_12740, n_12741, n_12742,
+ n_12743;
+ wire n_12744, n_12745, n_12746, n_12747, n_12748, n_12749, n_12750,
+ n_12751;
+ wire n_12752, n_12753, n_12754, n_12755, n_12793, n_12794, n_12796,
+ n_12798;
+ wire n_12799, n_12800, n_12801, n_12802, n_12803, n_12804, n_12805,
+ n_12806;
+ wire n_12807, n_12808, n_12809, n_12810, n_12811, n_12812, n_12813,
+ n_12814;
+ wire n_12815, n_12816, n_12817, n_12818, n_12819, n_12820, n_12821,
+ n_12822;
+ wire n_12823, n_12825, n_12826, n_12827, n_12828, n_12829, n_12830,
+ n_12831;
+ wire n_12832, n_12833, n_12834, n_12835, n_12836, n_12837, n_12838,
+ n_12839;
+ wire n_12840, n_12841, n_12842, n_12843, n_12844, n_12845, n_12846,
+ n_12847;
+ wire n_12848, n_12849, n_12850, n_12851, n_12852, n_12853, n_12854,
+ n_12855;
+ wire n_12856, n_12857, n_12858, o3_empty, o3_status, o3_status_962,
+ o3_we, o4_empty;
+ wire o4_status, o4_status_972, o4_we, o6_empty, o6_status,
+ o6_status_982, o6_we, o7_empty;
+ wire o7_status, o7_status_992, o7_we, o8_empty, o8_status,
+ o8_status_1002, o8_we, o9_empty;
+ wire o9_status, o9_status_1012, o9_we, oc0_cfg, oc0_cfg_964,
+ oc0_cfg_965, oc0_cfg_966, oc0_cfg_969;
+ wire oc0_cfg_970, oc0_int_set, oc0_int_set_707, oc0_int_set_708,
+ oc1_cfg, oc1_cfg_974, oc1_cfg_975, oc1_cfg_976;
+ wire oc1_cfg_979, oc1_cfg_980, oc1_int_set, oc1_int_set_709,
+ oc1_int_set_710, oc2_cfg, oc2_cfg_984, oc2_cfg_985;
+ wire oc2_cfg_986, oc2_cfg_987, oc2_cfg_989, oc2_cfg_990, oc2_int_set,
+ oc2_int_set_711, oc2_int_set_712, oc3_cfg;
+ wire oc3_cfg_994, oc3_cfg_995, oc3_cfg_996, oc3_cfg_997, oc3_cfg_999,
+ oc3_cfg_1000, oc3_int_set, oc3_int_set_713;
+ wire oc3_int_set_714, oc4_cfg, oc4_cfg_1004, oc4_cfg_1005,
+ oc4_cfg_1006, oc4_cfg_1009, oc4_cfg_1010, oc4_int_set;
+ wire oc4_int_set_715, oc4_int_set_716, oc5_cfg, oc5_cfg_1014,
+ oc5_cfg_1015, oc5_cfg_1016, oc5_cfg_1019, oc5_cfg_1020;
+ wire oc5_int_set, oc5_int_set_717, oc5_int_set_718, out_le,
+ out_le_180, out_le_181, out_le_182, out_le_183;
+ wire out_le_184, out_slt3, out_slt4, out_slt6, out_slt7, out_slt8,
+ out_slt9, out_slt_17;
+ wire out_slt_18, out_slt_19, out_slt_20, out_slt_22, out_slt_23,
+ out_slt_24, out_slt_25, out_slt_65;
+ wire out_slt_66, out_slt_67, out_slt_68, out_slt_69, out_slt_70,
+ out_slt_71, out_slt_72, out_slt_73;
+ wire out_slt_74, out_slt_75, out_slt_76, out_slt_77, out_slt_78,
+ out_slt_79, out_slt_80, out_slt_81;
+ wire out_slt_82, out_slt_83, out_slt_84, out_slt_85, out_slt_86,
+ out_slt_87, out_slt_88, out_slt_89;
+ wire out_slt_90, out_slt_91, out_slt_92, out_slt_93, out_slt_94,
+ out_slt_95, out_slt_96, out_slt_97;
+ wire out_slt_98, out_slt_99, out_slt_100, out_slt_101, out_slt_102,
+ out_slt_103, out_slt_104, out_slt_105;
+ wire out_slt_106, out_slt_107, out_slt_108, out_slt_109, out_slt_110,
+ out_slt_111, out_slt_112, out_slt_113;
+ wire out_slt_114, out_slt_115, out_slt_116, out_slt_117, out_slt_118,
+ out_slt_119, out_slt_120, out_slt_121;
+ wire out_slt_122, out_slt_123, out_slt_124, out_slt_125, out_slt_126,
+ out_slt_127, out_slt_128, out_slt_129;
+ wire out_slt_130, out_slt_131, out_slt_132, out_slt_133, out_slt_134,
+ out_slt_135, out_slt_136, out_slt_137;
+ wire out_slt_138, out_slt_139, out_slt_140, out_slt_141, out_slt_142,
+ out_slt_143, out_slt_144, out_slt_145;
+ wire out_slt_146, out_slt_147, out_slt_148, out_slt_149, out_slt_150,
+ out_slt_151, out_slt_152, out_slt_153;
+ wire out_slt_154, out_slt_155, out_slt_156, out_slt_157, out_slt_158,
+ out_slt_159, out_slt_160, out_slt_161;
+ wire out_slt_162, out_slt_163, out_slt_164, out_slt_165, out_slt_166,
+ out_slt_167, out_slt_168, out_slt_169;
+ wire out_slt_170, out_slt_171, out_slt_172, out_slt_173, out_slt_174,
+ out_slt_175, out_slt_176, out_slt_177;
+ wire out_slt_178, resume_req, rf_we, u0_slt0_r, u0_slt0_r_1,
+ u0_slt0_r_2, u0_slt0_r_3, u0_slt0_r_4;
+ wire u0_slt0_r_5, u0_slt0_r_6, u0_slt0_r_7, u0_slt0_r_8, u0_slt0_r_9,
+ u0_slt0_r_10, u0_slt0_r_11, u0_slt0_r_12;
+ wire u0_slt0_r_13, u0_slt0_r_14, u0_slt1_r, u0_slt1_r_16,
+ u0_slt1_r_17, u0_slt1_r_18, u0_slt1_r_19, u0_slt1_r_20;
+ wire u0_slt1_r_21, u0_slt1_r_22, u0_slt1_r_23, u0_slt1_r_24,
+ u0_slt1_r_25, u0_slt1_r_26, u0_slt1_r_27, u0_slt1_r_28;
+ wire u0_slt1_r_29, u0_slt1_r_30, u0_slt1_r_31, u0_slt1_r_32,
+ u0_slt1_r_33, u0_slt1_r_34, u0_slt2_r, u0_slt2_r_35;
+ wire u0_slt2_r_36, u0_slt2_r_37, u0_slt2_r_38, u0_slt2_r_39,
+ u0_slt2_r_40, u0_slt2_r_41, u0_slt2_r_42, u0_slt2_r_43;
+ wire u0_slt2_r_44, u0_slt2_r_45, u0_slt2_r_46, u0_slt2_r_47,
+ u0_slt2_r_48, u0_slt2_r_49, u0_slt2_r_50, u0_slt2_r_51;
+ wire u0_slt2_r_52, u0_slt2_r_53, u0_slt3_r, u0_slt3_r_54,
+ u0_slt3_r_55, u0_slt3_r_56, u0_slt3_r_57, u0_slt3_r_58;
+ wire u0_slt3_r_59, u0_slt3_r_60, u0_slt3_r_61, u0_slt3_r_62,
+ u0_slt3_r_63, u0_slt3_r_64, u0_slt3_r_65, u0_slt3_r_66;
+ wire u0_slt3_r_67, u0_slt3_r_68, u0_slt3_r_69, u0_slt3_r_70,
+ u0_slt3_r_71, u0_slt3_r_72, u0_slt4_r, u0_slt4_r_73;
+ wire u0_slt4_r_74, u0_slt4_r_75, u0_slt4_r_76, u0_slt4_r_77,
+ u0_slt4_r_78, u0_slt4_r_79, u0_slt4_r_80, u0_slt4_r_81;
+ wire u0_slt4_r_82, u0_slt4_r_83, u0_slt4_r_84, u0_slt4_r_85,
+ u0_slt4_r_86, u0_slt4_r_87, u0_slt4_r_88, u0_slt4_r_89;
+ wire u0_slt4_r_90, u0_slt4_r_91, u0_slt5_r, u0_slt5_r_92,
+ u0_slt5_r_93, u0_slt5_r_94, u0_slt5_r_95, u0_slt5_r_96;
+ wire u0_slt5_r_97, u0_slt5_r_98, u0_slt5_r_99, u0_slt5_r_100,
+ u0_slt5_r_101, u0_slt5_r_102, u0_slt5_r_103, u0_slt5_r_104;
+ wire u0_slt5_r_105, u0_slt5_r_106, u0_slt5_r_107, u0_slt5_r_108,
+ u0_slt5_r_109, u0_slt5_r_110, u0_slt6_r, u0_slt6_r_111;
+ wire u0_slt6_r_112, u0_slt6_r_113, u0_slt6_r_114, u0_slt6_r_115,
+ u0_slt6_r_116, u0_slt6_r_117, u0_slt6_r_118, u0_slt6_r_119;
+ wire u0_slt6_r_120, u0_slt6_r_121, u0_slt6_r_122, u0_slt6_r_123,
+ u0_slt6_r_124, u0_slt6_r_125, u0_slt6_r_126, u0_slt6_r_127;
+ wire u0_slt6_r_128, u0_slt6_r_129, u0_slt7_r, u0_slt7_r_130,
+ u0_slt7_r_131, u0_slt7_r_132, u0_slt7_r_133, u0_slt7_r_134;
+ wire u0_slt7_r_135, u0_slt7_r_136, u0_slt7_r_137, u0_slt7_r_138,
+ u0_slt7_r_139, u0_slt7_r_140, u0_slt7_r_141, u0_slt7_r_142;
+ wire u0_slt7_r_143, u0_slt7_r_144, u0_slt7_r_145, u0_slt7_r_146,
+ u0_slt7_r_147, u0_slt7_r_148, u0_slt8_r, u0_slt8_r_149;
+ wire u0_slt8_r_150, u0_slt8_r_151, u0_slt8_r_152, u0_slt8_r_153,
+ u0_slt8_r_154, u0_slt8_r_155, u0_slt8_r_156, u0_slt8_r_157;
+ wire u0_slt8_r_158, u0_slt8_r_159, u0_slt8_r_160, u0_slt8_r_161,
+ u0_slt8_r_162, u0_slt8_r_163, u0_slt8_r_164, u0_slt8_r_165;
+ wire u0_slt8_r_166, u0_slt8_r_167, u0_slt9_r, u0_slt9_r_168,
+ u0_slt9_r_169, u0_slt9_r_170, u0_slt9_r_171, u0_slt9_r_172;
+ wire u0_slt9_r_173, u0_slt9_r_174, u0_slt9_r_175, u0_slt9_r_176,
+ u0_slt9_r_177, u0_slt9_r_178, u0_slt9_r_179, u0_slt9_r_180;
+ wire u0_slt9_r_181, u0_slt9_r_182, u0_slt9_r_183, u0_slt9_r_184,
+ u0_slt9_r_185, u0_slt9_r_186, u1_sdata_in_r, u1_sr;
+ wire u1_sr_117, u1_sr_118, u1_sr_119, u1_sr_120, u1_sr_121,
+ u1_sr_122, u1_sr_123, u1_sr_124;
+ wire u1_sr_125, u1_sr_126, u1_sr_127, u1_sr_128, u1_sr_129,
+ u1_sr_130, u1_sr_131, u1_sr_132;
+ wire u1_sr_133, u1_sr_134, u1_sr_135, u2_bit_clk_e, u2_bit_clk_r,
+ u2_bit_clk_r1, \u2_cnt[2] , \u2_cnt[3] ;
+ wire \u2_cnt[4] , \u2_cnt[5] , \u2_cnt[6] , \u2_res_cnt[0] ,
+ \u2_res_cnt[1] , \u2_res_cnt[2] , \u2_res_cnt[3] , u2_sync_beat;
+ wire u2_sync_resume, \u2_to_cnt[0] , \u2_to_cnt[1] , \u2_to_cnt[3] ,
+ \u2_to_cnt[5] , \u3_mem[0] , \u3_mem[0]_91 , \u3_mem[0]_92 ;
+ wire \u3_mem[0]_93 , \u3_mem[0]_94 , \u3_mem[0]_95 , \u3_mem[0]_96 ,
+ \u3_mem[0]_97 , \u3_mem[0]_98 , \u3_mem[0]_99 , \u3_mem[0]_100 ;
+ wire \u3_mem[0]_101 , \u3_mem[0]_102 , \u3_mem[0]_103 ,
+ \u3_mem[0]_104 , \u3_mem[0]_105 , \u3_mem[0]_106 ,
+ \u3_mem[0]_107 , \u3_mem[0]_108 ;
+ wire \u3_mem[0]_109 , \u3_mem[0]_110 , \u3_mem[0]_111 ,
+ \u3_mem[0]_112 , \u3_mem[0]_113 , \u3_mem[0]_114 ,
+ \u3_mem[0]_115 , \u3_mem[0]_116 ;
+ wire \u3_mem[0]_117 , \u3_mem[0]_118 , \u3_mem[0]_119 ,
+ \u3_mem[0]_120 , \u3_mem[0]_121 , \u3_mem[1] , \u3_mem[1]_60 ,
+ \u3_mem[1]_61 ;
+ wire \u3_mem[1]_62 , \u3_mem[1]_63 , \u3_mem[1]_64 , \u3_mem[1]_65 ,
+ \u3_mem[1]_66 , \u3_mem[1]_67 , \u3_mem[1]_68 , \u3_mem[1]_69 ;
+ wire \u3_mem[1]_70 , \u3_mem[1]_71 , \u3_mem[1]_72 , \u3_mem[1]_73 ,
+ \u3_mem[1]_74 , \u3_mem[1]_75 , \u3_mem[1]_76 , \u3_mem[1]_77 ;
+ wire \u3_mem[1]_78 , \u3_mem[1]_79 , \u3_mem[1]_80 , \u3_mem[1]_81 ,
+ \u3_mem[1]_82 , \u3_mem[1]_83 , \u3_mem[1]_84 , \u3_mem[1]_85 ;
+ wire \u3_mem[1]_86 , \u3_mem[1]_87 , \u3_mem[1]_88 , \u3_mem[1]_89 ,
+ \u3_mem[1]_90 , \u3_mem[2] , \u3_mem[2]_29 , \u3_mem[2]_30 ;
+ wire \u3_mem[2]_31 , \u3_mem[2]_32 , \u3_mem[2]_33 , \u3_mem[2]_34 ,
+ \u3_mem[2]_35 , \u3_mem[2]_36 , \u3_mem[2]_37 , \u3_mem[2]_38 ;
+ wire \u3_mem[2]_39 , \u3_mem[2]_40 , \u3_mem[2]_41 , \u3_mem[2]_42 ,
+ \u3_mem[2]_43 , \u3_mem[2]_44 , \u3_mem[2]_45 , \u3_mem[2]_46 ;
+ wire \u3_mem[2]_47 , \u3_mem[2]_48 , \u3_mem[2]_49 , \u3_mem[2]_50 ,
+ \u3_mem[2]_51 , \u3_mem[2]_52 , \u3_mem[2]_53 , \u3_mem[2]_54 ;
+ wire \u3_mem[2]_55 , \u3_mem[2]_56 , \u3_mem[2]_57 , \u3_mem[2]_58 ,
+ \u3_mem[2]_59 , \u3_mem[3] , \u3_mem[3]_122 , \u3_mem[3]_123 ;
+ wire \u3_mem[3]_124 , \u3_mem[3]_125 , \u3_mem[3]_126 ,
+ \u3_mem[3]_127 , \u3_mem[3]_128 , \u3_mem[3]_129 ,
+ \u3_mem[3]_130 , \u3_mem[3]_131 ;
+ wire \u3_mem[3]_132 , \u3_mem[3]_133 , \u3_mem[3]_134 ,
+ \u3_mem[3]_135 , \u3_mem[3]_136 , \u3_mem[3]_137 ,
+ \u3_mem[3]_138 , \u3_mem[3]_139 ;
+ wire \u3_mem[3]_140 , \u3_mem[3]_141 , \u3_mem[3]_142 ,
+ \u3_mem[3]_143 , \u3_mem[3]_144 , \u3_mem[3]_145 ,
+ \u3_mem[3]_146 , \u3_mem[3]_147 ;
+ wire \u3_mem[3]_148 , \u3_mem[3]_149 , \u3_mem[3]_150 ,
+ \u3_mem[3]_151 , \u3_mem[3]_152 , \u3_rp[0] , \u3_rp[1] ,
+ \u3_rp[2] ;
+ wire \u3_rp[3] , \u3_wp[0] , \u3_wp[1] , \u3_wp[2] , \u4_mem[0] ,
+ \u4_mem[0]_91 , \u4_mem[0]_92 , \u4_mem[0]_93 ;
+ wire \u4_mem[0]_94 , \u4_mem[0]_95 , \u4_mem[0]_96 , \u4_mem[0]_97 ,
+ \u4_mem[0]_98 , \u4_mem[0]_99 , \u4_mem[0]_100 , \u4_mem[0]_101 ;
+ wire \u4_mem[0]_102 , \u4_mem[0]_103 , \u4_mem[0]_104 ,
+ \u4_mem[0]_105 , \u4_mem[0]_106 , \u4_mem[0]_107 ,
+ \u4_mem[0]_108 , \u4_mem[0]_109 ;
+ wire \u4_mem[0]_110 , \u4_mem[0]_111 , \u4_mem[0]_112 ,
+ \u4_mem[0]_113 , \u4_mem[0]_114 , \u4_mem[0]_115 ,
+ \u4_mem[0]_116 , \u4_mem[0]_117 ;
+ wire \u4_mem[0]_118 , \u4_mem[0]_119 , \u4_mem[0]_120 ,
+ \u4_mem[0]_121 , \u4_mem[1] , \u4_mem[1]_60 , \u4_mem[1]_61 ,
+ \u4_mem[1]_62 ;
+ wire \u4_mem[1]_63 , \u4_mem[1]_64 , \u4_mem[1]_65 , \u4_mem[1]_66 ,
+ \u4_mem[1]_67 , \u4_mem[1]_68 , \u4_mem[1]_69 , \u4_mem[1]_70 ;
+ wire \u4_mem[1]_71 , \u4_mem[1]_72 , \u4_mem[1]_73 , \u4_mem[1]_74 ,
+ \u4_mem[1]_75 , \u4_mem[1]_76 , \u4_mem[1]_77 , \u4_mem[1]_78 ;
+ wire \u4_mem[1]_79 , \u4_mem[1]_80 , \u4_mem[1]_81 , \u4_mem[1]_82 ,
+ \u4_mem[1]_83 , \u4_mem[1]_84 , \u4_mem[1]_85 , \u4_mem[1]_86 ;
+ wire \u4_mem[1]_87 , \u4_mem[1]_88 , \u4_mem[1]_89 , \u4_mem[1]_90 ,
+ \u4_mem[2] , \u4_mem[2]_29 , \u4_mem[2]_30 , \u4_mem[2]_31 ;
+ wire \u4_mem[2]_32 , \u4_mem[2]_33 , \u4_mem[2]_34 , \u4_mem[2]_35 ,
+ \u4_mem[2]_36 , \u4_mem[2]_37 , \u4_mem[2]_38 , \u4_mem[2]_39 ;
+ wire \u4_mem[2]_40 , \u4_mem[2]_41 , \u4_mem[2]_42 , \u4_mem[2]_43 ,
+ \u4_mem[2]_44 , \u4_mem[2]_45 , \u4_mem[2]_46 , \u4_mem[2]_47 ;
+ wire \u4_mem[2]_48 , \u4_mem[2]_49 , \u4_mem[2]_50 , \u4_mem[2]_51 ,
+ \u4_mem[2]_52 , \u4_mem[2]_53 , \u4_mem[2]_54 , \u4_mem[2]_55 ;
+ wire \u4_mem[2]_56 , \u4_mem[2]_57 , \u4_mem[2]_58 , \u4_mem[2]_59 ,
+ \u4_mem[3] , \u4_mem[3]_122 , \u4_mem[3]_123 , \u4_mem[3]_124 ;
+ wire \u4_mem[3]_125 , \u4_mem[3]_126 , \u4_mem[3]_127 ,
+ \u4_mem[3]_128 , \u4_mem[3]_129 , \u4_mem[3]_130 ,
+ \u4_mem[3]_131 , \u4_mem[3]_132 ;
+ wire \u4_mem[3]_133 , \u4_mem[3]_134 , \u4_mem[3]_135 ,
+ \u4_mem[3]_136 , \u4_mem[3]_137 , \u4_mem[3]_138 ,
+ \u4_mem[3]_139 , \u4_mem[3]_140 ;
+ wire \u4_mem[3]_141 , \u4_mem[3]_142 , \u4_mem[3]_143 ,
+ \u4_mem[3]_144 , \u4_mem[3]_145 , \u4_mem[3]_146 ,
+ \u4_mem[3]_147 , \u4_mem[3]_148 ;
+ wire \u4_mem[3]_149 , \u4_mem[3]_150 , \u4_mem[3]_151 ,
+ \u4_mem[3]_152 , \u4_rp[0] , \u4_rp[1] , \u4_rp[2] , \u4_rp[3] ;
+ wire \u4_wp[0] , \u4_wp[1] , \u4_wp[2] , \u5_mem[0] , \u5_mem[0]_91 ,
+ \u5_mem[0]_92 , \u5_mem[0]_93 , \u5_mem[0]_94 ;
+ wire \u5_mem[0]_95 , \u5_mem[0]_96 , \u5_mem[0]_97 , \u5_mem[0]_98 ,
+ \u5_mem[0]_99 , \u5_mem[0]_100 , \u5_mem[0]_101 , \u5_mem[0]_102
+ ;
+ wire \u5_mem[0]_103 , \u5_mem[0]_104 , \u5_mem[0]_105 ,
+ \u5_mem[0]_106 , \u5_mem[0]_107 , \u5_mem[0]_108 ,
+ \u5_mem[0]_109 , \u5_mem[0]_110 ;
+ wire \u5_mem[0]_111 , \u5_mem[0]_112 , \u5_mem[0]_113 ,
+ \u5_mem[0]_114 , \u5_mem[0]_115 , \u5_mem[0]_116 ,
+ \u5_mem[0]_117 , \u5_mem[0]_118 ;
+ wire \u5_mem[0]_119 , \u5_mem[0]_120 , \u5_mem[0]_121 , \u5_mem[1] ,
+ \u5_mem[1]_60 , \u5_mem[1]_61 , \u5_mem[1]_62 , \u5_mem[1]_63 ;
+ wire \u5_mem[1]_64 , \u5_mem[1]_65 , \u5_mem[1]_66 , \u5_mem[1]_67 ,
+ \u5_mem[1]_68 , \u5_mem[1]_69 , \u5_mem[1]_70 , \u5_mem[1]_71 ;
+ wire \u5_mem[1]_72 , \u5_mem[1]_73 , \u5_mem[1]_74 , \u5_mem[1]_75 ,
+ \u5_mem[1]_76 , \u5_mem[1]_77 , \u5_mem[1]_78 , \u5_mem[1]_79 ;
+ wire \u5_mem[1]_80 , \u5_mem[1]_81 , \u5_mem[1]_82 , \u5_mem[1]_83 ,
+ \u5_mem[1]_84 , \u5_mem[1]_85 , \u5_mem[1]_86 , \u5_mem[1]_87 ;
+ wire \u5_mem[1]_88 , \u5_mem[1]_89 , \u5_mem[1]_90 , \u5_mem[2] ,
+ \u5_mem[2]_29 , \u5_mem[2]_30 , \u5_mem[2]_31 , \u5_mem[2]_32 ;
+ wire \u5_mem[2]_33 , \u5_mem[2]_34 , \u5_mem[2]_35 , \u5_mem[2]_36 ,
+ \u5_mem[2]_37 , \u5_mem[2]_38 , \u5_mem[2]_39 , \u5_mem[2]_40 ;
+ wire \u5_mem[2]_41 , \u5_mem[2]_42 , \u5_mem[2]_43 , \u5_mem[2]_44 ,
+ \u5_mem[2]_45 , \u5_mem[2]_46 , \u5_mem[2]_47 , \u5_mem[2]_48 ;
+ wire \u5_mem[2]_49 , \u5_mem[2]_50 , \u5_mem[2]_51 , \u5_mem[2]_52 ,
+ \u5_mem[2]_53 , \u5_mem[2]_54 , \u5_mem[2]_55 , \u5_mem[2]_56 ;
+ wire \u5_mem[2]_57 , \u5_mem[2]_58 , \u5_mem[2]_59 , \u5_mem[3] ,
+ \u5_mem[3]_122 , \u5_mem[3]_123 , \u5_mem[3]_124 ,
+ \u5_mem[3]_125 ;
+ wire \u5_mem[3]_126 , \u5_mem[3]_127 , \u5_mem[3]_128 ,
+ \u5_mem[3]_129 , \u5_mem[3]_130 , \u5_mem[3]_131 ,
+ \u5_mem[3]_132 , \u5_mem[3]_133 ;
+ wire \u5_mem[3]_134 , \u5_mem[3]_135 , \u5_mem[3]_136 ,
+ \u5_mem[3]_137 , \u5_mem[3]_138 , \u5_mem[3]_139 ,
+ \u5_mem[3]_140 , \u5_mem[3]_141 ;
+ wire \u5_mem[3]_142 , \u5_mem[3]_143 , \u5_mem[3]_144 ,
+ \u5_mem[3]_145 , \u5_mem[3]_146 , \u5_mem[3]_147 ,
+ \u5_mem[3]_148 , \u5_mem[3]_149 ;
+ wire \u5_mem[3]_150 , \u5_mem[3]_151 , \u5_mem[3]_152 , \u5_rp[1] ,
+ \u5_rp[2] , \u5_rp[3] , \u5_wp[0] , \u5_wp[1] ;
+ wire \u5_wp[2] , \u6_mem[0] , \u6_mem[0]_91 , \u6_mem[0]_92 ,
+ \u6_mem[0]_93 , \u6_mem[0]_94 , \u6_mem[0]_95 , \u6_mem[0]_96 ;
+ wire \u6_mem[0]_97 , \u6_mem[0]_98 , \u6_mem[0]_99 , \u6_mem[0]_100 ,
+ \u6_mem[0]_101 , \u6_mem[0]_102 , \u6_mem[0]_103 ,
+ \u6_mem[0]_104 ;
+ wire \u6_mem[0]_105 , \u6_mem[0]_106 , \u6_mem[0]_107 ,
+ \u6_mem[0]_108 , \u6_mem[0]_109 , \u6_mem[0]_110 ,
+ \u6_mem[0]_111 , \u6_mem[0]_112 ;
+ wire \u6_mem[0]_113 , \u6_mem[0]_114 , \u6_mem[0]_115 ,
+ \u6_mem[0]_116 , \u6_mem[0]_117 , \u6_mem[0]_118 ,
+ \u6_mem[0]_119 , \u6_mem[0]_120 ;
+ wire \u6_mem[0]_121 , \u6_mem[1] , \u6_mem[1]_60 , \u6_mem[1]_61 ,
+ \u6_mem[1]_62 , \u6_mem[1]_63 , \u6_mem[1]_64 , \u6_mem[1]_65 ;
+ wire \u6_mem[1]_66 , \u6_mem[1]_67 , \u6_mem[1]_68 , \u6_mem[1]_69 ,
+ \u6_mem[1]_70 , \u6_mem[1]_71 , \u6_mem[1]_72 , \u6_mem[1]_73 ;
+ wire \u6_mem[1]_74 , \u6_mem[1]_75 , \u6_mem[1]_76 , \u6_mem[1]_77 ,
+ \u6_mem[1]_78 , \u6_mem[1]_79 , \u6_mem[1]_80 , \u6_mem[1]_81 ;
+ wire \u6_mem[1]_82 , \u6_mem[1]_83 , \u6_mem[1]_84 , \u6_mem[1]_85 ,
+ \u6_mem[1]_86 , \u6_mem[1]_87 , \u6_mem[1]_88 , \u6_mem[1]_89 ;
+ wire \u6_mem[1]_90 , \u6_mem[2] , \u6_mem[2]_29 , \u6_mem[2]_30 ,
+ \u6_mem[2]_31 , \u6_mem[2]_32 , \u6_mem[2]_33 , \u6_mem[2]_34 ;
+ wire \u6_mem[2]_35 , \u6_mem[2]_36 , \u6_mem[2]_37 , \u6_mem[2]_38 ,
+ \u6_mem[2]_39 , \u6_mem[2]_40 , \u6_mem[2]_41 , \u6_mem[2]_42 ;
+ wire \u6_mem[2]_43 , \u6_mem[2]_44 , \u6_mem[2]_45 , \u6_mem[2]_46 ,
+ \u6_mem[2]_47 , \u6_mem[2]_48 , \u6_mem[2]_49 , \u6_mem[2]_50 ;
+ wire \u6_mem[2]_51 , \u6_mem[2]_52 , \u6_mem[2]_53 , \u6_mem[2]_54 ,
+ \u6_mem[2]_55 , \u6_mem[2]_56 , \u6_mem[2]_57 , \u6_mem[2]_58 ;
+ wire \u6_mem[2]_59 , \u6_mem[3] , \u6_mem[3]_122 , \u6_mem[3]_123 ,
+ \u6_mem[3]_124 , \u6_mem[3]_125 , \u6_mem[3]_126 ,
+ \u6_mem[3]_127 ;
+ wire \u6_mem[3]_128 , \u6_mem[3]_129 , \u6_mem[3]_130 ,
+ \u6_mem[3]_131 , \u6_mem[3]_132 , \u6_mem[3]_133 ,
+ \u6_mem[3]_134 , \u6_mem[3]_135 ;
+ wire \u6_mem[3]_136 , \u6_mem[3]_137 , \u6_mem[3]_138 ,
+ \u6_mem[3]_139 , \u6_mem[3]_140 , \u6_mem[3]_141 ,
+ \u6_mem[3]_142 , \u6_mem[3]_143 ;
+ wire \u6_mem[3]_144 , \u6_mem[3]_145 , \u6_mem[3]_146 ,
+ \u6_mem[3]_147 , \u6_mem[3]_148 , \u6_mem[3]_149 ,
+ \u6_mem[3]_150 , \u6_mem[3]_151 ;
+ wire \u6_mem[3]_152 , \u6_rp[0] , \u6_rp[1] , \u6_rp[2] , \u6_rp[3] ,
+ \u6_wp[0] , \u6_wp[1] , \u6_wp[2] ;
+ wire \u7_mem[0] , \u7_mem[0]_91 , \u7_mem[0]_92 , \u7_mem[0]_93 ,
+ \u7_mem[0]_94 , \u7_mem[0]_95 , \u7_mem[0]_96 , \u7_mem[0]_97 ;
+ wire \u7_mem[0]_98 , \u7_mem[0]_99 , \u7_mem[0]_100 , \u7_mem[0]_101
+ , \u7_mem[0]_102 , \u7_mem[0]_103 , \u7_mem[0]_104 ,
+ \u7_mem[0]_105 ;
+ wire \u7_mem[0]_106 , \u7_mem[0]_107 , \u7_mem[0]_108 ,
+ \u7_mem[0]_109 , \u7_mem[0]_110 , \u7_mem[0]_111 ,
+ \u7_mem[0]_112 , \u7_mem[0]_113 ;
+ wire \u7_mem[0]_114 , \u7_mem[0]_115 , \u7_mem[0]_116 ,
+ \u7_mem[0]_117 , \u7_mem[0]_118 , \u7_mem[0]_119 ,
+ \u7_mem[0]_120 , \u7_mem[0]_121 ;
+ wire \u7_mem[1] , \u7_mem[1]_60 , \u7_mem[1]_61 , \u7_mem[1]_62 ,
+ \u7_mem[1]_63 , \u7_mem[1]_64 , \u7_mem[1]_65 , \u7_mem[1]_66 ;
+ wire \u7_mem[1]_67 , \u7_mem[1]_68 , \u7_mem[1]_69 , \u7_mem[1]_70 ,
+ \u7_mem[1]_71 , \u7_mem[1]_72 , \u7_mem[1]_73 , \u7_mem[1]_74 ;
+ wire \u7_mem[1]_75 , \u7_mem[1]_76 , \u7_mem[1]_77 , \u7_mem[1]_78 ,
+ \u7_mem[1]_79 , \u7_mem[1]_80 , \u7_mem[1]_81 , \u7_mem[1]_82 ;
+ wire \u7_mem[1]_83 , \u7_mem[1]_84 , \u7_mem[1]_85 , \u7_mem[1]_86 ,
+ \u7_mem[1]_87 , \u7_mem[1]_88 , \u7_mem[1]_89 , \u7_mem[1]_90 ;
+ wire \u7_mem[2] , \u7_mem[2]_29 , \u7_mem[2]_30 , \u7_mem[2]_31 ,
+ \u7_mem[2]_32 , \u7_mem[2]_33 , \u7_mem[2]_34 , \u7_mem[2]_35 ;
+ wire \u7_mem[2]_36 , \u7_mem[2]_37 , \u7_mem[2]_38 , \u7_mem[2]_39 ,
+ \u7_mem[2]_40 , \u7_mem[2]_41 , \u7_mem[2]_42 , \u7_mem[2]_43 ;
+ wire \u7_mem[2]_44 , \u7_mem[2]_45 , \u7_mem[2]_46 , \u7_mem[2]_47 ,
+ \u7_mem[2]_48 , \u7_mem[2]_49 , \u7_mem[2]_50 , \u7_mem[2]_51 ;
+ wire \u7_mem[2]_52 , \u7_mem[2]_53 , \u7_mem[2]_54 , \u7_mem[2]_55 ,
+ \u7_mem[2]_56 , \u7_mem[2]_57 , \u7_mem[2]_58 , \u7_mem[2]_59 ;
+ wire \u7_mem[3] , \u7_mem[3]_122 , \u7_mem[3]_123 , \u7_mem[3]_124 ,
+ \u7_mem[3]_125 , \u7_mem[3]_126 , \u7_mem[3]_127 ,
+ \u7_mem[3]_128 ;
+ wire \u7_mem[3]_129 , \u7_mem[3]_130 , \u7_mem[3]_131 ,
+ \u7_mem[3]_132 , \u7_mem[3]_133 , \u7_mem[3]_134 ,
+ \u7_mem[3]_135 , \u7_mem[3]_136 ;
+ wire \u7_mem[3]_137 , \u7_mem[3]_138 , \u7_mem[3]_139 ,
+ \u7_mem[3]_140 , \u7_mem[3]_141 , \u7_mem[3]_142 ,
+ \u7_mem[3]_143 , \u7_mem[3]_144 ;
+ wire \u7_mem[3]_145 , \u7_mem[3]_146 , \u7_mem[3]_147 ,
+ \u7_mem[3]_148 , \u7_mem[3]_149 , \u7_mem[3]_150 ,
+ \u7_mem[3]_151 , \u7_mem[3]_152 ;
+ wire \u7_rp[0] , \u7_rp[1] , \u7_rp[2] , \u7_rp[3] , \u7_wp[0] ,
+ \u7_wp[1] , \u7_wp[2] , \u8_mem[0] ;
+ wire \u8_mem[0]_91 , \u8_mem[0]_92 , \u8_mem[0]_93 , \u8_mem[0]_94 ,
+ \u8_mem[0]_95 , \u8_mem[0]_96 , \u8_mem[0]_97 , \u8_mem[0]_98 ;
+ wire \u8_mem[0]_99 , \u8_mem[0]_100 , \u8_mem[0]_101 , \u8_mem[0]_102
+ , \u8_mem[0]_103 , \u8_mem[0]_104 , \u8_mem[0]_105 ,
+ \u8_mem[0]_106 ;
+ wire \u8_mem[0]_107 , \u8_mem[0]_108 , \u8_mem[0]_109 ,
+ \u8_mem[0]_110 , \u8_mem[0]_111 , \u8_mem[0]_112 ,
+ \u8_mem[0]_113 , \u8_mem[0]_114 ;
+ wire \u8_mem[0]_115 , \u8_mem[0]_116 , \u8_mem[0]_117 ,
+ \u8_mem[0]_118 , \u8_mem[0]_119 , \u8_mem[0]_120 ,
+ \u8_mem[0]_121 , \u8_mem[1] ;
+ wire \u8_mem[1]_60 , \u8_mem[1]_61 , \u8_mem[1]_62 , \u8_mem[1]_63 ,
+ \u8_mem[1]_64 , \u8_mem[1]_65 , \u8_mem[1]_66 , \u8_mem[1]_67 ;
+ wire \u8_mem[1]_68 , \u8_mem[1]_69 , \u8_mem[1]_70 , \u8_mem[1]_71 ,
+ \u8_mem[1]_72 , \u8_mem[1]_73 , \u8_mem[1]_74 , \u8_mem[1]_75 ;
+ wire \u8_mem[1]_76 , \u8_mem[1]_77 , \u8_mem[1]_78 , \u8_mem[1]_79 ,
+ \u8_mem[1]_80 , \u8_mem[1]_81 , \u8_mem[1]_82 , \u8_mem[1]_83 ;
+ wire \u8_mem[1]_84 , \u8_mem[1]_85 , \u8_mem[1]_86 , \u8_mem[1]_87 ,
+ \u8_mem[1]_88 , \u8_mem[1]_89 , \u8_mem[1]_90 , \u8_mem[2] ;
+ wire \u8_mem[2]_29 , \u8_mem[2]_30 , \u8_mem[2]_31 , \u8_mem[2]_32 ,
+ \u8_mem[2]_33 , \u8_mem[2]_34 , \u8_mem[2]_35 , \u8_mem[2]_36 ;
+ wire \u8_mem[2]_37 , \u8_mem[2]_38 , \u8_mem[2]_39 , \u8_mem[2]_40 ,
+ \u8_mem[2]_41 , \u8_mem[2]_42 , \u8_mem[2]_43 , \u8_mem[2]_44 ;
+ wire \u8_mem[2]_45 , \u8_mem[2]_46 , \u8_mem[2]_47 , \u8_mem[2]_48 ,
+ \u8_mem[2]_49 , \u8_mem[2]_50 , \u8_mem[2]_51 , \u8_mem[2]_52 ;
+ wire \u8_mem[2]_53 , \u8_mem[2]_54 , \u8_mem[2]_55 , \u8_mem[2]_56 ,
+ \u8_mem[2]_57 , \u8_mem[2]_58 , \u8_mem[2]_59 , \u8_mem[3] ;
+ wire \u8_mem[3]_122 , \u8_mem[3]_123 , \u8_mem[3]_124 ,
+ \u8_mem[3]_125 , \u8_mem[3]_126 , \u8_mem[3]_127 ,
+ \u8_mem[3]_128 , \u8_mem[3]_129 ;
+ wire \u8_mem[3]_130 , \u8_mem[3]_131 , \u8_mem[3]_132 ,
+ \u8_mem[3]_133 , \u8_mem[3]_134 , \u8_mem[3]_135 ,
+ \u8_mem[3]_136 , \u8_mem[3]_137 ;
+ wire \u8_mem[3]_138 , \u8_mem[3]_139 , \u8_mem[3]_140 ,
+ \u8_mem[3]_141 , \u8_mem[3]_142 , \u8_mem[3]_143 ,
+ \u8_mem[3]_144 , \u8_mem[3]_145 ;
+ wire \u8_mem[3]_146 , \u8_mem[3]_147 , \u8_mem[3]_148 ,
+ \u8_mem[3]_149 , \u8_mem[3]_150 , \u8_mem[3]_151 ,
+ \u8_mem[3]_152 , \u8_rp[1] ;
+ wire \u8_rp[2] , \u8_rp[3] , \u8_wp[0] , \u8_wp[1] , \u8_wp[2] ,
+ u9_din_tmp1, u9_din_tmp_42, u9_din_tmp_43;
+ wire u9_din_tmp_44, u9_din_tmp_45, u9_din_tmp_46, u9_din_tmp_47,
+ u9_din_tmp_48, u9_din_tmp_49, u9_din_tmp_50, u9_din_tmp_51;
+ wire u9_din_tmp_52, u9_din_tmp_53, u9_din_tmp_54, u9_din_tmp_55,
+ u9_din_tmp_56, \u9_mem[0] , \u9_mem[0]_150 , \u9_mem[0]_151 ;
+ wire \u9_mem[0]_152 , \u9_mem[0]_153 , \u9_mem[0]_154 ,
+ \u9_mem[0]_155 , \u9_mem[0]_156 , \u9_mem[0]_157 ,
+ \u9_mem[0]_158 , \u9_mem[0]_159 ;
+ wire \u9_mem[0]_160 , \u9_mem[0]_161 , \u9_mem[0]_162 ,
+ \u9_mem[0]_163 , \u9_mem[0]_164 , \u9_mem[0]_165 ,
+ \u9_mem[0]_166 , \u9_mem[0]_167 ;
+ wire \u9_mem[0]_168 , \u9_mem[0]_169 , \u9_mem[0]_170 ,
+ \u9_mem[0]_171 , \u9_mem[0]_172 , \u9_mem[0]_173 ,
+ \u9_mem[0]_174 , \u9_mem[0]_175 ;
+ wire \u9_mem[0]_176 , \u9_mem[0]_177 , \u9_mem[0]_178 ,
+ \u9_mem[0]_179 , \u9_mem[0]_180 , \u9_mem[1] , \u9_mem[1]_119 ,
+ \u9_mem[1]_120 ;
+ wire \u9_mem[1]_121 , \u9_mem[1]_122 , \u9_mem[1]_123 ,
+ \u9_mem[1]_124 , \u9_mem[1]_125 , \u9_mem[1]_126 ,
+ \u9_mem[1]_127 , \u9_mem[1]_128 ;
+ wire \u9_mem[1]_129 , \u9_mem[1]_130 , \u9_mem[1]_131 ,
+ \u9_mem[1]_132 , \u9_mem[1]_133 , \u9_mem[1]_134 ,
+ \u9_mem[1]_135 , \u9_mem[1]_136 ;
+ wire \u9_mem[1]_137 , \u9_mem[1]_138 , \u9_mem[1]_139 ,
+ \u9_mem[1]_140 , \u9_mem[1]_141 , \u9_mem[1]_142 ,
+ \u9_mem[1]_143 , \u9_mem[1]_144 ;
+ wire \u9_mem[1]_145 , \u9_mem[1]_146 , \u9_mem[1]_147 ,
+ \u9_mem[1]_148 , \u9_mem[1]_149 , \u9_mem[2] , \u9_mem[2]_88 ,
+ \u9_mem[2]_89 ;
+ wire \u9_mem[2]_90 , \u9_mem[2]_91 , \u9_mem[2]_92 , \u9_mem[2]_93 ,
+ \u9_mem[2]_94 , \u9_mem[2]_95 , \u9_mem[2]_96 , \u9_mem[2]_97 ;
+ wire \u9_mem[2]_98 , \u9_mem[2]_99 , \u9_mem[2]_100 , \u9_mem[2]_101
+ , \u9_mem[2]_102 , \u9_mem[2]_103 , \u9_mem[2]_104 ,
+ \u9_mem[2]_105 ;
+ wire \u9_mem[2]_106 , \u9_mem[2]_107 , \u9_mem[2]_108 ,
+ \u9_mem[2]_109 , \u9_mem[2]_110 , \u9_mem[2]_111 ,
+ \u9_mem[2]_112 , \u9_mem[2]_113 ;
+ wire \u9_mem[2]_114 , \u9_mem[2]_115 , \u9_mem[2]_116 ,
+ \u9_mem[2]_117 , \u9_mem[2]_118 , \u9_mem[3] , \u9_mem[3]_57 ,
+ \u9_mem[3]_58 ;
+ wire \u9_mem[3]_59 , \u9_mem[3]_60 , \u9_mem[3]_61 , \u9_mem[3]_62 ,
+ \u9_mem[3]_63 , \u9_mem[3]_64 , \u9_mem[3]_65 , \u9_mem[3]_66 ;
+ wire \u9_mem[3]_67 , \u9_mem[3]_68 , \u9_mem[3]_69 , \u9_mem[3]_70 ,
+ \u9_mem[3]_71 , \u9_mem[3]_72 , \u9_mem[3]_73 , \u9_mem[3]_74 ;
+ wire \u9_mem[3]_75 , \u9_mem[3]_76 , \u9_mem[3]_77 , \u9_mem[3]_78 ,
+ \u9_mem[3]_79 , \u9_mem[3]_80 , \u9_mem[3]_81 , \u9_mem[3]_82 ;
+ wire \u9_mem[3]_83 , \u9_mem[3]_84 , \u9_mem[3]_85 , \u9_mem[3]_86 ,
+ \u9_mem[3]_87 , \u9_rp[0] , \u9_rp[1] , \u9_rp[2] ;
+ wire \u9_wp[1] , \u9_wp[2] , \u9_wp[3] , u10_din_tmp1,
+ u10_din_tmp_42, u10_din_tmp_43, u10_din_tmp_44, u10_din_tmp_45;
+ wire u10_din_tmp_46, u10_din_tmp_47, u10_din_tmp_48, u10_din_tmp_49,
+ u10_din_tmp_50, u10_din_tmp_51, u10_din_tmp_52, u10_din_tmp_53;
+ wire u10_din_tmp_54, u10_din_tmp_55, u10_din_tmp_56, \u10_mem[0] ,
+ \u10_mem[0]_150 , \u10_mem[0]_151 , \u10_mem[0]_152 ,
+ \u10_mem[0]_153 ;
+ wire \u10_mem[0]_154 , \u10_mem[0]_155 , \u10_mem[0]_156 ,
+ \u10_mem[0]_157 , \u10_mem[0]_158 , \u10_mem[0]_159 ,
+ \u10_mem[0]_160 , \u10_mem[0]_161 ;
+ wire \u10_mem[0]_162 , \u10_mem[0]_163 , \u10_mem[0]_164 ,
+ \u10_mem[0]_165 , \u10_mem[0]_166 , \u10_mem[0]_167 ,
+ \u10_mem[0]_168 , \u10_mem[0]_169 ;
+ wire \u10_mem[0]_170 , \u10_mem[0]_171 , \u10_mem[0]_172 ,
+ \u10_mem[0]_173 , \u10_mem[0]_174 , \u10_mem[0]_175 ,
+ \u10_mem[0]_176 , \u10_mem[0]_177 ;
+ wire \u10_mem[0]_178 , \u10_mem[0]_179 , \u10_mem[0]_180 ,
+ \u10_mem[1] , \u10_mem[1]_119 , \u10_mem[1]_120 ,
+ \u10_mem[1]_121 , \u10_mem[1]_122 ;
+ wire \u10_mem[1]_123 , \u10_mem[1]_124 , \u10_mem[1]_125 ,
+ \u10_mem[1]_126 , \u10_mem[1]_127 , \u10_mem[1]_128 ,
+ \u10_mem[1]_129 , \u10_mem[1]_130 ;
+ wire \u10_mem[1]_131 , \u10_mem[1]_132 , \u10_mem[1]_133 ,
+ \u10_mem[1]_134 , \u10_mem[1]_135 , \u10_mem[1]_136 ,
+ \u10_mem[1]_137 , \u10_mem[1]_138 ;
+ wire \u10_mem[1]_139 , \u10_mem[1]_140 , \u10_mem[1]_141 ,
+ \u10_mem[1]_142 , \u10_mem[1]_143 , \u10_mem[1]_144 ,
+ \u10_mem[1]_145 , \u10_mem[1]_146 ;
+ wire \u10_mem[1]_147 , \u10_mem[1]_148 , \u10_mem[1]_149 ,
+ \u10_mem[2] , \u10_mem[2]_88 , \u10_mem[2]_89 , \u10_mem[2]_90 ,
+ \u10_mem[2]_91 ;
+ wire \u10_mem[2]_92 , \u10_mem[2]_93 , \u10_mem[2]_94 ,
+ \u10_mem[2]_95 , \u10_mem[2]_96 , \u10_mem[2]_97 ,
+ \u10_mem[2]_98 , \u10_mem[2]_99 ;
+ wire \u10_mem[2]_100 , \u10_mem[2]_101 , \u10_mem[2]_102 ,
+ \u10_mem[2]_103 , \u10_mem[2]_104 , \u10_mem[2]_105 ,
+ \u10_mem[2]_106 , \u10_mem[2]_107 ;
+ wire \u10_mem[2]_108 , \u10_mem[2]_109 , \u10_mem[2]_110 ,
+ \u10_mem[2]_111 , \u10_mem[2]_112 , \u10_mem[2]_113 ,
+ \u10_mem[2]_114 , \u10_mem[2]_115 ;
+ wire \u10_mem[2]_116 , \u10_mem[2]_117 , \u10_mem[2]_118 ,
+ \u10_mem[3] , \u10_mem[3]_57 , \u10_mem[3]_58 , \u10_mem[3]_59 ,
+ \u10_mem[3]_60 ;
+ wire \u10_mem[3]_61 , \u10_mem[3]_62 , \u10_mem[3]_63 ,
+ \u10_mem[3]_64 , \u10_mem[3]_65 , \u10_mem[3]_66 ,
+ \u10_mem[3]_67 , \u10_mem[3]_68 ;
+ wire \u10_mem[3]_69 , \u10_mem[3]_70 , \u10_mem[3]_71 ,
+ \u10_mem[3]_72 , \u10_mem[3]_73 , \u10_mem[3]_74 ,
+ \u10_mem[3]_75 , \u10_mem[3]_76 ;
+ wire \u10_mem[3]_77 , \u10_mem[3]_78 , \u10_mem[3]_79 ,
+ \u10_mem[3]_80 , \u10_mem[3]_81 , \u10_mem[3]_82 ,
+ \u10_mem[3]_83 , \u10_mem[3]_84 ;
+ wire \u10_mem[3]_85 , \u10_mem[3]_86 , \u10_mem[3]_87 , \u10_rp[0] ,
+ \u10_rp[1] , \u10_rp[2] , \u10_wp[1] , \u10_wp[2] ;
+ wire \u10_wp[3] , u11_din_tmp1, u11_din_tmp_42, u11_din_tmp_43,
+ u11_din_tmp_44, u11_din_tmp_45, u11_din_tmp_46, u11_din_tmp_47;
+ wire u11_din_tmp_48, u11_din_tmp_49, u11_din_tmp_50, u11_din_tmp_51,
+ u11_din_tmp_52, u11_din_tmp_53, u11_din_tmp_54, u11_din_tmp_55;
+ wire u11_din_tmp_56, \u11_mem[0] , \u11_mem[0]_150 , \u11_mem[0]_151
+ , \u11_mem[0]_152 , \u11_mem[0]_153 , \u11_mem[0]_154 ,
+ \u11_mem[0]_155 ;
+ wire \u11_mem[0]_156 , \u11_mem[0]_157 , \u11_mem[0]_158 ,
+ \u11_mem[0]_159 , \u11_mem[0]_160 , \u11_mem[0]_161 ,
+ \u11_mem[0]_162 , \u11_mem[0]_163 ;
+ wire \u11_mem[0]_164 , \u11_mem[0]_165 , \u11_mem[0]_166 ,
+ \u11_mem[0]_167 , \u11_mem[0]_168 , \u11_mem[0]_169 ,
+ \u11_mem[0]_170 , \u11_mem[0]_171 ;
+ wire \u11_mem[0]_172 , \u11_mem[0]_173 , \u11_mem[0]_174 ,
+ \u11_mem[0]_175 , \u11_mem[0]_176 , \u11_mem[0]_177 ,
+ \u11_mem[0]_178 , \u11_mem[0]_179 ;
+ wire \u11_mem[0]_180 , \u11_mem[1] , \u11_mem[1]_119 ,
+ \u11_mem[1]_120 , \u11_mem[1]_121 , \u11_mem[1]_122 ,
+ \u11_mem[1]_123 , \u11_mem[1]_124 ;
+ wire \u11_mem[1]_125 , \u11_mem[1]_126 , \u11_mem[1]_127 ,
+ \u11_mem[1]_128 , \u11_mem[1]_129 , \u11_mem[1]_130 ,
+ \u11_mem[1]_131 , \u11_mem[1]_132 ;
+ wire \u11_mem[1]_133 , \u11_mem[1]_134 , \u11_mem[1]_135 ,
+ \u11_mem[1]_136 , \u11_mem[1]_137 , \u11_mem[1]_138 ,
+ \u11_mem[1]_139 , \u11_mem[1]_140 ;
+ wire \u11_mem[1]_141 , \u11_mem[1]_142 , \u11_mem[1]_143 ,
+ \u11_mem[1]_144 , \u11_mem[1]_145 , \u11_mem[1]_146 ,
+ \u11_mem[1]_147 , \u11_mem[1]_148 ;
+ wire \u11_mem[1]_149 , \u11_mem[2] , \u11_mem[2]_88 , \u11_mem[2]_89
+ , \u11_mem[2]_90 , \u11_mem[2]_91 , \u11_mem[2]_92 ,
+ \u11_mem[2]_93 ;
+ wire \u11_mem[2]_94 , \u11_mem[2]_95 , \u11_mem[2]_96 ,
+ \u11_mem[2]_97 , \u11_mem[2]_98 , \u11_mem[2]_99 ,
+ \u11_mem[2]_100 , \u11_mem[2]_101 ;
+ wire \u11_mem[2]_102 , \u11_mem[2]_103 , \u11_mem[2]_104 ,
+ \u11_mem[2]_105 , \u11_mem[2]_106 , \u11_mem[2]_107 ,
+ \u11_mem[2]_108 , \u11_mem[2]_109 ;
+ wire \u11_mem[2]_110 , \u11_mem[2]_111 , \u11_mem[2]_112 ,
+ \u11_mem[2]_113 , \u11_mem[2]_114 , \u11_mem[2]_115 ,
+ \u11_mem[2]_116 , \u11_mem[2]_117 ;
+ wire \u11_mem[2]_118 , \u11_mem[3] , \u11_mem[3]_57 , \u11_mem[3]_58
+ , \u11_mem[3]_59 , \u11_mem[3]_60 , \u11_mem[3]_61 ,
+ \u11_mem[3]_62 ;
+ wire \u11_mem[3]_63 , \u11_mem[3]_64 , \u11_mem[3]_65 ,
+ \u11_mem[3]_66 , \u11_mem[3]_67 , \u11_mem[3]_68 ,
+ \u11_mem[3]_69 , \u11_mem[3]_70 ;
+ wire \u11_mem[3]_71 , \u11_mem[3]_72 , \u11_mem[3]_73 ,
+ \u11_mem[3]_74 , \u11_mem[3]_75 , \u11_mem[3]_76 ,
+ \u11_mem[3]_77 , \u11_mem[3]_78 ;
+ wire \u11_mem[3]_79 , \u11_mem[3]_80 , \u11_mem[3]_81 ,
+ \u11_mem[3]_82 , \u11_mem[3]_83 , \u11_mem[3]_84 ,
+ \u11_mem[3]_85 , \u11_mem[3]_86 ;
+ wire \u11_mem[3]_87 , \u11_rp[0] , \u11_rp[1] , \u11_rp[2] ,
+ \u11_wp[0] , \u11_wp[1] , \u11_wp[2] , \u11_wp[3] ;
+ wire u12_re1, u12_re2, u12_we1, u12_we2, \u13_intm_r[0] ,
+ \u13_intm_r[1] , \u13_intm_r[2] , \u13_intm_r[3] ;
+ wire \u13_intm_r[4] , \u13_intm_r[5] , \u13_intm_r[6] ,
+ \u13_intm_r[7] , \u13_intm_r[8] , \u13_intm_r[9] ,
+ \u13_intm_r[10] , \u13_intm_r[11] ;
+ wire \u13_intm_r[12] , \u13_intm_r[13] , \u13_intm_r[14] ,
+ \u13_intm_r[15] , \u13_intm_r[16] , \u13_intm_r[17] ,
+ \u13_intm_r[18] , \u13_intm_r[19] ;
+ wire \u13_intm_r[20] , \u13_intm_r[21] , \u13_intm_r[22] ,
+ \u13_intm_r[23] , \u13_intm_r[24] , \u13_intm_r[25] ,
+ \u13_intm_r[26] , \u13_intm_r[27] ;
+ wire \u13_intm_r[28] , \u13_ints_r[0] , \u13_ints_r[1] ,
+ \u13_ints_r[2] , \u13_ints_r[3] , \u13_ints_r[4] ,
+ \u13_ints_r[5] , \u13_ints_r[6] ;
+ wire \u13_ints_r[7] , \u13_ints_r[8] , \u13_ints_r[9] ,
+ \u13_ints_r[10] , \u13_ints_r[11] , \u13_ints_r[12] ,
+ \u13_ints_r[13] , \u13_ints_r[14] ;
+ wire \u13_ints_r[15] , \u13_ints_r[16] , \u13_ints_r[17] ,
+ \u13_ints_r[18] , \u13_ints_r[19] , \u13_ints_r[20] ,
+ \u13_ints_r[21] , \u13_ints_r[22] ;
+ wire \u13_ints_r[23] , \u13_ints_r[24] , \u13_ints_r[25] ,
+ \u13_ints_r[26] , \u13_ints_r[27] , \u13_ints_r[28] , u14_n_133,
+ u14_n_134;
+ wire u14_n_135, u14_u0_full_empty_r, u14_u1_en_out_l2,
+ u14_u1_full_empty_r, u14_u2_full_empty_r, u14_u3_full_empty_r,
+ u14_u4_full_empty_r, u14_u5_full_empty_r;
+ wire u14_u6_en_out_l2, u14_u6_full_empty_r, u14_u7_en_out_l2,
+ u14_u7_full_empty_r, u14_u8_en_out_l2, u14_u8_full_empty_r,
+ u15_crac_rd, u15_crac_we_r;
+ wire u15_rdd1, u15_rdd2, u15_rdd3, u15_valid_r, u16_u0_dma_req_r1,
+ u16_u1_dma_req_r1, u16_u2_dma_req_r1, u16_u3_dma_req_r1;
+ wire u16_u4_dma_req_r1, u16_u5_dma_req_r1, u16_u8_dma_req_r1,
+ \u26_cnt[0] , \u26_cnt[1] , \u26_cnt[2] , \u26_ps_cnt[0] ,
+ \u26_ps_cnt[1] ;
+ wire \u26_ps_cnt[3] , \u26_ps_cnt[4] , \u26_ps_cnt[5] , valid,
+ valid_s, valid_s1, wb_din, wb_din_661;
+ wire wb_din_662, wb_din_663, wb_din_664, wb_din_665, wb_din_666,
+ wb_din_667, wb_din_668, wb_din_669;
+ wire wb_din_670, wb_din_671, wb_din_672, wb_din_673, wb_din_674,
+ wb_din_675, wb_din_676, wb_din_677;
+ wire wb_din_678, wb_din_679, wb_din_680, wb_din_681, wb_din_682,
+ wb_din_683, wb_din_684, wb_din_685;
+ wire wb_din_686, wb_din_687, wb_din_688, wb_din_689, wb_din_690,
+ wb_din_691;
+ assign wb_err_o = 1'b0;
+ DFFX1 \u0_slt0_r_reg[15] (.CK (bit_clk_pad_i), .D (n_11438), .Q
+ (sdata_pad_o), .QN ());
+ NAND3X1 g29500(.A (n_11437), .B (n_7043), .C (n_11426), .Y (n_11438));
+ AOI21X1 g29501(.A0 (u0_slt0_r_14), .A1 (n_7042), .B0 (n_7013), .Y
+ (n_11437));
+ DFFX1 \u0_slt0_r_reg[14] (.CK (bit_clk_pad_i), .D (n_11436), .Q
+ (u0_slt0_r_14), .QN ());
+ MX2X1 g29503(.A (out_slt_25), .B (u0_slt0_r_13), .S0 (n_11389), .Y
+ (n_11436));
+ DFFX1 \u0_slt0_r_reg[13] (.CK (bit_clk_pad_i), .D (n_11435), .Q
+ (u0_slt0_r_13), .QN ());
+ MX2X1 g29505(.A (out_slt_24), .B (u0_slt0_r_12), .S0 (n_11389), .Y
+ (n_11435));
+ DFFX1 \u0_slt0_r_reg[12] (.CK (bit_clk_pad_i), .D (n_11434), .Q
+ (u0_slt0_r_12), .QN ());
+ MX2X1 g29507(.A (out_slt_23), .B (u0_slt0_r_11), .S0 (n_11389), .Y
+ (n_11434));
+ DFFX1 \u0_slt0_r_reg[11] (.CK (bit_clk_pad_i), .D (n_11433), .Q
+ (u0_slt0_r_11), .QN ());
+ MX2X1 g29509(.A (out_slt_22), .B (u0_slt0_r_10), .S0 (n_11389), .Y
+ (n_11433));
+ DFFX1 \u0_slt0_r_reg[10] (.CK (bit_clk_pad_i), .D (n_11431), .Q
+ (u0_slt0_r_10), .QN ());
+ AND2X1 g29511(.A (u0_slt0_r_9), .B (n_7042), .Y (n_11431));
+ DFFX1 \u0_slt0_r_reg[9] (.CK (bit_clk_pad_i), .D (n_11430), .Q
+ (u0_slt0_r_9), .QN ());
+ MX2X1 g29513(.A (out_slt_20), .B (u0_slt0_r_8), .S0 (n_11319), .Y
+ (n_11430));
+ DFFX1 \u0_slt0_r_reg[8] (.CK (bit_clk_pad_i), .D (n_11429), .Q
+ (u0_slt0_r_8), .QN ());
+ MX2X1 g29515(.A (out_slt_19), .B (u0_slt0_r_7), .S0 (n_11389), .Y
+ (n_11429));
+ DFFX1 \u0_slt0_r_reg[7] (.CK (bit_clk_pad_i), .D (n_11428), .Q
+ (u0_slt0_r_7), .QN ());
+ MX2X1 g29517(.A (out_slt_18), .B (u0_slt0_r_6), .S0 (n_11389), .Y
+ (n_11428));
+ DFFX1 \u0_slt0_r_reg[6] (.CK (bit_clk_pad_i), .D (n_11427), .Q
+ (u0_slt0_r_6), .QN ());
+ NAND2X1 g29519(.A (n_11425), .B (n_11426), .Y (n_11427));
+ NAND2X1 g29520(.A (u0_slt0_r_5), .B (n_7042), .Y (n_11425));
+ DFFX1 \u0_slt0_r_reg[5] (.CK (bit_clk_pad_i), .D (n_11424), .Q
+ (u0_slt0_r_5), .QN ());
+ AND2X1 g29522(.A (u0_slt0_r_4), .B (n_11395), .Y (n_11424));
+ DFFX1 \u0_slt0_r_reg[4] (.CK (bit_clk_pad_i), .D (n_11423), .Q
+ (u0_slt0_r_4), .QN ());
+ AND2X1 g29524(.A (u0_slt0_r_3), .B (n_7042), .Y (n_11423));
+ DFFX1 \u0_slt0_r_reg[3] (.CK (bit_clk_pad_i), .D (n_11421), .Q
+ (u0_slt0_r_3), .QN ());
+ AND2X1 g29526(.A (u0_slt0_r_2), .B (n_11395), .Y (n_11421));
+ DFFX1 \u0_slt0_r_reg[2] (.CK (bit_clk_pad_i), .D (n_11420), .Q
+ (u0_slt0_r_2), .QN ());
+ AND2X1 g29528(.A (u0_slt0_r_1), .B (n_7042), .Y (n_11420));
+ DFFX1 \u0_slt0_r_reg[1] (.CK (bit_clk_pad_i), .D (n_11419), .Q
+ (u0_slt0_r_1), .QN ());
+ AND2X1 g29530(.A (u0_slt0_r), .B (n_11395), .Y (n_11419));
+ DFFX1 \u0_slt0_r_reg[0] (.CK (bit_clk_pad_i), .D (n_11418), .Q
+ (u0_slt0_r), .QN ());
+ AND2X1 g29532(.A (u0_slt1_r_34), .B (n_11395), .Y (n_11418));
+ DFFX1 \u0_slt1_r_reg[19] (.CK (bit_clk_pad_i), .D (n_11417), .Q
+ (u0_slt1_r_34), .QN ());
+ MX2X1 g29534(.A (crac_out_876), .B (u0_slt1_r_33), .S0 (n_11389), .Y
+ (n_11417));
+ DFFX1 \u0_slt1_r_reg[18] (.CK (bit_clk_pad_i), .D (n_11416), .Q
+ (u0_slt1_r_33), .QN ());
+ MX2X1 g29536(.A (crac_out_867), .B (u0_slt1_r_32), .S0 (n_11389), .Y
+ (n_11416));
+ DFFX1 \u0_slt1_r_reg[17] (.CK (bit_clk_pad_i), .D (n_11414), .Q
+ (u0_slt1_r_32), .QN ());
+ MX2X1 g29538(.A (crac_out_866), .B (u0_slt1_r_31), .S0 (n_11319), .Y
+ (n_11414));
+ DFFX1 \u0_slt1_r_reg[16] (.CK (bit_clk_pad_i), .D (n_11413), .Q
+ (u0_slt1_r_31), .QN ());
+ MX2X1 g29540(.A (crac_out_865), .B (u0_slt1_r_30), .S0 (n_7042), .Y
+ (n_11413));
+ DFFX1 \u0_slt1_r_reg[15] (.CK (bit_clk_pad_i), .D (n_11411), .Q
+ (u0_slt1_r_30), .QN ());
+ MX2X1 g29542(.A (crac_out_864), .B (u0_slt1_r_29), .S0 (n_7042), .Y
+ (n_11411));
+ DFFX1 \u0_slt1_r_reg[14] (.CK (bit_clk_pad_i), .D (n_11410), .Q
+ (u0_slt1_r_29), .QN ());
+ MX2X1 g29544(.A (crac_out_863), .B (u0_slt1_r_28), .S0 (n_7042), .Y
+ (n_11410));
+ DFFX1 \u0_slt1_r_reg[13] (.CK (bit_clk_pad_i), .D (n_11409), .Q
+ (u0_slt1_r_28), .QN ());
+ MX2X1 g29546(.A (crac_out_862), .B (u0_slt1_r_27), .S0 (n_11389), .Y
+ (n_11409));
+ DFFX1 \u0_slt1_r_reg[12] (.CK (bit_clk_pad_i), .D (n_11408), .Q
+ (u0_slt1_r_27), .QN ());
+ MX2X1 g29548(.A (crac_out_861), .B (u0_slt1_r_26), .S0 (n_11389), .Y
+ (n_11408));
+ DFFX1 \u0_slt1_r_reg[11] (.CK (bit_clk_pad_i), .D (n_11406), .Q
+ (u0_slt1_r_26), .QN ());
+ AND2X1 g29550(.A (u0_slt1_r_25), .B (n_11395), .Y (n_11406));
+ DFFX1 \u0_slt1_r_reg[10] (.CK (bit_clk_pad_i), .D (n_11404), .Q
+ (u0_slt1_r_25), .QN ());
+ AND2X1 g29552(.A (u0_slt1_r_24), .B (n_11395), .Y (n_11404));
+ DFFX1 \u0_slt1_r_reg[9] (.CK (bit_clk_pad_i), .D (n_11403), .Q
+ (u0_slt1_r_24), .QN ());
+ AND2X1 g29554(.A (u0_slt1_r_23), .B (n_11395), .Y (n_11403));
+ DFFX1 \u0_slt1_r_reg[8] (.CK (bit_clk_pad_i), .D (n_11401), .Q
+ (u0_slt1_r_23), .QN ());
+ AND2X1 g29556(.A (u0_slt1_r_22), .B (n_7042), .Y (n_11401));
+ DFFX1 \u0_slt1_r_reg[7] (.CK (bit_clk_pad_i), .D (n_11400), .Q
+ (u0_slt1_r_22), .QN ());
+ AND2X1 g29558(.A (u0_slt1_r_21), .B (n_11395), .Y (n_11400));
+ DFFX1 \u0_slt1_r_reg[6] (.CK (bit_clk_pad_i), .D (n_11399), .Q
+ (u0_slt1_r_21), .QN ());
+ AND2X1 g29560(.A (u0_slt1_r_20), .B (n_11395), .Y (n_11399));
+ DFFX1 \u0_slt1_r_reg[5] (.CK (bit_clk_pad_i), .D (n_11397), .Q
+ (u0_slt1_r_20), .QN ());
+ AND2X1 g29562(.A (u0_slt1_r_19), .B (n_11395), .Y (n_11397));
+ DFFX1 \u0_slt1_r_reg[4] (.CK (bit_clk_pad_i), .D (n_11396), .Q
+ (u0_slt1_r_19), .QN ());
+ AND2X1 g29564(.A (u0_slt1_r_18), .B (n_11395), .Y (n_11396));
+ DFFX1 \u0_slt1_r_reg[3] (.CK (bit_clk_pad_i), .D (n_11394), .Q
+ (u0_slt1_r_18), .QN ());
+ AND2X1 g29566(.A (u0_slt1_r_17), .B (n_11395), .Y (n_11394));
+ DFFX1 \u0_slt1_r_reg[2] (.CK (bit_clk_pad_i), .D (n_11393), .Q
+ (u0_slt1_r_17), .QN ());
+ AND2X1 g29568(.A (u0_slt1_r_16), .B (n_11395), .Y (n_11393));
+ DFFX1 \u0_slt1_r_reg[1] (.CK (bit_clk_pad_i), .D (n_11392), .Q
+ (u0_slt1_r_16), .QN ());
+ AND2X1 g29570(.A (u0_slt1_r), .B (n_11395), .Y (n_11392));
+ DFFX1 \u0_slt1_r_reg[0] (.CK (bit_clk_pad_i), .D (n_11391), .Q
+ (u0_slt1_r), .QN ());
+ AND2X1 g29572(.A (u0_slt2_r_53), .B (n_11395), .Y (n_11391));
+ DFFX1 \u0_slt2_r_reg[19] (.CK (bit_clk_pad_i), .D (n_11390), .Q
+ (u0_slt2_r_53), .QN ());
+ MX2X1 g29574(.A (n_170), .B (u0_slt2_r_52), .S0 (n_11389), .Y
+ (n_11390));
+ DFFX1 \u0_slt2_r_reg[18] (.CK (bit_clk_pad_i), .D (n_11388), .Q
+ (u0_slt2_r_52), .QN ());
+ MX2X1 g29576(.A (n_177), .B (u0_slt2_r_51), .S0 (n_11319), .Y
+ (n_11388));
+ DFFX1 \u0_slt2_r_reg[17] (.CK (bit_clk_pad_i), .D (n_11387), .Q
+ (u0_slt2_r_51), .QN ());
+ MX2X1 g29578(.A (n_179), .B (u0_slt2_r_50), .S0 (n_11389), .Y
+ (n_11387));
+ DFFX1 \u0_slt2_r_reg[16] (.CK (bit_clk_pad_i), .D (n_11385), .Q
+ (u0_slt2_r_50), .QN ());
+ MX2X1 g29580(.A (n_338), .B (u0_slt2_r_49), .S0 (n_6710), .Y
+ (n_11385));
+ DFFX1 \u0_slt2_r_reg[15] (.CK (bit_clk_pad_i), .D (n_11384), .Q
+ (u0_slt2_r_49), .QN ());
+ MX2X1 g29582(.A (n_138), .B (u0_slt2_r_48), .S0 (n_11319), .Y
+ (n_11384));
+ DFFX1 \u0_slt2_r_reg[14] (.CK (bit_clk_pad_i), .D (n_11383), .Q
+ (u0_slt2_r_48), .QN ());
+ MX2X1 g29584(.A (n_56), .B (u0_slt2_r_47), .S0 (n_11319), .Y
+ (n_11383));
+ DFFX1 \u0_slt2_r_reg[13] (.CK (bit_clk_pad_i), .D (n_11381), .Q
+ (u0_slt2_r_47), .QN ());
+ MX2X1 g29586(.A (n_112), .B (u0_slt2_r_46), .S0 (n_11319), .Y
+ (n_11381));
+ DFFX1 \u0_slt2_r_reg[12] (.CK (bit_clk_pad_i), .D (n_11379), .Q
+ (u0_slt2_r_46), .QN ());
+ MX2X1 g29588(.A (n_231), .B (u0_slt2_r_45), .S0 (n_11319), .Y
+ (n_11379));
+ DFFX1 \u0_slt2_r_reg[11] (.CK (bit_clk_pad_i), .D (n_11378), .Q
+ (u0_slt2_r_45), .QN ());
+ MX2X1 g29590(.A (n_357), .B (u0_slt2_r_44), .S0 (n_11395), .Y
+ (n_11378));
+ DFFX1 \u0_slt2_r_reg[10] (.CK (bit_clk_pad_i), .D (n_11377), .Q
+ (u0_slt2_r_44), .QN ());
+ MX2X1 g29592(.A (n_149), .B (u0_slt2_r_43), .S0 (n_11389), .Y
+ (n_11377));
+ DFFX1 \u0_slt2_r_reg[9] (.CK (bit_clk_pad_i), .D (n_11376), .Q
+ (u0_slt2_r_43), .QN ());
+ MX2X1 g29594(.A (n_311), .B (u0_slt2_r_42), .S0 (n_11319), .Y
+ (n_11376));
+ DFFX1 \u0_slt2_r_reg[8] (.CK (bit_clk_pad_i), .D (n_11375), .Q
+ (u0_slt2_r_42), .QN ());
+ MX2X1 g29596(.A (n_130), .B (u0_slt2_r_41), .S0 (n_11319), .Y
+ (n_11375));
+ DFFX1 \u0_slt2_r_reg[7] (.CK (bit_clk_pad_i), .D (n_11373), .Q
+ (u0_slt2_r_41), .QN ());
+ MX2X1 g29598(.A (n_71), .B (u0_slt2_r_40), .S0 (n_11319), .Y
+ (n_11373));
+ DFFX1 \u0_slt2_r_reg[6] (.CK (bit_clk_pad_i), .D (n_11372), .Q
+ (u0_slt2_r_40), .QN ());
+ MX2X1 g29600(.A (n_306), .B (u0_slt2_r_39), .S0 (n_11319), .Y
+ (n_11372));
+ DFFX1 \u0_slt2_r_reg[5] (.CK (bit_clk_pad_i), .D (n_11371), .Q
+ (u0_slt2_r_39), .QN ());
+ MX2X1 g29602(.A (n_227), .B (u0_slt2_r_38), .S0 (n_11319), .Y
+ (n_11371));
+ DFFX1 \u0_slt2_r_reg[4] (.CK (bit_clk_pad_i), .D (n_11370), .Q
+ (u0_slt2_r_38), .QN ());
+ MX2X1 g29604(.A (n_392), .B (u0_slt2_r_37), .S0 (n_11319), .Y
+ (n_11370));
+ DFFX1 \u0_slt2_r_reg[3] (.CK (bit_clk_pad_i), .D (n_11368), .Q
+ (u0_slt2_r_37), .QN ());
+ AND2X1 g29606(.A (u0_slt2_r_36), .B (n_11395), .Y (n_11368));
+ DFFX1 \u0_slt2_r_reg[2] (.CK (bit_clk_pad_i), .D (n_11366), .Q
+ (u0_slt2_r_36), .QN ());
+ AND2X1 g29608(.A (u0_slt2_r_35), .B (n_7042), .Y (n_11366));
+ DFFX1 \u0_slt2_r_reg[1] (.CK (bit_clk_pad_i), .D (n_11365), .Q
+ (u0_slt2_r_35), .QN ());
+ AND2X1 g29610(.A (u0_slt2_r), .B (n_7042), .Y (n_11365));
+ DFFX1 \u0_slt2_r_reg[0] (.CK (bit_clk_pad_i), .D (n_11364), .Q
+ (u0_slt2_r), .QN ());
+ AND2X1 g29612(.A (u0_slt3_r_72), .B (n_11395), .Y (n_11364));
+ DFFX1 \u0_slt3_r_reg[19] (.CK (bit_clk_pad_i), .D (n_11363), .Q
+ (u0_slt3_r_72), .QN ());
+ MX2X1 g29614(.A (n_329), .B (u0_slt3_r_71), .S0 (n_11389), .Y
+ (n_11363));
+ DFFX1 \u0_slt3_r_reg[18] (.CK (bit_clk_pad_i), .D (n_11361), .Q
+ (u0_slt3_r_71), .QN ());
+ MX2X1 g29616(.A (n_16), .B (u0_slt3_r_70), .S0 (n_11389), .Y
+ (n_11361));
+ DFFX1 \u0_slt3_r_reg[17] (.CK (bit_clk_pad_i), .D (n_11360), .Q
+ (u0_slt3_r_70), .QN ());
+ MX2X1 g29618(.A (n_109), .B (u0_slt3_r_69), .S0 (n_11319), .Y
+ (n_11360));
+ DFFX1 \u0_slt3_r_reg[16] (.CK (bit_clk_pad_i), .D (n_11358), .Q
+ (u0_slt3_r_69), .QN ());
+ MX2X1 g29620(.A (n_281), .B (u0_slt3_r_68), .S0 (n_11395), .Y
+ (n_11358));
+ DFFX1 \u0_slt3_r_reg[15] (.CK (bit_clk_pad_i), .D (n_11356), .Q
+ (u0_slt3_r_68), .QN ());
+ MX2X1 g29622(.A (n_282), .B (u0_slt3_r_67), .S0 (n_11395), .Y
+ (n_11356));
+ DFFX1 \u0_slt3_r_reg[14] (.CK (bit_clk_pad_i), .D (n_11355), .Q
+ (u0_slt3_r_67), .QN ());
+ MX2X1 g29624(.A (n_267), .B (u0_slt3_r_66), .S0 (n_7042), .Y
+ (n_11355));
+ DFFX1 \u0_slt3_r_reg[13] (.CK (bit_clk_pad_i), .D (n_11354), .Q
+ (u0_slt3_r_66), .QN ());
+ MX2X1 g29626(.A (n_195), .B (u0_slt3_r_65), .S0 (n_7042), .Y
+ (n_11354));
+ DFFX1 \u0_slt3_r_reg[12] (.CK (bit_clk_pad_i), .D (n_11353), .Q
+ (u0_slt3_r_65), .QN ());
+ MX2X1 g29628(.A (n_157), .B (u0_slt3_r_64), .S0 (n_11319), .Y
+ (n_11353));
+ DFFX1 \u0_slt3_r_reg[11] (.CK (bit_clk_pad_i), .D (n_11352), .Q
+ (u0_slt3_r_64), .QN ());
+ MX2X1 g29630(.A (n_398), .B (u0_slt3_r_63), .S0 (n_11395), .Y
+ (n_11352));
+ DFFX1 \u0_slt3_r_reg[10] (.CK (bit_clk_pad_i), .D (n_11351), .Q
+ (u0_slt3_r_63), .QN ());
+ MX2X1 g29632(.A (n_389), .B (u0_slt3_r_62), .S0 (n_11395), .Y
+ (n_11351));
+ DFFX1 \u0_slt3_r_reg[9] (.CK (bit_clk_pad_i), .D (n_11349), .Q
+ (u0_slt3_r_62), .QN ());
+ MX2X1 g29634(.A (n_341), .B (u0_slt3_r_61), .S0 (n_6710), .Y
+ (n_11349));
+ DFFX1 \u0_slt3_r_reg[8] (.CK (bit_clk_pad_i), .D (n_11348), .Q
+ (u0_slt3_r_61), .QN ());
+ MX2X1 g29636(.A (n_82), .B (u0_slt3_r_60), .S0 (n_11319), .Y
+ (n_11348));
+ DFFX1 \u0_slt3_r_reg[7] (.CK (bit_clk_pad_i), .D (n_11347), .Q
+ (u0_slt3_r_60), .QN ());
+ MX2X1 g29638(.A (n_230), .B (u0_slt3_r_59), .S0 (n_11319), .Y
+ (n_11347));
+ DFFX1 \u0_slt3_r_reg[6] (.CK (bit_clk_pad_i), .D (n_11346), .Q
+ (u0_slt3_r_59), .QN ());
+ MX2X1 g29640(.A (n_211), .B (u0_slt3_r_58), .S0 (n_11319), .Y
+ (n_11346));
+ DFFX1 \u0_slt3_r_reg[5] (.CK (bit_clk_pad_i), .D (n_11344), .Q
+ (u0_slt3_r_58), .QN ());
+ MX2X1 g29642(.A (n_200), .B (u0_slt3_r_57), .S0 (n_11319), .Y
+ (n_11344));
+ DFFX1 \u0_slt3_r_reg[4] (.CK (bit_clk_pad_i), .D (n_11342), .Q
+ (u0_slt3_r_57), .QN ());
+ MX2X1 g29644(.A (n_348), .B (u0_slt3_r_56), .S0 (n_11389), .Y
+ (n_11342));
+ DFFX1 \u0_slt3_r_reg[3] (.CK (bit_clk_pad_i), .D (n_11341), .Q
+ (u0_slt3_r_56), .QN ());
+ MX2X1 g29646(.A (n_10976), .B (u0_slt3_r_55), .S0 (n_11389), .Y
+ (n_11341));
+ DFFX1 \u0_slt3_r_reg[2] (.CK (bit_clk_pad_i), .D (n_11339), .Q
+ (u0_slt3_r_55), .QN ());
+ MX2X1 g29648(.A (n_10978), .B (u0_slt3_r_54), .S0 (n_11389), .Y
+ (n_11339));
+ DFFX1 \u0_slt3_r_reg[1] (.CK (bit_clk_pad_i), .D (n_11338), .Q
+ (u0_slt3_r_54), .QN ());
+ MX2X1 g29650(.A (n_220), .B (u0_slt3_r), .S0 (n_11319), .Y (n_11338));
+ DFFX1 \u0_slt3_r_reg[0] (.CK (bit_clk_pad_i), .D (n_11336), .Q
+ (u0_slt3_r), .QN ());
+ MX2X1 g29652(.A (n_251), .B (u0_slt4_r_91), .S0 (n_11319), .Y
+ (n_11336));
+ DFFX1 \u0_slt4_r_reg[19] (.CK (bit_clk_pad_i), .D (n_11334), .Q
+ (u0_slt4_r_91), .QN ());
+ MX2X1 g29654(.A (n_361), .B (u0_slt4_r_90), .S0 (n_11389), .Y
+ (n_11334));
+ DFFX1 \u0_slt4_r_reg[18] (.CK (bit_clk_pad_i), .D (n_11333), .Q
+ (u0_slt4_r_90), .QN ());
+ MX2X1 g29656(.A (n_295), .B (u0_slt4_r_89), .S0 (n_6710), .Y
+ (n_11333));
+ DFFX1 \u0_slt4_r_reg[17] (.CK (bit_clk_pad_i), .D (n_11332), .Q
+ (u0_slt4_r_89), .QN ());
+ MX2X1 g29658(.A (n_396), .B (u0_slt4_r_88), .S0 (n_6710), .Y
+ (n_11332));
+ DFFX1 \u0_slt4_r_reg[16] (.CK (bit_clk_pad_i), .D (n_11330), .Q
+ (u0_slt4_r_88), .QN ());
+ MX2X1 g29660(.A (n_248), .B (u0_slt4_r_87), .S0 (n_11319), .Y
+ (n_11330));
+ DFFX1 \u0_slt4_r_reg[15] (.CK (bit_clk_pad_i), .D (n_11329), .Q
+ (u0_slt4_r_87), .QN ());
+ MX2X1 g29662(.A (n_243), .B (u0_slt4_r_86), .S0 (n_11319), .Y
+ (n_11329));
+ DFFX1 \u0_slt4_r_reg[14] (.CK (bit_clk_pad_i), .D (n_11328), .Q
+ (u0_slt4_r_86), .QN ());
+ MX2X1 g29664(.A (n_103), .B (u0_slt4_r_85), .S0 (n_6710), .Y
+ (n_11328));
+ DFFX1 \u0_slt4_r_reg[13] (.CK (bit_clk_pad_i), .D (n_11327), .Q
+ (u0_slt4_r_85), .QN ());
+ MX2X1 g29666(.A (n_330), .B (u0_slt4_r_84), .S0 (n_11319), .Y
+ (n_11327));
+ DFFX1 \u0_slt4_r_reg[12] (.CK (bit_clk_pad_i), .D (n_11326), .Q
+ (u0_slt4_r_84), .QN ());
+ MX2X1 g29668(.A (n_332), .B (u0_slt4_r_83), .S0 (n_7042), .Y
+ (n_11326));
+ DFFX1 \u0_slt4_r_reg[11] (.CK (bit_clk_pad_i), .D (n_11324), .Q
+ (u0_slt4_r_83), .QN ());
+ MX2X1 g29670(.A (n_321), .B (u0_slt4_r_82), .S0 (n_11389), .Y
+ (n_11324));
+ DFFX1 \u0_slt4_r_reg[10] (.CK (bit_clk_pad_i), .D (n_11323), .Q
+ (u0_slt4_r_82), .QN ());
+ MX2X1 g29672(.A (n_320), .B (u0_slt4_r_81), .S0 (n_6710), .Y
+ (n_11323));
+ DFFX1 \u0_slt4_r_reg[9] (.CK (bit_clk_pad_i), .D (n_11321), .Q
+ (u0_slt4_r_81), .QN ());
+ MX2X1 g29674(.A (n_394), .B (u0_slt4_r_80), .S0 (n_11319), .Y
+ (n_11321));
+ DFFX1 \u0_slt4_r_reg[8] (.CK (bit_clk_pad_i), .D (n_11320), .Q
+ (u0_slt4_r_80), .QN ());
+ MX2X1 g29676(.A (n_391), .B (u0_slt4_r_79), .S0 (n_11319), .Y
+ (n_11320));
+ DFFX1 \u0_slt4_r_reg[7] (.CK (bit_clk_pad_i), .D (n_11318), .Q
+ (u0_slt4_r_79), .QN ());
+ MX2X1 g29678(.A (n_351), .B (u0_slt4_r_78), .S0 (n_7042), .Y
+ (n_11318));
+ DFFX1 \u0_slt4_r_reg[6] (.CK (bit_clk_pad_i), .D (n_11317), .Q
+ (u0_slt4_r_78), .QN ());
+ MX2X1 g29680(.A (n_370), .B (u0_slt4_r_77), .S0 (n_11389), .Y
+ (n_11317));
+ DFFX1 \u0_slt4_r_reg[5] (.CK (bit_clk_pad_i), .D (n_11315), .Q
+ (u0_slt4_r_77), .QN ());
+ MX2X1 g29682(.A (n_380), .B (u0_slt4_r_76), .S0 (n_7042), .Y
+ (n_11315));
+ DFFX1 \u0_slt4_r_reg[4] (.CK (bit_clk_pad_i), .D (n_11314), .Q
+ (u0_slt4_r_76), .QN ());
+ MX2X1 g29684(.A (n_373), .B (u0_slt4_r_75), .S0 (n_11319), .Y
+ (n_11314));
+ DFFX1 \u0_slt4_r_reg[3] (.CK (bit_clk_pad_i), .D (n_11313), .Q
+ (u0_slt4_r_75), .QN ());
+ MX2X1 g29686(.A (n_10788), .B (u0_slt4_r_74), .S0 (n_11389), .Y
+ (n_11313));
+ DFFX1 \u0_slt4_r_reg[2] (.CK (bit_clk_pad_i), .D (n_11312), .Q
+ (u0_slt4_r_74), .QN ());
+ MX2X1 g29688(.A (n_10790), .B (u0_slt4_r_73), .S0 (n_6710), .Y
+ (n_11312));
+ DFFX1 \u0_slt4_r_reg[1] (.CK (bit_clk_pad_i), .D (n_11311), .Q
+ (u0_slt4_r_73), .QN ());
+ MX2X1 g29690(.A (n_333), .B (u0_slt4_r), .S0 (n_7042), .Y (n_11311));
+ DFFX1 \u0_slt4_r_reg[0] (.CK (bit_clk_pad_i), .D (n_11310), .Q
+ (u0_slt4_r), .QN ());
+ MX2X1 g29692(.A (n_397), .B (u0_slt5_r_110), .S0 (n_7042), .Y
+ (n_11310));
+ DFFX1 \u0_slt5_r_reg[19] (.CK (bit_clk_pad_i), .D (n_11308), .Q
+ (u0_slt5_r_110), .QN ());
+ AND2X1 g29694(.A (u0_slt5_r_109), .B (n_11395), .Y (n_11308));
+ DFFX1 \u0_slt5_r_reg[18] (.CK (bit_clk_pad_i), .D (n_11307), .Q
+ (u0_slt5_r_109), .QN ());
+ AND2X1 g29696(.A (u0_slt5_r_108), .B (n_11395), .Y (n_11307));
+ DFFX1 \u0_slt5_r_reg[17] (.CK (bit_clk_pad_i), .D (n_11306), .Q
+ (u0_slt5_r_108), .QN ());
+ AND2X1 g29698(.A (u0_slt5_r_107), .B (n_11395), .Y (n_11306));
+ DFFX1 \u0_slt5_r_reg[16] (.CK (bit_clk_pad_i), .D (n_11305), .Q
+ (u0_slt5_r_107), .QN ());
+ AND2X1 g29700(.A (u0_slt5_r_106), .B (n_11395), .Y (n_11305));
+ DFFX1 \u0_slt5_r_reg[15] (.CK (bit_clk_pad_i), .D (n_11304), .Q
+ (u0_slt5_r_106), .QN ());
+ AND2X1 g29702(.A (u0_slt5_r_105), .B (n_11395), .Y (n_11304));
+ DFFX1 \u0_slt5_r_reg[14] (.CK (bit_clk_pad_i), .D (n_11303), .Q
+ (u0_slt5_r_105), .QN ());
+ AND2X1 g29704(.A (u0_slt5_r_104), .B (n_11395), .Y (n_11303));
+ DFFX1 \u0_slt5_r_reg[13] (.CK (bit_clk_pad_i), .D (n_11302), .Q
+ (u0_slt5_r_104), .QN ());
+ AND2X1 g29706(.A (u0_slt5_r_103), .B (n_11395), .Y (n_11302));
+ DFFX1 \u0_slt5_r_reg[12] (.CK (bit_clk_pad_i), .D (n_11301), .Q
+ (u0_slt5_r_103), .QN ());
+ AND2X1 g29708(.A (u0_slt5_r_102), .B (n_11395), .Y (n_11301));
+ DFFX1 \u0_slt5_r_reg[11] (.CK (bit_clk_pad_i), .D (n_11300), .Q
+ (u0_slt5_r_102), .QN ());
+ AND2X1 g29710(.A (u0_slt5_r_101), .B (n_11395), .Y (n_11300));
+ DFFX1 \u0_slt5_r_reg[10] (.CK (bit_clk_pad_i), .D (n_11299), .Q
+ (u0_slt5_r_101), .QN ());
+ AND2X1 g29712(.A (u0_slt5_r_100), .B (n_11395), .Y (n_11299));
+ DFFX1 \u0_slt5_r_reg[9] (.CK (bit_clk_pad_i), .D (n_11298), .Q
+ (u0_slt5_r_100), .QN ());
+ AND2X1 g29714(.A (u0_slt5_r_99), .B (n_11395), .Y (n_11298));
+ DFFX1 \u0_slt5_r_reg[8] (.CK (bit_clk_pad_i), .D (n_11297), .Q
+ (u0_slt5_r_99), .QN ());
+ AND2X1 g29716(.A (u0_slt5_r_98), .B (n_7042), .Y (n_11297));
+ DFFX1 \u0_slt5_r_reg[7] (.CK (bit_clk_pad_i), .D (n_11296), .Q
+ (u0_slt5_r_98), .QN ());
+ AND2X1 g29718(.A (u0_slt5_r_97), .B (n_7042), .Y (n_11296));
+ DFFX1 \u0_slt5_r_reg[6] (.CK (bit_clk_pad_i), .D (n_11295), .Q
+ (u0_slt5_r_97), .QN ());
+ AND2X1 g29720(.A (u0_slt5_r_96), .B (n_11395), .Y (n_11295));
+ DFFX1 \u0_slt5_r_reg[5] (.CK (bit_clk_pad_i), .D (n_11294), .Q
+ (u0_slt5_r_96), .QN ());
+ AND2X1 g29722(.A (u0_slt5_r_95), .B (n_11395), .Y (n_11294));
+ DFFX1 \u0_slt5_r_reg[4] (.CK (bit_clk_pad_i), .D (n_11293), .Q
+ (u0_slt5_r_95), .QN ());
+ AND2X1 g29724(.A (u0_slt5_r_94), .B (n_11395), .Y (n_11293));
+ DFFX1 \u0_slt5_r_reg[3] (.CK (bit_clk_pad_i), .D (n_11292), .Q
+ (u0_slt5_r_94), .QN ());
+ AND2X1 g29726(.A (u0_slt5_r_93), .B (n_11395), .Y (n_11292));
+ DFFX1 \u0_slt5_r_reg[2] (.CK (bit_clk_pad_i), .D (n_11291), .Q
+ (u0_slt5_r_93), .QN ());
+ AND2X1 g29728(.A (u0_slt5_r_92), .B (n_11395), .Y (n_11291));
+ DFFX1 \u0_slt5_r_reg[1] (.CK (bit_clk_pad_i), .D (n_11290), .Q
+ (u0_slt5_r_92), .QN ());
+ AND2X1 g29730(.A (u0_slt5_r), .B (n_11395), .Y (n_11290));
+ DFFX1 \u0_slt5_r_reg[0] (.CK (bit_clk_pad_i), .D (n_11289), .Q
+ (u0_slt5_r), .QN ());
+ AND2X1 g29732(.A (u0_slt6_r_129), .B (n_11395), .Y (n_11289));
+ DFFX1 \u0_slt6_r_reg[19] (.CK (bit_clk_pad_i), .D (n_11288), .Q
+ (u0_slt6_r_129), .QN ());
+ MX2X1 g29734(.A (n_102), .B (u0_slt6_r_128), .S0 (n_11319), .Y
+ (n_11288));
+ DFFX1 \u0_slt6_r_reg[18] (.CK (bit_clk_pad_i), .D (n_11287), .Q
+ (u0_slt6_r_128), .QN ());
+ MX2X1 g29736(.A (n_117), .B (u0_slt6_r_127), .S0 (n_11389), .Y
+ (n_11287));
+ DFFX1 \u0_slt6_r_reg[17] (.CK (bit_clk_pad_i), .D (n_11286), .Q
+ (u0_slt6_r_127), .QN ());
+ MX2X1 g29738(.A (n_114), .B (u0_slt6_r_126), .S0 (n_11319), .Y
+ (n_11286));
+ DFFX1 \u0_slt6_r_reg[16] (.CK (bit_clk_pad_i), .D (n_11284), .Q
+ (u0_slt6_r_126), .QN ());
+ MX2X1 g29740(.A (n_113), .B (u0_slt6_r_125), .S0 (n_11319), .Y
+ (n_11284));
+ DFFX1 \u0_slt6_r_reg[15] (.CK (bit_clk_pad_i), .D (n_11283), .Q
+ (u0_slt6_r_125), .QN ());
+ MX2X1 g29742(.A (n_101), .B (u0_slt6_r_124), .S0 (n_11389), .Y
+ (n_11283));
+ DFFX1 \u0_slt6_r_reg[14] (.CK (bit_clk_pad_i), .D (n_11281), .Q
+ (u0_slt6_r_124), .QN ());
+ MX2X1 g29744(.A (n_261), .B (u0_slt6_r_123), .S0 (n_7042), .Y
+ (n_11281));
+ DFFX1 \u0_slt6_r_reg[13] (.CK (bit_clk_pad_i), .D (n_11280), .Q
+ (u0_slt6_r_123), .QN ());
+ MX2X1 g29746(.A (n_300), .B (u0_slt6_r_122), .S0 (n_11319), .Y
+ (n_11280));
+ DFFX1 \u0_slt6_r_reg[12] (.CK (bit_clk_pad_i), .D (n_11279), .Q
+ (u0_slt6_r_122), .QN ());
+ MX2X1 g29748(.A (n_84), .B (u0_slt6_r_121), .S0 (n_11319), .Y
+ (n_11279));
+ DFFX1 \u0_slt6_r_reg[11] (.CK (bit_clk_pad_i), .D (n_11278), .Q
+ (u0_slt6_r_121), .QN ());
+ MX2X1 g29750(.A (n_287), .B (u0_slt6_r_120), .S0 (n_11389), .Y
+ (n_11278));
+ DFFX1 \u0_slt6_r_reg[10] (.CK (bit_clk_pad_i), .D (n_11276), .Q
+ (u0_slt6_r_120), .QN ());
+ MX2X1 g29752(.A (n_290), .B (u0_slt6_r_119), .S0 (n_11319), .Y
+ (n_11276));
+ DFFX1 \u0_slt6_r_reg[9] (.CK (bit_clk_pad_i), .D (n_11274), .Q
+ (u0_slt6_r_119), .QN ());
+ MX2X1 g29754(.A (n_264), .B (u0_slt6_r_118), .S0 (n_6710), .Y
+ (n_11274));
+ DFFX1 \u0_slt6_r_reg[8] (.CK (bit_clk_pad_i), .D (n_11273), .Q
+ (u0_slt6_r_118), .QN ());
+ MX2X1 g29756(.A (n_266), .B (u0_slt6_r_117), .S0 (n_11319), .Y
+ (n_11273));
+ DFFX1 \u0_slt6_r_reg[7] (.CK (bit_clk_pad_i), .D (n_11272), .Q
+ (u0_slt6_r_117), .QN ());
+ MX2X1 g29758(.A (n_166), .B (u0_slt6_r_116), .S0 (n_6710), .Y
+ (n_11272));
+ DFFX1 \u0_slt6_r_reg[6] (.CK (bit_clk_pad_i), .D (n_11270), .Q
+ (u0_slt6_r_116), .QN ());
+ MX2X1 g29760(.A (n_234), .B (u0_slt6_r_115), .S0 (n_11319), .Y
+ (n_11270));
+ DFFX1 \u0_slt6_r_reg[5] (.CK (bit_clk_pad_i), .D (n_11269), .Q
+ (u0_slt6_r_115), .QN ());
+ MX2X1 g29762(.A (n_262), .B (u0_slt6_r_114), .S0 (n_11319), .Y
+ (n_11269));
+ DFFX1 \u0_slt6_r_reg[4] (.CK (bit_clk_pad_i), .D (n_11268), .Q
+ (u0_slt6_r_114), .QN ());
+ MX2X1 g29764(.A (n_304), .B (u0_slt6_r_113), .S0 (n_11389), .Y
+ (n_11268));
+ DFFX1 \u0_slt6_r_reg[3] (.CK (bit_clk_pad_i), .D (n_11267), .Q
+ (u0_slt6_r_113), .QN ());
+ MX2X1 g29766(.A (n_10783), .B (u0_slt6_r_112), .S0 (n_11389), .Y
+ (n_11267));
+ DFFX1 \u0_slt6_r_reg[2] (.CK (bit_clk_pad_i), .D (n_11266), .Q
+ (u0_slt6_r_112), .QN ());
+ MX2X1 g29768(.A (n_10785), .B (u0_slt6_r_111), .S0 (n_11319), .Y
+ (n_11266));
+ DFFX1 \u0_slt6_r_reg[1] (.CK (bit_clk_pad_i), .D (n_11264), .Q
+ (u0_slt6_r_111), .QN ());
+ MX2X1 g29770(.A (n_21), .B (u0_slt6_r), .S0 (n_11319), .Y (n_11264));
+ DFFX1 \u0_slt6_r_reg[0] (.CK (bit_clk_pad_i), .D (n_11263), .Q
+ (u0_slt6_r), .QN ());
+ MX2X1 g29772(.A (n_223), .B (u0_slt7_r_148), .S0 (n_11389), .Y
+ (n_11263));
+ DFFX1 \u0_slt7_r_reg[19] (.CK (bit_clk_pad_i), .D (n_11262), .Q
+ (u0_slt7_r_148), .QN ());
+ MX2X1 g29774(.A (n_299), .B (u0_slt7_r_147), .S0 (n_11389), .Y
+ (n_11262));
+ DFFX1 \u0_slt7_r_reg[18] (.CK (bit_clk_pad_i), .D (n_11260), .Q
+ (u0_slt7_r_147), .QN ());
+ MX2X1 g29776(.A (n_399), .B (u0_slt7_r_146), .S0 (n_11319), .Y
+ (n_11260));
+ DFFX1 \u0_slt7_r_reg[17] (.CK (bit_clk_pad_i), .D (n_11258), .Q
+ (u0_slt7_r_146), .QN ());
+ MX2X1 g29778(.A (n_163), .B (u0_slt7_r_145), .S0 (n_11319), .Y
+ (n_11258));
+ DFFX1 \u0_slt7_r_reg[16] (.CK (bit_clk_pad_i), .D (n_11257), .Q
+ (u0_slt7_r_145), .QN ());
+ MX2X1 g29780(.A (n_254), .B (u0_slt7_r_144), .S0 (n_11389), .Y
+ (n_11257));
+ DFFX1 \u0_slt7_r_reg[15] (.CK (bit_clk_pad_i), .D (n_11256), .Q
+ (u0_slt7_r_144), .QN ());
+ MX2X1 g29782(.A (n_134), .B (u0_slt7_r_143), .S0 (n_11389), .Y
+ (n_11256));
+ DFFX1 \u0_slt7_r_reg[14] (.CK (bit_clk_pad_i), .D (n_11255), .Q
+ (u0_slt7_r_143), .QN ());
+ MX2X1 g29784(.A (n_186), .B (u0_slt7_r_142), .S0 (n_11319), .Y
+ (n_11255));
+ DFFX1 \u0_slt7_r_reg[13] (.CK (bit_clk_pad_i), .D (n_11254), .Q
+ (u0_slt7_r_142), .QN ());
+ MX2X1 g29786(.A (n_259), .B (u0_slt7_r_141), .S0 (n_11319), .Y
+ (n_11254));
+ DFFX1 \u0_slt7_r_reg[12] (.CK (bit_clk_pad_i), .D (n_11253), .Q
+ (u0_slt7_r_141), .QN ());
+ MX2X1 g29788(.A (n_401), .B (u0_slt7_r_140), .S0 (n_11389), .Y
+ (n_11253));
+ DFFX1 \u0_slt7_r_reg[11] (.CK (bit_clk_pad_i), .D (n_11252), .Q
+ (u0_slt7_r_140), .QN ());
+ MX2X1 g29790(.A (n_229), .B (u0_slt7_r_139), .S0 (n_11389), .Y
+ (n_11252));
+ DFFX1 \u0_slt7_r_reg[10] (.CK (bit_clk_pad_i), .D (n_11251), .Q
+ (u0_slt7_r_139), .QN ());
+ MX2X1 g29792(.A (n_387), .B (u0_slt7_r_138), .S0 (n_11319), .Y
+ (n_11251));
+ DFFX1 \u0_slt7_r_reg[9] (.CK (bit_clk_pad_i), .D (n_11250), .Q
+ (u0_slt7_r_138), .QN ());
+ MX2X1 g29794(.A (n_375), .B (u0_slt7_r_137), .S0 (n_11389), .Y
+ (n_11250));
+ DFFX1 \u0_slt7_r_reg[8] (.CK (bit_clk_pad_i), .D (n_11249), .Q
+ (u0_slt7_r_137), .QN ());
+ MX2X1 g29796(.A (n_265), .B (u0_slt7_r_136), .S0 (n_11319), .Y
+ (n_11249));
+ DFFX1 \u0_slt7_r_reg[7] (.CK (bit_clk_pad_i), .D (n_11248), .Q
+ (u0_slt7_r_136), .QN ());
+ MX2X1 g29798(.A (n_363), .B (u0_slt7_r_135), .S0 (n_11319), .Y
+ (n_11248));
+ DFFX1 \u0_slt7_r_reg[6] (.CK (bit_clk_pad_i), .D (n_11247), .Q
+ (u0_slt7_r_135), .QN ());
+ MX2X1 g29800(.A (n_217), .B (u0_slt7_r_134), .S0 (n_11319), .Y
+ (n_11247));
+ DFFX1 \u0_slt7_r_reg[5] (.CK (bit_clk_pad_i), .D (n_11246), .Q
+ (u0_slt7_r_134), .QN ());
+ MX2X1 g29802(.A (n_201), .B (u0_slt7_r_133), .S0 (n_6710), .Y
+ (n_11246));
+ DFFX1 \u0_slt7_r_reg[4] (.CK (bit_clk_pad_i), .D (n_11245), .Q
+ (u0_slt7_r_133), .QN ());
+ MX2X1 g29804(.A (n_335), .B (u0_slt7_r_132), .S0 (n_11389), .Y
+ (n_11245));
+ DFFX1 \u0_slt7_r_reg[3] (.CK (bit_clk_pad_i), .D (n_11244), .Q
+ (u0_slt7_r_132), .QN ());
+ MX2X1 g29806(.A (n_10988), .B (u0_slt7_r_131), .S0 (n_11389), .Y
+ (n_11244));
+ DFFX1 \u0_slt7_r_reg[2] (.CK (bit_clk_pad_i), .D (n_11243), .Q
+ (u0_slt7_r_131), .QN ());
+ MX2X1 g29808(.A (n_10990), .B (u0_slt7_r_130), .S0 (n_11395), .Y
+ (n_11243));
+ DFFX1 \u0_slt7_r_reg[1] (.CK (bit_clk_pad_i), .D (n_11242), .Q
+ (u0_slt7_r_130), .QN ());
+ MX2X1 g29810(.A (n_22), .B (u0_slt7_r), .S0 (n_11389), .Y (n_11242));
+ DFFX1 \u0_slt7_r_reg[0] (.CK (bit_clk_pad_i), .D (n_11241), .Q
+ (u0_slt7_r), .QN ());
+ MX2X1 g29812(.A (n_184), .B (u0_slt8_r_167), .S0 (n_11319), .Y
+ (n_11241));
+ DFFX1 \u0_slt8_r_reg[19] (.CK (bit_clk_pad_i), .D (n_11240), .Q
+ (u0_slt8_r_167), .QN ());
+ MX2X1 g29814(.A (n_328), .B (u0_slt8_r_166), .S0 (n_11319), .Y
+ (n_11240));
+ DFFX1 \u0_slt8_r_reg[18] (.CK (bit_clk_pad_i), .D (n_11239), .Q
+ (u0_slt8_r_166), .QN ());
+ MX2X1 g29816(.A (n_86), .B (u0_slt8_r_165), .S0 (n_11395), .Y
+ (n_11239));
+ DFFX1 \u0_slt8_r_reg[17] (.CK (bit_clk_pad_i), .D (n_11238), .Q
+ (u0_slt8_r_165), .QN ());
+ MX2X1 g29818(.A (n_240), .B (u0_slt8_r_164), .S0 (n_6710), .Y
+ (n_11238));
+ DFFX1 \u0_slt8_r_reg[16] (.CK (bit_clk_pad_i), .D (n_11237), .Q
+ (u0_slt8_r_164), .QN ());
+ MX2X1 g29820(.A (n_79), .B (u0_slt8_r_163), .S0 (n_11319), .Y
+ (n_11237));
+ DFFX1 \u0_slt8_r_reg[15] (.CK (bit_clk_pad_i), .D (n_11236), .Q
+ (u0_slt8_r_163), .QN ());
+ MX2X1 g29822(.A (n_237), .B (u0_slt8_r_162), .S0 (n_11395), .Y
+ (n_11236));
+ DFFX1 \u0_slt8_r_reg[14] (.CK (bit_clk_pad_i), .D (n_11235), .Q
+ (u0_slt8_r_162), .QN ());
+ MX2X1 g29824(.A (n_228), .B (u0_slt8_r_161), .S0 (n_11319), .Y
+ (n_11235));
+ DFFX1 \u0_slt8_r_reg[13] (.CK (bit_clk_pad_i), .D (n_11234), .Q
+ (u0_slt8_r_161), .QN ());
+ MX2X1 g29826(.A (n_76), .B (u0_slt8_r_160), .S0 (n_6710), .Y
+ (n_11234));
+ DFFX1 \u0_slt8_r_reg[12] (.CK (bit_clk_pad_i), .D (n_11233), .Q
+ (u0_slt8_r_160), .QN ());
+ MX2X1 g29828(.A (n_212), .B (u0_slt8_r_159), .S0 (n_11319), .Y
+ (n_11233));
+ DFFX1 \u0_slt8_r_reg[11] (.CK (bit_clk_pad_i), .D (n_11232), .Q
+ (u0_slt8_r_159), .QN ());
+ MX2X1 g29830(.A (n_181), .B (u0_slt8_r_158), .S0 (n_11319), .Y
+ (n_11232));
+ DFFX1 \u0_slt8_r_reg[10] (.CK (bit_clk_pad_i), .D (n_11231), .Q
+ (u0_slt8_r_158), .QN ());
+ MX2X1 g29832(.A (n_167), .B (u0_slt8_r_157), .S0 (n_11389), .Y
+ (n_11231));
+ DFFX1 \u0_slt8_r_reg[9] (.CK (bit_clk_pad_i), .D (n_11230), .Q
+ (u0_slt8_r_157), .QN ());
+ MX2X1 g29834(.A (n_384), .B (u0_slt8_r_156), .S0 (n_11319), .Y
+ (n_11230));
+ DFFX1 \u0_slt8_r_reg[8] (.CK (bit_clk_pad_i), .D (n_11228), .Q
+ (u0_slt8_r_156), .QN ());
+ MX2X1 g29836(.A (n_376), .B (u0_slt8_r_155), .S0 (n_11389), .Y
+ (n_11228));
+ DFFX1 \u0_slt8_r_reg[7] (.CK (bit_clk_pad_i), .D (n_11227), .Q
+ (u0_slt8_r_155), .QN ());
+ MX2X1 g29838(.A (n_362), .B (u0_slt8_r_154), .S0 (n_11319), .Y
+ (n_11227));
+ DFFX1 \u0_slt8_r_reg[6] (.CK (bit_clk_pad_i), .D (n_11225), .Q
+ (u0_slt8_r_154), .QN ());
+ MX2X1 g29840(.A (n_352), .B (u0_slt8_r_153), .S0 (n_11389), .Y
+ (n_11225));
+ DFFX1 \u0_slt8_r_reg[5] (.CK (bit_clk_pad_i), .D (n_11224), .Q
+ (u0_slt8_r_153), .QN ());
+ MX2X1 g29842(.A (n_280), .B (u0_slt8_r_152), .S0 (n_11389), .Y
+ (n_11224));
+ DFFX1 \u0_slt8_r_reg[4] (.CK (bit_clk_pad_i), .D (n_11223), .Q
+ (u0_slt8_r_152), .QN ());
+ MX2X1 g29844(.A (n_182), .B (u0_slt8_r_151), .S0 (n_11389), .Y
+ (n_11223));
+ DFFX1 \u0_slt8_r_reg[3] (.CK (bit_clk_pad_i), .D (n_11221), .Q
+ (u0_slt8_r_151), .QN ());
+ MX2X1 g29846(.A (n_10981), .B (u0_slt8_r_150), .S0 (n_11319), .Y
+ (n_11221));
+ DFFX1 \u0_slt8_r_reg[2] (.CK (bit_clk_pad_i), .D (n_11220), .Q
+ (u0_slt8_r_150), .QN ());
+ MX2X1 g29848(.A (n_10983), .B (u0_slt8_r_149), .S0 (n_11319), .Y
+ (n_11220));
+ DFFX1 \u0_slt8_r_reg[1] (.CK (bit_clk_pad_i), .D (n_11219), .Q
+ (u0_slt8_r_149), .QN ());
+ MX2X1 g29850(.A (n_57), .B (u0_slt8_r), .S0 (n_11389), .Y (n_11219));
+ DFFX1 \u0_slt8_r_reg[0] (.CK (bit_clk_pad_i), .D (n_11218), .Q
+ (u0_slt8_r), .QN ());
+ MX2X1 g29852(.A (n_273), .B (u0_slt9_r_186), .S0 (n_11389), .Y
+ (n_11218));
+ DFFX1 \u0_slt9_r_reg[19] (.CK (bit_clk_pad_i), .D (n_11217), .Q
+ (u0_slt9_r_186), .QN ());
+ MX2X1 g29854(.A (n_383), .B (u0_slt9_r_185), .S0 (n_11319), .Y
+ (n_11217));
+ DFFX1 \u0_slt9_r_reg[18] (.CK (bit_clk_pad_i), .D (n_11216), .Q
+ (u0_slt9_r_185), .QN ());
+ MX2X1 g29856(.A (n_19), .B (u0_slt9_r_184), .S0 (n_11319), .Y
+ (n_11216));
+ DFFX1 \u0_slt9_r_reg[17] (.CK (bit_clk_pad_i), .D (n_11215), .Q
+ (u0_slt9_r_184), .QN ());
+ MX2X1 g29858(.A (n_150), .B (u0_slt9_r_183), .S0 (n_7042), .Y
+ (n_11215));
+ DFFX1 \u0_slt9_r_reg[16] (.CK (bit_clk_pad_i), .D (n_11214), .Q
+ (u0_slt9_r_183), .QN ());
+ MX2X1 g29860(.A (n_208), .B (u0_slt9_r_182), .S0 (n_11395), .Y
+ (n_11214));
+ DFFX1 \u0_slt9_r_reg[15] (.CK (bit_clk_pad_i), .D (n_11213), .Q
+ (u0_slt9_r_182), .QN ());
+ MX2X1 g29862(.A (n_297), .B (u0_slt9_r_181), .S0 (n_11319), .Y
+ (n_11213));
+ DFFX1 \u0_slt9_r_reg[14] (.CK (bit_clk_pad_i), .D (n_11212), .Q
+ (u0_slt9_r_181), .QN ());
+ MX2X1 g29864(.A (n_263), .B (u0_slt9_r_180), .S0 (n_11389), .Y
+ (n_11212));
+ DFFX1 \u0_slt9_r_reg[13] (.CK (bit_clk_pad_i), .D (n_11211), .Q
+ (u0_slt9_r_180), .QN ());
+ MX2X1 g29866(.A (n_203), .B (u0_slt9_r_179), .S0 (n_11389), .Y
+ (n_11211));
+ DFFX1 \u0_slt9_r_reg[12] (.CK (bit_clk_pad_i), .D (n_11210), .Q
+ (u0_slt9_r_179), .QN ());
+ MX2X1 g29868(.A (n_152), .B (u0_slt9_r_178), .S0 (n_7042), .Y
+ (n_11210));
+ DFFX1 \u0_slt9_r_reg[11] (.CK (bit_clk_pad_i), .D (n_11209), .Q
+ (u0_slt9_r_178), .QN ());
+ MX2X1 g29870(.A (n_302), .B (u0_slt9_r_177), .S0 (n_6710), .Y
+ (n_11209));
+ DFFX1 \u0_slt9_r_reg[10] (.CK (bit_clk_pad_i), .D (n_11207), .Q
+ (u0_slt9_r_177), .QN ());
+ MX2X1 g29872(.A (n_308), .B (u0_slt9_r_176), .S0 (n_6710), .Y
+ (n_11207));
+ DFFX1 \u0_slt9_r_reg[9] (.CK (bit_clk_pad_i), .D (n_11206), .Q
+ (u0_slt9_r_176), .QN ());
+ MX2X1 g29874(.A (n_183), .B (u0_slt9_r_175), .S0 (n_11389), .Y
+ (n_11206));
+ DFFX1 \u0_slt9_r_reg[8] (.CK (bit_clk_pad_i), .D (n_11205), .Q
+ (u0_slt9_r_175), .QN ());
+ MX2X1 g29876(.A (n_160), .B (u0_slt9_r_174), .S0 (n_11389), .Y
+ (n_11205));
+ DFFX1 \u0_slt9_r_reg[7] (.CK (bit_clk_pad_i), .D (n_11204), .Q
+ (u0_slt9_r_174), .QN ());
+ MX2X1 g29878(.A (n_191), .B (u0_slt9_r_173), .S0 (n_6710), .Y
+ (n_11204));
+ DFFX1 \u0_slt9_r_reg[6] (.CK (bit_clk_pad_i), .D (n_11203), .Q
+ (u0_slt9_r_173), .QN ());
+ DFFX1 \u1_slt2_reg[19] (.CK (bit_clk_pad_i), .D (n_11202), .Q
+ (in_slt_845), .QN ());
+ DFFX1 \u1_slt3_reg[19] (.CK (bit_clk_pad_i), .D (n_11201), .Q
+ (in_slt_415), .QN ());
+ DFFX1 \u1_slt4_reg[19] (.CK (bit_clk_pad_i), .D (n_11200), .Q
+ (in_slt_437), .QN ());
+ DFFX1 \u1_slt6_reg[19] (.CK (bit_clk_pad_i), .D (n_11199), .Q
+ (in_slt_459), .QN ());
+ MX2X1 g29880(.A (n_372), .B (u0_slt9_r_172), .S0 (n_6710), .Y
+ (n_11203));
+ MX2X1 g29896(.A (u1_sr_135), .B (in_slt_845), .S0 (out_le_181), .Y
+ (n_11202));
+ MX2X1 g29897(.A (u1_sr_135), .B (in_slt_415), .S0 (out_le_182), .Y
+ (n_11201));
+ MX2X1 g29898(.A (u1_sr_135), .B (in_slt_437), .S0 (out_le_183), .Y
+ (n_11200));
+ MX2X1 g29899(.A (u1_sr_135), .B (in_slt_459), .S0 (out_le_184), .Y
+ (n_11199));
+ DFFX1 \u1_slt2_reg[18] (.CK (bit_clk_pad_i), .D (n_11198), .Q
+ (in_slt_844), .QN ());
+ DFFX1 \u1_slt3_reg[18] (.CK (bit_clk_pad_i), .D (n_11197), .Q
+ (in_slt_414), .QN ());
+ DFFX1 \u1_slt4_reg[18] (.CK (bit_clk_pad_i), .D (n_11196), .Q
+ (in_slt_436), .QN ());
+ DFFX1 \u1_slt6_reg[18] (.CK (bit_clk_pad_i), .D (n_11195), .Q
+ (in_slt_458), .QN ());
+ DFFSRX1 u16_u1_dma_req_reg(.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_11194), .Q (dma_req_o[1]), .QN ());
+ DFFSRX1 u16_u3_dma_req_reg(.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_11193), .Q (dma_req_o[3]), .QN ());
+ DFFX1 \u0_slt9_r_reg[5] (.CK (bit_clk_pad_i), .D (n_11188), .Q
+ (u0_slt9_r_172), .QN ());
+ DFFSRX1 u16_u0_dma_req_reg(.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_11192), .Q (dma_req_o[0]), .QN ());
+ DFFSRX1 u16_u2_dma_req_reg(.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_11191), .Q (dma_req_o[2]), .QN ());
+ DFFSRX1 u16_u4_dma_req_reg(.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_11190), .Q (dma_req_o[4]), .QN ());
+ DFFSRX1 u16_u5_dma_req_reg(.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_11189), .Q (dma_req_o[5]), .QN ());
+ DFFX1 \u1_slt2_reg[17] (.CK (bit_clk_pad_i), .D (n_11187), .Q
+ (in_slt_843), .QN ());
+ DFFX1 \u1_slt3_reg[17] (.CK (bit_clk_pad_i), .D (n_11186), .Q
+ (in_slt_413), .QN ());
+ DFFX1 \u1_slt4_reg[17] (.CK (bit_clk_pad_i), .D (n_11185), .Q
+ (in_slt_435), .QN ());
+ DFFX1 \u1_slt6_reg[17] (.CK (bit_clk_pad_i), .D (n_11184), .Q
+ (in_slt_457), .QN ());
+ MX2X1 g29910(.A (u1_sr_134), .B (in_slt_844), .S0 (out_le_181), .Y
+ (n_11198));
+ MX2X1 g29911(.A (u1_sr_134), .B (in_slt_414), .S0 (out_le_182), .Y
+ (n_11197));
+ MX2X1 g29912(.A (u1_sr_134), .B (in_slt_436), .S0 (out_le_183), .Y
+ (n_11196));
+ MX2X1 g29913(.A (u1_sr_134), .B (in_slt_458), .S0 (out_le_184), .Y
+ (n_11195));
+ OAI21X1 g29905(.A0 (dma_ack_i[1]), .A1 (n_278), .B0 (n_11183), .Y
+ (n_11194));
+ OAI21X1 g29907(.A0 (dma_ack_i[3]), .A1 (n_118), .B0 (n_11182), .Y
+ (n_11193));
+ DFFX1 \u1_sr_reg[19] (.CK (bit_clk_pad_i), .D (u1_sr_134), .Q
+ (u1_sr_135), .QN ());
+ DFFX1 \u1_slt2_reg[16] (.CK (bit_clk_pad_i), .D (n_11169), .Q
+ (in_slt_842), .QN ());
+ DFFX1 \u1_slt3_reg[16] (.CK (bit_clk_pad_i), .D (n_11168), .Q
+ (in_slt_412), .QN ());
+ DFFX1 \u1_slt4_reg[16] (.CK (bit_clk_pad_i), .D (n_11167), .Q
+ (in_slt_434), .QN ());
+ DFFX1 \u1_slt6_reg[16] (.CK (bit_clk_pad_i), .D (n_11166), .Q
+ (in_slt_456), .QN ());
+ DFFSRX1 \u4_rp_reg[2] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_11165), .Q (\u4_rp[2] ), .QN ());
+ DFFSRX1 \u5_rp_reg[2] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_11164), .Q (\u5_rp[2] ), .QN ());
+ DFFSRX1 \u8_rp_reg[2] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_11181), .Q (\u8_rp[2] ), .QN ());
+ DFFSRX1 \u3_rp_reg[2] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_11180), .Q (\u3_rp[2] ), .QN ());
+ DFFSRX1 \u6_rp_reg[2] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_11179), .Q (\u6_rp[2] ), .QN ());
+ DFFSRX1 \u7_rp_reg[2] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_11178), .Q (\u7_rp[2] ), .QN ());
+ DFFSRX1 \u8_rp_reg[3] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_12592), .Q (\u8_rp[3] ), .QN ());
+ DFFSRX1 \u3_rp_reg[3] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_11176), .Q (\u3_rp[3] ), .QN ());
+ DFFSRX1 \u6_rp_reg[3] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_11175), .Q (\u6_rp[3] ), .QN ());
+ DFFSRX1 \u7_rp_reg[3] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_11174), .Q (\u7_rp[3] ), .QN ());
+ OAI21X1 g29904(.A0 (dma_ack_i[0]), .A1 (n_271), .B0 (n_11173), .Y
+ (n_11192));
+ OAI21X1 g29906(.A0 (dma_ack_i[2]), .A1 (n_105), .B0 (n_11172), .Y
+ (n_11191));
+ OAI21X1 g29908(.A0 (dma_ack_i[4]), .A1 (n_268), .B0 (n_11171), .Y
+ (n_11190));
+ OAI21X1 g29909(.A0 (dma_ack_i[5]), .A1 (n_284), .B0 (n_11170), .Y
+ (n_11189));
+ MX2X1 g29914(.A (n_359), .B (u0_slt9_r_171), .S0 (n_11319), .Y
+ (n_11188));
+ MX2X1 g29932(.A (u1_sr_133), .B (in_slt_843), .S0 (out_le_181), .Y
+ (n_11187));
+ MX2X1 g29933(.A (u1_sr_133), .B (in_slt_413), .S0 (out_le_182), .Y
+ (n_11186));
+ MX2X1 g29934(.A (u1_sr_133), .B (in_slt_435), .S0 (out_le_183), .Y
+ (n_11185));
+ MX2X1 g29935(.A (u1_sr_133), .B (in_slt_457), .S0 (out_le_184), .Y
+ (n_11184));
+ DFFSRX1 \u8_rp_reg[1] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_11163), .Q (\u8_rp[1] ), .QN ());
+ DFFSRX1 \u3_rp_reg[1] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_11162), .Q (\u3_rp[1] ), .QN ());
+ DFFSRX1 \u7_rp_reg[1] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_11160), .Q (\u7_rp[1] ), .QN ());
+ DFFSRX1 \u6_rp_reg[1] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_11161), .Q (\u6_rp[1] ), .QN ());
+ NAND2X1 g29927(.A (n_11159), .B (u16_u1_dma_req_r1), .Y (n_11183));
+ NAND2X1 g29929(.A (n_11158), .B (u16_u3_dma_req_r1), .Y (n_11182));
+ DFFX1 \u1_sr_reg[18] (.CK (bit_clk_pad_i), .D (u1_sr_133), .Q
+ (u1_sr_134), .QN ());
+ NOR2X1 g30045(.A (n_12585), .B (n_11526), .Y (n_11181));
+ NOR2X1 g30046(.A (n_11142), .B (n_5839), .Y (n_11180));
+ NOR2X1 g30047(.A (n_1870), .B (n_11520), .Y (n_11179));
+ NOR2X1 g30048(.A (n_5825), .B (n_11522), .Y (n_11178));
+ NOR2X1 g30062(.A (n_11043), .B (n_11505), .Y (n_11176));
+ NOR2X1 g30068(.A (n_1870), .B (n_11518), .Y (n_11175));
+ NOR2X1 g30069(.A (n_11144), .B (n_11516), .Y (n_11174));
+ DFFSRX1 \u13_ints_r_reg[11] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_11121), .Q (\u13_ints_r[11] ), .QN ());
+ DFFSRX1 \u13_ints_r_reg[5] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_11120), .Q (\u13_ints_r[5] ), .QN ());
+ DFFX1 \u1_slt3_reg[15] (.CK (bit_clk_pad_i), .D (n_11116), .Q
+ (in_slt_411), .QN ());
+ DFFX1 \u1_slt0_reg[15] (.CK (bit_clk_pad_i), .D (n_11118), .Q
+ (in_slt_742), .QN ());
+ DFFX1 \u1_slt6_reg[15] (.CK (bit_clk_pad_i), .D (n_11114), .Q
+ (in_slt_455), .QN ());
+ DFFX1 \u1_slt2_reg[15] (.CK (bit_clk_pad_i), .D (n_11117), .Q
+ (in_slt_841), .QN ());
+ DFFX1 \u1_slt4_reg[15] (.CK (bit_clk_pad_i), .D (n_11115), .Q
+ (in_slt_433), .QN ());
+ DFFSRX1 \u4_rp_reg[1] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_11153), .Q (\u4_rp[1] ), .QN ());
+ DFFSRX1 \u4_rp_reg[3] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_11157), .Q (\u4_rp[3] ), .QN ());
+ DFFSRX1 \u5_rp_reg[1] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_11152), .Q (\u5_rp[1] ), .QN ());
+ DFFSRX1 \u5_rp_reg[3] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_11155), .Q (\u5_rp[3] ), .QN ());
+ DFFX1 \u6_dout_reg[2] (.CK (clk_i), .D (n_11149), .Q (), .QN
+ (out_slt_123));
+ DFFX1 \u6_dout_reg[3] (.CK (clk_i), .D (n_11148), .Q (), .QN
+ (out_slt_124));
+ DFFX1 \u7_dout_reg[2] (.CK (clk_i), .D (n_11146), .Q (), .QN
+ (out_slt_142));
+ DFFX1 \u7_dout_reg[3] (.CK (clk_i), .D (n_11145), .Q (), .QN
+ (out_slt_143));
+ DFFX1 \u3_dout_reg[2] (.CK (clk_i), .D (n_11135), .Q (), .QN
+ (out_slt_66));
+ DFFX1 \u3_dout_reg[3] (.CK (clk_i), .D (n_11134), .Q (), .QN
+ (out_slt_67));
+ DFFX1 \u8_dout_reg[2] (.CK (clk_i), .D (n_11130), .Q (), .QN
+ (out_slt_161));
+ DFFX1 \u8_dout_reg[3] (.CK (clk_i), .D (n_11129), .Q (), .QN
+ (out_slt_162));
+ NAND2X1 g29926(.A (n_11125), .B (u16_u0_dma_req_r1), .Y (n_11173));
+ NAND2X1 g29928(.A (n_11124), .B (u16_u2_dma_req_r1), .Y (n_11172));
+ NAND2X1 g29930(.A (n_11123), .B (u16_u4_dma_req_r1), .Y (n_11171));
+ NAND2X1 g29931(.A (n_11122), .B (u16_u5_dma_req_r1), .Y (n_11170));
+ MX2X1 g29958(.A (u1_sr_132), .B (in_slt_842), .S0 (out_le_181), .Y
+ (n_11169));
+ MX2X1 g29959(.A (u1_sr_132), .B (in_slt_412), .S0 (out_le_182), .Y
+ (n_11168));
+ MX2X1 g29960(.A (u1_sr_132), .B (in_slt_434), .S0 (out_le_183), .Y
+ (n_11167));
+ MX2X1 g29961(.A (u1_sr_132), .B (in_slt_456), .S0 (out_le_184), .Y
+ (n_11166));
+ AND2X1 g30020(.A (n_11151), .B (n_991), .Y (n_11165));
+ AND2X1 g30021(.A (n_11150), .B (n_9833), .Y (n_11164));
+ AOI21X1 g30070(.A0 (n_11512), .A1 (n_11513), .B0 (n_12585), .Y
+ (n_11163));
+ AOI21X1 g30071(.A0 (n_10397), .A1 (n_11002), .B0 (n_5839), .Y
+ (n_11162));
+ AOI21X1 g30074(.A0 (n_11510), .A1 (n_11511), .B0 (n_1870), .Y
+ (n_11161));
+ AOI21X1 g30075(.A0 (n_11508), .A1 (n_11509), .B0 (n_11144), .Y
+ (n_11160));
+ DFFSRX1 \u13_ints_r_reg[14] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_11015), .Q (\u13_ints_r[14] ), .QN ());
+ DFFSRX1 \u13_ints_r_reg[17] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_11014), .Q (\u13_ints_r[17] ), .QN ());
+ DFFSRX1 \u13_ints_r_reg[2] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_11012), .Q (\u13_ints_r[2] ), .QN ());
+ DFFSRX1 \u13_ints_r_reg[8] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_11011), .Q (\u13_ints_r[8] ), .QN ());
+ DFFX1 \u6_dout_reg[0] (.CK (clk_i), .D (n_11111), .Q (), .QN
+ (out_slt7));
+ DFFX1 \u6_dout_reg[1] (.CK (clk_i), .D (n_11110), .Q (), .QN
+ (out_slt_122));
+ DFFX1 \u7_dout_reg[0] (.CK (clk_i), .D (n_11107), .Q (), .QN
+ (out_slt8));
+ DFFX1 \u7_dout_reg[1] (.CK (clk_i), .D (n_11106), .Q (), .QN
+ (out_slt_141));
+ DFFX1 \u3_dout_reg[0] (.CK (clk_i), .D (n_11103), .Q (), .QN
+ (out_slt3));
+ DFFX1 \u8_dout_reg[0] (.CK (clk_i), .D (n_11102), .Q (), .QN
+ (out_slt9));
+ DFFX1 \u3_dout_reg[1] (.CK (clk_i), .D (n_11101), .Q (), .QN
+ (out_slt_65));
+ DFFX1 \u8_dout_reg[1] (.CK (clk_i), .D (n_11098), .Q (), .QN
+ (out_slt_160));
+ DFFX1 \u8_rp_reg[0] (.CK (clk_i), .D (n_11009), .Q (n_610), .QN ());
+ DFFX1 \u3_rp_reg[0] (.CK (clk_i), .D (n_11008), .Q (\u3_rp[0] ), .QN
+ ());
+ DFFX1 \u6_rp_reg[0] (.CK (clk_i), .D (n_11113), .Q (\u6_rp[0] ), .QN
+ ());
+ DFFX1 \u7_rp_reg[0] (.CK (clk_i), .D (n_11112), .Q (\u7_rp[0] ), .QN
+ ());
+ DFFX1 \u6_dout_reg[12] (.CK (clk_i), .D (n_11093), .Q (), .QN
+ (out_slt_133));
+ DFFX1 \u6_dout_reg[13] (.CK (clk_i), .D (n_11092), .Q (), .QN
+ (out_slt_134));
+ DFFX1 \u6_dout_reg[14] (.CK (clk_i), .D (n_11091), .Q (), .QN
+ (out_slt_135));
+ DFFX1 \u6_dout_reg[15] (.CK (clk_i), .D (n_11089), .Q (), .QN
+ (out_slt_136));
+ DFFX1 \u6_dout_reg[10] (.CK (clk_i), .D (n_11095), .Q (), .QN
+ (out_slt_131));
+ DFFX1 \u6_dout_reg[11] (.CK (clk_i), .D (n_11094), .Q (), .QN
+ (out_slt_132));
+ DFFX1 \u6_dout_reg[18] (.CK (clk_i), .D (n_11084), .Q (), .QN
+ (out_slt_139));
+ DFFX1 \u6_dout_reg[19] (.CK (clk_i), .D (n_11082), .Q (), .QN
+ (out_slt_140));
+ DFFX1 \u6_dout_reg[16] (.CK (clk_i), .D (n_11087), .Q (), .QN
+ (out_slt_137));
+ DFFX1 \u6_dout_reg[17] (.CK (clk_i), .D (n_11085), .Q (), .QN
+ (out_slt_138));
+ DFFX1 \u6_dout_reg[4] (.CK (clk_i), .D (n_11081), .Q (), .QN
+ (out_slt_125));
+ DFFX1 \u6_dout_reg[5] (.CK (clk_i), .D (n_11080), .Q (), .QN
+ (out_slt_126));
+ DFFX1 \u6_dout_reg[6] (.CK (clk_i), .D (n_11079), .Q (), .QN
+ (out_slt_127));
+ DFFX1 \u6_dout_reg[7] (.CK (clk_i), .D (n_11078), .Q (), .QN
+ (out_slt_128));
+ DFFX1 \u6_dout_reg[8] (.CK (clk_i), .D (n_11077), .Q (), .QN
+ (out_slt_129));
+ DFFX1 \u6_dout_reg[9] (.CK (clk_i), .D (n_11076), .Q (), .QN
+ (out_slt_130));
+ DFFX1 \u7_dout_reg[10] (.CK (clk_i), .D (n_11075), .Q (), .QN
+ (out_slt_150));
+ DFFX1 \u7_dout_reg[11] (.CK (clk_i), .D (n_11074), .Q (), .QN
+ (out_slt_151));
+ DFFX1 \u7_dout_reg[12] (.CK (clk_i), .D (n_11073), .Q (), .QN
+ (out_slt_152));
+ DFFX1 \u7_dout_reg[13] (.CK (clk_i), .D (n_11072), .Q (), .QN
+ (out_slt_153));
+ DFFX1 \u7_dout_reg[14] (.CK (clk_i), .D (n_11070), .Q (), .QN
+ (out_slt_154));
+ DFFX1 \u7_dout_reg[17] (.CK (clk_i), .D (n_11065), .Q (), .QN
+ (out_slt_157));
+ DFFX1 \u7_dout_reg[15] (.CK (clk_i), .D (n_11068), .Q (), .QN
+ (out_slt_155));
+ DFFX1 \u7_dout_reg[19] (.CK (clk_i), .D (n_11063), .Q (), .QN
+ (out_slt_159));
+ DFFX1 \u7_dout_reg[16] (.CK (clk_i), .D (n_11066), .Q (), .QN
+ (out_slt_156));
+ DFFX1 \u7_dout_reg[18] (.CK (clk_i), .D (n_11064), .Q (), .QN
+ (out_slt_158));
+ DFFX1 \u7_dout_reg[4] (.CK (clk_i), .D (n_11062), .Q (), .QN
+ (out_slt_144));
+ DFFX1 \u7_dout_reg[5] (.CK (clk_i), .D (n_11061), .Q (), .QN
+ (out_slt_145));
+ DFFX1 \u7_dout_reg[6] (.CK (clk_i), .D (n_11060), .Q (), .QN
+ (out_slt_146));
+ DFFX1 \u7_dout_reg[7] (.CK (clk_i), .D (n_11058), .Q (), .QN
+ (out_slt_147));
+ DFFX1 \u7_dout_reg[8] (.CK (clk_i), .D (n_11057), .Q (), .QN
+ (out_slt_148));
+ DFFX1 \u7_dout_reg[9] (.CK (clk_i), .D (n_11056), .Q (), .QN
+ (out_slt_149));
+ DFFX1 \u3_dout_reg[10] (.CK (clk_i), .D (n_11055), .Q (), .QN
+ (out_slt_74));
+ DFFX1 \u3_dout_reg[11] (.CK (clk_i), .D (n_11054), .Q (), .QN
+ (out_slt_75));
+ DFFX1 \u3_dout_reg[13] (.CK (clk_i), .D (n_11052), .Q (), .QN
+ (out_slt_77));
+ DFFX1 \u3_dout_reg[14] (.CK (clk_i), .D (n_11051), .Q (), .QN
+ (out_slt_78));
+ DFFX1 \u3_dout_reg[15] (.CK (clk_i), .D (n_11049), .Q (), .QN
+ (out_slt_79));
+ DFFX1 \u3_dout_reg[16] (.CK (clk_i), .D (n_11047), .Q (), .QN
+ (out_slt_80));
+ DFFX1 \u3_dout_reg[17] (.CK (clk_i), .D (n_11045), .Q (), .QN
+ (out_slt_81));
+ DFFX1 \u3_dout_reg[18] (.CK (clk_i), .D (n_11044), .Q (), .QN
+ (out_slt_82));
+ DFFX1 \u8_dout_reg[10] (.CK (clk_i), .D (n_11042), .Q (), .QN
+ (out_slt_169));
+ DFFX1 \u3_dout_reg[19] (.CK (clk_i), .D (n_11041), .Q (), .QN
+ (out_slt_83));
+ DFFX1 \u8_dout_reg[11] (.CK (clk_i), .D (n_11040), .Q (), .QN
+ (out_slt_170));
+ DFFX1 \u8_dout_reg[12] (.CK (clk_i), .D (n_11039), .Q (), .QN
+ (out_slt_171));
+ DFFX1 \u3_dout_reg[12] (.CK (clk_i), .D (n_11053), .Q (), .QN
+ (out_slt_76));
+ DFFX1 \u8_dout_reg[13] (.CK (clk_i), .D (n_11038), .Q (), .QN
+ (out_slt_172));
+ DFFX1 \u8_dout_reg[14] (.CK (clk_i), .D (n_11037), .Q (), .QN
+ (out_slt_173));
+ DFFX1 \u3_dout_reg[4] (.CK (clk_i), .D (n_11035), .Q (), .QN
+ (out_slt_68));
+ DFFX1 \u8_dout_reg[16] (.CK (clk_i), .D (n_11031), .Q (), .QN
+ (out_slt_175));
+ DFFX1 \u3_dout_reg[6] (.CK (clk_i), .D (n_11028), .Q (), .QN
+ (out_slt_70));
+ DFFX1 \u8_dout_reg[17] (.CK (clk_i), .D (n_11029), .Q (), .QN
+ (out_slt_176));
+ DFFX1 \u3_dout_reg[7] (.CK (clk_i), .D (n_11027), .Q (), .QN
+ (out_slt_71));
+ DFFX1 \u8_dout_reg[18] (.CK (clk_i), .D (n_11026), .Q (), .QN
+ (out_slt_177));
+ DFFX1 \u3_dout_reg[8] (.CK (clk_i), .D (n_11024), .Q (), .QN
+ (out_slt_72));
+ DFFX1 \u8_dout_reg[15] (.CK (clk_i), .D (n_11034), .Q (), .QN
+ (out_slt_174));
+ DFFX1 \u3_dout_reg[5] (.CK (clk_i), .D (n_11032), .Q (), .QN
+ (out_slt_69));
+ DFFX1 \u8_dout_reg[19] (.CK (clk_i), .D (n_11023), .Q (), .QN
+ (out_slt_178));
+ DFFX1 \u3_dout_reg[9] (.CK (clk_i), .D (n_11022), .Q (), .QN
+ (out_slt_73));
+ DFFX1 \u8_dout_reg[4] (.CK (clk_i), .D (n_11021), .Q (), .QN
+ (out_slt_163));
+ DFFX1 \u8_dout_reg[5] (.CK (clk_i), .D (n_11020), .Q (), .QN
+ (out_slt_164));
+ DFFX1 \u8_dout_reg[6] (.CK (clk_i), .D (n_11019), .Q (), .QN
+ (out_slt_165));
+ DFFX1 \u8_dout_reg[7] (.CK (clk_i), .D (n_11018), .Q (), .QN
+ (out_slt_166));
+ DFFX1 \u8_dout_reg[8] (.CK (clk_i), .D (n_11017), .Q (), .QN
+ (out_slt_167));
+ DFFX1 \u8_dout_reg[9] (.CK (clk_i), .D (n_11016), .Q (), .QN
+ (out_slt_168));
+ DFFX1 \u0_slt9_r_reg[4] (.CK (bit_clk_pad_i), .D (n_11010), .Q
+ (u0_slt9_r_171), .QN ());
+ DFFX1 u16_u1_dma_req_r1_reg(.CK (clk_i), .D (n_11159), .Q
+ (u16_u1_dma_req_r1), .QN ());
+ DFFX1 u16_u3_dma_req_r1_reg(.CK (clk_i), .D (n_11158), .Q
+ (u16_u3_dma_req_r1), .QN ());
+ DFFX1 \u1_sr_reg[17] (.CK (bit_clk_pad_i), .D (u1_sr_132), .Q
+ (u1_sr_133), .QN ());
+ AND2X1 g30063(.A (n_10971), .B (n_991), .Y (n_11157));
+ AND2X1 g30067(.A (n_10970), .B (n_9833), .Y (n_11155));
+ AND2X1 g30072(.A (n_10969), .B (n_991), .Y (n_11153));
+ AND2X1 g30073(.A (n_10968), .B (n_9833), .Y (n_11152));
+ OAI21X1 g30076(.A0 (n_6328), .A1 (n_10992), .B0 (n_10993), .Y
+ (n_11151));
+ OAI21X1 g30077(.A0 (n_5942), .A1 (n_10985), .B0 (n_10986), .Y
+ (n_11150));
+ NOR2X1 g30838(.A (n_10991), .B (n_11086), .Y (n_11149));
+ NOR2X1 g30839(.A (n_10989), .B (n_11086), .Y (n_11148));
+ NOR2X1 g30859(.A (n_10984), .B (n_11144), .Y (n_11146));
+ NOR2X1 g30860(.A (n_10982), .B (n_11144), .Y (n_11145));
+ AOI21X1 g30122(.A0 (n_6836), .A1 (n_12589), .B0 (n_10963), .Y
+ (n_11526));
+ AOI21X1 g30123(.A0 (n_6839), .A1 (n_11136), .B0 (n_10960), .Y
+ (n_11142));
+ AOI21X1 g30124(.A0 (n_7049), .A1 (n_11131), .B0 (n_11007), .Y
+ (n_11520));
+ AOI21X1 g30125(.A0 (n_6842), .A1 (n_11126), .B0 (n_11005), .Y
+ (n_11522));
+ AOI21X1 g30127(.A0 (n_6059), .A1 (n_11136), .B0 (n_10959), .Y
+ (n_11505));
+ NOR2X1 g30880(.A (n_10979), .B (n_5839), .Y (n_11135));
+ NOR2X1 g30882(.A (n_10977), .B (n_5839), .Y (n_11134));
+ AOI21X1 g30135(.A0 (n_5964), .A1 (n_11131), .B0 (n_11006), .Y
+ (n_11518));
+ NOR2X1 g30895(.A (n_10974), .B (n_11128), .Y (n_11130));
+ NOR2X1 g30896(.A (n_10973), .B (n_11128), .Y (n_11129));
+ AOI21X1 g30137(.A0 (n_5636), .A1 (n_11126), .B0 (n_11004), .Y
+ (n_11516));
+ DFFSRX1 u16_u8_dma_req_reg(.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_11906), .Q (dma_req_o[8]), .QN ());
+ DFFX1 \u1_slt3_reg[14] (.CK (bit_clk_pad_i), .D (n_10961), .Q
+ (in_slt_410), .QN ());
+ DFFX1 \u1_slt4_reg[14] (.CK (bit_clk_pad_i), .D (n_10958), .Q
+ (in_slt_432), .QN ());
+ DFFX1 \u1_slt6_reg[14] (.CK (bit_clk_pad_i), .D (n_10957), .Q
+ (in_slt_454), .QN ());
+ DFFX1 \u1_slt2_reg[14] (.CK (bit_clk_pad_i), .D (n_10964), .Q
+ (in_slt_840), .QN ());
+ DFFX1 \u4_dout_reg[3] (.CK (clk_i), .D (n_10998), .Q (), .QN
+ (out_slt_86));
+ DFFX1 \u5_dout_reg[3] (.CK (clk_i), .D (n_10995), .Q (), .QN
+ (out_slt_105));
+ DFFX1 \u5_dout_reg[2] (.CK (clk_i), .D (n_10996), .Q (), .QN
+ (out_slt_104));
+ DFFX1 \u4_dout_reg[2] (.CK (clk_i), .D (n_10999), .Q (), .QN
+ (out_slt_85));
+ DFFX1 u16_u0_dma_req_r1_reg(.CK (clk_i), .D (n_11125), .Q
+ (u16_u0_dma_req_r1), .QN ());
+ DFFX1 u16_u2_dma_req_r1_reg(.CK (clk_i), .D (n_11124), .Q
+ (u16_u2_dma_req_r1), .QN ());
+ DFFX1 u16_u4_dma_req_r1_reg(.CK (clk_i), .D (n_11123), .Q
+ (u16_u4_dma_req_r1), .QN ());
+ DFFX1 u16_u5_dma_req_r1_reg(.CK (clk_i), .D (n_11122), .Q
+ (u16_u5_dma_req_r1), .QN ());
+ AND2X1 g29952(.A (n_10967), .B (n_11119), .Y (n_11121));
+ AND2X1 g29956(.A (n_10966), .B (n_11119), .Y (n_11120));
+ MX2X1 g29984(.A (in_slt_742), .B (u1_sr_131), .S0 (out_le), .Y
+ (n_11118));
+ MX2X1 g29985(.A (u1_sr_131), .B (in_slt_841), .S0 (out_le_181), .Y
+ (n_11117));
+ MX2X1 g29986(.A (u1_sr_131), .B (in_slt_411), .S0 (out_le_182), .Y
+ (n_11116));
+ MX2X1 g29987(.A (u1_sr_131), .B (in_slt_433), .S0 (out_le_183), .Y
+ (n_11115));
+ MX2X1 g29988(.A (u1_sr_131), .B (in_slt_455), .S0 (out_le_184), .Y
+ (n_11114));
+ NOR2X1 g30720(.A (n_10811), .B (n_11086), .Y (n_11113));
+ NOR2X1 g30725(.A (n_10810), .B (n_11144), .Y (n_11112));
+ OAI22X1 g30745(.A0 (n_11109), .A1 (n_5556), .B0 (out_slt7), .B1
+ (n_11108), .Y (n_11111));
+ OAI22X1 g30746(.A0 (n_11109), .A1 (n_5703), .B0 (n_11108), .B1
+ (out_slt_122), .Y (n_11110));
+ OAI22X1 g30747(.A0 (n_11105), .A1 (n_5697), .B0 (out_slt8), .B1
+ (n_11104), .Y (n_11107));
+ OAI22X1 g30748(.A0 (n_11105), .A1 (n_5715), .B0 (n_11104), .B1
+ (out_slt_141), .Y (n_11106));
+ OAI22X1 g30749(.A0 (n_11100), .A1 (n_5686), .B0 (out_slt3), .B1
+ (n_11099), .Y (n_11103));
+ OAI22X1 g30750(.A0 (n_11097), .A1 (n_5536), .B0 (out_slt9), .B1
+ (n_11096), .Y (n_11102));
+ DFFX1 \u4_dout_reg[4] (.CK (clk_i), .D (n_10933), .Q (), .QN
+ (out_slt_87));
+ OAI22X1 g30751(.A0 (n_11100), .A1 (n_5676), .B0 (n_11099), .B1
+ (out_slt_65), .Y (n_11101));
+ OAI22X1 g30752(.A0 (n_11097), .A1 (n_5670), .B0 (n_11096), .B1
+ (out_slt_160), .Y (n_11098));
+ DFFX1 \u11_wp_reg[3] (.CK (clk_i), .D (n_10900), .Q (\u11_wp[3] ),
+ .QN ());
+ AOI21X1 g30828(.A0 (n_11964), .A1 (n_11965), .B0 (n_11086), .Y
+ (n_11095));
+ AOI21X1 g30829(.A0 (n_11970), .A1 (n_11971), .B0 (n_5827), .Y
+ (n_11094));
+ AOI21X1 g30830(.A0 (n_11968), .A1 (n_11969), .B0 (n_1870), .Y
+ (n_11093));
+ AOI21X1 g30831(.A0 (n_11952), .A1 (n_11953), .B0 (n_11083), .Y
+ (n_11092));
+ AOI21X1 g30832(.A0 (n_11978), .A1 (n_11979), .B0 (n_11083), .Y
+ (n_11091));
+ AOI21X1 g30833(.A0 (n_11954), .A1 (n_11955), .B0 (n_5827), .Y
+ (n_11089));
+ AOI21X1 g30834(.A0 (n_11990), .A1 (n_11991), .B0 (n_11086), .Y
+ (n_11087));
+ AOI21X1 g30835(.A0 (n_11974), .A1 (n_11975), .B0 (n_11083), .Y
+ (n_11085));
+ AOI21X1 g30836(.A0 (n_10636), .A1 (n_10523), .B0 (n_11083), .Y
+ (n_11084));
+ AOI21X1 g30837(.A0 (n_11972), .A1 (n_11973), .B0 (n_5827), .Y
+ (n_11082));
+ AOI21X1 g30840(.A0 (n_11956), .A1 (n_11957), .B0 (n_11083), .Y
+ (n_11081));
+ AOI21X1 g30841(.A0 (n_11958), .A1 (n_11959), .B0 (n_11083), .Y
+ (n_11080));
+ AOI21X1 g30842(.A0 (n_11996), .A1 (n_11997), .B0 (n_11083), .Y
+ (n_11079));
+ AOI21X1 g30843(.A0 (n_11960), .A1 (n_11961), .B0 (n_11083), .Y
+ (n_11078));
+ AOI21X1 g30844(.A0 (n_11962), .A1 (n_11963), .B0 (n_5827), .Y
+ (n_11077));
+ AOI21X1 g30845(.A0 (n_11976), .A1 (n_11977), .B0 (n_11086), .Y
+ (n_11076));
+ AOI21X1 g30846(.A0 (n_10626), .A1 (n_10209), .B0 (n_11069), .Y
+ (n_11075));
+ AOI21X1 g30847(.A0 (n_10625), .A1 (n_10207), .B0 (n_5825), .Y
+ (n_11074));
+ AOI21X1 g30849(.A0 (n_10623), .A1 (n_10206), .B0 (n_11144), .Y
+ (n_11073));
+ AOI21X1 g30851(.A0 (n_10622), .A1 (n_10204), .B0 (n_5825), .Y
+ (n_11072));
+ AOI21X1 g30852(.A0 (n_10621), .A1 (n_10202), .B0 (n_11069), .Y
+ (n_11070));
+ AOI21X1 g30854(.A0 (n_10620), .A1 (n_10201), .B0 (n_11144), .Y
+ (n_11068));
+ AOI21X1 g30855(.A0 (n_10619), .A1 (n_10200), .B0 (n_11059), .Y
+ (n_11066));
+ AOI21X1 g30856(.A0 (n_10618), .A1 (n_10199), .B0 (n_5825), .Y
+ (n_11065));
+ AOI21X1 g30857(.A0 (n_10616), .A1 (n_10198), .B0 (n_11144), .Y
+ (n_11064));
+ AOI21X1 g30858(.A0 (n_10615), .A1 (n_10196), .B0 (n_11144), .Y
+ (n_11063));
+ AOI21X1 g30861(.A0 (n_12193), .A1 (n_12194), .B0 (n_11069), .Y
+ (n_11062));
+ AOI21X1 g30862(.A0 (n_12195), .A1 (n_12196), .B0 (n_11069), .Y
+ (n_11061));
+ AOI21X1 g30863(.A0 (n_12064), .A1 (n_12065), .B0 (n_11059), .Y
+ (n_11060));
+ AOI21X1 g30864(.A0 (n_10609), .A1 (n_12505), .B0 (n_11059), .Y
+ (n_11058));
+ AOI21X1 g30865(.A0 (n_12793), .A1 (n_12794), .B0 (n_11059), .Y
+ (n_11057));
+ AOI21X1 g30866(.A0 (n_10607), .A1 (n_10188), .B0 (n_5825), .Y
+ (n_11056));
+ AOI21X1 g30867(.A0 (n_11743), .A1 (n_11744), .B0 (n_5839), .Y
+ (n_11055));
+ AOI21X1 g30868(.A0 (n_12543), .A1 (n_11746), .B0 (n_5839), .Y
+ (n_11054));
+ AOI21X1 g30869(.A0 (n_11749), .A1 (n_11750), .B0 (n_5839), .Y
+ (n_11053));
+ AOI21X1 g30870(.A0 (n_11919), .A1 (n_11724), .B0 (n_5839), .Y
+ (n_11052));
+ AOI21X1 g30871(.A0 (n_10601), .A1 (n_10504), .B0 (n_5839), .Y
+ (n_11051));
+ AOI21X1 g30872(.A0 (n_10599), .A1 (n_12690), .B0 (n_5839), .Y
+ (n_11049));
+ AOI21X1 g30873(.A0 (n_12453), .A1 (n_12672), .B0 (n_5839), .Y
+ (n_11047));
+ AOI21X1 g30874(.A0 (n_12464), .A1 (n_11748), .B0 (n_11043), .Y
+ (n_11045));
+ AOI21X1 g30875(.A0 (n_10595), .A1 (n_10496), .B0 (n_11043), .Y
+ (n_11044));
+ AOI21X1 g30876(.A0 (n_11633), .A1 (n_11634), .B0 (n_11030), .Y
+ (n_11042));
+ AOI21X1 g30877(.A0 (n_10592), .A1 (n_10493), .B0 (n_5839), .Y
+ (n_11041));
+ AOI21X1 g30878(.A0 (n_11613), .A1 (n_11614), .B0 (n_11033), .Y
+ (n_11040));
+ AOI21X1 g30879(.A0 (n_11615), .A1 (n_11616), .B0 (n_12585), .Y
+ (n_11039));
+ AOI21X1 g30881(.A0 (n_11617), .A1 (n_11618), .B0 (n_11036), .Y
+ (n_11038));
+ AOI21X1 g30883(.A0 (n_11619), .A1 (n_11620), .B0 (n_11036), .Y
+ (n_11037));
+ AOI21X1 g30884(.A0 (n_12062), .A1 (n_12063), .B0 (n_5839), .Y
+ (n_11035));
+ AOI21X1 g30885(.A0 (n_11627), .A1 (n_11628), .B0 (n_11033), .Y
+ (n_11034));
+ AOI21X1 g30886(.A0 (n_11994), .A1 (n_11995), .B0 (n_5839), .Y
+ (n_11032));
+ AOI21X1 g30887(.A0 (n_11621), .A1 (n_11622), .B0 (n_11030), .Y
+ (n_11031));
+ AOI21X1 g30888(.A0 (n_11623), .A1 (n_11624), .B0 (n_11025), .Y
+ (n_11029));
+ AOI21X1 g30889(.A0 (n_11731), .A1 (n_11732), .B0 (n_11043), .Y
+ (n_11028));
+ AOI21X1 g30890(.A0 (n_11733), .A1 (n_11734), .B0 (n_11043), .Y
+ (n_11027));
+ AOI21X1 g30891(.A0 (n_11625), .A1 (n_11626), .B0 (n_11025), .Y
+ (n_11026));
+ AOI21X1 g30892(.A0 (n_11735), .A1 (n_11736), .B0 (n_5839), .Y
+ (n_11024));
+ AOI21X1 g30893(.A0 (n_11629), .A1 (n_11630), .B0 (n_11033), .Y
+ (n_11023));
+ AOI21X1 g30894(.A0 (n_12016), .A1 (n_12017), .B0 (n_5839), .Y
+ (n_11022));
+ AOI21X1 g30897(.A0 (n_11635), .A1 (n_11636), .B0 (n_11036), .Y
+ (n_11021));
+ AOI21X1 g30898(.A0 (n_11992), .A1 (n_11993), .B0 (n_11036), .Y
+ (n_11020));
+ AOI21X1 g30899(.A0 (n_11637), .A1 (n_11638), .B0 (n_11025), .Y
+ (n_11019));
+ AOI21X1 g30900(.A0 (n_11639), .A1 (n_11640), .B0 (n_11025), .Y
+ (n_11018));
+ AOI21X1 g30901(.A0 (n_11631), .A1 (n_11632), .B0 (n_11033), .Y
+ (n_11017));
+ AOI21X1 g30902(.A0 (n_11641), .A1 (n_11642), .B0 (n_11030), .Y
+ (n_11016));
+ DFFSRX1 u16_u6_dma_req_reg(.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_12373), .Q (dma_req_o[6]), .QN ());
+ DFFSRX1 u16_u7_dma_req_reg(.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_12378), .Q (dma_req_o[7]), .QN ());
+ DFFX1 \u5_dout_reg[0] (.CK (clk_i), .D (n_10952), .Q (), .QN
+ (out_slt6));
+ DFFX1 \u5_dout_reg[1] (.CK (clk_i), .D (n_10951), .Q (), .QN
+ (out_slt_103));
+ DFFX1 \u4_dout_reg[1] (.CK (clk_i), .D (n_10955), .Q (), .QN
+ (out_slt_84));
+ DFFX1 \u4_dout_reg[0] (.CK (clk_i), .D (n_10956), .Q (), .QN
+ (out_slt4));
+ DFFX1 \u4_rp_reg[0] (.CK (clk_i), .D (n_10807), .Q (\u4_rp[0] ), .QN
+ ());
+ DFFX1 \u5_rp_reg[0] (.CK (clk_i), .D (n_10806), .Q (n_6091), .QN ());
+ DFFX1 \u11_mem_reg[0][18] (.CK (clk_i), .D (n_10899), .Q (), .QN
+ (\u11_mem[0]_167 ));
+ DFFX1 \u11_mem_reg[0][19] (.CK (clk_i), .D (n_10898), .Q (), .QN
+ (\u11_mem[0]_168 ));
+ DFFX1 \u11_mem_reg[1][18] (.CK (clk_i), .D (n_10897), .Q (), .QN
+ (\u11_mem[1]_136 ));
+ DFFX1 \u11_mem_reg[1][19] (.CK (clk_i), .D (n_10896), .Q (), .QN
+ (\u11_mem[1]_137 ));
+ DFFX1 \u11_mem_reg[1][20] (.CK (clk_i), .D (n_10895), .Q (), .QN
+ (\u11_mem[1]_138 ));
+ DFFX1 \u11_mem_reg[1][21] (.CK (clk_i), .D (n_10894), .Q (), .QN
+ (\u11_mem[1]_139 ));
+ DFFX1 \u11_mem_reg[1][22] (.CK (clk_i), .D (n_10893), .Q (), .QN
+ (\u11_mem[1]_140 ));
+ DFFX1 \u11_mem_reg[1][23] (.CK (clk_i), .D (n_10892), .Q (), .QN
+ (\u11_mem[1]_141 ));
+ DFFX1 \u11_mem_reg[1][24] (.CK (clk_i), .D (n_10890), .Q (), .QN
+ (\u11_mem[1]_142 ));
+ DFFX1 \u11_mem_reg[1][25] (.CK (clk_i), .D (n_10888), .Q (), .QN
+ (\u11_mem[1]_143 ));
+ DFFX1 \u11_mem_reg[1][26] (.CK (clk_i), .D (n_10886), .Q (), .QN
+ (\u11_mem[1]_144 ));
+ DFFX1 \u11_mem_reg[1][27] (.CK (clk_i), .D (n_10885), .Q (), .QN
+ (\u11_mem[1]_145 ));
+ DFFX1 \u11_mem_reg[1][28] (.CK (clk_i), .D (n_10884), .Q (), .QN
+ (\u11_mem[1]_146 ));
+ DFFX1 \u11_mem_reg[1][29] (.CK (clk_i), .D (n_10882), .Q (), .QN
+ (\u11_mem[1]_147 ));
+ DFFX1 \u11_mem_reg[1][30] (.CK (clk_i), .D (n_10881), .Q (), .QN
+ (\u11_mem[1]_148 ));
+ DFFX1 \u11_mem_reg[1][31] (.CK (clk_i), .D (n_10879), .Q (), .QN
+ (\u11_mem[1]_149 ));
+ DFFX1 \u11_mem_reg[2][18] (.CK (clk_i), .D (n_10877), .Q (), .QN
+ (\u11_mem[2]_105 ));
+ DFFX1 \u11_mem_reg[2][19] (.CK (clk_i), .D (n_10876), .Q (), .QN
+ (\u11_mem[2]_106 ));
+ DFFX1 \u11_mem_reg[2][20] (.CK (clk_i), .D (n_10875), .Q (), .QN
+ (\u11_mem[2]_107 ));
+ DFFX1 \u11_mem_reg[2][21] (.CK (clk_i), .D (n_10873), .Q (), .QN
+ (\u11_mem[2]_108 ));
+ DFFX1 \u11_mem_reg[2][22] (.CK (clk_i), .D (n_10872), .Q (), .QN
+ (\u11_mem[2]_109 ));
+ DFFX1 \u11_mem_reg[2][23] (.CK (clk_i), .D (n_10870), .Q (), .QN
+ (\u11_mem[2]_110 ));
+ DFFX1 \u11_mem_reg[2][24] (.CK (clk_i), .D (n_10868), .Q (), .QN
+ (\u11_mem[2]_111 ));
+ DFFX1 \u11_mem_reg[2][25] (.CK (clk_i), .D (n_10867), .Q (), .QN
+ (\u11_mem[2]_112 ));
+ DFFX1 \u11_mem_reg[2][26] (.CK (clk_i), .D (n_10866), .Q (), .QN
+ (\u11_mem[2]_113 ));
+ DFFX1 \u11_mem_reg[2][27] (.CK (clk_i), .D (n_10864), .Q (), .QN
+ (\u11_mem[2]_114 ));
+ DFFX1 \u11_mem_reg[2][28] (.CK (clk_i), .D (n_10863), .Q (), .QN
+ (\u11_mem[2]_115 ));
+ DFFX1 \u11_mem_reg[2][29] (.CK (clk_i), .D (n_10862), .Q (), .QN
+ (\u11_mem[2]_116 ));
+ DFFX1 \u11_mem_reg[2][30] (.CK (clk_i), .D (n_10860), .Q (), .QN
+ (\u11_mem[2]_117 ));
+ DFFX1 \u11_mem_reg[2][31] (.CK (clk_i), .D (n_10859), .Q (), .QN
+ (\u11_mem[2]_118 ));
+ DFFX1 \u11_mem_reg[3][18] (.CK (clk_i), .D (n_10858), .Q (), .QN
+ (\u11_mem[3]_74 ));
+ DFFX1 \u11_mem_reg[3][19] (.CK (clk_i), .D (n_10857), .Q (), .QN
+ (\u11_mem[3]_75 ));
+ DFFX1 \u11_mem_reg[3][20] (.CK (clk_i), .D (n_10856), .Q (), .QN
+ (\u11_mem[3]_76 ));
+ DFFX1 \u11_mem_reg[3][21] (.CK (clk_i), .D (n_10855), .Q (), .QN
+ (\u11_mem[3]_77 ));
+ DFFX1 \u11_mem_reg[3][22] (.CK (clk_i), .D (n_10854), .Q (), .QN
+ (\u11_mem[3]_78 ));
+ DFFX1 \u11_mem_reg[3][23] (.CK (clk_i), .D (n_10853), .Q (), .QN
+ (\u11_mem[3]_79 ));
+ DFFX1 \u11_mem_reg[3][24] (.CK (clk_i), .D (n_10852), .Q (), .QN
+ (\u11_mem[3]_80 ));
+ DFFX1 \u11_mem_reg[3][25] (.CK (clk_i), .D (n_10851), .Q (), .QN
+ (\u11_mem[3]_81 ));
+ DFFX1 \u11_mem_reg[3][26] (.CK (clk_i), .D (n_10850), .Q (), .QN
+ (\u11_mem[3]_82 ));
+ DFFX1 \u11_mem_reg[3][27] (.CK (clk_i), .D (n_10849), .Q (), .QN
+ (\u11_mem[3]_83 ));
+ DFFX1 \u11_mem_reg[3][28] (.CK (clk_i), .D (n_10848), .Q (), .QN
+ (\u11_mem[3]_84 ));
+ DFFX1 \u11_mem_reg[3][29] (.CK (clk_i), .D (n_10847), .Q (), .QN
+ (\u11_mem[3]_85 ));
+ DFFX1 \u11_mem_reg[3][30] (.CK (clk_i), .D (n_10846), .Q (), .QN
+ (\u11_mem[3]_86 ));
+ DFFX1 \u11_mem_reg[3][31] (.CK (clk_i), .D (n_10845), .Q (), .QN
+ (\u11_mem[3]_87 ));
+ DFFX1 \u11_mem_reg[3][7] (.CK (clk_i), .D (n_10827), .Q (), .QN
+ (\u11_mem[3]_63 ));
+ DFFX1 \u11_mem_reg[1][12] (.CK (clk_i), .D (n_10844), .Q (), .QN
+ (\u11_mem[1]_130 ));
+ DFFX1 \u11_mem_reg[1][13] (.CK (clk_i), .D (n_10843), .Q (), .QN
+ (\u11_mem[1]_131 ));
+ DFFX1 \u11_mem_reg[1][16] (.CK (clk_i), .D (n_10842), .Q (), .QN
+ (\u11_mem[1]_134 ));
+ DFFX1 \u11_mem_reg[2][17] (.CK (clk_i), .D (n_10841), .Q (), .QN
+ (\u11_mem[2]_104 ));
+ DFFX1 \u11_mem_reg[2][1] (.CK (clk_i), .D (n_10840), .Q (), .QN
+ (\u11_mem[2]_88 ));
+ DFFX1 \u11_mem_reg[2][7] (.CK (clk_i), .D (n_10838), .Q (), .QN
+ (\u11_mem[2]_94 ));
+ DFFX1 \u11_mem_reg[2][8] (.CK (clk_i), .D (n_10837), .Q (), .QN
+ (\u11_mem[2]_95 ));
+ DFFX1 \u11_mem_reg[3][16] (.CK (clk_i), .D (n_10836), .Q (), .QN
+ (\u11_mem[3]_72 ));
+ DFFX1 \u11_mem_reg[3][17] (.CK (clk_i), .D (n_10834), .Q (), .QN
+ (\u11_mem[3]_73 ));
+ DFFX1 \u11_mem_reg[3][5] (.CK (clk_i), .D (n_10829), .Q (), .QN
+ (\u11_mem[3]_61 ));
+ DFFX1 \u11_mem_reg[3][6] (.CK (clk_i), .D (n_10828), .Q (), .QN
+ (\u11_mem[3]_62 ));
+ DFFX1 \u11_wp_reg[1] (.CK (clk_i), .D (n_10902), .Q (\u11_wp[1] ),
+ .QN ());
+ DFFX1 \u11_wp_reg[2] (.CK (clk_i), .D (n_10948), .Q (\u11_wp[2] ),
+ .QN ());
+ DFFX1 \u4_dout_reg[10] (.CK (clk_i), .D (n_10947), .Q (), .QN
+ (out_slt_93));
+ DFFX1 \u4_dout_reg[13] (.CK (clk_i), .D (n_10943), .Q (), .QN
+ (out_slt_96));
+ DFFX1 \u4_dout_reg[14] (.CK (clk_i), .D (n_10942), .Q (), .QN
+ (out_slt_97));
+ DFFX1 \u4_dout_reg[15] (.CK (clk_i), .D (n_10941), .Q (), .QN
+ (out_slt_98));
+ DFFX1 \u4_dout_reg[16] (.CK (clk_i), .D (n_10939), .Q (), .QN
+ (out_slt_99));
+ DFFX1 \u4_dout_reg[11] (.CK (clk_i), .D (n_10946), .Q (), .QN
+ (out_slt_94));
+ DFFX1 \u4_dout_reg[18] (.CK (clk_i), .D (n_10935), .Q (), .QN
+ (out_slt_101));
+ DFFX1 \u4_dout_reg[12] (.CK (clk_i), .D (n_10944), .Q (), .QN
+ (out_slt_95));
+ DFFX1 \u4_dout_reg[19] (.CK (clk_i), .D (n_10934), .Q (), .QN
+ (out_slt_102));
+ DFFX1 \u4_dout_reg[17] (.CK (clk_i), .D (n_10937), .Q (), .QN
+ (out_slt_100));
+ DFFX1 \u4_dout_reg[5] (.CK (clk_i), .D (n_10932), .Q (), .QN
+ (out_slt_88));
+ DFFX1 \u4_dout_reg[6] (.CK (clk_i), .D (n_10931), .Q (), .QN
+ (out_slt_89));
+ DFFX1 \u4_dout_reg[7] (.CK (clk_i), .D (n_10930), .Q (), .QN
+ (out_slt_90));
+ DFFX1 \u4_dout_reg[8] (.CK (clk_i), .D (n_10929), .Q (), .QN
+ (out_slt_91));
+ DFFX1 \u4_dout_reg[9] (.CK (clk_i), .D (n_10928), .Q (), .QN
+ (out_slt_92));
+ DFFX1 \u5_dout_reg[10] (.CK (clk_i), .D (n_10927), .Q (), .QN
+ (out_slt_112));
+ DFFX1 \u5_dout_reg[11] (.CK (clk_i), .D (n_10926), .Q (), .QN
+ (out_slt_113));
+ DFFX1 \u5_dout_reg[12] (.CK (clk_i), .D (n_10924), .Q (), .QN
+ (out_slt_114));
+ DFFX1 \u5_dout_reg[14] (.CK (clk_i), .D (n_10922), .Q (), .QN
+ (out_slt_116));
+ DFFX1 \u5_dout_reg[15] (.CK (clk_i), .D (n_10920), .Q (), .QN
+ (out_slt_117));
+ DFFX1 \u5_dout_reg[16] (.CK (clk_i), .D (n_10919), .Q (), .QN
+ (out_slt_118));
+ DFFX1 \u5_dout_reg[18] (.CK (clk_i), .D (n_10915), .Q (), .QN
+ (out_slt_120));
+ DFFX1 \u5_dout_reg[19] (.CK (clk_i), .D (n_10914), .Q (), .QN
+ (out_slt_121));
+ DFFX1 \u5_dout_reg[4] (.CK (clk_i), .D (n_10913), .Q (), .QN
+ (out_slt_106));
+ DFFX1 \u5_dout_reg[5] (.CK (clk_i), .D (n_10912), .Q (), .QN
+ (out_slt_107));
+ DFFX1 \u5_dout_reg[6] (.CK (clk_i), .D (n_10911), .Q (), .QN
+ (out_slt_108));
+ DFFX1 \u5_dout_reg[8] (.CK (clk_i), .D (n_10909), .Q (), .QN
+ (out_slt_110));
+ DFFX1 \u5_dout_reg[9] (.CK (clk_i), .D (n_10908), .Q (), .QN
+ (out_slt_111));
+ DFFX1 \u11_mem_reg[0][0] (.CK (clk_i), .D (n_10821), .Q (), .QN
+ (\u11_mem[0] ));
+ DFFX1 \u11_mem_reg[0][10] (.CK (clk_i), .D (n_10819), .Q (), .QN
+ (\u11_mem[0]_159 ));
+ DFFX1 \u11_mem_reg[0][11] (.CK (clk_i), .D (n_10818), .Q (), .QN
+ (\u11_mem[0]_160 ));
+ DFFX1 \u11_mem_reg[0][12] (.CK (clk_i), .D (n_10815), .Q (), .QN
+ (\u11_mem[0]_161 ));
+ DFFX1 \u11_mem_reg[0][13] (.CK (clk_i), .D (n_10817), .Q (), .QN
+ (\u11_mem[0]_162 ));
+ DFFX1 \u11_mem_reg[0][14] (.CK (clk_i), .D (n_10814), .Q (), .QN
+ (\u11_mem[0]_163 ));
+ DFFX1 \u11_mem_reg[0][15] (.CK (clk_i), .D (n_10813), .Q (), .QN
+ (\u11_mem[0]_164 ));
+ DFFX1 \u11_mem_reg[0][1] (.CK (clk_i), .D (n_10812), .Q (), .QN
+ (\u11_mem[0]_150 ));
+ DFFSRX1 u15_crac_rd_reg(.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_10903), .Q (u15_crac_rd), .QN ());
+ DFFSRX1 \u17_int_set_reg[1] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_10907), .Q (), .QN (oc0_int_set_707));
+ DFFSRX1 \u20_int_set_reg[1] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_10906), .Q (), .QN (oc3_int_set_713));
+ DFFSRX1 \u21_int_set_reg[1] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_10905), .Q (), .QN (oc4_int_set_715));
+ DFFSRX1 \u22_int_set_reg[1] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_10904), .Q (), .QN (oc5_int_set_717));
+ AND2X1 g29953(.A (n_10833), .B (n_11119), .Y (n_11015));
+ AND2X1 g29954(.A (n_10832), .B (n_11119), .Y (n_11014));
+ AND2X1 g29955(.A (n_10831), .B (n_11119), .Y (n_11012));
+ AND2X1 g29957(.A (n_10830), .B (n_11119), .Y (n_11011));
+ DFFX1 \u5_dout_reg[7] (.CK (clk_i), .D (n_10910), .Q (), .QN
+ (out_slt_109));
+ NOR2X1 g29976(.A (n_10809), .B (dma_ack_i[1]), .Y (n_11159));
+ DFFX1 \u5_dout_reg[17] (.CK (clk_i), .D (n_10917), .Q (), .QN
+ (out_slt_119));
+ NOR2X1 g29978(.A (n_10808), .B (dma_ack_i[3]), .Y (n_11158));
+ MX2X1 g29989(.A (n_322), .B (u0_slt9_r_170), .S0 (n_11319), .Y
+ (n_11010));
+ DFFX1 \u1_sr_reg[16] (.CK (bit_clk_pad_i), .D (u1_sr_131), .Q
+ (u1_sr_132), .QN ());
+ DFFX1 \u5_dout_reg[13] (.CK (clk_i), .D (n_10923), .Q (), .QN
+ (out_slt_115));
+ NOR2X1 g30708(.A (n_10825), .B (n_11128), .Y (n_11009));
+ NOR2X1 g30711(.A (n_10822), .B (n_5839), .Y (n_11008));
+ NOR2X1 g30721(.A (n_10774), .B (n_11131), .Y (n_11007));
+ DFFX1 \u10_mem_reg[0][18] (.CK (clk_i), .D (n_10678), .Q (), .QN
+ (\u10_mem[0]_167 ));
+ NOR2X1 g30722(.A (n_10659), .B (n_11131), .Y (n_11006));
+ NOR2X1 g30726(.A (n_10773), .B (n_11126), .Y (n_11005));
+ DFFX1 \u10_mem_reg[3][28] (.CK (clk_i), .D (n_10684), .Q (), .QN
+ (\u10_mem[3]_84 ));
+ NOR2X1 g30727(.A (n_10657), .B (n_11126), .Y (n_11004));
+ OR2X1 g30728(.A (n_10405), .B (n_12589), .Y (n_11512));
+ OR2X1 g30729(.A (n_10404), .B (n_11136), .Y (n_11002));
+ DFFX1 \u10_mem_reg[3][24] (.CK (clk_i), .D (n_10690), .Q (), .QN
+ (\u10_mem[3]_80 ));
+ OR2X1 g30730(.A (n_10403), .B (n_11131), .Y (n_11510));
+ OR2X1 g30731(.A (n_10402), .B (n_11126), .Y (n_11508));
+ DFFX1 \u9_mem_reg[3][30] (.CK (clk_i), .D (n_10701), .Q (), .QN
+ (\u9_mem[3]_86 ));
+ DFFX1 \u9_mem_reg[3][26] (.CK (clk_i), .D (n_10705), .Q (), .QN
+ (\u9_mem[3]_82 ));
+ DFFX1 \u10_wp_reg[3] (.CK (clk_i), .D (n_10778), .Q (\u10_wp[3] ),
+ .QN ());
+ DFFX1 \u9_mem_reg[3][22] (.CK (clk_i), .D (n_10709), .Q (), .QN
+ (\u9_mem[3]_78 ));
+ DFFX1 \u9_mem_reg[2][28] (.CK (clk_i), .D (n_10718), .Q (), .QN
+ (\u9_mem[2]_115 ));
+ DFFX1 \u9_mem_reg[2][24] (.CK (clk_i), .D (n_10723), .Q (), .QN
+ (\u9_mem[2]_111 ));
+ DFFX1 \u9_mem_reg[2][20] (.CK (clk_i), .D (n_10730), .Q (), .QN
+ (\u9_mem[2]_107 ));
+ NOR2X1 g30801(.A (n_10791), .B (n_10940), .Y (n_10999));
+ NOR2X1 g30802(.A (n_10789), .B (n_10940), .Y (n_10998));
+ DFFX1 \u9_mem_reg[1][28] (.CK (clk_i), .D (n_10742), .Q (), .QN
+ (\u9_mem[1]_146 ));
+ DFFX1 \u9_mem_reg[1][25] (.CK (clk_i), .D (n_10746), .Q (), .QN
+ (\u9_mem[1]_143 ));
+ DFFX1 \u9_mem_reg[1][22] (.CK (clk_i), .D (n_10751), .Q (), .QN
+ (\u9_mem[1]_140 ));
+ NOR2X1 g30820(.A (n_10786), .B (n_10994), .Y (n_10996));
+ DFFX1 \u10_mem_reg[2][24] (.CK (clk_i), .D (n_10763), .Q (), .QN
+ (\u10_mem[2]_111 ));
+ NOR2X1 g30821(.A (n_10784), .B (n_10994), .Y (n_10995));
+ DFFX1 \u11_mem_reg[3][14] (.CK (clk_i), .D (n_10457), .Q (), .QN
+ (\u11_mem[3]_70 ));
+ NAND3X1 g30108(.A (n_10992), .B (n_9998), .C (n_10332), .Y (n_10993));
+ AOI21X1 g31790(.A0 (n_10990), .A1 (n_9876), .B0 (n_10634), .Y
+ (n_10991));
+ AOI21X1 g31791(.A0 (n_10988), .A1 (n_9876), .B0 (n_10633), .Y
+ (n_10989));
+ NAND3X1 g30112(.A (n_10985), .B (n_9996), .C (n_10331), .Y (n_10986));
+ AOI21X1 g31799(.A0 (n_10983), .A1 (n_10617), .B0 (n_10614), .Y
+ (n_10984));
+ AOI21X1 g31800(.A0 (n_10981), .A1 (n_10617), .B0 (n_10613), .Y
+ (n_10982));
+ AOI21X1 g31802(.A0 (n_10978), .A1 (n_10605), .B0 (n_10589), .Y
+ (n_10979));
+ AOI21X1 g31803(.A0 (n_10976), .A1 (n_10605), .B0 (n_10587), .Y
+ (n_10977));
+ AOI21X1 g31804(.A0 (n_9602), .A1 (n_9873), .B0 (n_10574), .Y
+ (n_10974));
+ AOI21X1 g31805(.A0 (n_9952), .A1 (n_9873), .B0 (n_10573), .Y
+ (n_10973));
+ DFFX1 \u11_mem_reg[3][0] (.CK (clk_i), .D (n_10472), .Q (), .QN
+ (\u11_mem[3] ));
+ DFFX1 \u11_mem_reg[3][13] (.CK (clk_i), .D (n_10458), .Q (), .QN
+ (\u11_mem[3]_69 ));
+ DFFX1 \u10_mem_reg[1][0] (.CK (clk_i), .D (n_10544), .Q (), .QN
+ (\u10_mem[1] ));
+ MX2X1 g30128(.A (n_7010), .B (n_10330), .S0 (n_10992), .Y (n_10971));
+ MX2X1 g30129(.A (n_6756), .B (n_10328), .S0 (n_10985), .Y (n_10970));
+ DFFX1 \u11_mem_reg[1][15] (.CK (clk_i), .D (n_10558), .Q (), .QN
+ (\u11_mem[1]_133 ));
+ DFFX1 \u11_mem_reg[1][6] (.CK (clk_i), .D (n_10547), .Q (), .QN
+ (\u11_mem[1]_124 ));
+ MX2X1 g30138(.A (n_4801), .B (n_10095), .S0 (n_10992), .Y (n_10969));
+ MX2X1 g30139(.A (n_2594), .B (n_10094), .S0 (n_10985), .Y (n_10968));
+ DFFX1 \u1_slt2_reg[13] (.CK (bit_clk_pad_i), .D (n_10804), .Q
+ (in_slt_839), .QN ());
+ DFFX1 \u1_slt4_reg[13] (.CK (bit_clk_pad_i), .D (n_10802), .Q
+ (in_slt_431), .QN ());
+ DFFX1 \u1_slt6_reg[13] (.CK (bit_clk_pad_i), .D (n_10800), .Q
+ (in_slt_453), .QN ());
+ DFFX1 \u1_slt3_reg[13] (.CK (bit_clk_pad_i), .D (n_10803), .Q
+ (in_slt_409), .QN ());
+ DFFX1 \u10_mem_reg[2][18] (.CK (clk_i), .D (n_10772), .Q (), .QN
+ (\u10_mem[2]_105 ));
+ DFFX1 \u10_mem_reg[2][19] (.CK (clk_i), .D (n_10771), .Q (), .QN
+ (\u10_mem[2]_106 ));
+ DFFX1 \u10_mem_reg[2][20] (.CK (clk_i), .D (n_10770), .Q (), .QN
+ (\u10_mem[2]_107 ));
+ DFFX1 \u10_mem_reg[2][21] (.CK (clk_i), .D (n_10769), .Q (), .QN
+ (\u10_mem[2]_108 ));
+ DFFX1 \u10_mem_reg[2][22] (.CK (clk_i), .D (n_10768), .Q (), .QN
+ (\u10_mem[2]_109 ));
+ DFFX1 \u9_mem_reg[0][18] (.CK (clk_i), .D (n_10765), .Q (), .QN
+ (\u9_mem[0]_167 ));
+ DFFX1 \u9_mem_reg[0][19] (.CK (clk_i), .D (n_10764), .Q (), .QN
+ (\u9_mem[0]_168 ));
+ DFFX1 \u10_mem_reg[2][23] (.CK (clk_i), .D (n_10766), .Q (), .QN
+ (\u10_mem[2]_110 ));
+ DFFX1 \u10_mem_reg[2][25] (.CK (clk_i), .D (n_10762), .Q (), .QN
+ (\u10_mem[2]_112 ));
+ DFFX1 \u10_mem_reg[2][26] (.CK (clk_i), .D (n_10760), .Q (), .QN
+ (\u10_mem[2]_113 ));
+ DFFX1 \u10_mem_reg[2][27] (.CK (clk_i), .D (n_10758), .Q (), .QN
+ (\u10_mem[2]_114 ));
+ DFFX1 \u9_mem_reg[1][18] (.CK (clk_i), .D (n_10756), .Q (), .QN
+ (\u9_mem[1]_136 ));
+ DFFX1 \u9_mem_reg[1][19] (.CK (clk_i), .D (n_10755), .Q (), .QN
+ (\u9_mem[1]_137 ));
+ DFFX1 \u9_mem_reg[1][20] (.CK (clk_i), .D (n_10754), .Q (), .QN
+ (\u9_mem[1]_138 ));
+ DFFX1 \u9_mem_reg[1][21] (.CK (clk_i), .D (n_10753), .Q (), .QN
+ (\u9_mem[1]_139 ));
+ DFFX1 \u10_mem_reg[2][28] (.CK (clk_i), .D (n_10757), .Q (), .QN
+ (\u10_mem[2]_115 ));
+ DFFX1 \u9_mem_reg[1][23] (.CK (clk_i), .D (n_10750), .Q (), .QN
+ (\u9_mem[1]_141 ));
+ DFFX1 \u9_mem_reg[1][24] (.CK (clk_i), .D (n_10748), .Q (), .QN
+ (\u9_mem[1]_142 ));
+ DFFX1 \u9_mem_reg[1][26] (.CK (clk_i), .D (n_10744), .Q (), .QN
+ (\u9_mem[1]_144 ));
+ DFFX1 \u10_mem_reg[2][29] (.CK (clk_i), .D (n_10752), .Q (), .QN
+ (\u10_mem[2]_116 ));
+ DFFX1 \u9_mem_reg[1][27] (.CK (clk_i), .D (n_10743), .Q (), .QN
+ (\u9_mem[1]_145 ));
+ DFFX1 \u9_mem_reg[1][29] (.CK (clk_i), .D (n_10740), .Q (), .QN
+ (\u9_mem[1]_147 ));
+ DFFX1 \u9_mem_reg[1][30] (.CK (clk_i), .D (n_10739), .Q (), .QN
+ (\u9_mem[1]_148 ));
+ DFFX1 \u9_mem_reg[1][31] (.CK (clk_i), .D (n_10737), .Q (), .QN
+ (\u9_mem[1]_149 ));
+ DFFX1 \u10_mem_reg[2][30] (.CK (clk_i), .D (n_10735), .Q (), .QN
+ (\u10_mem[2]_117 ));
+ DFFX1 \u9_mem_reg[2][18] (.CK (clk_i), .D (n_10732), .Q (), .QN
+ (\u9_mem[2]_105 ));
+ DFFX1 \u9_mem_reg[2][19] (.CK (clk_i), .D (n_10731), .Q (), .QN
+ (\u9_mem[2]_106 ));
+ DFFX1 \u10_mem_reg[2][31] (.CK (clk_i), .D (n_10733), .Q (), .QN
+ (\u10_mem[2]_118 ));
+ DFFX1 \u9_mem_reg[2][21] (.CK (clk_i), .D (n_10728), .Q (), .QN
+ (\u9_mem[2]_108 ));
+ DFFX1 \u9_mem_reg[2][22] (.CK (clk_i), .D (n_10727), .Q (), .QN
+ (\u9_mem[2]_109 ));
+ DFFX1 \u9_mem_reg[2][23] (.CK (clk_i), .D (n_10725), .Q (), .QN
+ (\u9_mem[2]_110 ));
+ DFFX1 \u9_mem_reg[2][25] (.CK (clk_i), .D (n_10722), .Q (), .QN
+ (\u9_mem[2]_112 ));
+ DFFX1 \u9_mem_reg[2][26] (.CK (clk_i), .D (n_10721), .Q (), .QN
+ (\u9_mem[2]_113 ));
+ DFFX1 \u9_mem_reg[2][27] (.CK (clk_i), .D (n_10719), .Q (), .QN
+ (\u9_mem[2]_114 ));
+ DFFX1 \u9_mem_reg[2][29] (.CK (clk_i), .D (n_10717), .Q (), .QN
+ (\u9_mem[2]_116 ));
+ DFFX1 \u9_mem_reg[2][30] (.CK (clk_i), .D (n_10715), .Q (), .QN
+ (\u9_mem[2]_117 ));
+ DFFX1 \u9_mem_reg[2][31] (.CK (clk_i), .D (n_10714), .Q (), .QN
+ (\u9_mem[2]_118 ));
+ DFFX1 \u9_mem_reg[3][18] (.CK (clk_i), .D (n_10713), .Q (), .QN
+ (\u9_mem[3]_74 ));
+ DFFX1 \u9_mem_reg[3][19] (.CK (clk_i), .D (n_10712), .Q (), .QN
+ (\u9_mem[3]_75 ));
+ DFFX1 \u9_mem_reg[3][20] (.CK (clk_i), .D (n_10711), .Q (), .QN
+ (\u9_mem[3]_76 ));
+ DFFX1 \u9_mem_reg[3][21] (.CK (clk_i), .D (n_10710), .Q (), .QN
+ (\u9_mem[3]_77 ));
+ DFFX1 \u9_mem_reg[3][23] (.CK (clk_i), .D (n_10708), .Q (), .QN
+ (\u9_mem[3]_79 ));
+ DFFX1 \u9_mem_reg[3][24] (.CK (clk_i), .D (n_10707), .Q (), .QN
+ (\u9_mem[3]_80 ));
+ DFFX1 \u9_mem_reg[3][25] (.CK (clk_i), .D (n_10706), .Q (), .QN
+ (\u9_mem[3]_81 ));
+ DFFX1 \u9_mem_reg[3][27] (.CK (clk_i), .D (n_10704), .Q (), .QN
+ (\u9_mem[3]_83 ));
+ DFFX1 \u9_mem_reg[3][28] (.CK (clk_i), .D (n_10703), .Q (), .QN
+ (\u9_mem[3]_84 ));
+ DFFX1 \u9_mem_reg[3][29] (.CK (clk_i), .D (n_10702), .Q (), .QN
+ (\u9_mem[3]_85 ));
+ DFFX1 \u9_mem_reg[3][31] (.CK (clk_i), .D (n_10700), .Q (), .QN
+ (\u9_mem[3]_87 ));
+ DFFX1 \u10_mem_reg[3][18] (.CK (clk_i), .D (n_10699), .Q (), .QN
+ (\u10_mem[3]_74 ));
+ DFFX1 \u10_mem_reg[3][19] (.CK (clk_i), .D (n_10697), .Q (), .QN
+ (\u10_mem[3]_75 ));
+ DFFX1 \u10_mem_reg[3][20] (.CK (clk_i), .D (n_10695), .Q (), .QN
+ (\u10_mem[3]_76 ));
+ DFFX1 \u10_mem_reg[3][21] (.CK (clk_i), .D (n_10694), .Q (), .QN
+ (\u10_mem[3]_77 ));
+ DFFX1 \u10_mem_reg[3][22] (.CK (clk_i), .D (n_10693), .Q (), .QN
+ (\u10_mem[3]_78 ));
+ DFFX1 \u10_mem_reg[3][23] (.CK (clk_i), .D (n_10691), .Q (), .QN
+ (\u10_mem[3]_79 ));
+ DFFX1 \u10_mem_reg[3][25] (.CK (clk_i), .D (n_10688), .Q (), .QN
+ (\u10_mem[3]_81 ));
+ DFFX1 \u10_mem_reg[3][26] (.CK (clk_i), .D (n_10686), .Q (), .QN
+ (\u10_mem[3]_82 ));
+ DFFX1 \u10_mem_reg[3][27] (.CK (clk_i), .D (n_10685), .Q (), .QN
+ (\u10_mem[3]_83 ));
+ DFFX1 \u10_mem_reg[3][29] (.CK (clk_i), .D (n_10682), .Q (), .QN
+ (\u10_mem[3]_85 ));
+ DFFX1 \u10_mem_reg[3][30] (.CK (clk_i), .D (n_10681), .Q (), .QN
+ (\u10_mem[3]_86 ));
+ DFFX1 \u10_mem_reg[3][31] (.CK (clk_i), .D (n_10680), .Q (), .QN
+ (\u10_mem[3]_87 ));
+ DFFX1 \u10_mem_reg[0][19] (.CK (clk_i), .D (n_10677), .Q (), .QN
+ (\u10_mem[0]_168 ));
+ DFFX1 \u10_mem_reg[1][18] (.CK (clk_i), .D (n_10676), .Q (), .QN
+ (\u10_mem[1]_136 ));
+ DFFX1 \u10_mem_reg[1][19] (.CK (clk_i), .D (n_10675), .Q (), .QN
+ (\u10_mem[1]_137 ));
+ DFFX1 \u10_mem_reg[1][21] (.CK (clk_i), .D (n_10673), .Q (), .QN
+ (\u10_mem[1]_139 ));
+ DFFX1 \u10_mem_reg[1][22] (.CK (clk_i), .D (n_10672), .Q (), .QN
+ (\u10_mem[1]_140 ));
+ DFFX1 \u10_mem_reg[1][23] (.CK (clk_i), .D (n_10671), .Q (), .QN
+ (\u10_mem[1]_141 ));
+ DFFX1 \u10_mem_reg[1][24] (.CK (clk_i), .D (n_10670), .Q (), .QN
+ (\u10_mem[1]_142 ));
+ DFFX1 \u10_mem_reg[1][25] (.CK (clk_i), .D (n_10669), .Q (), .QN
+ (\u10_mem[1]_143 ));
+ DFFX1 \u10_mem_reg[1][26] (.CK (clk_i), .D (n_10805), .Q (), .QN
+ (\u10_mem[1]_144 ));
+ DFFX1 \u10_mem_reg[1][27] (.CK (clk_i), .D (n_10667), .Q (), .QN
+ (\u10_mem[1]_145 ));
+ DFFX1 \u10_mem_reg[1][28] (.CK (clk_i), .D (n_10666), .Q (), .QN
+ (\u10_mem[1]_146 ));
+ DFFX1 \u10_mem_reg[1][29] (.CK (clk_i), .D (n_10668), .Q (), .QN
+ (\u10_mem[1]_147 ));
+ DFFX1 \u10_mem_reg[1][20] (.CK (clk_i), .D (n_10674), .Q (), .QN
+ (\u10_mem[1]_138 ));
+ DFFX1 \u10_mem_reg[1][30] (.CK (clk_i), .D (n_10664), .Q (), .QN
+ (\u10_mem[1]_148 ));
+ DFFX1 \u10_mem_reg[1][31] (.CK (clk_i), .D (n_10665), .Q (), .QN
+ (\u10_mem[1]_149 ));
+ DFFX1 \u11_mem_reg[3][8] (.CK (clk_i), .D (n_10655), .Q (), .QN
+ (\u11_mem[3]_64 ));
+ DFFX1 \u11_mem_reg[3][9] (.CK (clk_i), .D (n_10654), .Q (), .QN
+ (\u11_mem[3]_65 ));
+ DFFX1 \u10_mem_reg[2][1] (.CK (clk_i), .D (n_10652), .Q (), .QN
+ (\u10_mem[2]_88 ));
+ DFFX1 \u10_mem_reg[2][5] (.CK (clk_i), .D (n_10651), .Q (), .QN
+ (\u10_mem[2]_92 ));
+ DFFX1 \u10_mem_reg[2][6] (.CK (clk_i), .D (n_10649), .Q (), .QN
+ (\u10_mem[2]_93 ));
+ DFFX1 \u10_mem_reg[3][3] (.CK (clk_i), .D (n_10566), .Q (), .QN
+ (\u10_mem[3]_59 ));
+ DFFX1 \u10_mem_reg[2][9] (.CK (clk_i), .D (n_10600), .Q (), .QN
+ (\u10_mem[2]_96 ));
+ DFFX1 \u11_mem_reg[1][0] (.CK (clk_i), .D (n_10563), .Q (), .QN
+ (\u11_mem[1] ));
+ DFFX1 \u11_mem_reg[1][10] (.CK (clk_i), .D (n_10562), .Q (), .QN
+ (\u11_mem[1]_128 ));
+ DFFX1 \u11_mem_reg[1][11] (.CK (clk_i), .D (n_10561), .Q (), .QN
+ (\u11_mem[1]_129 ));
+ DFFX1 \u10_mem_reg[3][2] (.CK (clk_i), .D (n_10567), .Q (), .QN
+ (\u10_mem[3]_58 ));
+ DFFX1 \u10_mem_reg[3][9] (.CK (clk_i), .D (n_10564), .Q (), .QN
+ (\u10_mem[3]_65 ));
+ DFFX1 \u11_mem_reg[1][14] (.CK (clk_i), .D (n_10559), .Q (), .QN
+ (\u11_mem[1]_132 ));
+ DFFX1 \u11_mem_reg[1][1] (.CK (clk_i), .D (n_10555), .Q (), .QN
+ (\u11_mem[1]_119 ));
+ DFFX1 \u11_mem_reg[1][2] (.CK (clk_i), .D (n_10554), .Q (), .QN
+ (\u11_mem[1]_120 ));
+ DFFX1 \u11_mem_reg[1][3] (.CK (clk_i), .D (n_10552), .Q (), .QN
+ (\u11_mem[1]_121 ));
+ DFFX1 \u11_mem_reg[1][4] (.CK (clk_i), .D (n_10551), .Q (), .QN
+ (\u11_mem[1]_122 ));
+ DFFX1 \u11_mem_reg[1][5] (.CK (clk_i), .D (n_10549), .Q (), .QN
+ (\u11_mem[1]_123 ));
+ DFFX1 \u11_mem_reg[1][7] (.CK (clk_i), .D (n_10546), .Q (), .QN
+ (\u11_mem[1]_125 ));
+ DFFX1 \u11_mem_reg[1][8] (.CK (clk_i), .D (n_10545), .Q (), .QN
+ (\u11_mem[1]_126 ));
+ DFFX1 \u11_mem_reg[1][9] (.CK (clk_i), .D (n_10543), .Q (), .QN
+ (\u11_mem[1]_127 ));
+ DFFX1 \u11_mem_reg[2][0] (.CK (clk_i), .D (n_10542), .Q (), .QN
+ (\u11_mem[2] ));
+ DFFX1 \u11_mem_reg[2][10] (.CK (clk_i), .D (n_10540), .Q (), .QN
+ (\u11_mem[2]_97 ));
+ DFFX1 \u11_mem_reg[2][11] (.CK (clk_i), .D (n_10539), .Q (), .QN
+ (\u11_mem[2]_98 ));
+ DFFX1 \u11_mem_reg[2][13] (.CK (clk_i), .D (n_10535), .Q (), .QN
+ (\u11_mem[2]_100 ));
+ DFFX1 \u11_mem_reg[2][14] (.CK (clk_i), .D (n_10529), .Q (), .QN
+ (\u11_mem[2]_101 ));
+ DFFX1 \u11_mem_reg[2][15] (.CK (clk_i), .D (n_10521), .Q (), .QN
+ (\u11_mem[2]_102 ));
+ DFFX1 \u11_mem_reg[2][16] (.CK (clk_i), .D (n_10514), .Q (), .QN
+ (\u11_mem[2]_103 ));
+ DFFX1 \u11_mem_reg[1][17] (.CK (clk_i), .D (n_10556), .Q (), .QN
+ (\u11_mem[1]_135 ));
+ DFFX1 \u11_mem_reg[2][12] (.CK (clk_i), .D (n_10538), .Q (), .QN
+ (\u11_mem[2]_99 ));
+ DFFX1 \u11_mem_reg[2][2] (.CK (clk_i), .D (n_10508), .Q (), .QN
+ (\u11_mem[2]_89 ));
+ DFFX1 \u11_mem_reg[2][3] (.CK (clk_i), .D (n_10501), .Q (), .QN
+ (\u11_mem[2]_90 ));
+ DFFX1 \u11_mem_reg[2][6] (.CK (clk_i), .D (n_10489), .Q (), .QN
+ (\u11_mem[2]_93 ));
+ DFFX1 \u11_mem_reg[2][4] (.CK (clk_i), .D (n_10498), .Q (), .QN
+ (\u11_mem[2]_91 ));
+ DFFX1 \u11_mem_reg[2][5] (.CK (clk_i), .D (n_10494), .Q (), .QN
+ (\u11_mem[2]_92 ));
+ DFFX1 \u11_mem_reg[2][9] (.CK (clk_i), .D (n_10476), .Q (), .QN
+ (\u11_mem[2]_96 ));
+ DFFX1 \u11_mem_reg[3][11] (.CK (clk_i), .D (n_10462), .Q (), .QN
+ (\u11_mem[3]_67 ));
+ DFFX1 \u11_mem_reg[3][12] (.CK (clk_i), .D (n_10459), .Q (), .QN
+ (\u11_mem[3]_68 ));
+ DFFX1 \u11_mem_reg[3][15] (.CK (clk_i), .D (n_10456), .Q (), .QN
+ (\u11_mem[3]_71 ));
+ DFFX1 \u11_mem_reg[3][10] (.CK (clk_i), .D (n_10466), .Q (), .QN
+ (\u11_mem[3]_66 ));
+ DFFX1 \u11_mem_reg[3][2] (.CK (clk_i), .D (n_10454), .Q (), .QN
+ (\u11_mem[3]_58 ));
+ DFFX1 \u11_mem_reg[3][3] (.CK (clk_i), .D (n_10453), .Q (), .QN
+ (\u11_mem[3]_59 ));
+ DFFX1 \u11_mem_reg[3][4] (.CK (clk_i), .D (n_10452), .Q (), .QN
+ (\u11_mem[3]_60 ));
+ DFFX1 \u11_mem_reg[3][1] (.CK (clk_i), .D (n_10455), .Q (), .QN
+ (\u11_mem[3]_57 ));
+ DFFX1 \u10_wp_reg[1] (.CK (clk_i), .D (n_10780), .Q (\u10_wp[1] ),
+ .QN ());
+ DFFX1 \u10_wp_reg[2] (.CK (clk_i), .D (n_10801), .Q (\u10_wp[2] ),
+ .QN ());
+ DFFX1 \u10_mem_reg[0][2] (.CK (clk_i), .D (n_10412), .Q (), .QN
+ (\u10_mem[0]_151 ));
+ DFFX1 \u11_mem_reg[0][5] (.CK (clk_i), .D (n_10422), .Q (), .QN
+ (\u11_mem[0]_154 ));
+ DFFX1 \u10_mem_reg[0][13] (.CK (clk_i), .D (n_10449), .Q (), .QN
+ (\u10_mem[0]_162 ));
+ DFFX1 \u10_mem_reg[0][12] (.CK (clk_i), .D (n_10451), .Q (), .QN
+ (\u10_mem[0]_161 ));
+ DFFX1 \u11_mem_reg[0][16] (.CK (clk_i), .D (n_10448), .Q (), .QN
+ (\u11_mem[0]_165 ));
+ DFFX1 \u11_mem_reg[0][20] (.CK (clk_i), .D (n_10445), .Q (), .QN
+ (\u11_mem[0]_169 ));
+ DFFX1 \u11_mem_reg[0][21] (.CK (clk_i), .D (n_10444), .Q (), .QN
+ (\u11_mem[0]_170 ));
+ DFFX1 \u11_mem_reg[0][22] (.CK (clk_i), .D (n_10443), .Q (), .QN
+ (\u11_mem[0]_171 ));
+ DFFX1 \u11_mem_reg[0][23] (.CK (clk_i), .D (n_10441), .Q (), .QN
+ (\u11_mem[0]_172 ));
+ DFFX1 \u11_mem_reg[0][24] (.CK (clk_i), .D (n_10440), .Q (), .QN
+ (\u11_mem[0]_173 ));
+ DFFX1 \u11_mem_reg[0][25] (.CK (clk_i), .D (n_10439), .Q (), .QN
+ (\u11_mem[0]_174 ));
+ DFFX1 \u10_mem_reg[0][21] (.CK (clk_i), .D (n_10438), .Q (), .QN
+ (\u10_mem[0]_170 ));
+ DFFX1 \u11_mem_reg[0][26] (.CK (clk_i), .D (n_10437), .Q (), .QN
+ (\u11_mem[0]_175 ));
+ DFFX1 \u11_mem_reg[0][27] (.CK (clk_i), .D (n_10436), .Q (), .QN
+ (\u11_mem[0]_176 ));
+ DFFX1 \u10_mem_reg[0][22] (.CK (clk_i), .D (n_10435), .Q (), .QN
+ (\u10_mem[0]_171 ));
+ DFFX1 \u11_mem_reg[0][28] (.CK (clk_i), .D (n_10433), .Q (), .QN
+ (\u11_mem[0]_177 ));
+ DFFX1 \u11_mem_reg[0][29] (.CK (clk_i), .D (n_10432), .Q (), .QN
+ (\u11_mem[0]_178 ));
+ DFFX1 \u11_mem_reg[0][2] (.CK (clk_i), .D (n_10430), .Q (), .QN
+ (\u11_mem[0]_151 ));
+ DFFX1 \u11_mem_reg[0][30] (.CK (clk_i), .D (n_10429), .Q (), .QN
+ (\u11_mem[0]_179 ));
+ DFFX1 \u11_mem_reg[0][31] (.CK (clk_i), .D (n_10428), .Q (), .QN
+ (\u11_mem[0]_180 ));
+ DFFX1 \u11_mem_reg[0][3] (.CK (clk_i), .D (n_10425), .Q (), .QN
+ (\u11_mem[0]_152 ));
+ DFFX1 \u10_mem_reg[0][25] (.CK (clk_i), .D (n_10426), .Q (), .QN
+ (\u10_mem[0]_174 ));
+ DFFX1 \u11_mem_reg[0][4] (.CK (clk_i), .D (n_10424), .Q (), .QN
+ (\u11_mem[0]_153 ));
+ DFFX1 \u10_mem_reg[0][26] (.CK (clk_i), .D (n_10423), .Q (), .QN
+ (\u10_mem[0]_175 ));
+ DFFX1 \u10_mem_reg[0][27] (.CK (clk_i), .D (n_10421), .Q (), .QN
+ (\u10_mem[0]_176 ));
+ DFFX1 \u11_mem_reg[0][6] (.CK (clk_i), .D (n_10420), .Q (), .QN
+ (\u11_mem[0]_155 ));
+ DFFX1 \u10_mem_reg[0][28] (.CK (clk_i), .D (n_10418), .Q (), .QN
+ (\u10_mem[0]_177 ));
+ DFFX1 \u11_mem_reg[0][7] (.CK (clk_i), .D (n_10417), .Q (), .QN
+ (\u11_mem[0]_156 ));
+ DFFX1 \u11_mem_reg[0][8] (.CK (clk_i), .D (n_10416), .Q (), .QN
+ (\u11_mem[0]_157 ));
+ DFFX1 \u10_mem_reg[0][29] (.CK (clk_i), .D (n_10415), .Q (), .QN
+ (\u10_mem[0]_178 ));
+ DFFX1 \u11_mem_reg[0][9] (.CK (clk_i), .D (n_10413), .Q (), .QN
+ (\u11_mem[0]_158 ));
+ DFFX1 \u10_mem_reg[0][5] (.CK (clk_i), .D (n_10411), .Q (), .QN
+ (\u10_mem[0]_154 ));
+ DFFSRX1 \u11_wp_reg[0] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_10777), .Q (\u11_wp[0] ), .QN ());
+ DFFSRX1 \u25_int_set_reg[2] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_10794), .Q (), .QN (ic2_int_set_724));
+ OR2X1 g29969(.A (\u13_ints_r[11] ), .B (oc3_int_set), .Y (n_10967));
+ OR2X1 g29973(.A (\u13_ints_r[5] ), .B (oc1_int_set), .Y (n_10966));
+ DFFX1 \u10_mem_reg[0][1] (.CK (clk_i), .D (n_10446), .Q (), .QN
+ (\u10_mem[0]_150 ));
+ NOR2X1 g29975(.A (n_10409), .B (dma_ack_i[0]), .Y (n_11125));
+ NOR2X1 g29977(.A (n_10408), .B (dma_ack_i[2]), .Y (n_11124));
+ NOR2X1 g29979(.A (n_10407), .B (dma_ack_i[4]), .Y (n_11123));
+ NOR2X1 g29980(.A (n_10406), .B (dma_ack_i[5]), .Y (n_11122));
+ DFFX1 \u11_mem_reg[0][17] (.CK (clk_i), .D (n_10447), .Q (), .QN
+ (\u11_mem[0]_166 ));
+ MX2X1 g30031(.A (u1_sr_130), .B (in_slt_840), .S0 (out_le_181), .Y
+ (n_10964));
+ NOR2X1 g30709(.A (n_10776), .B (n_12589), .Y (n_10963));
+ MX2X1 g30032(.A (u1_sr_130), .B (in_slt_410), .S0 (out_le_182), .Y
+ (n_10961));
+ NOR2X1 g30712(.A (n_10775), .B (n_11136), .Y (n_10960));
+ NOR2X1 g30713(.A (n_10661), .B (n_11136), .Y (n_10959));
+ MX2X1 g30033(.A (u1_sr_130), .B (in_slt_432), .S0 (out_le_183), .Y
+ (n_10958));
+ MX2X1 g30034(.A (u1_sr_130), .B (in_slt_454), .S0 (out_le_184), .Y
+ (n_10957));
+ DFFX1 \u10_mem_reg[3][17] (.CK (clk_i), .D (n_10224), .Q (), .QN
+ (\u10_mem[3]_73 ));
+ DFFX1 \u1_sr_reg[15] (.CK (bit_clk_pad_i), .D (u1_sr_130), .Q
+ (u1_sr_131), .QN ());
+ OAI22X1 g30741(.A0 (n_10954), .A1 (n_6077), .B0 (out_slt4), .B1
+ (n_10953), .Y (n_10956));
+ OAI22X1 g30742(.A0 (n_10954), .A1 (n_6080), .B0 (n_10953), .B1
+ (out_slt_84), .Y (n_10955));
+ OAI22X1 g30743(.A0 (n_10950), .A1 (n_5566), .B0 (out_slt6), .B1
+ (n_10949), .Y (n_10952));
+ OAI22X1 g30744(.A0 (n_10950), .A1 (n_5558), .B0 (n_10949), .B1
+ (out_slt_103), .Y (n_10951));
+ DFFX1 \u10_mem_reg[0][11] (.CK (clk_i), .D (n_10135), .Q (), .QN
+ (\u10_mem[0]_160 ));
+ DFFX1 \u9_mem_reg[0][4] (.CK (clk_i), .D (n_10147), .Q (), .QN
+ (\u9_mem[0]_153 ));
+ AND2X1 g30789(.A (n_10340), .B (n_11772), .Y (n_10948));
+ AOI21X1 g30790(.A0 (n_12008), .A1 (n_12009), .B0 (n_10945), .Y
+ (n_10947));
+ AOI21X1 g30791(.A0 (n_11988), .A1 (n_11989), .B0 (n_10945), .Y
+ (n_10946));
+ AOI21X1 g30792(.A0 (n_12010), .A1 (n_12011), .B0 (n_10940), .Y
+ (n_10944));
+ AOI21X1 g30793(.A0 (n_11674), .A1 (n_11675), .B0 (n_1473), .Y
+ (n_10943));
+ AOI21X1 g30794(.A0 (n_11676), .A1 (n_11677), .B0 (n_1473), .Y
+ (n_10942));
+ AOI21X1 g30795(.A0 (n_12000), .A1 (n_12001), .B0 (n_10940), .Y
+ (n_10941));
+ AOI21X1 g30796(.A0 (n_11984), .A1 (n_11985), .B0 (n_1473), .Y
+ (n_10939));
+ AOI21X1 g30797(.A0 (n_12018), .A1 (n_12019), .B0 (n_1473), .Y
+ (n_10937));
+ DFFX1 \u9_wp_reg[3] (.CK (clk_i), .D (n_10335), .Q (\u9_wp[3] ), .QN
+ ());
+ AOI21X1 g30799(.A0 (n_11948), .A1 (n_11949), .B0 (n_10945), .Y
+ (n_10935));
+ AOI21X1 g30800(.A0 (n_12012), .A1 (n_12013), .B0 (n_1473), .Y
+ (n_10934));
+ DFFX1 \u9_mem_reg[0][8] (.CK (clk_i), .D (n_10142), .Q (), .QN
+ (\u9_mem[0]_157 ));
+ AOI21X1 g30803(.A0 (n_12140), .A1 (n_11536), .B0 (n_1473), .Y
+ (n_10933));
+ AOI21X1 g30804(.A0 (n_12150), .A1 (n_11538), .B0 (n_10940), .Y
+ (n_10932));
+ AOI21X1 g30805(.A0 (n_12042), .A1 (n_12043), .B0 (n_10940), .Y
+ (n_10931));
+ AOI21X1 g30806(.A0 (n_11950), .A1 (n_11951), .B0 (n_10945), .Y
+ (n_10930));
+ AOI21X1 g30807(.A0 (n_11980), .A1 (n_11981), .B0 (n_1473), .Y
+ (n_10929));
+ AOI21X1 g30808(.A0 (n_12006), .A1 (n_12007), .B0 (n_1473), .Y
+ (n_10928));
+ AOI21X1 g30810(.A0 (n_9933), .A1 (n_9815), .B0 (n_2485), .Y
+ (n_10927));
+ AOI21X1 g30811(.A0 (n_9932), .A1 (n_9813), .B0 (n_2485), .Y
+ (n_10926));
+ AOI21X1 g30812(.A0 (n_9930), .A1 (n_9812), .B0 (n_10921), .Y
+ (n_10924));
+ AOI21X1 g30813(.A0 (n_9929), .A1 (n_9811), .B0 (n_10916), .Y
+ (n_10923));
+ AOI21X1 g30814(.A0 (n_9928), .A1 (n_9810), .B0 (n_10921), .Y
+ (n_10922));
+ AOI21X1 g30815(.A0 (n_9927), .A1 (n_9808), .B0 (n_2485), .Y
+ (n_10920));
+ AOI21X1 g30816(.A0 (n_9926), .A1 (n_9807), .B0 (n_2485), .Y
+ (n_10919));
+ AOI21X1 g30817(.A0 (n_9925), .A1 (n_9806), .B0 (n_10916), .Y
+ (n_10917));
+ AOI21X1 g30818(.A0 (n_12835), .A1 (n_12836), .B0 (n_2485), .Y
+ (n_10915));
+ AOI21X1 g30819(.A0 (n_9922), .A1 (n_9804), .B0 (n_10921), .Y
+ (n_10914));
+ AOI21X1 g30822(.A0 (n_12610), .A1 (n_11999), .B0 (n_10921), .Y
+ (n_10913));
+ AOI21X1 g30823(.A0 (n_12811), .A1 (n_12812), .B0 (n_2485), .Y
+ (n_10912));
+ AOI21X1 g30824(.A0 (n_12813), .A1 (n_12814), .B0 (n_2485), .Y
+ (n_10911));
+ AOI21X1 g30825(.A0 (n_12815), .A1 (n_12816), .B0 (n_10916), .Y
+ (n_10910));
+ AOI21X1 g30826(.A0 (n_9915), .A1 (n_9798), .B0 (n_10916), .Y
+ (n_10909));
+ AOI21X1 g30827(.A0 (n_9914), .A1 (n_9797), .B0 (n_2485), .Y
+ (n_10908));
+ INVX1 g31741(.A (n_10799), .Y (n_10907));
+ INVX1 g31747(.A (n_10798), .Y (n_10906));
+ INVX1 g31750(.A (n_10796), .Y (n_10905));
+ INVX1 g31753(.A (n_10795), .Y (n_10904));
+ DFFX1 \u10_mem_reg[1][8] (.CK (clk_i), .D (n_10179), .Q (), .QN
+ (\u10_mem[1]_126 ));
+ DFFX1 \u9_mem_reg[0][2] (.CK (clk_i), .D (n_10152), .Q (), .QN
+ (\u9_mem[0]_151 ));
+ DFFX1 \u9_mem_reg[0][26] (.CK (clk_i), .D (n_10157), .Q (), .QN
+ (\u9_mem[0]_175 ));
+ DFFX1 \u10_mem_reg[1][6] (.CK (clk_i), .D (n_10181), .Q (), .QN
+ (\u10_mem[1]_124 ));
+ DFFX1 \u9_mem_reg[0][17] (.CK (clk_i), .D (n_10166), .Q (), .QN
+ (\u9_mem[0]_166 ));
+ DFFX1 \u9_mem_reg[0][13] (.CK (clk_i), .D (n_10170), .Q (), .QN
+ (\u9_mem[0]_162 ));
+ INVX1 g31806(.A (n_10781), .Y (n_10903));
+ DFFX1 \u10_mem_reg[1][1] (.CK (clk_i), .D (n_10187), .Q (), .QN
+ (\u10_mem[1]_119 ));
+ DFFX1 \u10_mem_reg[1][15] (.CK (clk_i), .D (n_10197), .Q (), .QN
+ (\u10_mem[1]_133 ));
+ DFFX1 \u10_mem_reg[1][13] (.CK (clk_i), .D (n_10211), .Q (), .QN
+ (\u10_mem[1]_131 ));
+ DFFX1 \u10_mem_reg[1][10] (.CK (clk_i), .D (n_10215), .Q (), .QN
+ (\u10_mem[1]_128 ));
+ DFFX1 \u10_mem_reg[3][8] (.CK (clk_i), .D (n_10216), .Q (), .QN
+ (\u10_mem[3]_64 ));
+ AND2X1 g30906(.A (n_10338), .B (n_11772), .Y (n_10902));
+ AND2X1 g30909(.A (n_10337), .B (n_11772), .Y (n_10900));
+ OAI21X1 g31019(.A0 (n_5428), .A1 (n_10820), .B0 (n_10393), .Y
+ (n_10899));
+ OAI21X1 g31020(.A0 (n_5416), .A1 (n_10880), .B0 (n_10392), .Y
+ (n_10898));
+ OAI21X1 g31022(.A0 (n_5414), .A1 (n_10880), .B0 (n_10390), .Y
+ (n_10897));
+ OAI21X1 g31023(.A0 (n_5406), .A1 (n_10880), .B0 (n_10389), .Y
+ (n_10896));
+ OAI21X1 g31024(.A0 (n_5336), .A1 (n_10820), .B0 (n_10388), .Y
+ (n_10895));
+ OAI21X1 g31025(.A0 (n_5323), .A1 (n_10820), .B0 (n_10387), .Y
+ (n_10894));
+ OAI21X1 g31026(.A0 (n_5332), .A1 (n_10820), .B0 (n_10386), .Y
+ (n_10893));
+ OAI21X1 g31027(.A0 (n_5329), .A1 (n_10880), .B0 (n_10384), .Y
+ (n_10892));
+ OAI21X1 g31028(.A0 (n_5327), .A1 (n_10880), .B0 (n_10383), .Y
+ (n_10890));
+ OAI21X1 g31029(.A0 (n_5281), .A1 (n_10820), .B0 (n_10382), .Y
+ (n_10888));
+ OAI21X1 g31030(.A0 (n_5326), .A1 (n_10880), .B0 (n_10380), .Y
+ (n_10886));
+ OAI21X1 g31031(.A0 (n_5319), .A1 (n_10820), .B0 (n_10379), .Y
+ (n_10885));
+ OAI21X1 g31032(.A0 (n_5320), .A1 (n_10820), .B0 (n_10378), .Y
+ (n_10884));
+ OAI21X1 g31033(.A0 (n_5325), .A1 (n_10820), .B0 (n_10377), .Y
+ (n_10882));
+ OAI21X1 g31034(.A0 (n_5338), .A1 (n_10880), .B0 (n_10375), .Y
+ (n_10881));
+ OAI21X1 g31035(.A0 (n_5381), .A1 (n_10880), .B0 (n_10374), .Y
+ (n_10879));
+ OAI21X1 g31036(.A0 (n_5412), .A1 (n_10820), .B0 (n_10373), .Y
+ (n_10877));
+ OAI21X1 g31037(.A0 (n_5411), .A1 (n_10880), .B0 (n_10372), .Y
+ (n_10876));
+ OAI21X1 g31038(.A0 (n_5337), .A1 (n_10820), .B0 (n_10371), .Y
+ (n_10875));
+ OAI21X1 g31039(.A0 (n_5318), .A1 (n_10820), .B0 (n_10370), .Y
+ (n_10873));
+ OAI21X1 g31040(.A0 (n_5316), .A1 (n_10820), .B0 (n_10369), .Y
+ (n_10872));
+ OAI21X1 g31041(.A0 (n_5314), .A1 (n_10820), .B0 (n_10367), .Y
+ (n_10870));
+ OAI21X1 g31042(.A0 (n_5322), .A1 (n_10820), .B0 (n_10366), .Y
+ (n_10868));
+ OAI21X1 g31043(.A0 (n_5311), .A1 (n_10820), .B0 (n_10365), .Y
+ (n_10867));
+ OAI21X1 g31045(.A0 (n_5310), .A1 (n_10820), .B0 (n_10364), .Y
+ (n_10866));
+ OAI21X1 g31046(.A0 (n_5308), .A1 (n_10820), .B0 (n_10363), .Y
+ (n_10864));
+ OAI21X1 g31048(.A0 (n_5305), .A1 (n_10880), .B0 (n_10362), .Y
+ (n_10863));
+ OAI21X1 g31049(.A0 (n_5299), .A1 (n_10820), .B0 (n_10361), .Y
+ (n_10862));
+ OAI21X1 g31050(.A0 (n_5297), .A1 (n_10820), .B0 (n_10360), .Y
+ (n_10860));
+ OAI21X1 g31052(.A0 (n_5301), .A1 (n_10820), .B0 (n_10359), .Y
+ (n_10859));
+ DFFX1 \u10_mem_reg[0][23] (.CK (clk_i), .D (n_10129), .Q (), .QN
+ (\u10_mem[0]_172 ));
+ DFFX1 \u11_din_tmp1_reg[8] (.CK (clk_i), .D (n_10097), .Q
+ (u11_din_tmp_49), .QN ());
+ DFFX1 \u9_mem_reg[2][12] (.CK (clk_i), .D (n_10285), .Q (), .QN
+ (\u9_mem[2]_99 ));
+ DFFX1 \u10_mem_reg[3][13] (.CK (clk_i), .D (n_10229), .Q (), .QN
+ (\u10_mem[3]_69 ));
+ DFFX1 \u10_mem_reg[3][0] (.CK (clk_i), .D (n_10234), .Q (), .QN
+ (\u10_mem[3] ));
+ DFFX1 \u9_mem_reg[3][4] (.CK (clk_i), .D (n_10243), .Q (), .QN
+ (\u9_mem[3]_60 ));
+ DFFX1 \u9_mem_reg[3][1] (.CK (clk_i), .D (n_10251), .Q (), .QN
+ (\u9_mem[3]_57 ));
+ DFFX1 \u9_mem_reg[3][15] (.CK (clk_i), .D (n_10254), .Q (), .QN
+ (\u9_mem[3]_71 ));
+ DFFX1 \u9_mem_reg[2][5] (.CK (clk_i), .D (n_10269), .Q (), .QN
+ (\u9_mem[2]_92 ));
+ DFFX1 \u9_mem_reg[2][2] (.CK (clk_i), .D (n_10275), .Q (), .QN
+ (\u9_mem[2]_89 ));
+ DFFX1 \u10_mem_reg[1][9] (.CK (clk_i), .D (n_10178), .Q (), .QN
+ (\u10_mem[1]_127 ));
+ DFFX1 \u10_mem_reg[2][0] (.CK (clk_i), .D (n_10326), .Q (), .QN
+ (\u10_mem[2] ));
+ DFFX1 \u10_mem_reg[2][11] (.CK (clk_i), .D (n_10323), .Q (), .QN
+ (\u10_mem[2]_98 ));
+ DFFX1 \u10_mem_reg[2][12] (.CK (clk_i), .D (n_10322), .Q (), .QN
+ (\u10_mem[2]_99 ));
+ DFFX1 \u10_mem_reg[2][13] (.CK (clk_i), .D (n_10321), .Q (), .QN
+ (\u10_mem[2]_100 ));
+ DFFX1 \u10_mem_reg[2][14] (.CK (clk_i), .D (n_10319), .Q (), .QN
+ (\u10_mem[2]_101 ));
+ DFFX1 \u10_mem_reg[2][15] (.CK (clk_i), .D (n_10318), .Q (), .QN
+ (\u10_mem[2]_102 ));
+ DFFX1 \u10_mem_reg[2][16] (.CK (clk_i), .D (n_10317), .Q (), .QN
+ (\u10_mem[2]_103 ));
+ DFFX1 \u10_mem_reg[2][10] (.CK (clk_i), .D (n_10325), .Q (), .QN
+ (\u10_mem[2]_97 ));
+ DFFX1 \u9_mem_reg[1][0] (.CK (clk_i), .D (n_10314), .Q (), .QN
+ (\u9_mem[1] ));
+ DFFX1 \u9_mem_reg[1][10] (.CK (clk_i), .D (n_10313), .Q (), .QN
+ (\u9_mem[1]_128 ));
+ DFFX1 \u9_mem_reg[1][12] (.CK (clk_i), .D (n_10310), .Q (), .QN
+ (\u9_mem[1]_130 ));
+ DFFX1 \u9_mem_reg[1][13] (.CK (clk_i), .D (n_10309), .Q (), .QN
+ (\u9_mem[1]_131 ));
+ DFFX1 \u9_mem_reg[1][14] (.CK (clk_i), .D (n_10307), .Q (), .QN
+ (\u9_mem[1]_132 ));
+ DFFX1 \u9_mem_reg[1][16] (.CK (clk_i), .D (n_10305), .Q (), .QN
+ (\u9_mem[1]_134 ));
+ DFFX1 \u9_mem_reg[1][17] (.CK (clk_i), .D (n_10304), .Q (), .QN
+ (\u9_mem[1]_135 ));
+ DFFX1 \u9_mem_reg[1][1] (.CK (clk_i), .D (n_10302), .Q (), .QN
+ (\u9_mem[1]_119 ));
+ DFFX1 \u9_mem_reg[1][2] (.CK (clk_i), .D (n_10300), .Q (), .QN
+ (\u9_mem[1]_120 ));
+ DFFX1 \u9_mem_reg[1][3] (.CK (clk_i), .D (n_10299), .Q (), .QN
+ (\u9_mem[1]_121 ));
+ DFFX1 \u9_mem_reg[1][4] (.CK (clk_i), .D (n_10298), .Q (), .QN
+ (\u9_mem[1]_122 ));
+ DFFX1 \u9_mem_reg[1][5] (.CK (clk_i), .D (n_10296), .Q (), .QN
+ (\u9_mem[1]_123 ));
+ DFFX1 \u9_mem_reg[1][6] (.CK (clk_i), .D (n_10295), .Q (), .QN
+ (\u9_mem[1]_124 ));
+ DFFX1 \u9_mem_reg[1][7] (.CK (clk_i), .D (n_10293), .Q (), .QN
+ (\u9_mem[1]_125 ));
+ DFFX1 \u9_mem_reg[1][8] (.CK (clk_i), .D (n_10291), .Q (), .QN
+ (\u9_mem[1]_126 ));
+ DFFX1 \u9_mem_reg[2][0] (.CK (clk_i), .D (n_10289), .Q (), .QN
+ (\u9_mem[2] ));
+ DFFX1 \u9_mem_reg[2][10] (.CK (clk_i), .D (n_10288), .Q (), .QN
+ (\u9_mem[2]_97 ));
+ DFFX1 \u9_mem_reg[2][11] (.CK (clk_i), .D (n_10287), .Q (), .QN
+ (\u9_mem[2]_98 ));
+ DFFX1 \u9_mem_reg[2][14] (.CK (clk_i), .D (n_10283), .Q (), .QN
+ (\u9_mem[2]_101 ));
+ DFFX1 \u9_mem_reg[2][15] (.CK (clk_i), .D (n_10281), .Q (), .QN
+ (\u9_mem[2]_102 ));
+ DFFX1 \u9_mem_reg[2][16] (.CK (clk_i), .D (n_10280), .Q (), .QN
+ (\u9_mem[2]_103 ));
+ DFFX1 \u9_mem_reg[2][17] (.CK (clk_i), .D (n_10279), .Q (), .QN
+ (\u9_mem[2]_104 ));
+ DFFX1 \u9_mem_reg[2][1] (.CK (clk_i), .D (n_10278), .Q (), .QN
+ (\u9_mem[2]_88 ));
+ DFFX1 \u10_mem_reg[2][3] (.CK (clk_i), .D (n_10276), .Q (), .QN
+ (\u10_mem[2]_90 ));
+ DFFX1 \u9_mem_reg[2][3] (.CK (clk_i), .D (n_10272), .Q (), .QN
+ (\u9_mem[2]_90 ));
+ DFFX1 \u10_mem_reg[2][4] (.CK (clk_i), .D (n_10274), .Q (), .QN
+ (\u10_mem[2]_91 ));
+ DFFX1 \u9_mem_reg[2][4] (.CK (clk_i), .D (n_10270), .Q (), .QN
+ (\u9_mem[2]_91 ));
+ DFFX1 \u9_mem_reg[2][6] (.CK (clk_i), .D (n_10268), .Q (), .QN
+ (\u9_mem[2]_93 ));
+ DFFX1 \u9_mem_reg[2][7] (.CK (clk_i), .D (n_10266), .Q (), .QN
+ (\u9_mem[2]_94 ));
+ DFFX1 \u9_mem_reg[2][8] (.CK (clk_i), .D (n_10265), .Q (), .QN
+ (\u9_mem[2]_95 ));
+ DFFX1 \u9_mem_reg[3][0] (.CK (clk_i), .D (n_10263), .Q (), .QN
+ (\u9_mem[3] ));
+ DFFX1 \u9_mem_reg[3][10] (.CK (clk_i), .D (n_10262), .Q (), .QN
+ (\u9_mem[3]_66 ));
+ DFFX1 \u9_mem_reg[3][11] (.CK (clk_i), .D (n_10261), .Q (), .QN
+ (\u9_mem[3]_67 ));
+ DFFX1 \u9_mem_reg[3][12] (.CK (clk_i), .D (n_10259), .Q (), .QN
+ (\u9_mem[3]_68 ));
+ DFFX1 \u9_mem_reg[3][13] (.CK (clk_i), .D (n_10258), .Q (), .QN
+ (\u9_mem[3]_69 ));
+ DFFX1 \u9_mem_reg[3][14] (.CK (clk_i), .D (n_10256), .Q (), .QN
+ (\u9_mem[3]_70 ));
+ DFFX1 \u9_mem_reg[2][13] (.CK (clk_i), .D (n_10284), .Q (), .QN
+ (\u9_mem[2]_100 ));
+ DFFX1 \u9_mem_reg[3][16] (.CK (clk_i), .D (n_10253), .Q (), .QN
+ (\u9_mem[3]_72 ));
+ DFFX1 \u9_mem_reg[3][17] (.CK (clk_i), .D (n_10252), .Q (), .QN
+ (\u9_mem[3]_73 ));
+ DFFX1 \u10_mem_reg[2][7] (.CK (clk_i), .D (n_10249), .Q (), .QN
+ (\u10_mem[2]_94 ));
+ DFFX1 \u9_mem_reg[3][2] (.CK (clk_i), .D (n_10247), .Q (), .QN
+ (\u9_mem[3]_58 ));
+ DFFX1 \u9_mem_reg[3][3] (.CK (clk_i), .D (n_10245), .Q (), .QN
+ (\u9_mem[3]_59 ));
+ DFFX1 \u9_mem_reg[3][5] (.CK (clk_i), .D (n_10242), .Q (), .QN
+ (\u9_mem[3]_61 ));
+ DFFX1 \u9_mem_reg[3][6] (.CK (clk_i), .D (n_10241), .Q (), .QN
+ (\u9_mem[3]_62 ));
+ DFFX1 \u9_mem_reg[3][7] (.CK (clk_i), .D (n_10239), .Q (), .QN
+ (\u9_mem[3]_63 ));
+ DFFX1 \u10_mem_reg[2][8] (.CK (clk_i), .D (n_10244), .Q (), .QN
+ (\u10_mem[2]_95 ));
+ DFFX1 \u9_mem_reg[3][9] (.CK (clk_i), .D (n_10236), .Q (), .QN
+ (\u9_mem[3]_65 ));
+ DFFX1 \u9_mem_reg[3][8] (.CK (clk_i), .D (n_10238), .Q (), .QN
+ (\u9_mem[3]_64 ));
+ DFFX1 \u10_mem_reg[3][10] (.CK (clk_i), .D (n_10233), .Q (), .QN
+ (\u10_mem[3]_66 ));
+ DFFX1 \u10_mem_reg[3][11] (.CK (clk_i), .D (n_10232), .Q (), .QN
+ (\u10_mem[3]_67 ));
+ DFFX1 \u10_mem_reg[3][12] (.CK (clk_i), .D (n_10230), .Q (), .QN
+ (\u10_mem[3]_68 ));
+ DFFX1 \u10_mem_reg[3][14] (.CK (clk_i), .D (n_10228), .Q (), .QN
+ (\u10_mem[3]_70 ));
+ DFFX1 \u10_mem_reg[3][15] (.CK (clk_i), .D (n_10226), .Q (), .QN
+ (\u10_mem[3]_71 ));
+ DFFX1 \u10_mem_reg[3][16] (.CK (clk_i), .D (n_10225), .Q (), .QN
+ (\u10_mem[3]_72 ));
+ DFFX1 \u10_mem_reg[3][1] (.CK (clk_i), .D (n_10223), .Q (), .QN
+ (\u10_mem[3]_57 ));
+ DFFX1 \u10_mem_reg[3][4] (.CK (clk_i), .D (n_10221), .Q (), .QN
+ (\u10_mem[3]_60 ));
+ DFFX1 \u10_mem_reg[3][5] (.CK (clk_i), .D (n_10220), .Q (), .QN
+ (\u10_mem[3]_61 ));
+ DFFX1 \u10_mem_reg[3][6] (.CK (clk_i), .D (n_10219), .Q (), .QN
+ (\u10_mem[3]_62 ));
+ DFFX1 \u10_mem_reg[3][7] (.CK (clk_i), .D (n_10217), .Q (), .QN
+ (\u10_mem[3]_63 ));
+ OAI21X1 g31062(.A0 (n_5581), .A1 (n_10880), .B0 (n_10358), .Y
+ (n_10858));
+ DFFX1 \u10_mem_reg[1][11] (.CK (clk_i), .D (n_10214), .Q (), .QN
+ (\u10_mem[1]_129 ));
+ DFFX1 \u10_mem_reg[1][12] (.CK (clk_i), .D (n_10212), .Q (), .QN
+ (\u10_mem[1]_130 ));
+ DFFX1 \u10_mem_reg[1][14] (.CK (clk_i), .D (n_10205), .Q (), .QN
+ (\u10_mem[1]_132 ));
+ DFFX1 \u10_mem_reg[1][17] (.CK (clk_i), .D (n_10190), .Q (), .QN
+ (\u10_mem[1]_135 ));
+ DFFX1 \u10_mem_reg[1][16] (.CK (clk_i), .D (n_10194), .Q (), .QN
+ (\u10_mem[1]_134 ));
+ DFFX1 \u9_mem_reg[1][9] (.CK (clk_i), .D (n_10290), .Q (), .QN
+ (\u9_mem[1]_127 ));
+ DFFX1 \u10_mem_reg[1][3] (.CK (clk_i), .D (n_10184), .Q (), .QN
+ (\u10_mem[1]_121 ));
+ DFFX1 \u10_mem_reg[1][4] (.CK (clk_i), .D (n_10183), .Q (), .QN
+ (\u10_mem[1]_122 ));
+ DFFX1 \u10_mem_reg[1][5] (.CK (clk_i), .D (n_10182), .Q (), .QN
+ (\u10_mem[1]_123 ));
+ DFFX1 \u10_mem_reg[1][2] (.CK (clk_i), .D (n_10185), .Q (), .QN
+ (\u10_mem[1]_120 ));
+ DFFX1 \u10_mem_reg[2][2] (.CK (clk_i), .D (n_10301), .Q (), .QN
+ (\u10_mem[2]_89 ));
+ DFFX1 \u10_mem_reg[1][7] (.CK (clk_i), .D (n_10180), .Q (), .QN
+ (\u10_mem[1]_125 ));
+ OAI21X1 g31063(.A0 (n_5583), .A1 (n_10880), .B0 (n_10357), .Y
+ (n_10857));
+ DFFX1 \u9_wp_reg[2] (.CK (clk_i), .D (n_10394), .Q (\u9_wp[2] ), .QN
+ ());
+ DFFX1 \u9_mem_reg[1][15] (.CK (clk_i), .D (n_10306), .Q (), .QN
+ (\u9_mem[1]_133 ));
+ DFFX1 \u9_mem_reg[1][11] (.CK (clk_i), .D (n_10311), .Q (), .QN
+ (\u9_mem[1]_129 ));
+ DFFX1 \u10_mem_reg[2][17] (.CK (clk_i), .D (n_10316), .Q (), .QN
+ (\u10_mem[2]_104 ));
+ DFFX1 \u10_mem_reg[0][24] (.CK (clk_i), .D (n_10128), .Q (), .QN
+ (\u10_mem[0]_173 ));
+ OAI21X1 g31064(.A0 (n_5495), .A1 (n_10880), .B0 (n_10356), .Y
+ (n_10856));
+ DFFX1 \u11_din_tmp1_reg[4] (.CK (clk_i), .D (n_10101), .Q
+ (u11_din_tmp_45), .QN ());
+ DFFX1 \u10_mem_reg[0][8] (.CK (clk_i), .D (n_10119), .Q (), .QN
+ (\u10_mem[0]_157 ));
+ DFFX1 \u10_mem_reg[0][4] (.CK (clk_i), .D (n_10123), .Q (), .QN
+ (\u10_mem[0]_153 ));
+ DFFX1 \u9_mem_reg[0][0] (.CK (clk_i), .D (n_10176), .Q (), .QN
+ (\u9_mem[0] ));
+ DFFX1 \u9_mem_reg[0][10] (.CK (clk_i), .D (n_10174), .Q (), .QN
+ (\u9_mem[0]_159 ));
+ DFFX1 \u9_mem_reg[0][11] (.CK (clk_i), .D (n_10173), .Q (), .QN
+ (\u9_mem[0]_160 ));
+ DFFX1 \u9_mem_reg[0][12] (.CK (clk_i), .D (n_10172), .Q (), .QN
+ (\u9_mem[0]_161 ));
+ DFFX1 \u9_mem_reg[0][14] (.CK (clk_i), .D (n_10169), .Q (), .QN
+ (\u9_mem[0]_163 ));
+ DFFX1 \u9_mem_reg[0][15] (.CK (clk_i), .D (n_10168), .Q (), .QN
+ (\u9_mem[0]_164 ));
+ DFFX1 \u9_mem_reg[0][16] (.CK (clk_i), .D (n_10167), .Q (), .QN
+ (\u9_mem[0]_165 ));
+ DFFX1 \u9_mem_reg[0][1] (.CK (clk_i), .D (n_10165), .Q (), .QN
+ (\u9_mem[0]_150 ));
+ DFFX1 \u9_mem_reg[0][20] (.CK (clk_i), .D (n_10164), .Q (), .QN
+ (\u9_mem[0]_169 ));
+ DFFX1 \u9_mem_reg[0][21] (.CK (clk_i), .D (n_10163), .Q (), .QN
+ (\u9_mem[0]_170 ));
+ DFFX1 \u9_mem_reg[0][22] (.CK (clk_i), .D (n_10162), .Q (), .QN
+ (\u9_mem[0]_171 ));
+ DFFX1 \u9_mem_reg[0][23] (.CK (clk_i), .D (n_10160), .Q (), .QN
+ (\u9_mem[0]_172 ));
+ DFFX1 \u9_mem_reg[0][24] (.CK (clk_i), .D (n_10159), .Q (), .QN
+ (\u9_mem[0]_173 ));
+ DFFX1 \u9_mem_reg[0][25] (.CK (clk_i), .D (n_10158), .Q (), .QN
+ (\u9_mem[0]_174 ));
+ DFFX1 \u9_mem_reg[0][27] (.CK (clk_i), .D (n_10156), .Q (), .QN
+ (\u9_mem[0]_176 ));
+ DFFX1 \u9_mem_reg[0][28] (.CK (clk_i), .D (n_10155), .Q (), .QN
+ (\u9_mem[0]_177 ));
+ DFFX1 \u9_mem_reg[0][29] (.CK (clk_i), .D (n_10154), .Q (), .QN
+ (\u9_mem[0]_178 ));
+ DFFX1 \u9_mem_reg[0][30] (.CK (clk_i), .D (n_10151), .Q (), .QN
+ (\u9_mem[0]_179 ));
+ DFFX1 \u9_mem_reg[0][31] (.CK (clk_i), .D (n_10150), .Q (), .QN
+ (\u9_mem[0]_180 ));
+ DFFX1 \u9_mem_reg[0][3] (.CK (clk_i), .D (n_10148), .Q (), .QN
+ (\u9_mem[0]_152 ));
+ DFFX1 \u9_mem_reg[0][5] (.CK (clk_i), .D (n_10146), .Q (), .QN
+ (\u9_mem[0]_154 ));
+ DFFX1 \u9_mem_reg[0][6] (.CK (clk_i), .D (n_10145), .Q (), .QN
+ (\u9_mem[0]_155 ));
+ DFFX1 \u9_mem_reg[0][7] (.CK (clk_i), .D (n_10143), .Q (), .QN
+ (\u9_mem[0]_156 ));
+ DFFX1 \u9_mem_reg[0][9] (.CK (clk_i), .D (n_10141), .Q (), .QN
+ (\u9_mem[0]_158 ));
+ DFFX1 \u10_mem_reg[0][0] (.CK (clk_i), .D (n_10138), .Q (), .QN
+ (\u10_mem[0] ));
+ DFFX1 \u10_mem_reg[0][10] (.CK (clk_i), .D (n_10136), .Q (), .QN
+ (\u10_mem[0]_159 ));
+ OAI21X1 g31066(.A0 (n_5527), .A1 (n_10820), .B0 (n_10355), .Y
+ (n_10855));
+ DFFX1 \u10_mem_reg[0][14] (.CK (clk_i), .D (n_10134), .Q (), .QN
+ (\u10_mem[0]_163 ));
+ DFFX1 \u10_mem_reg[0][15] (.CK (clk_i), .D (n_10133), .Q (), .QN
+ (\u10_mem[0]_164 ));
+ DFFX1 \u10_mem_reg[0][16] (.CK (clk_i), .D (n_10132), .Q (), .QN
+ (\u10_mem[0]_165 ));
+ DFFX1 \u10_mem_reg[0][17] (.CK (clk_i), .D (n_10131), .Q (), .QN
+ (\u10_mem[0]_166 ));
+ OAI21X1 g31067(.A0 (n_5489), .A1 (n_10820), .B0 (n_10354), .Y
+ (n_10854));
+ DFFX1 \u10_mem_reg[0][31] (.CK (clk_i), .D (n_10126), .Q (), .QN
+ (\u10_mem[0]_180 ));
+ DFFX1 \u10_mem_reg[0][3] (.CK (clk_i), .D (n_10124), .Q (), .QN
+ (\u10_mem[0]_152 ));
+ DFFX1 \u10_mem_reg[0][30] (.CK (clk_i), .D (n_10127), .Q (), .QN
+ (\u10_mem[0]_179 ));
+ DFFX1 \u10_mem_reg[0][6] (.CK (clk_i), .D (n_10122), .Q (), .QN
+ (\u10_mem[0]_155 ));
+ DFFX1 \u10_mem_reg[0][7] (.CK (clk_i), .D (n_10120), .Q (), .QN
+ (\u10_mem[0]_156 ));
+ DFFX1 \u10_mem_reg[0][9] (.CK (clk_i), .D (n_10118), .Q (), .QN
+ (\u10_mem[0]_158 ));
+ DFFSRX1 \u10_wp_reg[0] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_10333), .Q (n_9641), .QN ());
+ DFFX1 \u11_din_tmp1_reg[0] (.CK (clk_i), .D (n_10115), .Q
+ (u11_din_tmp1), .QN ());
+ DFFX1 \u11_din_tmp1_reg[10] (.CK (clk_i), .D (n_10114), .Q
+ (u11_din_tmp_51), .QN ());
+ DFFX1 \u11_din_tmp1_reg[11] (.CK (clk_i), .D (n_10112), .Q
+ (u11_din_tmp_52), .QN ());
+ DFFX1 \u11_din_tmp1_reg[12] (.CK (clk_i), .D (n_10111), .Q
+ (u11_din_tmp_53), .QN ());
+ DFFX1 \u11_din_tmp1_reg[13] (.CK (clk_i), .D (n_10110), .Q
+ (u11_din_tmp_54), .QN ());
+ DFFX1 \u11_din_tmp1_reg[14] (.CK (clk_i), .D (n_10108), .Q
+ (u11_din_tmp_55), .QN ());
+ DFFX1 \u11_din_tmp1_reg[15] (.CK (clk_i), .D (n_10106), .Q
+ (u11_din_tmp_56), .QN ());
+ DFFX1 \u11_din_tmp1_reg[1] (.CK (clk_i), .D (n_10105), .Q
+ (u11_din_tmp_42), .QN ());
+ DFFX1 \u11_din_tmp1_reg[2] (.CK (clk_i), .D (n_10104), .Q
+ (u11_din_tmp_43), .QN ());
+ DFFX1 \u11_din_tmp1_reg[3] (.CK (clk_i), .D (n_10102), .Q
+ (u11_din_tmp_44), .QN ());
+ DFFX1 \u11_din_tmp1_reg[5] (.CK (clk_i), .D (n_10100), .Q
+ (u11_din_tmp_46), .QN ());
+ DFFX1 \u11_din_tmp1_reg[6] (.CK (clk_i), .D (n_10099), .Q
+ (u11_din_tmp_47), .QN ());
+ DFFX1 \u11_din_tmp1_reg[7] (.CK (clk_i), .D (n_10098), .Q
+ (u11_din_tmp_48), .QN ());
+ DFFX1 \u11_din_tmp1_reg[9] (.CK (clk_i), .D (n_10096), .Q
+ (u11_din_tmp_50), .QN ());
+ DFFX1 \u9_mem_reg[2][9] (.CK (clk_i), .D (n_10264), .Q (), .QN
+ (\u9_mem[2]_96 ));
+ DFFSRX1 \u18_int_set_reg[1] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_10344), .Q (), .QN (oc1_int_set_709));
+ DFFSRX1 \u19_int_set_reg[1] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_10343), .Q (), .QN (oc2_int_set_711));
+ DFFSRX1 \u24_int_set_reg[2] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_10342), .Q (), .QN (ic1_int_set_722));
+ DFFSRX1 u15_crac_wr_reg(.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_10339), .Q (crac_wr), .QN ());
+ DFFSRX1 \u13_ints_r_reg[1] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_10399), .Q (\u13_ints_r[1] ), .QN ());
+ OAI21X1 g31069(.A0 (n_5491), .A1 (n_10880), .B0 (n_10353), .Y
+ (n_10853));
+ OAI21X1 g31070(.A0 (n_5497), .A1 (n_10820), .B0 (n_10352), .Y
+ (n_10852));
+ OAI21X1 g31071(.A0 (n_5493), .A1 (n_10820), .B0 (n_10351), .Y
+ (n_10851));
+ OAI21X1 g31072(.A0 (n_5501), .A1 (n_10820), .B0 (n_10350), .Y
+ (n_10850));
+ OAI21X1 g31073(.A0 (n_5503), .A1 (n_10820), .B0 (n_10349), .Y
+ (n_10849));
+ OAI21X1 g31074(.A0 (n_5499), .A1 (n_10820), .B0 (n_10348), .Y
+ (n_10848));
+ OAI21X1 g31075(.A0 (n_5525), .A1 (n_10820), .B0 (n_10347), .Y
+ (n_10847));
+ OAI21X1 g31076(.A0 (n_5509), .A1 (n_10880), .B0 (n_10346), .Y
+ (n_10846));
+ OAI21X1 g31077(.A0 (n_5523), .A1 (n_10880), .B0 (n_10345), .Y
+ (n_10845));
+ MX2X1 g31179(.A (n_6516), .B (n_6515), .S0 (n_10839), .Y (n_10844));
+ MX2X1 g31180(.A (n_6512), .B (n_6511), .S0 (n_10820), .Y (n_10843));
+ MX2X1 g31183(.A (n_5991), .B (n_5990), .S0 (n_9818), .Y (n_10842));
+ DFFX1 \u10_mem_reg[0][20] (.CK (clk_i), .D (n_10130), .Q (), .QN
+ (\u10_mem[0]_169 ));
+ MX2X1 g31207(.A (n_5979), .B (n_5978), .S0 (n_10839), .Y (n_10841));
+ MX2X1 g31209(.A (n_6455), .B (n_6454), .S0 (n_10839), .Y (n_10840));
+ MX2X1 g31219(.A (n_6531), .B (n_6530), .S0 (n_10839), .Y (n_10838));
+ MX2X1 g31220(.A (n_6432), .B (n_6431), .S0 (n_10839), .Y (n_10837));
+ MX2X1 g31229(.A (n_6024), .B (n_6023), .S0 (n_10839), .Y (n_10836));
+ MX2X1 g31230(.A (n_6027), .B (n_6026), .S0 (n_10839), .Y (n_10834));
+ OR2X1 g29970(.A (\u13_ints_r[14] ), .B (oc4_int_set), .Y (n_10833));
+ OR2X1 g29971(.A (\u13_ints_r[17] ), .B (oc5_int_set), .Y (n_10832));
+ OR2X1 g29972(.A (\u13_ints_r[2] ), .B (oc0_int_set), .Y (n_10831));
+ OR2X1 g29974(.A (\u13_ints_r[8] ), .B (oc2_int_set), .Y (n_10830));
+ MX2X1 g31242(.A (n_6557), .B (n_6556), .S0 (n_10839), .Y (n_10829));
+ MX2X1 g31243(.A (n_6659), .B (n_6658), .S0 (n_9818), .Y (n_10828));
+ MX2X1 g31245(.A (n_6626), .B (n_6625), .S0 (n_9818), .Y (n_10827));
+ XOR2X1 g31246(.A (n_610), .B (n_9908), .Y (n_10825));
+ XOR2X1 g31272(.A (n_634), .B (n_9907), .Y (n_10822));
+ MX2X1 g31285(.A (n_6378), .B (n_6377), .S0 (n_10820), .Y (n_10821));
+ MX2X1 g31287(.A (n_6373), .B (n_6372), .S0 (n_10820), .Y (n_10819));
+ MX2X1 g31288(.A (n_6371), .B (n_6370), .S0 (n_10820), .Y (n_10818));
+ MX2X1 g31291(.A (n_6367), .B (n_6366), .S0 (n_9818), .Y (n_10817));
+ MX2X1 g31290(.A (n_6369), .B (n_6368), .S0 (n_9818), .Y (n_10815));
+ MX2X1 g31293(.A (n_6364), .B (n_6363), .S0 (n_9818), .Y (n_10814));
+ MX2X1 g31295(.A (n_6361), .B (n_6360), .S0 (n_9818), .Y (n_10813));
+ MX2X1 g31301(.A (n_6389), .B (n_6388), .S0 (n_9818), .Y (n_10812));
+ XOR2X1 g31327(.A (n_784), .B (n_9902), .Y (n_10811));
+ XOR2X1 g31346(.A (\u7_rp[0] ), .B (n_9901), .Y (n_10810));
+ AOI21X1 g30026(.A0 (n_9607), .A1 (n_9768), .B0 (n_10401), .Y
+ (n_10809));
+ AOI21X1 g30028(.A0 (n_9605), .A1 (n_9766), .B0 (n_10400), .Y
+ (n_10808));
+ AND2X1 g30714(.A (n_10140), .B (n_2343), .Y (n_10807));
+ AND2X1 g30715(.A (n_10139), .B (n_9833), .Y (n_10806));
+ DFFX1 \u0_slt9_r_reg[3] (.CK (bit_clk_pad_i), .D (n_9953), .Q
+ (u0_slt9_r_170), .QN ());
+ OAI21X1 g31058(.A0 (n_4766), .A1 (n_10679), .B0 (n_9980), .Y
+ (n_10805));
+ MX2X1 g30078(.A (u1_sr_129), .B (in_slt_839), .S0 (out_le_181), .Y
+ (n_10804));
+ MX2X1 g30079(.A (u1_sr_129), .B (in_slt_409), .S0 (out_le_182), .Y
+ (n_10803));
+ MX2X1 g30080(.A (u1_sr_129), .B (in_slt_431), .S0 (out_le_183), .Y
+ (n_10802));
+ AND2X1 g30809(.A (n_9964), .B (n_11600), .Y (n_10801));
+ MX2X1 g30081(.A (u1_sr_129), .B (in_slt_453), .S0 (out_le_184), .Y
+ (n_10800));
+ DFFX1 \u10_din_tmp1_reg[13] (.CK (clk_i), .D (n_9856), .Q
+ (u10_din_tmp_54), .QN ());
+ NAND3X1 g31737(.A (n_6816), .B (n_10518), .C (n_1873), .Y (n_11109));
+ AOI21X1 g31742(.A0 (n_9543), .A1 (n_12689), .B0 (n_616), .Y
+ (n_10799));
+ AOI21X1 g31748(.A0 (n_9536), .A1 (n_10518), .B0 (n_676), .Y
+ (n_10798));
+ AOI21X1 g31751(.A0 (n_9534), .A1 (n_12161), .B0 (n_668), .Y
+ (n_10796));
+ NAND3X1 g31752(.A (n_6773), .B (n_12503), .C (n_1481), .Y (n_11105));
+ AOI21X1 g31754(.A0 (n_9532), .A1 (n_10483), .B0 (n_611), .Y
+ (n_10795));
+ INVX1 g31759(.A (n_10341), .Y (n_10794));
+ NAND3X1 g31762(.A (n_12115), .B (n_12689), .C (n_862), .Y (n_11100));
+ NAND3X1 g31764(.A (n_6259), .B (n_10483), .C (n_8182), .Y (n_11097));
+ AOI21X1 g31784(.A0 (n_10790), .A1 (n_10787), .B0 (n_9941), .Y
+ (n_10791));
+ AOI21X1 g31785(.A0 (n_10788), .A1 (n_10787), .B0 (n_9940), .Y
+ (n_10789));
+ AOI21X1 g31787(.A0 (n_10785), .A1 (n_9931), .B0 (n_9921), .Y
+ (n_10786));
+ AOI21X1 g31788(.A0 (n_10783), .A1 (n_9931), .B0 (n_9920), .Y
+ (n_10784));
+ AOI22X1 g31807(.A0 (n_9795), .A1 (u15_crac_rd), .B0 (crac_out_876),
+ .B1 (u15_crac_we_r), .Y (n_10781));
+ DFFX1 \u1_slt6_reg[12] (.CK (bit_clk_pad_i), .D (n_9954), .Q
+ (in_slt_452), .QN ());
+ AND2X1 g30908(.A (n_9961), .B (n_11600), .Y (n_10780));
+ AND2X1 g30911(.A (n_9960), .B (n_11600), .Y (n_10778));
+ NAND2X1 g30924(.A (n_10086), .B (n_9910), .Y (n_10777));
+ AOI21X1 g30933(.A0 (n_11893), .A1 (n_12278), .B0 (n_9966), .Y
+ (n_10776));
+ AOI21X1 g30934(.A0 (n_12339), .A1 (n_763), .B0 (n_9965), .Y
+ (n_10775));
+ AOI21X1 g30937(.A0 (n_11891), .A1 (n_762), .B0 (n_9963), .Y
+ (n_10774));
+ AOI21X1 g30939(.A0 (n_11889), .A1 (n_754), .B0 (n_9962), .Y
+ (n_10773));
+ OAI21X1 g30946(.A0 (n_5426), .A1 (n_10679), .B0 (n_10084), .Y
+ (n_10772));
+ OAI21X1 g30947(.A0 (n_5425), .A1 (n_10450), .B0 (n_10082), .Y
+ (n_10771));
+ OAI21X1 g30948(.A0 (n_5380), .A1 (n_10450), .B0 (n_10080), .Y
+ (n_10770));
+ OAI21X1 g30949(.A0 (n_4793), .A1 (n_10450), .B0 (n_10079), .Y
+ (n_10769));
+ OAI21X1 g30950(.A0 (n_5379), .A1 (n_10679), .B0 (n_10078), .Y
+ (n_10768));
+ OAI21X1 g30951(.A0 (n_5283), .A1 (n_10450), .B0 (n_10076), .Y
+ (n_10766));
+ OAI21X1 g30952(.A0 (n_5740), .A1 (n_10747), .B0 (n_10075), .Y
+ (n_10765));
+ OAI21X1 g30953(.A0 (n_5738), .A1 (n_10747), .B0 (n_10074), .Y
+ (n_10764));
+ OAI21X1 g30954(.A0 (n_5378), .A1 (n_10450), .B0 (n_10072), .Y
+ (n_10763));
+ OAI21X1 g30955(.A0 (n_5377), .A1 (n_10450), .B0 (n_10071), .Y
+ (n_10762));
+ OAI21X1 g30956(.A0 (n_4762), .A1 (n_10450), .B0 (n_10069), .Y
+ (n_10760));
+ OAI21X1 g30957(.A0 (n_4760), .A1 (n_10679), .B0 (n_10067), .Y
+ (n_10758));
+ OAI21X1 g30958(.A0 (n_4752), .A1 (n_10450), .B0 (n_10066), .Y
+ (n_10757));
+ OAI21X1 g30959(.A0 (n_5737), .A1 (n_10747), .B0 (n_10064), .Y
+ (n_10756));
+ OAI21X1 g30960(.A0 (n_5731), .A1 (n_10747), .B0 (n_9991), .Y
+ (n_10755));
+ OAI21X1 g30961(.A0 (n_4791), .A1 (n_10747), .B0 (n_10063), .Y
+ (n_10754));
+ OAI21X1 g30962(.A0 (n_4790), .A1 (n_10738), .B0 (n_10062), .Y
+ (n_10753));
+ OAI21X1 g30963(.A0 (n_5342), .A1 (n_10450), .B0 (n_10058), .Y
+ (n_10752));
+ OAI21X1 g30964(.A0 (n_4794), .A1 (n_10738), .B0 (n_10060), .Y
+ (n_10751));
+ OAI21X1 g30965(.A0 (n_4789), .A1 (n_10747), .B0 (n_10059), .Y
+ (n_10750));
+ OAI21X1 g30966(.A0 (n_4754), .A1 (n_10747), .B0 (n_9995), .Y
+ (n_10748));
+ OAI21X1 g30967(.A0 (n_4788), .A1 (n_10747), .B0 (n_10057), .Y
+ (n_10746));
+ OAI21X1 g30968(.A0 (n_4750), .A1 (n_10738), .B0 (n_10056), .Y
+ (n_10744));
+ OAI21X1 g30969(.A0 (n_4786), .A1 (n_10747), .B0 (n_10055), .Y
+ (n_10743));
+ OAI21X1 g30970(.A0 (n_4785), .A1 (n_10738), .B0 (n_10053), .Y
+ (n_10742));
+ OAI21X1 g30971(.A0 (n_4784), .A1 (n_10747), .B0 (n_10052), .Y
+ (n_10740));
+ OAI21X1 g30972(.A0 (n_4782), .A1 (n_10738), .B0 (n_10050), .Y
+ (n_10739));
+ OAI21X1 g30973(.A0 (n_4781), .A1 (n_10747), .B0 (n_10049), .Y
+ (n_10737));
+ OAI21X1 g30974(.A0 (n_5331), .A1 (n_10450), .B0 (n_10048), .Y
+ (n_10735));
+ OAI21X1 g30975(.A0 (n_5340), .A1 (n_10679), .B0 (n_10047), .Y
+ (n_10733));
+ OAI21X1 g30976(.A0 (n_5735), .A1 (n_10019), .B0 (n_10046), .Y
+ (n_10732));
+ OAI21X1 g30977(.A0 (n_5734), .A1 (n_10747), .B0 (n_10044), .Y
+ (n_10731));
+ OAI21X1 g30978(.A0 (n_4779), .A1 (n_10738), .B0 (n_10043), .Y
+ (n_10730));
+ OAI21X1 g30979(.A0 (n_4748), .A1 (n_10738), .B0 (n_10042), .Y
+ (n_10728));
+ OAI21X1 g30980(.A0 (n_4777), .A1 (n_10747), .B0 (n_10041), .Y
+ (n_10727));
+ OAI21X1 g30981(.A0 (n_4758), .A1 (n_10738), .B0 (n_10040), .Y
+ (n_10725));
+ OAI21X1 g30982(.A0 (n_4775), .A1 (n_10747), .B0 (n_10038), .Y
+ (n_10723));
+ OAI21X1 g30983(.A0 (n_4756), .A1 (n_10738), .B0 (n_9994), .Y
+ (n_10722));
+ OAI21X1 g30984(.A0 (n_4774), .A1 (n_10747), .B0 (n_10037), .Y
+ (n_10721));
+ OAI21X1 g30985(.A0 (n_4744), .A1 (n_10738), .B0 (n_10035), .Y
+ (n_10719));
+ OAI21X1 g30986(.A0 (n_4773), .A1 (n_10747), .B0 (n_10034), .Y
+ (n_10718));
+ OAI21X1 g30987(.A0 (n_4770), .A1 (n_10019), .B0 (n_10032), .Y
+ (n_10717));
+ OAI21X1 g30988(.A0 (n_4765), .A1 (n_10019), .B0 (n_10031), .Y
+ (n_10715));
+ OAI21X1 g30989(.A0 (n_4768), .A1 (n_10738), .B0 (n_10030), .Y
+ (n_10714));
+ OAI21X1 g30990(.A0 (n_6090), .A1 (n_10738), .B0 (n_10029), .Y
+ (n_10713));
+ OAI21X1 g30991(.A0 (n_6088), .A1 (n_10747), .B0 (n_10028), .Y
+ (n_10712));
+ OAI21X1 g30992(.A0 (n_5295), .A1 (n_10747), .B0 (n_10027), .Y
+ (n_10711));
+ OAI21X1 g30993(.A0 (n_5375), .A1 (n_10747), .B0 (n_10026), .Y
+ (n_10710));
+ OAI21X1 g30994(.A0 (n_5344), .A1 (n_10019), .B0 (n_10025), .Y
+ (n_10709));
+ OAI21X1 g30995(.A0 (n_5373), .A1 (n_10747), .B0 (n_10023), .Y
+ (n_10708));
+ OAI21X1 g30996(.A0 (n_5334), .A1 (n_10747), .B0 (n_10022), .Y
+ (n_10707));
+ OAI21X1 g30997(.A0 (n_5303), .A1 (n_10747), .B0 (n_10020), .Y
+ (n_10706));
+ OAI21X1 g30998(.A0 (n_5370), .A1 (n_10747), .B0 (n_9997), .Y
+ (n_10705));
+ OAI21X1 g30999(.A0 (n_5368), .A1 (n_10738), .B0 (n_10018), .Y
+ (n_10704));
+ OAI21X1 g31000(.A0 (n_5348), .A1 (n_10738), .B0 (n_10017), .Y
+ (n_10703));
+ OAI21X1 g31001(.A0 (n_5346), .A1 (n_10738), .B0 (n_10016), .Y
+ (n_10702));
+ OAI21X1 g31002(.A0 (n_5366), .A1 (n_10747), .B0 (n_10015), .Y
+ (n_10701));
+ OAI21X1 g31003(.A0 (n_5351), .A1 (n_10738), .B0 (n_10014), .Y
+ (n_10700));
+ OAI21X1 g31004(.A0 (n_5578), .A1 (n_10450), .B0 (n_10013), .Y
+ (n_10699));
+ OAI21X1 g31005(.A0 (n_5576), .A1 (n_10450), .B0 (n_10012), .Y
+ (n_10697));
+ OAI21X1 g31006(.A0 (n_5521), .A1 (n_10679), .B0 (n_10011), .Y
+ (n_10695));
+ OAI21X1 g31007(.A0 (n_5364), .A1 (n_10450), .B0 (n_10009), .Y
+ (n_10694));
+ OAI21X1 g31008(.A0 (n_5519), .A1 (n_10450), .B0 (n_10008), .Y
+ (n_10693));
+ OAI21X1 g31009(.A0 (n_5517), .A1 (n_10679), .B0 (n_10007), .Y
+ (n_10691));
+ OAI21X1 g31010(.A0 (n_5515), .A1 (n_10679), .B0 (n_10006), .Y
+ (n_10690));
+ OAI21X1 g31011(.A0 (n_5513), .A1 (n_10679), .B0 (n_10005), .Y
+ (n_10688));
+ OAI21X1 g31012(.A0 (n_5360), .A1 (n_10679), .B0 (n_10004), .Y
+ (n_10686));
+ OAI21X1 g31013(.A0 (n_5358), .A1 (n_10450), .B0 (n_10003), .Y
+ (n_10685));
+ OAI21X1 g31014(.A0 (n_5353), .A1 (n_10450), .B0 (n_10002), .Y
+ (n_10684));
+ OAI21X1 g31015(.A0 (n_5511), .A1 (n_10450), .B0 (n_10001), .Y
+ (n_10682));
+ OAI21X1 g31016(.A0 (n_5507), .A1 (n_10450), .B0 (n_10000), .Y
+ (n_10681));
+ OAI21X1 g31017(.A0 (n_5505), .A1 (n_10679), .B0 (n_9999), .Y
+ (n_10680));
+ OAI21X1 g31018(.A0 (n_5419), .A1 (n_10679), .B0 (n_9993), .Y
+ (n_10678));
+ OAI21X1 g31021(.A0 (n_5415), .A1 (n_10450), .B0 (n_9992), .Y
+ (n_10677));
+ OAI21X1 g31044(.A0 (n_5408), .A1 (n_10450), .B0 (n_9988), .Y
+ (n_10676));
+ OAI21X1 g31047(.A0 (n_5423), .A1 (n_10450), .B0 (n_9987), .Y
+ (n_10675));
+ OAI21X1 g31051(.A0 (n_5293), .A1 (n_10450), .B0 (n_9986), .Y
+ (n_10674));
+ OAI21X1 g31053(.A0 (n_4746), .A1 (n_10679), .B0 (n_9985), .Y
+ (n_10673));
+ OAI21X1 g31054(.A0 (n_5291), .A1 (n_10679), .B0 (n_9984), .Y
+ (n_10672));
+ OAI21X1 g31055(.A0 (n_5289), .A1 (n_10450), .B0 (n_9983), .Y
+ (n_10671));
+ DFFSRX1 \u13_ints_r_reg[26] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_10083), .Q (\u13_ints_r[26] ), .QN ());
+ DFFX1 \u1_slt0_reg[12] (.CK (bit_clk_pad_i), .D (n_9958), .Q
+ (in_slt_739), .QN ());
+ DFFX1 \u1_slt2_reg[12] (.CK (bit_clk_pad_i), .D (n_9957), .Q
+ (in_slt_838), .QN ());
+ DFFX1 \u1_slt3_reg[12] (.CK (bit_clk_pad_i), .D (n_9956), .Q
+ (in_slt_408), .QN ());
+ DFFX1 \u1_slt4_reg[12] (.CK (bit_clk_pad_i), .D (n_9955), .Q
+ (in_slt_430), .QN ());
+ OAI21X1 g31056(.A0 (n_5288), .A1 (n_10450), .B0 (n_9982), .Y
+ (n_10670));
+ DFFX1 \u10_din_tmp1_reg[11] (.CK (clk_i), .D (n_9858), .Q
+ (u10_din_tmp_52), .QN ());
+ OAI21X1 g31057(.A0 (n_5356), .A1 (n_10450), .B0 (n_9981), .Y
+ (n_10669));
+ OAI21X1 g31061(.A0 (n_5285), .A1 (n_10450), .B0 (n_9977), .Y
+ (n_10668));
+ OAI21X1 g31059(.A0 (n_4780), .A1 (n_10679), .B0 (n_9979), .Y
+ (n_10667));
+ OAI21X1 g31060(.A0 (n_4792), .A1 (n_10679), .B0 (n_9978), .Y
+ (n_10666));
+ DFFSRX1 \u9_wp_reg[0] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9951), .Q (n_1203), .QN ());
+ OAI21X1 g31068(.A0 (n_5349), .A1 (n_10450), .B0 (n_9975), .Y
+ (n_10665));
+ DFFX1 \u10_din_tmp1_reg[5] (.CK (clk_i), .D (n_9868), .Q
+ (u10_din_tmp_46), .QN ());
+ DFFX1 \u10_din_tmp1_reg[3] (.CK (clk_i), .D (n_9871), .Q
+ (u10_din_tmp_44), .QN ());
+ OAI21X1 g31065(.A0 (n_5362), .A1 (n_10450), .B0 (n_9976), .Y
+ (n_10664));
+ DFFX1 \u10_din_tmp1_reg[1] (.CK (clk_i), .D (n_9853), .Q
+ (u10_din_tmp_42), .QN ());
+ DFFX1 \u10_din_tmp1_reg[2] (.CK (clk_i), .D (n_9872), .Q
+ (u10_din_tmp_43), .QN ());
+ DFFX1 \u10_din_tmp1_reg[4] (.CK (clk_i), .D (n_9869), .Q
+ (u10_din_tmp_45), .QN ());
+ DFFX1 \u10_din_tmp1_reg[6] (.CK (clk_i), .D (n_9867), .Q
+ (u10_din_tmp_47), .QN ());
+ DFFX1 \u10_din_tmp1_reg[8] (.CK (clk_i), .D (n_9863), .Q
+ (u10_din_tmp_49), .QN ());
+ DFFX1 \u10_din_tmp1_reg[9] (.CK (clk_i), .D (n_9862), .Q
+ (u10_din_tmp_50), .QN ());
+ DFFX1 \u10_din_tmp1_reg[7] (.CK (clk_i), .D (n_9865), .Q
+ (u10_din_tmp_48), .QN ());
+ DFFX1 \u10_din_tmp1_reg[0] (.CK (clk_i), .D (n_9861), .Q
+ (u10_din_tmp1), .QN ());
+ DFFX1 \u10_din_tmp1_reg[10] (.CK (clk_i), .D (n_9859), .Q
+ (u10_din_tmp_51), .QN ());
+ DFFX1 \u10_din_tmp1_reg[15] (.CK (clk_i), .D (n_9854), .Q
+ (u10_din_tmp_56), .QN ());
+ DFFX1 \u10_din_tmp1_reg[14] (.CK (clk_i), .D (n_9855), .Q
+ (u10_din_tmp_55), .QN ());
+ DFFX1 \u10_din_tmp1_reg[12] (.CK (clk_i), .D (n_9857), .Q
+ (u10_din_tmp_53), .QN ());
+ DFFSRX1 u15_rdd1_reg(.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_9884), .Q (u15_rdd1), .QN ());
+ DFFSRX1 u15_rdd2_reg(.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_9883), .Q (), .QN (u15_rdd2));
+ AOI21X1 g31078(.A0 (n_11893), .A1 (\u8_rp[3] ), .B0 (n_9974), .Y
+ (n_10663));
+ AOI21X1 g31079(.A0 (n_12339), .A1 (\u3_rp[3] ), .B0 (n_9973), .Y
+ (n_10661));
+ AOI21X1 g31082(.A0 (n_11891), .A1 (\u6_rp[3] ), .B0 (n_9969), .Y
+ (n_10659));
+ AOI21X1 g31083(.A0 (n_11889), .A1 (\u7_rp[3] ), .B0 (n_9967), .Y
+ (n_10657));
+ MX2X1 g31084(.A (n_6651), .B (n_6650), .S0 (n_10537), .Y (n_10655));
+ MX2X1 g31086(.A (n_6036), .B (n_6035), .S0 (n_10537), .Y (n_10654));
+ MX2X1 g31095(.A (n_6029), .B (n_6028), .S0 (n_10450), .Y (n_10652));
+ MX2X1 g31132(.A (n_6624), .B (n_6623), .S0 (n_9724), .Y (n_10651));
+ NAND2X1 g32624(.A (n_160), .B (n_10583), .Y (n_11632));
+ MX2X1 g31142(.A (n_6613), .B (n_6612), .S0 (n_9724), .Y (n_10649));
+ NAND2X1 g32666(.A (n_387), .B (n_10645), .Y (n_11965));
+ NAND2X1 g32667(.A (n_229), .B (n_10645), .Y (n_11971));
+ NAND2X1 g32668(.A (n_401), .B (n_10645), .Y (n_11969));
+ NAND2X1 g32669(.A (n_259), .B (n_10645), .Y (n_11953));
+ NAND2X1 g32670(.A (n_186), .B (n_10645), .Y (n_11979));
+ NAND2X1 g32671(.A (n_134), .B (n_10645), .Y (n_11955));
+ NAND2X1 g32672(.A (n_254), .B (n_10645), .Y (n_11991));
+ NAND2X1 g32673(.A (n_163), .B (n_10645), .Y (n_11975));
+ NAND2X1 g32674(.A (n_230), .B (n_10605), .Y (n_11734));
+ NAND2X1 g32675(.A (n_399), .B (n_10645), .Y (n_10636));
+ NAND2X1 g32676(.A (n_299), .B (n_10645), .Y (n_11973));
+ AOI21X1 g32677(.A0 (n_6784), .A1 (n_5845), .B0 (n_9876), .Y
+ (n_10634));
+ AOI21X1 g32678(.A0 (n_6227), .A1 (n_6225), .B0 (n_9876), .Y
+ (n_10633));
+ NAND2X1 g32679(.A (n_335), .B (n_10645), .Y (n_11957));
+ NAND2X1 g32680(.A (n_201), .B (n_10645), .Y (n_11959));
+ NAND2X1 g32681(.A (n_217), .B (n_10645), .Y (n_11997));
+ NAND2X1 g32682(.A (n_363), .B (n_10645), .Y (n_11961));
+ NAND2X1 g32688(.A (n_265), .B (n_10645), .Y (n_11963));
+ NAND2X1 g32689(.A (n_375), .B (n_10645), .Y (n_11977));
+ NAND2X1 g32694(.A (n_167), .B (n_10617), .Y (n_10626));
+ NAND2X1 g32695(.A (n_181), .B (n_10617), .Y (n_10625));
+ NAND2X1 g32696(.A (n_212), .B (n_10617), .Y (n_10623));
+ NAND2X1 g32698(.A (n_76), .B (n_10617), .Y (n_10622));
+ NAND2X1 g32700(.A (n_228), .B (n_10617), .Y (n_10621));
+ NAND2X1 g32702(.A (n_237), .B (n_10617), .Y (n_10620));
+ NAND2X1 g32703(.A (n_79), .B (n_10617), .Y (n_10619));
+ NAND2X1 g32704(.A (n_240), .B (n_10617), .Y (n_10618));
+ NAND2X1 g32705(.A (n_86), .B (n_10617), .Y (n_10616));
+ NAND2X1 g32706(.A (n_328), .B (n_10617), .Y (n_10615));
+ AOI21X1 g32707(.A0 (n_6205), .A1 (n_6203), .B0 (n_10617), .Y
+ (n_10614));
+ AOI21X1 g32708(.A0 (n_6265), .A1 (n_6229), .B0 (n_10617), .Y
+ (n_10613));
+ NAND2X1 g32709(.A (n_182), .B (n_10617), .Y (n_12194));
+ NAND2X1 g32710(.A (n_280), .B (n_10617), .Y (n_12196));
+ NAND2X1 g32711(.A (n_352), .B (n_10617), .Y (n_12065));
+ NAND2X1 g32712(.A (n_362), .B (n_10617), .Y (n_10609));
+ NAND2X1 g32713(.A (n_376), .B (n_10617), .Y (n_12794));
+ NAND2X1 g32714(.A (n_384), .B (n_10617), .Y (n_10607));
+ NAND2X1 g32716(.A (n_389), .B (n_10605), .Y (n_11744));
+ NAND2X1 g32717(.A (n_398), .B (n_10605), .Y (n_11746));
+ NAND2X1 g32718(.A (n_157), .B (n_10605), .Y (n_11750));
+ NAND2X1 g32719(.A (n_195), .B (n_10605), .Y (n_11724));
+ NAND2X1 g32720(.A (n_267), .B (n_10605), .Y (n_10601));
+ MX2X1 g31155(.A (n_6019), .B (n_6018), .S0 (n_10565), .Y (n_10600));
+ NAND2X1 g32721(.A (n_282), .B (n_10605), .Y (n_10599));
+ NAND2X1 g32722(.A (n_281), .B (n_10605), .Y (n_12453));
+ NAND2X1 g32723(.A (n_109), .B (n_10605), .Y (n_11748));
+ NAND2X1 g32724(.A (n_16), .B (n_10605), .Y (n_10595));
+ NAND2X1 g32726(.A (n_308), .B (n_10583), .Y (n_11634));
+ NAND2X1 g32727(.A (n_329), .B (n_10605), .Y (n_10592));
+ NAND2X1 g32728(.A (n_302), .B (n_10583), .Y (n_11614));
+ NAND2X1 g32729(.A (n_152), .B (n_10583), .Y (n_11616));
+ AOI21X1 g32730(.A0 (n_6185), .A1 (n_6151), .B0 (n_10605), .Y
+ (n_10589));
+ NAND2X1 g32731(.A (n_203), .B (n_10583), .Y (n_11618));
+ AOI21X1 g32732(.A0 (n_6179), .A1 (n_6177), .B0 (n_10605), .Y
+ (n_10587));
+ NAND2X1 g32733(.A (n_263), .B (n_10583), .Y (n_11620));
+ NAND2X1 g32734(.A (n_348), .B (n_10605), .Y (n_12063));
+ NAND2X1 g32735(.A (n_297), .B (n_10583), .Y (n_11628));
+ NAND2X1 g32736(.A (n_200), .B (n_10605), .Y (n_11995));
+ NAND2X1 g32737(.A (n_208), .B (n_10583), .Y (n_11622));
+ NAND2X1 g32738(.A (n_150), .B (n_10583), .Y (n_11624));
+ NAND2X1 g32739(.A (n_211), .B (n_10605), .Y (n_11732));
+ NAND2X1 g32740(.A (n_19), .B (n_10583), .Y (n_11626));
+ NAND2X1 g32741(.A (n_82), .B (n_10605), .Y (n_11736));
+ NAND2X1 g32742(.A (n_383), .B (n_10583), .Y (n_11630));
+ NAND2X1 g32743(.A (n_341), .B (n_10605), .Y (n_12017));
+ AOI21X1 g32744(.A0 (n_6160), .A1 (n_5804), .B0 (n_9873), .Y
+ (n_10574));
+ AOI21X1 g32750(.A0 (n_6245), .A1 (n_6251), .B0 (n_9873), .Y
+ (n_10573));
+ NAND2X1 g32751(.A (n_322), .B (n_10583), .Y (n_11636));
+ NAND2X1 g32752(.A (n_359), .B (n_10583), .Y (n_11993));
+ NAND2X1 g32753(.A (n_372), .B (n_10583), .Y (n_11638));
+ NAND2X1 g32754(.A (n_191), .B (n_10583), .Y (n_11640));
+ NAND2X1 g32755(.A (n_183), .B (n_10583), .Y (n_11642));
+ MX2X1 g31168(.A (n_6580), .B (n_6578), .S0 (n_10565), .Y (n_10567));
+ MX2X1 g31169(.A (n_6577), .B (n_6575), .S0 (n_10565), .Y (n_10566));
+ MX2X1 g31175(.A (n_6001), .B (n_6000), .S0 (n_10565), .Y (n_10564));
+ MX2X1 g31176(.A (n_6523), .B (n_6522), .S0 (n_10513), .Y (n_10563));
+ MX2X1 g31177(.A (n_6520), .B (n_6519), .S0 (n_10513), .Y (n_10562));
+ MX2X1 g31178(.A (n_6518), .B (n_6517), .S0 (n_10513), .Y (n_10561));
+ MX2X1 g31181(.A (n_6508), .B (n_6507), .S0 (n_10513), .Y (n_10559));
+ MX2X1 g31182(.A (n_6504), .B (n_6503), .S0 (n_10513), .Y (n_10558));
+ MX2X1 g31184(.A (n_5989), .B (n_5988), .S0 (n_10513), .Y (n_10556));
+ MX2X1 g31185(.A (n_6501), .B (n_6500), .S0 (n_9721), .Y (n_10555));
+ MX2X1 g31186(.A (n_6499), .B (n_6498), .S0 (n_9721), .Y (n_10554));
+ MX2X1 g31187(.A (n_6493), .B (n_6492), .S0 (n_10513), .Y (n_10552));
+ MX2X1 g31188(.A (n_6491), .B (n_6490), .S0 (n_10513), .Y (n_10551));
+ MX2X1 g31189(.A (n_6546), .B (n_6545), .S0 (n_10513), .Y (n_10549));
+ MX2X1 g31190(.A (n_6488), .B (n_6487), .S0 (n_10513), .Y (n_10547));
+ MX2X1 g31191(.A (n_6549), .B (n_6548), .S0 (n_10537), .Y (n_10546));
+ MX2X1 g31192(.A (n_6485), .B (n_6484), .S0 (n_10537), .Y (n_10545));
+ MX2X1 g31193(.A (n_5982), .B (n_5981), .S0 (n_10565), .Y (n_10544));
+ MX2X1 g31194(.A (n_5985), .B (n_5984), .S0 (n_10513), .Y (n_10543));
+ MX2X1 g31195(.A (n_6481), .B (n_419), .S0 (n_10513), .Y (n_10542));
+ MX2X1 g31196(.A (n_6480), .B (n_6479), .S0 (n_10537), .Y (n_10540));
+ MX2X1 g31198(.A (n_6474), .B (n_433), .S0 (n_10537), .Y (n_10539));
+ MX2X1 g31200(.A (n_6472), .B (n_6471), .S0 (n_10537), .Y (n_10538));
+ OAI21X1 g33020(.A0 (n_7257), .A1 (n_7193), .B0 (n_10518), .Y
+ (n_11964));
+ MX2X1 g31201(.A (n_6670), .B (n_461), .S0 (n_10537), .Y (n_10535));
+ OAI21X1 g33021(.A0 (n_7255), .A1 (n_7192), .B0 (n_10518), .Y
+ (n_11970));
+ OAI21X1 g33022(.A0 (n_7254), .A1 (n_7190), .B0 (n_10518), .Y
+ (n_11968));
+ OAI21X1 g33023(.A0 (n_7316), .A1 (n_6918), .B0 (n_10518), .Y
+ (n_11952));
+ MX2X1 g31202(.A (n_6467), .B (n_6466), .S0 (n_10537), .Y (n_10529));
+ OAI21X1 g33024(.A0 (n_7315), .A1 (n_7189), .B0 (n_10518), .Y
+ (n_11978));
+ OAI21X1 g33025(.A0 (n_7253), .A1 (n_7016), .B0 (n_10518), .Y
+ (n_11954));
+ OAI21X1 g33026(.A0 (n_7314), .A1 (n_7094), .B0 (n_10518), .Y
+ (n_11990));
+ OAI21X1 g33027(.A0 (n_7251), .A1 (n_7188), .B0 (n_10518), .Y
+ (n_11974));
+ OAI21X1 g33028(.A0 (n_7250), .A1 (n_7093), .B0 (n_10518), .Y
+ (n_10523));
+ OAI21X1 g33029(.A0 (n_7249), .A1 (n_7186), .B0 (n_10518), .Y
+ (n_11972));
+ MX2X1 g31204(.A (n_6461), .B (n_6460), .S0 (n_10513), .Y (n_10521));
+ OAI21X1 g33030(.A0 (n_7248), .A1 (n_7184), .B0 (n_10518), .Y
+ (n_11956));
+ OAI21X1 g33031(.A0 (n_7312), .A1 (n_7092), .B0 (n_10518), .Y
+ (n_11958));
+ OAI21X1 g33032(.A0 (n_7311), .A1 (n_6991), .B0 (n_10518), .Y
+ (n_11996));
+ OAI21X1 g33033(.A0 (n_7309), .A1 (n_6990), .B0 (n_10518), .Y
+ (n_11960));
+ OAI21X1 g33034(.A0 (n_7246), .A1 (n_6989), .B0 (n_10518), .Y
+ (n_11962));
+ MX2X1 g31206(.A (n_5995), .B (n_5994), .S0 (n_10513), .Y (n_10514));
+ OAI21X1 g33035(.A0 (n_7245), .A1 (n_7183), .B0 (n_10518), .Y
+ (n_11976));
+ OAI21X1 g33055(.A0 (n_7271), .A1 (n_7095), .B0 (n_10481), .Y
+ (n_11743));
+ MX2X1 g31214(.A (n_6449), .B (n_6448), .S0 (n_10513), .Y (n_10508));
+ OAI21X1 g33057(.A0 (n_7227), .A1 (n_7076), .B0 (n_10481), .Y
+ (n_11749));
+ OAI21X1 g33059(.A0 (n_7225), .A1 (n_7073), .B0 (n_12689), .Y
+ (n_10504));
+ MX2X1 g31215(.A (n_6446), .B (n_6445), .S0 (n_10513), .Y (n_10501));
+ MX2X1 g31216(.A (n_6440), .B (n_6439), .S0 (n_10513), .Y (n_10498));
+ OAI21X1 g33063(.A0 (n_7219), .A1 (n_7067), .B0 (n_10481), .Y
+ (n_10496));
+ OAI21X1 g33064(.A0 (n_7218), .A1 (n_6984), .B0 (n_10483), .Y
+ (n_11633));
+ MX2X1 g31217(.A (n_6438), .B (n_6437), .S0 (n_10513), .Y (n_10494));
+ OAI21X1 g33065(.A0 (n_7217), .A1 (n_7066), .B0 (n_10481), .Y
+ (n_10493));
+ OAI21X1 g33066(.A0 (n_7122), .A1 (n_7065), .B0 (n_10483), .Y
+ (n_11613));
+ OAI21X1 g33067(.A0 (n_7121), .A1 (n_7064), .B0 (n_10483), .Y
+ (n_11615));
+ MX2X1 g31218(.A (n_6435), .B (n_6434), .S0 (n_10513), .Y (n_10489));
+ OAI21X1 g33068(.A0 (n_7216), .A1 (n_6983), .B0 (n_10483), .Y
+ (n_11617));
+ OAI21X1 g33069(.A0 (n_7123), .A1 (n_7069), .B0 (n_10483), .Y
+ (n_11619));
+ OAI21X1 g33070(.A0 (n_7215), .A1 (n_7062), .B0 (n_10481), .Y
+ (n_12062));
+ OAI21X1 g33071(.A0 (n_7119), .A1 (n_6982), .B0 (n_10483), .Y
+ (n_11627));
+ OAI21X1 g33072(.A0 (n_7244), .A1 (n_11901), .B0 (n_10481), .Y
+ (n_11994));
+ OAI21X1 g33073(.A0 (n_7213), .A1 (n_7060), .B0 (n_10483), .Y
+ (n_11621));
+ OAI21X1 g33074(.A0 (n_7118), .A1 (n_6979), .B0 (n_10483), .Y
+ (n_11623));
+ OAI21X1 g33075(.A0 (n_7211), .A1 (n_7059), .B0 (n_12689), .Y
+ (n_11731));
+ OAI21X1 g33076(.A0 (n_7210), .A1 (n_7058), .B0 (n_12689), .Y
+ (n_11733));
+ MX2X1 g31221(.A (n_5970), .B (n_5969), .S0 (n_10537), .Y (n_10476));
+ OAI21X1 g33077(.A0 (n_7124), .A1 (n_7057), .B0 (n_10483), .Y
+ (n_11625));
+ OAI21X1 g33078(.A0 (n_7209), .A1 (n_7056), .B0 (n_10481), .Y
+ (n_11735));
+ OAI21X1 g33079(.A0 (n_7117), .A1 (n_6978), .B0 (n_10483), .Y
+ (n_11629));
+ MX2X1 g31222(.A (n_6555), .B (n_6554), .S0 (n_10537), .Y (n_10472));
+ OAI21X1 g33080(.A0 (n_7208), .A1 (n_7055), .B0 (n_10481), .Y
+ (n_12016));
+ OAI21X1 g33081(.A0 (n_7207), .A1 (n_7054), .B0 (n_10483), .Y
+ (n_11635));
+ OAI21X1 g33082(.A0 (n_7205), .A1 (n_7053), .B0 (n_10483), .Y
+ (n_11992));
+ MX2X1 g31223(.A (n_6429), .B (n_6428), .S0 (n_10537), .Y (n_10466));
+ OAI21X1 g33083(.A0 (n_7204), .A1 (n_6977), .B0 (n_10483), .Y
+ (n_11637));
+ OAI21X1 g33084(.A0 (n_7276), .A1 (n_6976), .B0 (n_10483), .Y
+ (n_11639));
+ OAI21X1 g33085(.A0 (n_7172), .A1 (n_6975), .B0 (n_10483), .Y
+ (n_11631));
+ MX2X1 g31224(.A (n_6427), .B (n_6426), .S0 (n_10537), .Y (n_10462));
+ OAI21X1 g33086(.A0 (n_7114), .A1 (n_7052), .B0 (n_10483), .Y
+ (n_11641));
+ MX2X1 g31225(.A (n_6605), .B (n_6604), .S0 (n_10537), .Y (n_10459));
+ MX2X1 g31226(.A (n_6425), .B (n_6424), .S0 (n_10537), .Y (n_10458));
+ MX2X1 g31227(.A (n_6422), .B (n_6421), .S0 (n_10537), .Y (n_10457));
+ MX2X1 g31228(.A (n_6420), .B (n_6419), .S0 (n_10537), .Y (n_10456));
+ MX2X1 g31232(.A (n_6414), .B (n_6413), .S0 (n_10513), .Y (n_10455));
+ MX2X1 g31236(.A (n_6525), .B (n_6524), .S0 (n_10513), .Y (n_10454));
+ MX2X1 g31238(.A (n_6418), .B (n_6417), .S0 (n_10513), .Y (n_10453));
+ MX2X1 g31240(.A (n_6443), .B (n_6441), .S0 (n_10513), .Y (n_10452));
+ MX2X1 g31283(.A (n_6383), .B (n_6382), .S0 (n_10450), .Y (n_10451));
+ MX2X1 g31284(.A (n_6381), .B (n_6380), .S0 (n_10450), .Y (n_10449));
+ DFFSRX1 \u20_int_set_reg[0] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_10091), .Q (oc3_int_set), .QN ());
+ DFFSRX1 \u18_int_set_reg[0] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_10092), .Q (oc1_int_set), .QN ());
+ MX2X1 g31297(.A (n_5954), .B (n_5953), .S0 (n_10513), .Y (n_10448));
+ MX2X1 g31299(.A (n_5952), .B (n_5951), .S0 (n_10513), .Y (n_10447));
+ MX2X1 g31302(.A (n_5950), .B (n_5949), .S0 (n_10450), .Y (n_10446));
+ MX2X1 g31303(.A (n_5657), .B (n_1634), .S0 (n_10513), .Y (n_10445));
+ MX2X1 g31304(.A (n_5664), .B (n_1628), .S0 (n_10537), .Y (n_10444));
+ MX2X1 g31305(.A (n_5655), .B (n_1755), .S0 (n_10537), .Y (n_10443));
+ MX2X1 g31306(.A (n_5653), .B (n_1620), .S0 (n_9721), .Y (n_10441));
+ MX2X1 g31308(.A (n_5666), .B (n_1615), .S0 (n_9721), .Y (n_10440));
+ MX2X1 g31310(.A (n_5651), .B (n_1610), .S0 (n_10537), .Y (n_10439));
+ MX2X1 g31311(.A (n_5472), .B (n_1244), .S0 (n_9724), .Y (n_10438));
+ MX2X1 g31312(.A (n_5650), .B (n_1605), .S0 (n_10537), .Y (n_10437));
+ MX2X1 g31313(.A (n_5660), .B (n_1600), .S0 (n_10513), .Y (n_10436));
+ MX2X1 g31314(.A (n_5662), .B (n_2557), .S0 (n_9724), .Y (n_10435));
+ MX2X1 g31315(.A (n_5644), .B (n_1596), .S0 (n_10513), .Y (n_10433));
+ MX2X1 g31316(.A (n_5658), .B (n_1592), .S0 (n_10537), .Y (n_10432));
+ MX2X1 g31319(.A (n_6358), .B (n_6357), .S0 (n_10537), .Y (n_10430));
+ MX2X1 g31321(.A (n_5648), .B (n_1585), .S0 (n_10513), .Y (n_10429));
+ MX2X1 g31322(.A (n_5659), .B (n_1581), .S0 (n_10513), .Y (n_10428));
+ MX2X1 g31323(.A (n_5646), .B (n_2550), .S0 (n_9724), .Y (n_10426));
+ MX2X1 g31324(.A (n_6356), .B (n_6355), .S0 (n_10513), .Y (n_10425));
+ MX2X1 g31325(.A (n_6354), .B (n_6353), .S0 (n_10513), .Y (n_10424));
+ MX2X1 g31326(.A (n_5471), .B (n_1677), .S0 (n_9724), .Y (n_10423));
+ MX2X1 g31328(.A (n_6352), .B (n_6351), .S0 (n_10537), .Y (n_10422));
+ MX2X1 g31329(.A (n_5470), .B (n_1240), .S0 (n_9724), .Y (n_10421));
+ MX2X1 g31330(.A (n_6350), .B (n_6349), .S0 (n_10537), .Y (n_10420));
+ MX2X1 g31331(.A (n_5469), .B (n_1238), .S0 (n_9724), .Y (n_10418));
+ MX2X1 g31332(.A (n_6348), .B (n_6347), .S0 (n_10537), .Y (n_10417));
+ MX2X1 g31333(.A (n_6345), .B (n_6344), .S0 (n_10537), .Y (n_10416));
+ MX2X1 g31334(.A (n_5639), .B (n_2539), .S0 (n_10565), .Y (n_10415));
+ MX2X1 g31335(.A (n_5948), .B (n_5947), .S0 (n_10537), .Y (n_10413));
+ MX2X1 g31336(.A (n_6343), .B (n_6342), .S0 (n_10565), .Y (n_10412));
+ MX2X1 g31341(.A (n_6336), .B (n_6335), .S0 (n_10565), .Y (n_10411));
+ AOI21X1 g30025(.A0 (n_9557), .A1 (n_9701), .B0 (n_10090), .Y
+ (n_10409));
+ AOI21X1 g30027(.A0 (n_9555), .A1 (n_9699), .B0 (n_10089), .Y
+ (n_10408));
+ AOI21X1 g30029(.A0 (n_9553), .A1 (n_9697), .B0 (n_10088), .Y
+ (n_10407));
+ AOI21X1 g30030(.A0 (n_9551), .A1 (n_9695), .B0 (n_10087), .Y
+ (n_10406));
+ AOI21X1 g31395(.A0 (n_11893), .A1 (n_757), .B0 (n_10085), .Y
+ (n_10405));
+ AOI21X1 g31396(.A0 (n_12339), .A1 (n_1096), .B0 (n_10068), .Y
+ (n_10404));
+ AOI21X1 g31399(.A0 (n_11891), .A1 (n_11586), .B0 (n_9990), .Y
+ (n_10403));
+ AOI21X1 g31400(.A0 (n_11889), .A1 (n_1184), .B0 (n_9989), .Y
+ (n_10402));
+ OAI21X1 g30056(.A0 (n_9563), .A1 (n_9647), .B0 (n_9838), .Y
+ (n_10401));
+ OAI21X1 g30058(.A0 (n_9561), .A1 (n_9645), .B0 (n_9837), .Y
+ (n_10400));
+ NOR2X1 g31568(.A (n_9803), .B (n_6752), .Y (n_10399));
+ NAND2X1 g31569(.A (n_3992), .B (n_12589), .Y (n_11513));
+ NAND2X1 g31570(.A (n_4795), .B (n_11136), .Y (n_10397));
+ NAND2X1 g31571(.A (n_4799), .B (n_11131), .Y (n_11511));
+ NAND2X1 g31572(.A (n_4797), .B (n_11126), .Y (n_11509));
+ AND2X1 g30798(.A (n_9841), .B (n_11564), .Y (n_10394));
+ NAND2X1 g31664(.A (n_334), .B (n_10391), .Y (n_10393));
+ NAND2X1 g31665(.A (n_312), .B (n_10391), .Y (n_10392));
+ NAND2X1 g31674(.A (n_1650), .B (n_10391), .Y (n_10390));
+ NAND2X1 g31675(.A (n_1644), .B (n_10391), .Y (n_10389));
+ NAND2X1 g31676(.A (n_1633), .B (n_10385), .Y (n_10388));
+ NAND2X1 g31677(.A (n_339), .B (n_10385), .Y (n_10387));
+ NAND2X1 g31678(.A (n_1754), .B (n_10385), .Y (n_10386));
+ NAND2X1 g31679(.A (n_1619), .B (n_10391), .Y (n_10384));
+ NAND2X1 g31680(.A (n_1614), .B (n_10391), .Y (n_10383));
+ NAND2X1 g31681(.A (n_1609), .B (n_10385), .Y (n_10382));
+ NAND2X1 g31682(.A (n_1604), .B (n_10376), .Y (n_10380));
+ NAND2X1 g31683(.A (n_1599), .B (n_10385), .Y (n_10379));
+ NAND2X1 g31684(.A (n_1595), .B (n_10376), .Y (n_10378));
+ NAND2X1 g31685(.A (n_1591), .B (n_10376), .Y (n_10377));
+ NAND2X1 g31686(.A (n_1584), .B (n_10385), .Y (n_10375));
+ NAND2X1 g31687(.A (n_1580), .B (n_10385), .Y (n_10374));
+ NAND2X1 g31688(.A (n_129), .B (n_10391), .Y (n_10373));
+ NAND2X1 g31689(.A (n_288), .B (n_10391), .Y (n_10372));
+ NAND2X1 g31690(.A (n_81), .B (n_10385), .Y (n_10371));
+ NAND2X1 g31691(.A (n_1627), .B (n_10376), .Y (n_10370));
+ NAND2X1 g31692(.A (n_279), .B (n_10376), .Y (n_10369));
+ NAND2X1 g31694(.A (n_277), .B (n_10376), .Y (n_10367));
+ NAND2X1 g31695(.A (n_275), .B (n_10376), .Y (n_10366));
+ NAND2X1 g31696(.A (n_270), .B (n_10385), .Y (n_10365));
+ NAND2X1 g31698(.A (n_298), .B (n_10376), .Y (n_10364));
+ NAND2X1 g31699(.A (n_236), .B (n_10376), .Y (n_10363));
+ NAND2X1 g31701(.A (n_188), .B (n_10385), .Y (n_10362));
+ NAND2X1 g31702(.A (n_125), .B (n_10376), .Y (n_10361));
+ NAND2X1 g31703(.A (n_190), .B (n_10376), .Y (n_10360));
+ NAND2X1 g31705(.A (n_122), .B (n_10376), .Y (n_10359));
+ NAND2X1 g31714(.A (n_5580), .B (n_10391), .Y (n_10358));
+ NAND2X1 g31716(.A (n_5582), .B (n_10391), .Y (n_10357));
+ NAND2X1 g31717(.A (n_5494), .B (n_10385), .Y (n_10356));
+ NAND2X1 g31718(.A (n_5526), .B (n_10385), .Y (n_10355));
+ NAND2X1 g31720(.A (n_5488), .B (n_10385), .Y (n_10354));
+ NAND2X1 g31721(.A (n_5490), .B (n_10391), .Y (n_10353));
+ NAND2X1 g31723(.A (n_5496), .B (n_10376), .Y (n_10352));
+ NAND2X1 g31724(.A (n_5492), .B (n_10376), .Y (n_10351));
+ NAND2X1 g31725(.A (n_5500), .B (n_10376), .Y (n_10350));
+ NAND2X1 g31726(.A (n_5502), .B (n_10376), .Y (n_10349));
+ NAND2X1 g31727(.A (n_5498), .B (n_10385), .Y (n_10348));
+ NAND2X1 g31728(.A (n_5524), .B (n_10391), .Y (n_10347));
+ NAND2X1 g31729(.A (n_5508), .B (n_10385), .Y (n_10346));
+ NAND2X1 g31730(.A (n_5522), .B (n_10385), .Y (n_10345));
+ INVX1 g31743(.A (n_9972), .Y (n_10344));
+ INVX1 g31745(.A (n_9970), .Y (n_10343));
+ INVX1 g31757(.A (n_9968), .Y (n_10342));
+ AOI21X1 g31760(.A0 (n_9672), .A1 (i6_full), .B0 (n_749), .Y
+ (n_10341));
+ OAI21X1 g31780(.A0 (n_4848), .A1 (n_9631), .B0 (n_9835), .Y
+ (n_10340));
+ DFFX1 \u1_sr_reg[14] (.CK (bit_clk_pad_i), .D (u1_sr_129), .Q
+ (u1_sr_130), .QN ());
+ OAI21X1 g31789(.A0 (crac_out_876), .A1 (n_8486), .B0 (n_9794), .Y
+ (n_10339));
+ MX2X1 g31808(.A (\u11_wp[1] ), .B (n_4073), .S0 (n_9672), .Y
+ (n_10338));
+ OAI21X1 g31811(.A0 (n_5634), .A1 (n_9631), .B0 (n_9834), .Y
+ (n_10337));
+ AND2X1 g30910(.A (n_9840), .B (n_11564), .Y (n_10335));
+ NAND2X1 g30926(.A (n_9844), .B (n_9831), .Y (n_10333));
+ NAND3X1 g30935(.A (n_12077), .B (n_12256), .C (n_10329), .Y
+ (n_10332));
+ NAND3X1 g30936(.A (n_12214), .B (n_1908), .C (n_10327), .Y (n_10331));
+ DFFSRX1 \u13_ints_r_reg[23] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_9845), .Q (\u13_ints_r[23] ), .QN ());
+ DFFSRX1 \u13_ints_r_reg[20] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_9846), .Q (\u13_ints_r[20] ), .QN ());
+ DFFX1 \u9_wp_reg[1] (.CK (clk_i), .D (n_9836), .Q (\u9_wp[1] ), .QN
+ ());
+ DFFX1 \u9_din_tmp1_reg[9] (.CK (clk_i), .D (n_9770), .Q
+ (u9_din_tmp_50), .QN ());
+ DFFX1 \u9_din_tmp1_reg[3] (.CK (clk_i), .D (n_9776), .Q
+ (u9_din_tmp_44), .QN ());
+ DFFX1 \u9_din_tmp1_reg[10] (.CK (clk_i), .D (n_9788), .Q
+ (u9_din_tmp_51), .QN ());
+ DFFX1 \u9_din_tmp1_reg[14] (.CK (clk_i), .D (n_9782), .Q
+ (u9_din_tmp_55), .QN ());
+ DFFX1 \u9_din_tmp1_reg[0] (.CK (clk_i), .D (n_9789), .Q
+ (u9_din_tmp1), .QN ());
+ DFFX1 \u9_din_tmp1_reg[11] (.CK (clk_i), .D (n_9786), .Q
+ (u9_din_tmp_52), .QN ());
+ DFFX1 \u9_din_tmp1_reg[12] (.CK (clk_i), .D (n_9785), .Q
+ (u9_din_tmp_53), .QN ());
+ DFFX1 \u9_din_tmp1_reg[13] (.CK (clk_i), .D (n_9784), .Q
+ (u9_din_tmp_54), .QN ());
+ DFFX1 \u9_din_tmp1_reg[15] (.CK (clk_i), .D (n_9780), .Q
+ (u9_din_tmp_56), .QN ());
+ DFFX1 \u9_din_tmp1_reg[1] (.CK (clk_i), .D (n_9779), .Q
+ (u9_din_tmp_42), .QN ());
+ DFFX1 \u9_din_tmp1_reg[2] (.CK (clk_i), .D (n_9778), .Q
+ (u9_din_tmp_43), .QN ());
+ DFFX1 \u9_din_tmp1_reg[5] (.CK (clk_i), .D (n_9774), .Q
+ (u9_din_tmp_46), .QN ());
+ DFFX1 \u9_din_tmp1_reg[6] (.CK (clk_i), .D (n_9773), .Q
+ (u9_din_tmp_47), .QN ());
+ DFFX1 \u9_din_tmp1_reg[4] (.CK (clk_i), .D (n_9775), .Q
+ (u9_din_tmp_45), .QN ());
+ DFFX1 \u9_din_tmp1_reg[8] (.CK (clk_i), .D (n_9771), .Q
+ (u9_din_tmp_49), .QN ());
+ DFFX1 \u9_din_tmp1_reg[7] (.CK (clk_i), .D (n_9772), .Q
+ (u9_din_tmp_48), .QN ());
+ DFFSRX1 u15_rdd3_reg(.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_9796), .Q (u15_rdd3), .QN ());
+ MX2X1 g31080(.A (\u4_rp[3] ), .B (n_5467), .S0 (n_10329), .Y
+ (n_10330));
+ MX2X1 g31081(.A (\u5_rp[3] ), .B (n_4645), .S0 (n_10327), .Y
+ (n_10328));
+ MX2X1 g31085(.A (n_5999), .B (n_5998), .S0 (n_10137), .Y (n_10326));
+ MX2X1 g31087(.A (n_6611), .B (n_6610), .S0 (n_10137), .Y (n_10325));
+ MX2X1 g31088(.A (n_6669), .B (n_6668), .S0 (n_10137), .Y (n_10323));
+ MX2X1 g31089(.A (n_6667), .B (n_6666), .S0 (n_10137), .Y (n_10322));
+ MX2X1 g31090(.A (n_6665), .B (n_6664), .S0 (n_10137), .Y (n_10321));
+ MX2X1 g31091(.A (n_6663), .B (n_6662), .S0 (n_10315), .Y (n_10319));
+ MX2X1 g31092(.A (n_6661), .B (n_6660), .S0 (n_10137), .Y (n_10318));
+ MX2X1 g31093(.A (n_6034), .B (n_6033), .S0 (n_10315), .Y (n_10317));
+ MX2X1 g31094(.A (n_6031), .B (n_6030), .S0 (n_10315), .Y (n_10316));
+ MX2X1 g31096(.A (n_6957), .B (n_6956), .S0 (n_10235), .Y (n_10314));
+ MX2X1 g31097(.A (n_6657), .B (n_6656), .S0 (n_10235), .Y (n_10313));
+ MX2X1 g31098(.A (n_6552), .B (n_6551), .S0 (n_10267), .Y (n_10311));
+ MX2X1 g31099(.A (n_6541), .B (n_6540), .S0 (n_10308), .Y (n_10310));
+ MX2X1 g31100(.A (n_6535), .B (n_6534), .S0 (n_10308), .Y (n_10309));
+ MX2X1 g31101(.A (n_6655), .B (n_6654), .S0 (n_10250), .Y (n_10307));
+ MX2X1 g31102(.A (n_6529), .B (n_6528), .S0 (n_10308), .Y (n_10306));
+ MX2X1 g31103(.A (n_6885), .B (n_6884), .S0 (n_10303), .Y (n_10305));
+ MX2X1 g31104(.A (n_6955), .B (n_6954), .S0 (n_10303), .Y (n_10304));
+ MX2X1 g31105(.A (n_6950), .B (n_6949), .S0 (n_10250), .Y (n_10302));
+ MX2X1 g31106(.A (n_6653), .B (n_6652), .S0 (n_10315), .Y (n_10301));
+ MX2X1 g31107(.A (n_6880), .B (n_6879), .S0 (n_10250), .Y (n_10300));
+ MX2X1 g31108(.A (n_6948), .B (n_6947), .S0 (n_10308), .Y (n_10299));
+ MX2X1 g31109(.A (n_6877), .B (n_6876), .S0 (n_10308), .Y (n_10298));
+ MX2X1 g31110(.A (n_6946), .B (n_6945), .S0 (n_10308), .Y (n_10296));
+ MX2X1 g31111(.A (n_6943), .B (n_6942), .S0 (n_10308), .Y (n_10295));
+ MX2X1 g31112(.A (n_6941), .B (n_6940), .S0 (n_10250), .Y (n_10293));
+ MX2X1 g31113(.A (n_6538), .B (n_6537), .S0 (n_10250), .Y (n_10291));
+ MX2X1 g31114(.A (n_6938), .B (n_6937), .S0 (n_10267), .Y (n_10290));
+ MX2X1 g31115(.A (n_6871), .B (n_509), .S0 (n_10250), .Y (n_10289));
+ MX2X1 g31116(.A (n_6648), .B (n_6647), .S0 (n_10235), .Y (n_10288));
+ MX2X1 g31117(.A (n_6645), .B (n_6644), .S0 (n_10235), .Y (n_10287));
+ MX2X1 g31118(.A (n_6496), .B (n_6495), .S0 (n_10235), .Y (n_10285));
+ MX2X1 g31119(.A (n_6642), .B (n_6641), .S0 (n_10267), .Y (n_10284));
+ MX2X1 g31120(.A (n_6639), .B (n_6638), .S0 (n_10267), .Y (n_10283));
+ MX2X1 g31121(.A (n_6636), .B (n_6635), .S0 (n_10267), .Y (n_10281));
+ MX2X1 g31122(.A (n_6874), .B (n_6873), .S0 (n_10267), .Y (n_10280));
+ MX2X1 g31123(.A (n_6936), .B (n_6935), .S0 (n_10277), .Y (n_10279));
+ MX2X1 g31124(.A (n_6882), .B (n_6881), .S0 (n_10277), .Y (n_10278));
+ MX2X1 g31125(.A (n_6634), .B (n_6633), .S0 (n_10137), .Y (n_10276));
+ MX2X1 g31126(.A (n_6934), .B (n_6933), .S0 (n_10277), .Y (n_10275));
+ MX2X1 g31127(.A (n_6631), .B (n_6630), .S0 (n_10137), .Y (n_10274));
+ MX2X1 g31128(.A (n_6889), .B (n_6888), .S0 (n_10277), .Y (n_10272));
+ MX2X1 g31129(.A (n_6932), .B (n_6931), .S0 (n_10235), .Y (n_10270));
+ MX2X1 g31130(.A (n_6903), .B (n_6902), .S0 (n_10235), .Y (n_10269));
+ MX2X1 g31131(.A (n_6901), .B (n_6900), .S0 (n_10267), .Y (n_10268));
+ MX2X1 g31133(.A (n_6929), .B (n_6928), .S0 (n_10267), .Y (n_10266));
+ MX2X1 g31134(.A (n_6629), .B (n_6628), .S0 (n_10267), .Y (n_10265));
+ MX2X1 g31135(.A (n_6894), .B (n_6893), .S0 (n_10277), .Y (n_10264));
+ MX2X1 g31136(.A (n_6926), .B (n_6924), .S0 (n_10277), .Y (n_10263));
+ MX2X1 g31137(.A (n_6621), .B (n_6620), .S0 (n_10747), .Y (n_10262));
+ MX2X1 g31138(.A (n_6619), .B (n_6617), .S0 (n_10747), .Y (n_10261));
+ MX2X1 g31139(.A (n_6615), .B (n_6614), .S0 (n_10235), .Y (n_10259));
+ MX2X1 g31140(.A (n_6451), .B (n_6450), .S0 (n_10235), .Y (n_10258));
+ MX2X1 g31141(.A (n_6506), .B (n_6505), .S0 (n_10747), .Y (n_10256));
+ NAND2X1 g32665(.A (n_9876), .B (n_1873), .Y (n_11108));
+ MX2X1 g31143(.A (n_6583), .B (n_6581), .S0 (n_10747), .Y (n_10254));
+ MX2X1 g31144(.A (n_6923), .B (n_6922), .S0 (n_10235), .Y (n_10253));
+ MX2X1 g31145(.A (n_6953), .B (n_6951), .S0 (n_10235), .Y (n_10252));
+ MX2X1 g31146(.A (n_6921), .B (n_6919), .S0 (n_10250), .Y (n_10251));
+ MX2X1 g31147(.A (n_6608), .B (n_6607), .S0 (n_10315), .Y (n_10249));
+ MX2X1 g31148(.A (n_6917), .B (n_6915), .S0 (n_10250), .Y (n_10247));
+ NAND2X1 g32693(.A (n_10617), .B (n_1481), .Y (n_11104));
+ MX2X1 g31149(.A (n_6892), .B (n_6890), .S0 (n_10277), .Y (n_10245));
+ MX2X1 g31150(.A (n_6022), .B (n_6021), .S0 (n_10315), .Y (n_10244));
+ MX2X1 g31151(.A (n_6897), .B (n_6895), .S0 (n_10277), .Y (n_10243));
+ MX2X1 g31152(.A (n_6914), .B (n_6912), .S0 (n_10308), .Y (n_10242));
+ MX2X1 g31153(.A (n_6887), .B (n_6886), .S0 (n_10308), .Y (n_10241));
+ NAND2X1 g32715(.A (n_12688), .B (n_862), .Y (n_11099));
+ MX2X1 g31154(.A (n_6910), .B (n_6909), .S0 (n_10250), .Y (n_10239));
+ MX2X1 g31156(.A (n_6561), .B (n_6559), .S0 (n_10235), .Y (n_10238));
+ NAND2X1 g32725(.A (n_9873), .B (n_8182), .Y (n_11096));
+ MX2X1 g31157(.A (n_6907), .B (n_6905), .S0 (n_10235), .Y (n_10236));
+ MX2X1 g31158(.A (n_6006), .B (n_6005), .S0 (n_10137), .Y (n_10234));
+ MX2X1 g31159(.A (n_6603), .B (n_6601), .S0 (n_10137), .Y (n_10233));
+ MX2X1 g31160(.A (n_6600), .B (n_6598), .S0 (n_10137), .Y (n_10232));
+ MX2X1 g31161(.A (n_6597), .B (n_6595), .S0 (n_10137), .Y (n_10230));
+ MX2X1 g31162(.A (n_6593), .B (n_6591), .S0 (n_9676), .Y (n_10229));
+ MX2X1 g31163(.A (n_6590), .B (n_6588), .S0 (n_9676), .Y (n_10228));
+ MX2X1 g31164(.A (n_6587), .B (n_6585), .S0 (n_9676), .Y (n_10226));
+ MX2X1 g31165(.A (n_6015), .B (n_6013), .S0 (n_9676), .Y (n_10225));
+ MX2X1 g31166(.A (n_6012), .B (n_6010), .S0 (n_10137), .Y (n_10224));
+ MX2X1 g31167(.A (n_6009), .B (n_6007), .S0 (n_10137), .Y (n_10223));
+ MX2X1 g31170(.A (n_6574), .B (n_6572), .S0 (n_10137), .Y (n_10221));
+ MX2X1 g31171(.A (n_6571), .B (n_6569), .S0 (n_10137), .Y (n_10220));
+ MX2X1 g31172(.A (n_6567), .B (n_6565), .S0 (n_10137), .Y (n_10219));
+ MX2X1 g31173(.A (n_6564), .B (n_6562), .S0 (n_10137), .Y (n_10217));
+ MX2X1 g31174(.A (n_6004), .B (n_6002), .S0 (n_10137), .Y (n_10216));
+ MX2X1 g31197(.A (n_6477), .B (n_6476), .S0 (n_10315), .Y (n_10215));
+ MX2X1 g31199(.A (n_6469), .B (n_6468), .S0 (n_10315), .Y (n_10214));
+ MX2X1 g31203(.A (n_6464), .B (n_6463), .S0 (n_10315), .Y (n_10212));
+ MX2X1 g31205(.A (n_6458), .B (n_6457), .S0 (n_10315), .Y (n_10211));
+ OAI21X1 g33038(.A0 (n_12632), .A1 (n_7091), .B0 (n_12161), .Y
+ (n_10209));
+ OAI21X1 g33039(.A0 (n_12639), .A1 (n_7090), .B0 (n_12161), .Y
+ (n_10207));
+ OAI21X1 g33040(.A0 (n_7241), .A1 (n_7089), .B0 (n_12504), .Y
+ (n_10206));
+ MX2X1 g31208(.A (n_6536), .B (n_508), .S0 (n_10315), .Y (n_10205));
+ OAI21X1 g33041(.A0 (n_7247), .A1 (n_7087), .B0 (n_12504), .Y
+ (n_10204));
+ OAI21X1 g33042(.A0 (n_7239), .A1 (n_7085), .B0 (n_12161), .Y
+ (n_10202));
+ OAI21X1 g33043(.A0 (n_12483), .A1 (n_7084), .B0 (n_12504), .Y
+ (n_10201));
+ OAI21X1 g33044(.A0 (n_7236), .A1 (n_7182), .B0 (n_12504), .Y
+ (n_10200));
+ OAI21X1 g33045(.A0 (n_7235), .A1 (n_7181), .B0 (n_12504), .Y
+ (n_10199));
+ OAI21X1 g33046(.A0 (n_7233), .A1 (n_7179), .B0 (n_12504), .Y
+ (n_10198));
+ MX2X1 g31210(.A (n_6452), .B (n_502), .S0 (n_10315), .Y (n_10197));
+ OAI21X1 g33047(.A0 (n_7232), .A1 (n_7178), .B0 (n_12504), .Y
+ (n_10196));
+ MX2X1 g31211(.A (n_5975), .B (n_5974), .S0 (n_10315), .Y (n_10194));
+ OAI21X1 g33050(.A0 (n_7203), .A1 (n_7081), .B0 (n_12161), .Y
+ (n_12064));
+ MX2X1 g31212(.A (n_5973), .B (n_501), .S0 (n_10315), .Y (n_10190));
+ OAI21X1 g33053(.A0 (n_7230), .A1 (n_7079), .B0 (n_12161), .Y
+ (n_12793));
+ OAI21X1 g33054(.A0 (n_7306), .A1 (n_6987), .B0 (n_12161), .Y
+ (n_10188));
+ MX2X1 g31213(.A (n_5971), .B (n_406), .S0 (n_10315), .Y (n_10187));
+ MX2X1 g31231(.A (n_6527), .B (n_6526), .S0 (n_10315), .Y (n_10185));
+ MX2X1 g31233(.A (n_6416), .B (n_6415), .S0 (n_10137), .Y (n_10184));
+ MX2X1 g31234(.A (n_6543), .B (n_6542), .S0 (n_10137), .Y (n_10183));
+ MX2X1 g31235(.A (n_6412), .B (n_6411), .S0 (n_10137), .Y (n_10182));
+ MX2X1 g31237(.A (n_6409), .B (n_6408), .S0 (n_10137), .Y (n_10181));
+ MX2X1 g31239(.A (n_6407), .B (n_6406), .S0 (n_10315), .Y (n_10180));
+ MX2X1 g31241(.A (n_5967), .B (n_5966), .S0 (n_10315), .Y (n_10179));
+ MX2X1 g31244(.A (n_6038), .B (n_6037), .S0 (n_10315), .Y (n_10178));
+ MX2X1 g31247(.A (n_6869), .B (n_6868), .S0 (n_10308), .Y (n_10176));
+ MX2X1 g31248(.A (n_6405), .B (n_6404), .S0 (n_10308), .Y (n_10174));
+ MX2X1 g31249(.A (n_6403), .B (n_6402), .S0 (n_10308), .Y (n_10173));
+ MX2X1 g31250(.A (n_6401), .B (n_6400), .S0 (n_10250), .Y (n_10172));
+ MX2X1 g31251(.A (n_6393), .B (n_6392), .S0 (n_10250), .Y (n_10170));
+ MX2X1 g31252(.A (n_6399), .B (n_6398), .S0 (n_10235), .Y (n_10169));
+ MX2X1 g31253(.A (n_6397), .B (n_6396), .S0 (n_10235), .Y (n_10168));
+ MX2X1 g31254(.A (n_6867), .B (n_6866), .S0 (n_10303), .Y (n_10167));
+ MX2X1 g31255(.A (n_6865), .B (n_6864), .S0 (n_10303), .Y (n_10166));
+ MX2X1 g31256(.A (n_6863), .B (n_6862), .S0 (n_10250), .Y (n_10165));
+ MX2X1 g31257(.A (n_5486), .B (n_1742), .S0 (n_10250), .Y (n_10164));
+ MX2X1 g31258(.A (n_5484), .B (n_1680), .S0 (n_10303), .Y (n_10163));
+ MX2X1 g31259(.A (n_5468), .B (n_1738), .S0 (n_10303), .Y (n_10162));
+ MX2X1 g31260(.A (n_5483), .B (n_1562), .S0 (n_10308), .Y (n_10160));
+ MX2X1 g31261(.A (n_5482), .B (n_1733), .S0 (n_10308), .Y (n_10159));
+ MX2X1 g31262(.A (n_5481), .B (n_1701), .S0 (n_10303), .Y (n_10158));
+ MX2X1 g31263(.A (n_5479), .B (n_1704), .S0 (n_10303), .Y (n_10157));
+ MX2X1 g31264(.A (n_5477), .B (n_1728), .S0 (n_10308), .Y (n_10156));
+ MX2X1 g31265(.A (n_5475), .B (n_1724), .S0 (n_10308), .Y (n_10155));
+ MX2X1 g31266(.A (n_5474), .B (n_2500), .S0 (n_10267), .Y (n_10154));
+ MX2X1 g31267(.A (n_6860), .B (n_6859), .S0 (n_10267), .Y (n_10152));
+ MX2X1 g31268(.A (n_5473), .B (n_2507), .S0 (n_10267), .Y (n_10151));
+ MX2X1 g31269(.A (n_5487), .B (n_1716), .S0 (n_10267), .Y (n_10150));
+ MX2X1 g31270(.A (n_6858), .B (n_6857), .S0 (n_10267), .Y (n_10148));
+ MX2X1 g31271(.A (n_6855), .B (n_6854), .S0 (n_10267), .Y (n_10147));
+ MX2X1 g31273(.A (n_6853), .B (n_6852), .S0 (n_10267), .Y (n_10146));
+ MX2X1 g31274(.A (n_6850), .B (n_6849), .S0 (n_10250), .Y (n_10145));
+ MX2X1 g31275(.A (n_6848), .B (n_6847), .S0 (n_10250), .Y (n_10143));
+ MX2X1 g31276(.A (n_6395), .B (n_6394), .S0 (n_10250), .Y (n_10142));
+ MX2X1 g31277(.A (n_6846), .B (n_6845), .S0 (n_10235), .Y (n_10141));
+ OAI21X1 g31278(.A0 (n_10992), .A1 (\u4_rp[0] ), .B0 (n_9843), .Y
+ (n_10140));
+ OAI21X1 g31279(.A0 (n_10985), .A1 (n_5772), .B0 (n_9842), .Y
+ (n_10139));
+ MX2X1 g31280(.A (n_5960), .B (n_5959), .S0 (n_10137), .Y (n_10138));
+ MX2X1 g31281(.A (n_6387), .B (n_6386), .S0 (n_10137), .Y (n_10136));
+ MX2X1 g31282(.A (n_6385), .B (n_6384), .S0 (n_10137), .Y (n_10135));
+ MX2X1 g31286(.A (n_6376), .B (n_6375), .S0 (n_10315), .Y (n_10134));
+ MX2X1 g31289(.A (n_6391), .B (n_6390), .S0 (n_10315), .Y (n_10133));
+ MX2X1 g31292(.A (n_5958), .B (n_5957), .S0 (n_10315), .Y (n_10132));
+ DFFSRX1 \u21_int_set_reg[0] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_9848), .Q (oc4_int_set), .QN ());
+ DFFSRX1 \u22_int_set_reg[0] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_9847), .Q (oc5_int_set), .QN ());
+ DFFSRX1 \u17_int_set_reg[0] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_9850), .Q (oc0_int_set), .QN ());
+ MX2X1 g31296(.A (n_5956), .B (n_5955), .S0 (n_10315), .Y (n_10131));
+ DFFSRX1 \u19_int_set_reg[0] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_9849), .Q (oc2_int_set), .QN ());
+ MX2X1 g31307(.A (n_5665), .B (n_1246), .S0 (n_10315), .Y (n_10130));
+ MX2X1 g31317(.A (n_5649), .B (n_1242), .S0 (n_10137), .Y (n_10129));
+ MX2X1 g31320(.A (n_5647), .B (n_1685), .S0 (n_10137), .Y (n_10128));
+ MX2X1 g31337(.A (n_5643), .B (n_1251), .S0 (n_10315), .Y (n_10127));
+ MX2X1 g31338(.A (n_5641), .B (n_1864), .S0 (n_10315), .Y (n_10126));
+ MX2X1 g31339(.A (n_6340), .B (n_6339), .S0 (n_10315), .Y (n_10124));
+ MX2X1 g31340(.A (n_6338), .B (n_6337), .S0 (n_10315), .Y (n_10123));
+ MX2X1 g31342(.A (n_6332), .B (n_6331), .S0 (n_10137), .Y (n_10122));
+ MX2X1 g31343(.A (n_6334), .B (n_6333), .S0 (n_10137), .Y (n_10120));
+ MX2X1 g31344(.A (n_5946), .B (n_5945), .S0 (n_10137), .Y (n_10119));
+ MX2X1 g31345(.A (n_5963), .B (n_5962), .S0 (n_10137), .Y (n_10118));
+ MX2X1 g31371(.A (u11_din_tmp1), .B (in_slt_444), .S0 (n_10103), .Y
+ (n_10115));
+ MX2X1 g31372(.A (u11_din_tmp_51), .B (in_slt_454), .S0 (n_10103), .Y
+ (n_10114));
+ MX2X1 g31373(.A (u11_din_tmp_52), .B (in_slt_455), .S0 (n_10103), .Y
+ (n_10112));
+ MX2X1 g31374(.A (u11_din_tmp_53), .B (in_slt_456), .S0 (n_10103), .Y
+ (n_10111));
+ MX2X1 g31375(.A (u11_din_tmp_54), .B (in_slt_457), .S0 (n_10103), .Y
+ (n_10110));
+ MX2X1 g31376(.A (u11_din_tmp_55), .B (in_slt_458), .S0 (n_10103), .Y
+ (n_10108));
+ MX2X1 g31377(.A (u11_din_tmp_56), .B (in_slt_459), .S0 (n_10103), .Y
+ (n_10106));
+ MX2X1 g31378(.A (u11_din_tmp_42), .B (in_slt_445), .S0 (n_10103), .Y
+ (n_10105));
+ MX2X1 g31379(.A (u11_din_tmp_43), .B (in_slt_446), .S0 (n_10103), .Y
+ (n_10104));
+ MX2X1 g31380(.A (u11_din_tmp_44), .B (in_slt_447), .S0 (n_10103), .Y
+ (n_10102));
+ MX2X1 g31381(.A (u11_din_tmp_45), .B (in_slt_448), .S0 (n_10103), .Y
+ (n_10101));
+ MX2X1 g31382(.A (u11_din_tmp_46), .B (in_slt_449), .S0 (n_10103), .Y
+ (n_10100));
+ MX2X1 g31383(.A (u11_din_tmp_47), .B (in_slt_450), .S0 (n_10103), .Y
+ (n_10099));
+ MX2X1 g31384(.A (u11_din_tmp_48), .B (in_slt_451), .S0 (n_10103), .Y
+ (n_10098));
+ MX2X1 g31385(.A (u11_din_tmp_49), .B (in_slt_452), .S0 (n_10103), .Y
+ (n_10097));
+ MX2X1 g31386(.A (u11_din_tmp_50), .B (in_slt_453), .S0 (n_10103), .Y
+ (n_10096));
+ XOR2X1 g31397(.A (n_1012), .B (n_10329), .Y (n_10095));
+ XOR2X1 g31398(.A (n_641), .B (n_10327), .Y (n_10094));
+ DFFX1 u16_u8_dma_req_r1_reg(.CK (clk_i), .D (n_11907), .Q
+ (u16_u8_dma_req_r1), .QN ());
+ NAND3X1 g30050(.A (n_9769), .B (n_9601), .C (n_9661), .Y (n_10092));
+ NAND3X1 g30052(.A (n_9767), .B (n_9600), .C (n_9658), .Y (n_10091));
+ OAI21X1 g30055(.A0 (n_9506), .A1 (n_9591), .B0 (n_9756), .Y
+ (n_10090));
+ OAI21X1 g30057(.A0 (n_9504), .A1 (n_9589), .B0 (n_9755), .Y
+ (n_10089));
+ OAI21X1 g30059(.A0 (n_9502), .A1 (n_9587), .B0 (n_9754), .Y
+ (n_10088));
+ OAI21X1 g30060(.A0 (n_9500), .A1 (n_9585), .B0 (n_9753), .Y
+ (n_10087));
+ NAND3X1 g31573(.A (\u11_wp[0] ), .B (n_11772), .C (n_9631), .Y
+ (n_10086));
+ NOR2X1 g31574(.A (n_11894), .B (n_757), .Y (n_10085));
+ NAND2X1 g31575(.A (n_1690), .B (n_10081), .Y (n_10084));
+ AND2X1 g30066(.A (n_9757), .B (n_11119), .Y (n_10083));
+ NAND2X1 g31576(.A (n_256), .B (n_10081), .Y (n_10082));
+ NAND2X1 g31577(.A (n_98), .B (n_10081), .Y (n_10080));
+ NAND2X1 g31578(.A (n_39), .B (n_10010), .Y (n_10079));
+ NAND2X1 g31579(.A (n_2556), .B (n_10010), .Y (n_10078));
+ NAND2X1 g31580(.A (n_366), .B (n_10010), .Y (n_10076));
+ NAND2X1 g31581(.A (n_349), .B (n_10073), .Y (n_10075));
+ NAND2X1 g31582(.A (n_174), .B (n_10073), .Y (n_10074));
+ NAND2X1 g31583(.A (n_9), .B (n_10065), .Y (n_10072));
+ NAND2X1 g31584(.A (n_2549), .B (n_10010), .Y (n_10071));
+ NAND2X1 g31585(.A (n_379), .B (n_10010), .Y (n_10069));
+ NOR2X1 g31586(.A (n_12339), .B (n_1096), .Y (n_10068));
+ NAND2X1 g31587(.A (n_2627), .B (n_10010), .Y (n_10067));
+ NAND2X1 g31588(.A (n_2545), .B (n_10065), .Y (n_10066));
+ NAND2X1 g31589(.A (n_204), .B (n_10045), .Y (n_10064));
+ NAND2X1 g31590(.A (n_356), .B (n_10054), .Y (n_10063));
+ NAND2X1 g31591(.A (n_1679), .B (n_10054), .Y (n_10062));
+ NAND2X1 g31592(.A (n_1737), .B (n_10054), .Y (n_10060));
+ NAND2X1 g31593(.A (n_340), .B (n_10054), .Y (n_10059));
+ NAND2X1 g31594(.A (n_42), .B (n_10010), .Y (n_10058));
+ NAND2X1 g31595(.A (n_45), .B (n_10054), .Y (n_10057));
+ NAND2X1 g31596(.A (n_1703), .B (n_10054), .Y (n_10056));
+ NAND2X1 g31597(.A (n_1727), .B (n_10054), .Y (n_10055));
+ NAND2X1 g31598(.A (n_1723), .B (n_10054), .Y (n_10053));
+ NAND2X1 g31599(.A (n_206), .B (n_10054), .Y (n_10052));
+ NAND2X1 g31600(.A (n_2506), .B (n_10045), .Y (n_10050));
+ NAND2X1 g31601(.A (n_1715), .B (n_10054), .Y (n_10049));
+ NAND2X1 g31602(.A (n_2542), .B (n_10010), .Y (n_10048));
+ NAND2X1 g31603(.A (n_1863), .B (n_10010), .Y (n_10047));
+ NAND2X1 g31604(.A (n_1766), .B (n_10045), .Y (n_10046));
+ NAND2X1 g31605(.A (n_141), .B (n_10045), .Y (n_10044));
+ NAND2X1 g31606(.A (n_1744), .B (n_10054), .Y (n_10043));
+ NAND2X1 g31607(.A (n_35), .B (n_10054), .Y (n_10042));
+ NAND2X1 g31608(.A (n_198), .B (n_10045), .Y (n_10041));
+ NAND2X1 g31609(.A (n_1561), .B (n_10045), .Y (n_10040));
+ NAND2X1 g31610(.A (n_347), .B (n_10045), .Y (n_10038));
+ NAND2X1 g31611(.A (n_365), .B (n_10045), .Y (n_10037));
+ NAND2X1 g31612(.A (n_346), .B (n_10045), .Y (n_10035));
+ NAND2X1 g31613(.A (n_345), .B (n_10054), .Y (n_10034));
+ NAND2X1 g31614(.A (n_2499), .B (n_10054), .Y (n_10032));
+ NAND2X1 g31615(.A (n_385), .B (n_10054), .Y (n_10031));
+ NAND2X1 g31616(.A (n_343), .B (n_10045), .Y (n_10030));
+ NAND2X1 g31617(.A (n_6089), .B (n_10045), .Y (n_10029));
+ NAND2X1 g31618(.A (n_6087), .B (n_10073), .Y (n_10028));
+ NAND2X1 g31619(.A (n_5294), .B (n_10024), .Y (n_10027));
+ NAND2X1 g31620(.A (n_5374), .B (n_10019), .Y (n_10026));
+ NAND2X1 g31621(.A (n_5343), .B (n_10024), .Y (n_10025));
+ NAND2X1 g31622(.A (n_5372), .B (n_10019), .Y (n_10023));
+ NAND2X1 g31623(.A (n_5333), .B (n_10019), .Y (n_10022));
+ NAND2X1 g31624(.A (n_5302), .B (n_10019), .Y (n_10020));
+ NAND2X1 g31625(.A (n_5367), .B (n_10019), .Y (n_10018));
+ NAND2X1 g31626(.A (n_5347), .B (n_10024), .Y (n_10017));
+ NAND2X1 g31627(.A (n_5345), .B (n_10024), .Y (n_10016));
+ NAND2X1 g31628(.A (n_5365), .B (n_10019), .Y (n_10015));
+ NAND2X1 g31629(.A (n_5350), .B (n_10019), .Y (n_10014));
+ NAND2X1 g31632(.A (n_5577), .B (n_10081), .Y (n_10013));
+ NAND2X1 g31633(.A (n_5575), .B (n_10081), .Y (n_10012));
+ NAND2X1 g31634(.A (n_5520), .B (n_10010), .Y (n_10011));
+ NAND2X1 g31635(.A (n_5363), .B (n_10081), .Y (n_10009));
+ NAND2X1 g31636(.A (n_5518), .B (n_10010), .Y (n_10008));
+ NAND2X1 g31637(.A (n_5516), .B (n_10065), .Y (n_10007));
+ NAND2X1 g31638(.A (n_5514), .B (n_10010), .Y (n_10006));
+ NAND2X1 g31639(.A (n_5512), .B (n_10010), .Y (n_10005));
+ NAND2X1 g31640(.A (n_5359), .B (n_10065), .Y (n_10004));
+ NAND2X1 g31641(.A (n_5357), .B (n_10010), .Y (n_10003));
+ NAND2X1 g31642(.A (n_5352), .B (n_10010), .Y (n_10002));
+ NAND2X1 g31643(.A (n_5510), .B (n_10010), .Y (n_10001));
+ NAND2X1 g31644(.A (n_5506), .B (n_10010), .Y (n_10000));
+ NAND2X1 g31645(.A (n_5504), .B (n_10010), .Y (n_9999));
+ OR2X1 g31648(.A (n_10329), .B (n_765), .Y (n_9998));
+ NAND2X1 g31649(.A (n_5369), .B (n_10019), .Y (n_9997));
+ OR2X1 g31651(.A (n_10327), .B (n_771), .Y (n_9996));
+ NAND2X1 g31652(.A (n_1732), .B (n_10045), .Y (n_9995));
+ NAND2X1 g31653(.A (n_1700), .B (n_10054), .Y (n_9994));
+ NAND2X1 g31663(.A (n_314), .B (n_10081), .Y (n_9993));
+ NAND2X1 g31666(.A (n_337), .B (n_10081), .Y (n_9992));
+ NAND2X1 g31667(.A (n_1748), .B (n_10073), .Y (n_9991));
+ NOR2X1 g31669(.A (n_11892), .B (n_11586), .Y (n_9990));
+ NOR2X1 g31693(.A (n_11890), .B (n_1184), .Y (n_9989));
+ NAND2X1 g31697(.A (n_313), .B (n_10081), .Y (n_9988));
+ NAND2X1 g31700(.A (n_96), .B (n_10081), .Y (n_9987));
+ NAND2X1 g31704(.A (n_90), .B (n_10010), .Y (n_9986));
+ NAND2X1 g31706(.A (n_249), .B (n_10010), .Y (n_9985));
+ NAND2X1 g31707(.A (n_87), .B (n_10010), .Y (n_9984));
+ NAND2X1 g31708(.A (n_232), .B (n_10081), .Y (n_9983));
+ NAND2X1 g31709(.A (n_1684), .B (n_10065), .Y (n_9982));
+ NAND2X1 g31710(.A (n_147), .B (n_10010), .Y (n_9981));
+ NAND2X1 g31711(.A (n_1676), .B (n_10065), .Y (n_9980));
+ NAND2X1 g31712(.A (n_143), .B (n_10065), .Y (n_9979));
+ NAND2X1 g31713(.A (n_215), .B (n_10081), .Y (n_9978));
+ NAND2X1 g31715(.A (n_2538), .B (n_10065), .Y (n_9977));
+ NAND2X1 g31719(.A (n_196), .B (n_10010), .Y (n_9976));
+ NAND2X1 g31722(.A (n_162), .B (n_10010), .Y (n_9975));
+ AOI21X1 g31733(.A0 (n_2369), .A1 (n_4829), .B0 (n_11894), .Y
+ (n_9974));
+ AOI21X1 g31734(.A0 (n_2986), .A1 (n_4836), .B0 (n_12339), .Y
+ (n_9973));
+ NAND3X1 g31735(.A (n_12531), .B (n_12149), .C (n_991), .Y (n_10954));
+ NAND3X1 g31736(.A (n_1229), .B (n_12608), .C (n_9833), .Y (n_10950));
+ DFFX1 \u1_slt4_reg[11] (.CK (bit_clk_pad_i), .D (n_9761), .Q
+ (in_slt_429), .QN ());
+ AOI21X1 g31744(.A0 (n_9541), .A1 (n_12149), .B0 (n_540), .Y (n_9972));
+ AOI21X1 g31746(.A0 (n_9538), .A1 (n_12609), .B0 (n_665), .Y (n_9970));
+ AOI21X1 g31749(.A0 (n_2360), .A1 (n_4834), .B0 (n_11892), .Y
+ (n_9969));
+ AOI21X1 g31758(.A0 (n_9620), .A1 (i4_full), .B0 (n_608), .Y (n_9968));
+ AOI21X1 g31763(.A0 (n_1500), .A1 (n_4080), .B0 (n_11890), .Y
+ (n_9967));
+ AOI21X1 g31781(.A0 (n_1549), .A1 (n_12303), .B0 (n_11894), .Y
+ (n_9966));
+ AOI21X1 g31782(.A0 (n_2488), .A1 (n_1918), .B0 (n_12339), .Y
+ (n_9965));
+ OAI21X1 g31786(.A0 (n_5447), .A1 (n_9564), .B0 (n_9752), .Y (n_9964));
+ AOI21X1 g31796(.A0 (n_1411), .A1 (n_1845), .B0 (n_11892), .Y
+ (n_9963));
+ AOI21X1 g31801(.A0 (n_12656), .A1 (n_1907), .B0 (n_11890), .Y
+ (n_9962));
+ MX2X1 g31810(.A (n_5420), .B (n_5421), .S0 (n_9620), .Y (n_9961));
+ OAI21X1 g31813(.A0 (n_6055), .A1 (n_9564), .B0 (n_9751), .Y (n_9960));
+ MX2X1 g30130(.A (in_slt_739), .B (u1_sr_128), .S0 (out_le), .Y
+ (n_9958));
+ MX2X1 g30131(.A (u1_sr_128), .B (in_slt_838), .S0 (out_le_181), .Y
+ (n_9957));
+ MX2X1 g30132(.A (u1_sr_128), .B (in_slt_408), .S0 (out_le_182), .Y
+ (n_9956));
+ MX2X1 g30133(.A (u1_sr_128), .B (in_slt_430), .S0 (out_le_183), .Y
+ (n_9955));
+ MX2X1 g30134(.A (u1_sr_128), .B (in_slt_452), .S0 (out_le_184), .Y
+ (n_9954));
+ MX2X1 g30136(.A (n_9952), .B (u0_slt9_r_169), .S0 (n_11319), .Y
+ (n_9953));
+ NAND2X1 g30925(.A (n_9759), .B (n_9734), .Y (n_9951));
+ DFFX1 \u1_slt0_reg[11] (.CK (bit_clk_pad_i), .D (n_9765), .Q
+ (in_slt_738), .QN ());
+ DFFX1 \u1_slt1_reg[11] (.CK (bit_clk_pad_i), .D (n_9764), .Q
+ (in_slt_753), .QN ());
+ DFFX1 \u1_slt2_reg[11] (.CK (bit_clk_pad_i), .D (n_9763), .Q
+ (in_slt_837), .QN ());
+ DFFX1 \u1_slt3_reg[11] (.CK (bit_clk_pad_i), .D (n_9762), .Q
+ (in_slt_407), .QN ());
+ DFFX1 \u1_slt6_reg[11] (.CK (bit_clk_pad_i), .D (n_9760), .Q
+ (in_slt_451), .QN ());
+ DFFSRX1 \u23_int_set_reg[2] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_9758), .Q (), .QN (ic0_int_set_720));
+ DFFX1 u15_crac_rd_done_reg(.CK (clk_i), .D (n_9712), .Q (n_1036), .QN
+ ());
+ NAND2X1 g32621(.A (n_320), .B (n_9943), .Y (n_12009));
+ NAND2X1 g32622(.A (n_321), .B (n_9947), .Y (n_11989));
+ NAND2X1 g32623(.A (n_330), .B (n_9947), .Y (n_11675));
+ NAND2X1 g32625(.A (n_248), .B (n_9947), .Y (n_11985));
+ NAND2X1 g32626(.A (n_396), .B (n_9947), .Y (n_12019));
+ NAND2X1 g32629(.A (n_295), .B (n_9943), .Y (n_11949));
+ NAND2X1 g32630(.A (n_361), .B (n_9943), .Y (n_12013));
+ AOI21X1 g32631(.A0 (n_6808), .A1 (n_6806), .B0 (n_10787), .Y
+ (n_9941));
+ AOI21X1 g32632(.A0 (n_6804), .A1 (n_6801), .B0 (n_10787), .Y
+ (n_9940));
+ NAND2X1 g32633(.A (n_373), .B (n_9943), .Y (n_11536));
+ NAND2X1 g32634(.A (n_380), .B (n_9947), .Y (n_11538));
+ NAND2X1 g32635(.A (n_370), .B (n_9947), .Y (n_12043));
+ NAND2X1 g32636(.A (n_351), .B (n_9947), .Y (n_11951));
+ NAND2X1 g32637(.A (n_391), .B (n_9943), .Y (n_11981));
+ NAND2X1 g32638(.A (n_394), .B (n_9947), .Y (n_12007));
+ NAND2X1 g32647(.A (n_290), .B (n_9931), .Y (n_9933));
+ NAND2X1 g32648(.A (n_287), .B (n_9931), .Y (n_9932));
+ NAND2X1 g32649(.A (n_84), .B (n_9931), .Y (n_9930));
+ NAND2X1 g32650(.A (n_300), .B (n_9931), .Y (n_9929));
+ NAND2X1 g32651(.A (n_261), .B (n_9931), .Y (n_9928));
+ NAND2X1 g32652(.A (n_101), .B (n_9931), .Y (n_9927));
+ NAND2X1 g32653(.A (n_113), .B (n_9931), .Y (n_9926));
+ NAND2X1 g32654(.A (n_114), .B (n_9931), .Y (n_9925));
+ NAND2X1 g32655(.A (n_117), .B (n_9931), .Y (n_12836));
+ NAND2X1 g32656(.A (n_102), .B (n_9931), .Y (n_9922));
+ AOI21X1 g32657(.A0 (n_5870), .A1 (n_5868), .B0 (n_9931), .Y (n_9921));
+ AOI21X1 g32658(.A0 (n_5866), .A1 (n_5864), .B0 (n_9931), .Y (n_9920));
+ NAND2X1 g32659(.A (n_304), .B (n_9931), .Y (n_11999));
+ NAND2X1 g32660(.A (n_262), .B (n_9931), .Y (n_12812));
+ NAND2X1 g32661(.A (n_234), .B (n_9931), .Y (n_12814));
+ NAND2X1 g32662(.A (n_166), .B (n_9931), .Y (n_12816));
+ NAND2X1 g32663(.A (n_266), .B (n_9931), .Y (n_9915));
+ NAND2X1 g32664(.A (n_264), .B (n_9931), .Y (n_9914));
+ NAND2X1 g32691(.A (n_332), .B (n_9943), .Y (n_12011));
+ NAND2X1 g32692(.A (n_243), .B (n_9943), .Y (n_12001));
+ NAND2X1 g32756(.A (n_103), .B (n_9943), .Y (n_11677));
+ NAND3X1 g32766(.A (n_9672), .B (n_4679), .C (n_11772), .Y (n_9910));
+ INVX1 g32767(.A (n_12589), .Y (n_9908));
+ INVX1 g32836(.A (n_11136), .Y (n_9907));
+ INVX2 g32937(.A (n_9905), .Y (n_10839));
+ INVX4 g32942(.A (n_9905), .Y (n_10880));
+ INVX8 g32958(.A (n_9903), .Y (n_10820));
+ INVX1 g32968(.A (n_11131), .Y (n_9902));
+ INVX1 g32974(.A (n_11126), .Y (n_9901));
+ OAI21X1 g32988(.A0 (n_7277), .A1 (n_7199), .B0 (n_9885), .Y
+ (n_11676));
+ OAI21X1 g32989(.A0 (n_7327), .A1 (n_7175), .B0 (n_9885), .Y
+ (n_12018));
+ OAI21X1 g32991(.A0 (n_7326), .A1 (n_7292), .B0 (n_12149), .Y
+ (n_11948));
+ OAI21X1 g32992(.A0 (n_7325), .A1 (n_7196), .B0 (n_12149), .Y
+ (n_12012));
+ OAI21X1 g32995(.A0 (n_7320), .A1 (n_7104), .B0 (n_9885), .Y
+ (n_12042));
+ OAI21X1 g32996(.A0 (n_7319), .A1 (n_7194), .B0 (n_9885), .Y
+ (n_11950));
+ OAI21X1 g32997(.A0 (n_7273), .A1 (n_7103), .B0 (n_12149), .Y
+ (n_11980));
+ OAI21X1 g32999(.A0 (n_7270), .A1 (n_7201), .B0 (n_9885), .Y
+ (n_11674));
+ OAI21X1 g33011(.A0 (n_7279), .A1 (n_7105), .B0 (n_9885), .Y
+ (n_12008));
+ NAND2X1 g33018(.A (n_414), .B (n_9882), .Y (n_9884));
+ OAI21X1 g33019(.A0 (n_753), .A1 (u15_rdd2), .B0 (n_9882), .Y
+ (n_9883));
+ OAI21X1 g33036(.A0 (n_7318), .A1 (n_12359), .B0 (n_12149), .Y
+ (n_12000));
+ OAI21X1 g33037(.A0 (n_7278), .A1 (n_7046), .B0 (n_12149), .Y
+ (n_12010));
+ OAI21X1 g33052(.A0 (n_7328), .A1 (n_7202), .B0 (n_9885), .Y
+ (n_11988));
+ OAI21X1 g33087(.A0 (n_7275), .A1 (n_7177), .B0 (n_9885), .Y
+ (n_11984));
+ INVX2 g33285(.A (n_12689), .Y (n_10605));
+ INVX2 g33291(.A (n_12688), .Y (n_10481));
+ INVX4 g33324(.A (n_10518), .Y (n_10645));
+ INVX8 g33327(.A (n_9876), .Y (n_10518));
+ INVX4 g33342(.A (n_12503), .Y (n_10617));
+ INVX4 g33351(.A (n_10483), .Y (n_10583));
+ INVX8 g33355(.A (n_9873), .Y (n_10483));
+ MX2X1 g31347(.A (u10_din_tmp_43), .B (in_slt_424), .S0 (n_9860), .Y
+ (n_9872));
+ MX2X1 g31348(.A (u10_din_tmp_44), .B (in_slt_425), .S0 (n_9860), .Y
+ (n_9871));
+ MX2X1 g31349(.A (u10_din_tmp_45), .B (in_slt_426), .S0 (n_9860), .Y
+ (n_9869));
+ MX2X1 g31350(.A (u10_din_tmp_46), .B (in_slt_427), .S0 (n_9860), .Y
+ (n_9868));
+ MX2X1 g31367(.A (u10_din_tmp_47), .B (in_slt_428), .S0 (n_9860), .Y
+ (n_9867));
+ MX2X1 g31368(.A (u10_din_tmp_48), .B (in_slt_429), .S0 (n_9860), .Y
+ (n_9865));
+ MX2X1 g31369(.A (u10_din_tmp_49), .B (in_slt_430), .S0 (n_9860), .Y
+ (n_9863));
+ MX2X1 g31370(.A (u10_din_tmp_50), .B (in_slt_431), .S0 (n_9860), .Y
+ (n_9862));
+ MX2X1 g31387(.A (u10_din_tmp1), .B (in_slt_422), .S0 (n_9860), .Y
+ (n_9861));
+ MX2X1 g31388(.A (u10_din_tmp_51), .B (in_slt_432), .S0 (n_9860), .Y
+ (n_9859));
+ MX2X1 g31389(.A (u10_din_tmp_52), .B (in_slt_433), .S0 (n_9860), .Y
+ (n_9858));
+ MX2X1 g31390(.A (u10_din_tmp_53), .B (in_slt_434), .S0 (n_9860), .Y
+ (n_9857));
+ MX2X1 g31391(.A (u10_din_tmp_54), .B (in_slt_435), .S0 (n_9860), .Y
+ (n_9856));
+ MX2X1 g31392(.A (u10_din_tmp_55), .B (in_slt_436), .S0 (n_9860), .Y
+ (n_9855));
+ MX2X1 g31393(.A (u10_din_tmp_56), .B (in_slt_437), .S0 (n_9860), .Y
+ (n_9854));
+ MX2X1 g31394(.A (u10_din_tmp_42), .B (in_slt_423), .S0 (n_9860), .Y
+ (n_9853));
+ DFFX1 u16_u6_dma_req_r1_reg(.CK (clk_i), .D (n_12374), .Q (n_12066),
+ .QN ());
+ DFFX1 u16_u7_dma_req_r1_reg(.CK (clk_i), .D (n_12379), .Q (n_12067),
+ .QN ());
+ NAND3X1 g30049(.A (n_9702), .B (n_9525), .C (n_9616), .Y (n_9850));
+ NAND3X1 g30051(.A (n_9700), .B (n_9524), .C (n_9613), .Y (n_9849));
+ NAND3X1 g30053(.A (n_9698), .B (n_9523), .C (n_9655), .Y (n_9848));
+ NAND3X1 g30054(.A (n_9696), .B (n_9522), .C (n_9652), .Y (n_9847));
+ AND2X1 g30064(.A (n_9693), .B (n_11119), .Y (n_9846));
+ AND2X1 g30065(.A (n_9692), .B (n_11119), .Y (n_9845));
+ NAND3X1 g31646(.A (n_9641), .B (n_11600), .C (n_9564), .Y (n_9844));
+ NAND2X1 g31647(.A (n_10992), .B (\u4_rp[0] ), .Y (n_9843));
+ NAND2X1 g31650(.A (n_10985), .B (n_5772), .Y (n_9842));
+ OAI21X1 g31783(.A0 (n_4850), .A1 (n_9514), .B0 (n_9687), .Y (n_9841));
+ OAI21X1 g31812(.A0 (n_6056), .A1 (n_9514), .B0 (n_9686), .Y (n_9840));
+ AOI22X1 g30117(.A0 (n_9644), .A1 (n_9659), .B0 (n_741), .B1
+ (n_12845), .Y (n_9838));
+ AOI22X1 g30119(.A0 (n_9643), .A1 (n_9656), .B0 (n_683), .B1 (n_9560),
+ .Y (n_9837));
+ AND2X1 g30907(.A (n_9691), .B (n_11564), .Y (n_9836));
+ NAND2X1 g32619(.A (n_853), .B (n_9631), .Y (n_9835));
+ NAND2X1 g32620(.A (\u11_wp[3] ), .B (n_9631), .Y (n_9834));
+ NAND2X1 g32646(.A (n_9931), .B (n_9833), .Y (n_10949));
+ NAND2X1 g32690(.A (n_10787), .B (n_991), .Y (n_10953));
+ AND2X1 g32837(.A (n_12689), .B (n_12534), .Y (n_11136));
+ NAND3X1 g32841(.A (n_9620), .B (n_5250), .C (n_11600), .Y (n_9831));
+ INVX2 g32875(.A (n_9829), .Y (n_10565));
+ INVX4 g32880(.A (n_9829), .Y (n_10679));
+ INVX8 g32891(.A (n_9827), .Y (n_10450));
+ INVX8 g32919(.A (n_9820), .Y (n_10513));
+ INVX8 g32928(.A (n_9820), .Y (n_10537));
+ CLKBUFX3 g32934(.A (n_9818), .Y (n_10391));
+ INVX2 g32944(.A (n_9818), .Y (n_9905));
+ INVX4 g32947(.A (n_9720), .Y (n_10376));
+ INVX2 g32948(.A (n_9720), .Y (n_10385));
+ INVX4 g32960(.A (n_10376), .Y (n_9903));
+ AND2X1 g32969(.A (n_9705), .B (n_9719), .Y (n_11131));
+ AND2X1 g32975(.A (n_12502), .B (n_12636), .Y (n_11126));
+ OAI21X1 g33000(.A0 (n_7269), .A1 (n_6998), .B0 (n_12609), .Y
+ (n_9815));
+ OAI21X1 g33001(.A0 (n_7268), .A1 (n_7102), .B0 (n_12609), .Y
+ (n_9813));
+ OAI21X1 g33002(.A0 (n_7132), .A1 (n_6993), .B0 (n_12609), .Y
+ (n_9812));
+ OAI21X1 g33003(.A0 (n_7266), .A1 (n_6997), .B0 (n_12609), .Y
+ (n_9811));
+ OAI21X1 g33004(.A0 (n_7130), .A1 (n_7162), .B0 (n_12609), .Y
+ (n_9810));
+ OAI21X1 g33005(.A0 (n_7129), .A1 (n_6996), .B0 (n_12609), .Y
+ (n_9808));
+ OAI21X1 g33006(.A0 (n_7128), .A1 (n_7173), .B0 (n_12609), .Y
+ (n_9807));
+ OAI21X1 g33007(.A0 (n_7127), .A1 (n_6994), .B0 (n_12609), .Y
+ (n_9806));
+ OAI21X1 g33008(.A0 (n_7265), .A1 (n_7101), .B0 (n_12609), .Y
+ (n_12835));
+ OAI21X1 g33009(.A0 (n_7264), .A1 (n_7100), .B0 (n_12609), .Y
+ (n_9804));
+ AOI21X1 g33010(.A0 (crac_wr), .A1 (n_9710), .B0 (\u13_ints_r[1] ), .Y
+ (n_9803));
+ OAI21X1 g33013(.A0 (n_7126), .A1 (n_7099), .B0 (n_12609), .Y
+ (n_12811));
+ OAI21X1 g33015(.A0 (n_7262), .A1 (n_7047), .B0 (n_12609), .Y
+ (n_12815));
+ OAI21X1 g33016(.A0 (n_7260), .A1 (n_7096), .B0 (n_12609), .Y
+ (n_9798));
+ OAI21X1 g33017(.A0 (n_7258), .A1 (n_7116), .B0 (n_12609), .Y
+ (n_9797));
+ OAI21X1 g33088(.A0 (n_9711), .A1 (u15_rdd2), .B0 (n_716), .Y
+ (n_9796));
+ NAND2X1 g33278(.A (u15_rdd1), .B (n_9688), .Y (n_9795));
+ NAND2X1 g33279(.A (crac_wr), .B (n_9689), .Y (n_9794));
+ MX2X1 g31351(.A (u9_din_tmp1), .B (in_slt_400), .S0 (n_9777), .Y
+ (n_9789));
+ MX2X1 g31352(.A (u9_din_tmp_51), .B (in_slt_410), .S0 (n_9777), .Y
+ (n_9788));
+ MX2X1 g31353(.A (u9_din_tmp_52), .B (in_slt_411), .S0 (n_9777), .Y
+ (n_9786));
+ MX2X1 g31354(.A (u9_din_tmp_53), .B (in_slt_412), .S0 (n_9777), .Y
+ (n_9785));
+ MX2X1 g31355(.A (u9_din_tmp_54), .B (in_slt_413), .S0 (n_9777), .Y
+ (n_9784));
+ MX2X1 g31356(.A (u9_din_tmp_55), .B (in_slt_414), .S0 (n_9777), .Y
+ (n_9782));
+ MX2X1 g31357(.A (u9_din_tmp_56), .B (in_slt_415), .S0 (n_9777), .Y
+ (n_9780));
+ MX2X1 g31358(.A (u9_din_tmp_42), .B (in_slt_401), .S0 (n_9777), .Y
+ (n_9779));
+ MX2X1 g31359(.A (u9_din_tmp_43), .B (in_slt_402), .S0 (n_9777), .Y
+ (n_9778));
+ MX2X1 g31360(.A (u9_din_tmp_44), .B (in_slt_403), .S0 (n_9777), .Y
+ (n_9776));
+ MX2X1 g31361(.A (u9_din_tmp_45), .B (in_slt_404), .S0 (n_9777), .Y
+ (n_9775));
+ MX2X1 g31362(.A (u9_din_tmp_46), .B (in_slt_405), .S0 (n_9777), .Y
+ (n_9774));
+ MX2X1 g31363(.A (u9_din_tmp_47), .B (in_slt_406), .S0 (n_9777), .Y
+ (n_9773));
+ MX2X1 g31364(.A (u9_din_tmp_48), .B (in_slt_407), .S0 (n_9777), .Y
+ (n_9772));
+ MX2X1 g31365(.A (u9_din_tmp_49), .B (in_slt_408), .S0 (n_9777), .Y
+ (n_9771));
+ MX2X1 g31366(.A (u9_din_tmp_50), .B (in_slt_409), .S0 (n_9777), .Y
+ (n_9770));
+ DFFX1 \u1_sr_reg[13] (.CK (bit_clk_pad_i), .D (u1_sr_128), .Q
+ (u1_sr_129), .QN ());
+ AOI21X1 g30736(.A0 (n_9768), .A1 (n_9660), .B0 (n_9648), .Y (n_9769));
+ AOI21X1 g30738(.A0 (n_9766), .A1 (n_9657), .B0 (n_9646), .Y (n_9767));
+ MX2X1 g30753(.A (in_slt_738), .B (u1_sr_127), .S0 (out_le), .Y
+ (n_9765));
+ MX2X1 g30754(.A (u1_sr_127), .B (in_slt_753), .S0 (out_le_180), .Y
+ (n_9764));
+ MX2X1 g30755(.A (u1_sr_127), .B (in_slt_837), .S0 (out_le_181), .Y
+ (n_9763));
+ MX2X1 g30756(.A (u1_sr_127), .B (in_slt_407), .S0 (out_le_182), .Y
+ (n_9762));
+ MX2X1 g30757(.A (u1_sr_127), .B (in_slt_429), .S0 (out_le_183), .Y
+ (n_9761));
+ MX2X1 g30758(.A (u1_sr_127), .B (in_slt_451), .S0 (out_le_184), .Y
+ (n_9760));
+ NAND3X1 g31630(.A (n_11564), .B (n_1203), .C (n_9514), .Y (n_9759));
+ INVX1 g31755(.A (n_9694), .Y (n_9758));
+ OR2X1 g30111(.A (\u13_ints_r[26] ), .B (ic2_int_set), .Y (n_9757));
+ AOI22X1 g30116(.A0 (n_9584), .A1 (n_9614), .B0 (n_672), .B1
+ (n_12847), .Y (n_9756));
+ DFFX1 \u1_slt6_reg[10] (.CK (bit_clk_pad_i), .D (n_9618), .Q
+ (in_slt_450), .QN ());
+ AOI22X1 g30118(.A0 (n_9583), .A1 (n_9611), .B0 (n_752), .B1 (n_9503),
+ .Y (n_9755));
+ DFFX1 \u1_slt2_reg[10] (.CK (bit_clk_pad_i), .D (n_9628), .Q
+ (in_slt_836), .QN ());
+ AOI22X1 g30120(.A0 (n_9582), .A1 (n_9653), .B0 (n_690), .B1 (n_9501),
+ .Y (n_9754));
+ AOI22X1 g30121(.A0 (n_9581), .A1 (n_9650), .B0 (n_599), .B1 (n_9499),
+ .Y (n_9753));
+ DFFSRX1 u14_u4_en_out_l_reg(.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9636), .Q (out_slt_18), .QN ());
+ DFFSRX1 u2_sync_resume_reg(.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_9617), .Q (u2_sync_resume), .QN ());
+ DFFX1 \u1_slt1_reg[10] (.CK (bit_clk_pad_i), .D (n_9630), .Q
+ (in_slt_752), .QN ());
+ DFFX1 \u1_slt4_reg[10] (.CK (bit_clk_pad_i), .D (n_9619), .Q
+ (in_slt_428), .QN ());
+ DFFX1 \u1_slt3_reg[10] (.CK (bit_clk_pad_i), .D (n_9625), .Q
+ (in_slt_406), .QN ());
+ DFFSRX1 u14_u0_en_out_l_reg(.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9640), .Q (out_slt_23), .QN ());
+ DFFSRX1 u14_u1_en_out_l_reg(.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9639), .Q (out_slt_22), .QN ());
+ DFFSRX1 u14_u2_en_out_l_reg(.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9638), .Q (out_slt_20), .QN ());
+ DFFSRX1 u14_u3_en_out_l_reg(.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9637), .Q (out_slt_19), .QN ());
+ DFFSRX1 u14_u5_en_out_l_reg(.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9635), .Q (out_slt_17), .QN ());
+ DFFX1 u14_crac_valid_r_reg(.CK (clk_i), .D (n_9629), .Q (out_slt_25),
+ .QN ());
+ NAND2X1 g32639(.A (\u10_wp[2] ), .B (n_9564), .Y (n_9752));
+ NAND2X1 g32640(.A (\u10_wp[3] ), .B (n_9564), .Y (n_9751));
+ INVX4 g32643(.A (n_9750), .Y (n_10103));
+ INVX8 g32772(.A (n_9749), .Y (n_10747));
+ INVX4 g32783(.A (n_9737), .Y (n_10308));
+ INVX4 g32787(.A (n_9737), .Y (n_10045));
+ INVX4 g32794(.A (n_9741), .Y (n_10019));
+ INVX4 g32799(.A (n_9741), .Y (n_10054));
+ INVX4 g32811(.A (n_9741), .Y (n_10235));
+ INVX4 g32817(.A (n_9741), .Y (n_10250));
+ INVX2 g32822(.A (n_9737), .Y (n_10277));
+ INVX4 g32825(.A (n_9737), .Y (n_10267));
+ INVX2 g32828(.A (n_9737), .Y (n_10303));
+ NAND3X1 g32840(.A (n_9690), .B (n_4680), .C (n_11564), .Y (n_9734));
+ NOR2X1 g32843(.A (n_9626), .B (n_12144), .Y (n_10329));
+ NOR2X1 g32846(.A (n_12603), .B (n_11491), .Y (n_10327));
+ INVX8 g32857(.A (n_9726), .Y (n_10137));
+ INVX8 g32866(.A (n_9726), .Y (n_10315));
+ CLKBUFX3 g32872(.A (n_9724), .Y (n_10081));
+ INVX2 g32882(.A (n_9724), .Y (n_9829));
+ INVX4 g32885(.A (n_9675), .Y (n_10065));
+ INVX2 g32887(.A (n_9675), .Y (n_10010));
+ INVX2 g32898(.A (n_10065), .Y (n_9827));
+ INVX4 g32929(.A (n_9721), .Y (n_9820));
+ INVX4 g32945(.A (n_9720), .Y (n_9818));
+ NOR2X1 g33277(.A (n_9711), .B (n_294), .Y (n_9712));
+ NAND2X1 g33280(.A (n_9710), .B (u15_crac_rd), .Y (n_9882));
+ INVX1 g33299(.A (n_12149), .Y (n_9943));
+ INVX1 g33300(.A (n_12149), .Y (n_9947));
+ INVX4 g33303(.A (n_10787), .Y (n_9885));
+ INVX2 g33316(.A (n_12608), .Y (n_9931));
+ INVX4 g33332(.A (n_9705), .Y (n_9876));
+ INVX4 g33359(.A (n_9703), .Y (n_9873));
+ AOI21X1 g30735(.A0 (n_9701), .A1 (n_9615), .B0 (n_9592), .Y (n_9702));
+ AOI21X1 g30737(.A0 (n_9699), .A1 (n_9612), .B0 (n_9590), .Y (n_9700));
+ AOI21X1 g30739(.A0 (n_9697), .A1 (n_9654), .B0 (n_9588), .Y (n_9698));
+ AOI21X1 g30740(.A0 (n_9695), .A1 (n_9651), .B0 (n_9586), .Y (n_9696));
+ DFFX1 \u0_slt9_r_reg[2] (.CK (bit_clk_pad_i), .D (n_9603), .Q
+ (u0_slt9_r_169), .QN ());
+ DFFX1 \u1_sr_reg[12] (.CK (bit_clk_pad_i), .D (u1_sr_127), .Q
+ (u1_sr_128), .QN ());
+ DFFSRX1 \u26_ps_cnt_reg[5] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_9593), .Q (\u26_ps_cnt[5] ), .QN ());
+ AOI21X1 g31756(.A0 (i3_full), .A1 (n_9690), .B0 (n_739), .Y (n_9694));
+ DFFSRX1 \u26_ps_cnt_reg[2] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_9596), .Q (n_760), .QN ());
+ OR2X1 g30109(.A (\u13_ints_r[20] ), .B (ic0_int_set), .Y (n_9693));
+ OR2X1 g30110(.A (\u13_ints_r[23] ), .B (ic1_int_set), .Y (n_9692));
+ MX2X1 g31809(.A (n_4074), .B (n_4075), .S0 (n_9690), .Y (n_9691));
+ INVX1 g34880(.A (n_9710), .Y (n_9689));
+ INVX1 g34884(.A (n_9711), .Y (n_9688));
+ DFFSRX1 \u26_ps_cnt_reg[0] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_9599), .Q (\u26_ps_cnt[0] ), .QN ());
+ DFFSRX1 \u26_ps_cnt_reg[1] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_9598), .Q (\u26_ps_cnt[1] ), .QN ());
+ DFFSRX1 \u26_ps_cnt_reg[4] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_9594), .Q (\u26_ps_cnt[4] ), .QN ());
+ DFFSRX1 \u26_ps_cnt_reg[3] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_9595), .Q (\u26_ps_cnt[3] ), .QN ());
+ DFFX1 \u12_wb_data_o_reg[1] (.CK (clk_i), .D (n_9608), .Q
+ (wb_data_o[1]), .QN ());
+ DFFSRX1 \u17_int_set_reg[2] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_9578), .Q (), .QN (oc0_int_set_708));
+ DFFSRX1 \u18_int_set_reg[2] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_9577), .Q (), .QN (oc1_int_set_710));
+ DFFSRX1 \u21_int_set_reg[2] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_9574), .Q (), .QN (oc4_int_set_716));
+ DFFSRX1 \u20_int_set_reg[2] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_9575), .Q (), .QN (oc3_int_set_714));
+ DFFX1 u14_crac_wr_r_reg(.CK (clk_i), .D (n_9580), .Q (out_slt_24),
+ .QN ());
+ NAND2X1 g32627(.A (\u9_wp[2] ), .B (n_9514), .Y (n_9687));
+ NAND2X1 g32628(.A (n_9514), .B (\u9_wp[3] ), .Y (n_9686));
+ OR2X1 g32645(.A (\u11_wp[0] ), .B (n_9631), .Y (n_9750));
+ DFFSRX1 \u22_int_set_reg[2] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_9573), .Q (), .QN (oc5_int_set_718));
+ INVX4 g32747(.A (n_9685), .Y (n_9860));
+ INVX2 g32774(.A (n_10024), .Y (n_9749));
+ INVX4 g32805(.A (n_9681), .Y (n_10738));
+ INVX4 g32816(.A (n_10073), .Y (n_9741));
+ INVX4 g32829(.A (n_10073), .Y (n_9737));
+ NAND2X1 g32842(.A (n_12148), .B (n_12144), .Y (n_10992));
+ NAND2X1 g32845(.A (n_12607), .B (n_12603), .Y (n_10985));
+ INVX4 g32867(.A (n_9676), .Y (n_9726));
+ INVX4 g32883(.A (n_9675), .Y (n_9724));
+ BUFX3 g32933(.A (n_9674), .Y (n_9721));
+ INVX2 g32962(.A (n_9674), .Y (n_9720));
+ DFFSRX1 \u19_int_set_reg[2] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_9576), .Q (), .QN (oc2_int_set_712));
+ INVX1 g33333(.A (n_9668), .Y (n_9705));
+ INVX1 g33360(.A (n_9666), .Y (n_9703));
+ OAI21X1 g30717(.A0 (n_5832), .A1 (n_9660), .B0 (n_9659), .Y (n_9661));
+ OAI21X1 g30719(.A0 (n_5828), .A1 (n_9657), .B0 (n_9656), .Y (n_9658));
+ OAI21X1 g30723(.A0 (n_5826), .A1 (n_9654), .B0 (n_9653), .Y (n_9655));
+ OAI21X1 g30724(.A0 (n_5436), .A1 (n_9651), .B0 (n_9650), .Y (n_9652));
+ AOI21X1 g30734(.A0 (n_7519), .A1 (n_9444), .B0 (n_9526), .Y
+ (n_11504));
+ DFFX1 \u1_slt2_reg[9] (.CK (bit_clk_pad_i), .D (n_9530), .Q
+ (in_slt_835), .QN ());
+ DFFX1 u14_u3_full_empty_r_reg(.CK (clk_i), .D (n_9537), .Q
+ (u14_u3_full_empty_r), .QN ());
+ DFFSRX1 \u25_int_set_reg[0] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_9545), .Q (ic2_int_set), .QN ());
+ NOR2X1 g30928(.A (n_9549), .B (n_9647), .Y (n_9648));
+ NOR2X1 g30930(.A (n_9547), .B (n_9645), .Y (n_9646));
+ OAI21X1 g30941(.A0 (n_1381), .A1 (o4_status), .B0 (n_9606), .Y
+ (n_9644));
+ OAI21X1 g30943(.A0 (n_1384), .A1 (o7_status), .B0 (n_9604), .Y
+ (n_9643));
+ AND2X1 g34881(.A (u15_valid_r), .B (n_11827), .Y (n_9710));
+ OR2X1 g34885(.A (u15_valid_r), .B (n_11827), .Y (n_9711));
+ DFFX1 u14_u0_full_empty_r_reg(.CK (clk_i), .D (n_9544), .Q
+ (u14_u0_full_empty_r), .QN ());
+ DFFX1 u14_u1_full_empty_r_reg(.CK (clk_i), .D (n_9542), .Q
+ (u14_u1_full_empty_r), .QN ());
+ DFFX1 u14_u2_full_empty_r_reg(.CK (clk_i), .D (n_9539), .Q
+ (u14_u2_full_empty_r), .QN ());
+ DFFX1 u14_u5_full_empty_r_reg(.CK (clk_i), .D (n_9533), .Q
+ (u14_u5_full_empty_r), .QN ());
+ DFFX1 \u1_slt0_reg[9] (.CK (bit_clk_pad_i), .D (n_9531), .Q
+ (in_slt_736), .QN ());
+ DFFX1 u14_u4_full_empty_r_reg(.CK (clk_i), .D (n_9535), .Q
+ (u14_u4_full_empty_r), .QN ());
+ DFFX1 \u1_slt4_reg[9] (.CK (bit_clk_pad_i), .D (n_9528), .Q
+ (in_slt_427), .QN ());
+ DFFX1 \u1_slt3_reg[9] (.CK (bit_clk_pad_i), .D (n_9529), .Q
+ (in_slt_405), .QN ());
+ DFFX1 \u8_wp_reg[0] (.CK (clk_i), .D (n_9520), .Q (\u8_wp[0] ), .QN
+ ());
+ DFFX1 \u3_wp_reg[0] (.CK (clk_i), .D (n_9519), .Q (\u3_wp[0] ), .QN
+ ());
+ DFFX1 \u4_wp_reg[0] (.CK (clk_i), .D (n_9518), .Q (\u4_wp[0] ), .QN
+ ());
+ DFFX1 \u5_wp_reg[0] (.CK (clk_i), .D (n_9517), .Q (\u5_wp[0] ), .QN
+ ());
+ DFFX1 \u6_wp_reg[0] (.CK (clk_i), .D (n_9516), .Q (\u6_wp[0] ), .QN
+ ());
+ DFFX1 \u7_wp_reg[0] (.CK (clk_i), .D (n_9515), .Q (\u7_wp[0] ), .QN
+ ());
+ INVX2 g32685(.A (n_9642), .Y (n_9777));
+ OR2X1 g32749(.A (n_9641), .B (n_9564), .Y (n_9685));
+ OR2X1 g32757(.A (n_9512), .B (n_9480), .Y (n_9640));
+ OR2X1 g32758(.A (n_9511), .B (n_9479), .Y (n_9639));
+ OR2X1 g32759(.A (n_9510), .B (n_9478), .Y (n_9638));
+ OR2X1 g32760(.A (n_9509), .B (n_9477), .Y (n_9637));
+ OR2X1 g32761(.A (n_9508), .B (n_9476), .Y (n_9636));
+ OR2X1 g32762(.A (n_9507), .B (n_9475), .Y (n_9635));
+ INVX2 g32780(.A (n_9633), .Y (n_10024));
+ INVX4 g32791(.A (n_9633), .Y (n_10073));
+ CLKBUFX1 g32807(.A (n_9633), .Y (n_9681));
+ BUFX3 g32871(.A (n_9632), .Y (n_9676));
+ INVX2 g32900(.A (n_9632), .Y (n_9675));
+ NAND2X1 g32963(.A (n_3944), .B (n_9571), .Y (n_9674));
+ INVX1 g33271(.A (n_9631), .Y (n_9672));
+ MX2X1 g31294(.A (u1_sr_126), .B (in_slt_752), .S0 (out_le_180), .Y
+ (n_9630));
+ NAND3X1 g33276(.A (n_9579), .B (n_9472), .C (n_9471), .Y (n_9629));
+ MX2X1 g31298(.A (u1_sr_126), .B (in_slt_836), .S0 (out_le_181), .Y
+ (n_9628));
+ INVX1 g33309(.A (n_12148), .Y (n_9626));
+ MX2X1 g31300(.A (u1_sr_126), .B (in_slt_406), .S0 (out_le_182), .Y
+ (n_9625));
+ INVX1 g33320(.A (n_12607), .Y (n_11491));
+ INVX1 g33334(.A (n_9569), .Y (n_9668));
+ INVX1 g33361(.A (n_9567), .Y (n_9666));
+ MX2X1 g31309(.A (u1_sr_126), .B (in_slt_428), .S0 (out_le_183), .Y
+ (n_9619));
+ MX2X1 g31318(.A (u1_sr_126), .B (in_slt_450), .S0 (out_le_184), .Y
+ (n_9618));
+ DFFX1 \u1_slt6_reg[9] (.CK (bit_clk_pad_i), .D (n_9527), .Q
+ (in_slt_449), .QN ());
+ AOI21X1 g30707(.A0 (n_415), .A1 (n_416), .B0 (n_9521), .Y (n_9617));
+ OAI21X1 g30716(.A0 (n_5840), .A1 (n_9615), .B0 (n_9614), .Y (n_9616));
+ OAI21X1 g30718(.A0 (n_5438), .A1 (n_9612), .B0 (n_9611), .Y (n_9613));
+ AOI21X1 g30732(.A0 (n_7448), .A1 (n_8847), .B0 (n_9474), .Y
+ (n_11672));
+ AOI21X1 g30733(.A0 (n_7446), .A1 (n_8843), .B0 (n_9473), .Y
+ (n_11673));
+ DFFSRX1 u26_ac97_rst__reg(.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_9491), .Q (ac97_reset_pad_o_), .QN ());
+ DFFX1 \u1_sr_reg[11] (.CK (bit_clk_pad_i), .D (u1_sr_126), .Q
+ (u1_sr_127), .QN ());
+ DFFSRX1 \u26_cnt_reg[2] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_9492), .Q (\u26_cnt[2] ), .QN ());
+ NAND2X1 g31631(.A (n_6680), .B (n_9454), .Y (n_9608));
+ INVX1 g31767(.A (n_9606), .Y (n_9607));
+ INVX1 g31771(.A (n_9604), .Y (n_9605));
+ MX2X1 g31825(.A (n_9602), .B (u0_slt9_r_168), .S0 (n_11319), .Y
+ (n_9603));
+ DFFSRX1 \u23_int_set_reg[0] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_9490), .Q (ic0_int_set), .QN ());
+ DFFSRX1 \u24_int_set_reg[0] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_9485), .Q (ic1_int_set), .QN ());
+ NAND3X1 g30913(.A (n_8565), .B (n_8567), .C (n_9559), .Y (n_9601));
+ NAND3X1 g30915(.A (n_8536), .B (oc3_cfg_997), .C (n_9558), .Y
+ (n_9600));
+ NOR2X1 g30918(.A (n_9597), .B (\u26_ps_cnt[0] ), .Y (n_9599));
+ NOR2X1 g30919(.A (n_1441), .B (n_9597), .Y (n_9598));
+ NOR2X1 g30920(.A (n_3849), .B (n_9597), .Y (n_9596));
+ NOR2X1 g30921(.A (n_2630), .B (n_9597), .Y (n_9595));
+ NOR2X1 g30922(.A (n_3994), .B (n_9597), .Y (n_9594));
+ NOR2X1 g30923(.A (n_4827), .B (n_9597), .Y (n_9593));
+ NOR2X1 g30927(.A (n_9489), .B (n_9591), .Y (n_9592));
+ NOR2X1 g30929(.A (n_9487), .B (n_9589), .Y (n_9590));
+ NOR2X1 g30931(.A (n_9484), .B (n_9587), .Y (n_9588));
+ NOR2X1 g30932(.A (n_9482), .B (n_9585), .Y (n_9586));
+ OAI21X1 g30940(.A0 (n_1379), .A1 (o3_status), .B0 (n_9556), .Y
+ (n_9584));
+ OAI21X1 g30942(.A0 (n_1391), .A1 (o6_status), .B0 (n_9554), .Y
+ (n_9583));
+ OAI21X1 g30944(.A0 (n_847), .A1 (o8_status), .B0 (n_9552), .Y
+ (n_9582));
+ OAI21X1 g30945(.A0 (n_977), .A1 (o9_status), .B0 (n_9550), .Y
+ (n_9581));
+ NAND2X1 g34883(.A (n_9470), .B (n_9579), .Y (n_9580));
+ DFFSRX1 u14_u8_en_out_l_reg(.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9461), .Q (u14_n_135), .QN ());
+ DFFSRX1 \u5_wp_reg[1] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9458), .Q (\u5_wp[1] ), .QN ());
+ DFFX1 \u6_wp_reg[2] (.CK (clk_i), .D (n_9465), .Q (\u6_wp[2] ), .QN
+ ());
+ DFFSRX1 \u26_cnt_reg[0] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_9494), .Q (\u26_cnt[0] ), .QN ());
+ DFFSRX1 \u26_cnt_reg[1] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_9493), .Q (\u26_cnt[1] ), .QN ());
+ DFFX1 \u8_wp_reg[2] (.CK (clk_i), .D (n_9469), .Q (\u8_wp[2] ), .QN
+ ());
+ DFFX1 \u3_wp_reg[2] (.CK (clk_i), .D (n_9466), .Q (\u3_wp[2] ), .QN
+ ());
+ DFFX1 \u5_wp_reg[2] (.CK (clk_i), .D (n_9467), .Q (\u5_wp[2] ), .QN
+ ());
+ DFFX1 \u7_wp_reg[2] (.CK (clk_i), .D (n_9464), .Q (\u7_wp[2] ), .QN
+ ());
+ DFFSRX1 u14_u6_en_out_l_reg(.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9463), .Q (u14_n_133), .QN ());
+ DFFSRX1 u14_u7_en_out_l_reg(.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9462), .Q (u14_n_134), .QN ());
+ DFFSRX1 \u8_wp_reg[1] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9460), .Q (\u8_wp[1] ), .QN ());
+ DFFSRX1 \u3_wp_reg[1] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9457), .Q (\u3_wp[1] ), .QN ());
+ DFFSRX1 \u4_wp_reg[1] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9459), .Q (\u4_wp[1] ), .QN ());
+ DFFSRX1 \u6_wp_reg[1] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9456), .Q (\u6_wp[1] ), .QN ());
+ DFFSRX1 \u7_wp_reg[1] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9455), .Q (\u7_wp[1] ), .QN ());
+ DFFX1 \u4_wp_reg[2] (.CK (clk_i), .D (n_9468), .Q (\u4_wp[2] ), .QN
+ ());
+ NAND2X1 g32607(.A (n_9453), .B (oc0_int_set_708), .Y (n_9578));
+ NAND2X1 g32608(.A (n_9452), .B (oc1_int_set_710), .Y (n_9577));
+ NAND2X1 g32609(.A (n_9451), .B (oc2_int_set_712), .Y (n_9576));
+ NAND2X1 g32610(.A (n_9450), .B (oc3_int_set_714), .Y (n_9575));
+ NAND2X1 g32611(.A (n_9449), .B (oc4_int_set_716), .Y (n_9574));
+ NAND2X1 g32612(.A (n_9448), .B (oc5_int_set_718), .Y (n_9573));
+ OR2X1 g32687(.A (n_1203), .B (n_9514), .Y (n_9642));
+ INVX2 g32834(.A (n_9572), .Y (n_9633));
+ NAND2X1 g32901(.A (n_4633), .B (n_9513), .Y (n_9632));
+ INVX1 g33272(.A (n_9571), .Y (n_9631));
+ NOR2X1 g33335(.A (n_11529), .B (n_8665), .Y (n_9569));
+ NOR2X1 g33362(.A (n_11530), .B (n_8661), .Y (n_9567));
+ INVX1 g33379(.A (n_9564), .Y (n_9620));
+ AOI21X1 g31655(.A0 (n_7289), .A1 (n_1127), .B0 (n_12845), .Y
+ (n_9563));
+ AOI21X1 g31657(.A0 (n_7165), .A1 (n_1071), .B0 (n_9560), .Y (n_9561));
+ OR2X1 g31661(.A (n_9559), .B (n_9548), .Y (n_9660));
+ OR2X1 g31668(.A (n_9558), .B (n_9546), .Y (n_9657));
+ INVX1 g31765(.A (n_9556), .Y (n_9557));
+ AOI21X1 g31768(.A0 (n_1127), .A1 (n_7161), .B0 (n_12846), .Y
+ (n_9606));
+ INVX1 g31769(.A (n_9554), .Y (n_9555));
+ AOI21X1 g31772(.A0 (n_1071), .A1 (n_7036), .B0 (n_9560), .Y (n_9604));
+ INVX1 g31773(.A (n_9552), .Y (n_9553));
+ INVX1 g31775(.A (n_9550), .Y (n_9551));
+ AOI21X1 g31793(.A0 (n_5396), .A1 (n_9548), .B0 (n_9559), .Y (n_9549));
+ AOI21X1 g31795(.A0 (n_5400), .A1 (n_9546), .B0 (n_9558), .Y (n_9547));
+ NAND3X1 g30853(.A (n_9445), .B (n_1885), .C (n_8209), .Y (n_9545));
+ MX2X1 g31814(.A (u14_u0_full_empty_r), .B (n_9543), .S0 (n_11827), .Y
+ (n_9544));
+ MX2X1 g31815(.A (u14_u1_full_empty_r), .B (n_9541), .S0 (n_11827), .Y
+ (n_9542));
+ MX2X1 g31816(.A (u14_u2_full_empty_r), .B (n_9538), .S0 (n_11827), .Y
+ (n_9539));
+ MX2X1 g31817(.A (u14_u3_full_empty_r), .B (n_9536), .S0 (n_11827), .Y
+ (n_9537));
+ MX2X1 g31818(.A (u14_u4_full_empty_r), .B (n_9534), .S0 (n_11827), .Y
+ (n_9535));
+ MX2X1 g31819(.A (u14_u5_full_empty_r), .B (n_9532), .S0 (n_11827), .Y
+ (n_9533));
+ MX2X1 g31820(.A (in_slt_736), .B (u1_sr_125), .S0 (out_le), .Y
+ (n_9531));
+ MX2X1 g31821(.A (u1_sr_125), .B (in_slt_835), .S0 (out_le_181), .Y
+ (n_9530));
+ MX2X1 g31822(.A (u1_sr_125), .B (in_slt_405), .S0 (out_le_182), .Y
+ (n_9529));
+ MX2X1 g31823(.A (u1_sr_125), .B (in_slt_427), .S0 (out_le_183), .Y
+ (n_9528));
+ MX2X1 g31824(.A (u1_sr_125), .B (in_slt_449), .S0 (out_le_184), .Y
+ (n_9527));
+ OAI21X1 g30905(.A0 (n_7387), .A1 (n_8205), .B0 (n_9446), .Y (n_9526));
+ NAND3X1 g30912(.A (n_8526), .B (n_8528), .C (n_9498), .Y (n_9525));
+ NAND3X1 g30914(.A (n_8550), .B (oc2_cfg_987), .C (n_9497), .Y
+ (n_9524));
+ NAND3X1 g30916(.A (n_8188), .B (n_8190), .C (n_9496), .Y (n_9523));
+ NAND3X1 g30917(.A (n_8197), .B (n_8199), .C (n_9495), .Y (n_9522));
+ AOI21X1 g30938(.A0 (resume_req), .A1 (suspended_o), .B0
+ (u2_sync_resume), .Y (n_9521));
+ DFFX1 u15_valid_r_reg(.CK (clk_i), .D (n_11823), .Q (u15_valid_r),
+ .QN ());
+ DFFX1 \u1_slt6_reg[8] (.CK (bit_clk_pad_i), .D (n_9353), .Q
+ (in_slt_448), .QN ());
+ DFFX1 \u1_slt2_reg[8] (.CK (bit_clk_pad_i), .D (n_9356), .Q
+ (in_slt_834), .QN ());
+ DFFX1 \u1_slt1_reg[8] (.CK (bit_clk_pad_i), .D (n_9357), .Q
+ (in_slt_750), .QN ());
+ DFFX1 \u1_slt4_reg[8] (.CK (bit_clk_pad_i), .D (n_9354), .Q
+ (in_slt_426), .QN ());
+ DFFX1 \u1_slt3_reg[8] (.CK (bit_clk_pad_i), .D (n_9355), .Q
+ (in_slt_404), .QN ());
+ DFFSRX1 \u4_mem_reg[0][13] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9442), .Q (\u4_mem[0]_103 ), .QN ());
+ DFFSRX1 \u4_mem_reg[0][14] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9441), .Q (\u4_mem[0]_104 ), .QN ());
+ DFFSRX1 \u4_mem_reg[0][16] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9440), .Q (\u4_mem[0]_106 ), .QN ());
+ DFFSRX1 \u4_mem_reg[0][19] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9439), .Q (\u4_mem[0]_109 ), .QN ());
+ DFFSRX1 \u4_mem_reg[0][22] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9437), .Q (\u4_mem[0]_112 ), .QN ());
+ DFFSRX1 \u4_mem_reg[0][24] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9435), .Q (\u4_mem[0]_114 ), .QN ());
+ DFFSRX1 \u4_mem_reg[0][31] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9434), .Q (\u4_mem[0]_121 ), .QN ());
+ DFFSRX1 \u4_mem_reg[0][4] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9433), .Q (\u4_mem[0]_94 ), .QN ());
+ DFFSRX1 \u4_mem_reg[0][7] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9432), .Q (\u4_mem[0]_97 ), .QN ());
+ DFFSRX1 \u4_mem_reg[0][9] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9430), .Q (\u4_mem[0]_99 ), .QN ());
+ DFFSRX1 \u5_mem_reg[0][13] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9429), .Q (\u5_mem[0]_103 ), .QN ());
+ DFFSRX1 \u5_mem_reg[0][14] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9428), .Q (\u5_mem[0]_104 ), .QN ());
+ DFFSRX1 \u5_mem_reg[0][16] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9427), .Q (\u5_mem[0]_106 ), .QN ());
+ DFFSRX1 \u5_mem_reg[0][19] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9426), .Q (\u5_mem[0]_109 ), .QN ());
+ DFFSRX1 \u5_mem_reg[0][22] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9424), .Q (\u5_mem[0]_112 ), .QN ());
+ DFFSRX1 \u5_mem_reg[0][24] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9422), .Q (\u5_mem[0]_114 ), .QN ());
+ DFFSRX1 \u5_mem_reg[0][31] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9421), .Q (\u5_mem[0]_121 ), .QN ());
+ DFFSRX1 \u5_mem_reg[0][4] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9420), .Q (\u5_mem[0]_94 ), .QN ());
+ DFFSRX1 \u5_mem_reg[0][7] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9419), .Q (\u5_mem[0]_97 ), .QN ());
+ DFFSRX1 \u5_mem_reg[0][9] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9417), .Q (\u5_mem[0]_99 ), .QN ());
+ DFFSRX1 \u6_mem_reg[0][13] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9414), .Q (\u6_mem[0]_103 ), .QN ());
+ DFFSRX1 \u6_mem_reg[0][14] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9413), .Q (\u6_mem[0]_104 ), .QN ());
+ DFFSRX1 \u6_mem_reg[0][16] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9412), .Q (\u6_mem[0]_106 ), .QN ());
+ DFFSRX1 \u6_mem_reg[0][19] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9411), .Q (\u6_mem[0]_109 ), .QN ());
+ DFFSRX1 \u6_mem_reg[0][22] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9409), .Q (\u6_mem[0]_112 ), .QN ());
+ DFFSRX1 \u6_mem_reg[0][24] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9407), .Q (\u6_mem[0]_114 ), .QN ());
+ DFFSRX1 \u6_mem_reg[0][31] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9406), .Q (\u6_mem[0]_121 ), .QN ());
+ DFFSRX1 \u6_mem_reg[0][4] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9405), .Q (\u6_mem[0]_94 ), .QN ());
+ DFFSRX1 \u6_mem_reg[0][7] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9404), .Q (\u6_mem[0]_97 ), .QN ());
+ DFFSRX1 \u6_mem_reg[0][9] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9402), .Q (\u6_mem[0]_99 ), .QN ());
+ DFFSRX1 \u7_mem_reg[0][13] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9401), .Q (\u7_mem[0]_103 ), .QN ());
+ DFFSRX1 \u7_mem_reg[0][14] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9400), .Q (\u7_mem[0]_104 ), .QN ());
+ DFFSRX1 \u7_mem_reg[0][16] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9399), .Q (\u7_mem[0]_106 ), .QN ());
+ DFFSRX1 \u7_mem_reg[0][19] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9398), .Q (\u7_mem[0]_109 ), .QN ());
+ DFFSRX1 \u7_mem_reg[0][22] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9396), .Q (\u7_mem[0]_112 ), .QN ());
+ DFFSRX1 \u7_mem_reg[0][24] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9394), .Q (\u7_mem[0]_114 ), .QN ());
+ DFFSRX1 \u7_mem_reg[0][31] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9393), .Q (\u7_mem[0]_121 ), .QN ());
+ DFFSRX1 \u7_mem_reg[0][4] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9392), .Q (\u7_mem[0]_94 ), .QN ());
+ DFFSRX1 \u7_mem_reg[0][7] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9391), .Q (\u7_mem[0]_97 ), .QN ());
+ DFFSRX1 \u7_mem_reg[0][9] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9389), .Q (\u7_mem[0]_99 ), .QN ());
+ DFFSRX1 \u3_mem_reg[0][11] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9443), .Q (\u3_mem[0]_101 ), .QN ());
+ DFFSRX1 \u3_mem_reg[0][12] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9388), .Q (\u3_mem[0]_102 ), .QN ());
+ DFFSRX1 \u3_mem_reg[0][15] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9387), .Q (\u3_mem[0]_105 ), .QN ());
+ DFFSRX1 \u8_mem_reg[0][0] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9386), .Q (\u8_mem[0] ), .QN ());
+ DFFSRX1 \u8_mem_reg[0][11] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9385), .Q (\u8_mem[0]_101 ), .QN ());
+ DFFSRX1 \u8_mem_reg[0][12] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9383), .Q (\u8_mem[0]_102 ), .QN ());
+ DFFSRX1 \u8_mem_reg[0][17] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9381), .Q (\u8_mem[0]_107 ), .QN ());
+ DFFSRX1 \u3_mem_reg[0][1] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9382), .Q (\u3_mem[0]_91 ), .QN ());
+ DFFSRX1 \u3_mem_reg[0][21] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9380), .Q (\u3_mem[0]_111 ), .QN ());
+ DFFSRX1 \u8_mem_reg[0][26] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9379), .Q (\u8_mem[0]_116 ), .QN ());
+ DFFSRX1 \u8_mem_reg[0][28] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9378), .Q (\u8_mem[0]_118 ), .QN ());
+ DFFSRX1 \u8_mem_reg[0][29] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9376), .Q (\u8_mem[0]_119 ), .QN ());
+ DFFSRX1 \u8_mem_reg[0][30] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9375), .Q (\u8_mem[0]_120 ), .QN ());
+ DFFSRX1 \u3_mem_reg[0][27] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9373), .Q (\u3_mem[0]_117 ), .QN ());
+ DFFSRX1 \u8_mem_reg[0][4] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9371), .Q (\u8_mem[0]_94 ), .QN ());
+ DFFSRX1 \u8_mem_reg[0][5] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9370), .Q (\u8_mem[0]_95 ), .QN ());
+ DFFSRX1 \u3_mem_reg[0][2] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9416), .Q (\u3_mem[0]_92 ), .QN ());
+ DFFSRX1 \u3_mem_reg[0][29] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9369), .Q (\u3_mem[0]_119 ), .QN ());
+ DFFSRX1 \u3_mem_reg[0][6] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9366), .Q (\u3_mem[0]_96 ), .QN ());
+ DFFSRX1 \u3_mem_reg[0][5] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9368), .Q (\u3_mem[0]_95 ), .QN ());
+ NOR2X1 g32769(.A (n_9365), .B (n_11128), .Y (n_9520));
+ NAND2X1 g32835(.A (n_3942), .B (n_9447), .Y (n_9572));
+ NOR2X1 g32839(.A (n_9362), .B (n_5839), .Y (n_9519));
+ NOR2X1 g32844(.A (n_9364), .B (n_10940), .Y (n_9518));
+ NOR2X1 g32902(.A (n_9363), .B (n_10994), .Y (n_9517));
+ NOR2X1 g32972(.A (n_9361), .B (n_11086), .Y (n_9516));
+ NOR2X1 g32977(.A (n_9360), .B (n_11144), .Y (n_9515));
+ NOR2X1 g33273(.A (n_8210), .B (u14_u8_en_out_l2), .Y (n_9571));
+ INVX1 g33368(.A (n_9514), .Y (n_9690));
+ INVX1 g33380(.A (n_9513), .Y (n_9564));
+ AOI21X1 g33383(.A0 (n_8671), .A1 (n_1375), .B0 (n_1021), .Y (n_9512));
+ AOI21X1 g33384(.A0 (n_8669), .A1 (n_1302), .B0 (n_1010), .Y (n_9511));
+ AOI21X1 g33385(.A0 (n_8666), .A1 (n_1373), .B0 (n_1009), .Y (n_9510));
+ AOI21X1 g33386(.A0 (n_8664), .A1 (n_1356), .B0 (n_1023), .Y (n_9509));
+ AOI21X1 g33387(.A0 (n_8662), .A1 (n_1101), .B0 (n_1008), .Y (n_9508));
+ AOI21X1 g33388(.A0 (n_8660), .A1 (n_1146), .B0 (n_1007), .Y (n_9507));
+ DFFSRX1 \u13_crac_r_reg[6] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_8627), .Q (crac_out_867), .QN ());
+ DFFSRX1 \u3_mem_reg[0][17] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8720), .Q (\u3_mem[0]_107 ), .QN ());
+ DFFSRX1 \u8_mem_reg[2][18] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8875), .Q (\u8_mem[2]_46 ), .QN ());
+ DFFSRX1 \u7_mem_reg[0][20] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8742), .Q (\u7_mem[0]_110 ), .QN ());
+ DFFSRX1 \u8_mem_reg[2][25] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8861), .Q (\u8_mem[2]_53 ), .QN ());
+ DFFSRX1 \u8_mem_reg[2][28] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8855), .Q (\u8_mem[2]_56 ), .QN ());
+ DFFSRX1 \u4_mem_reg[2][16] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8294), .Q (\u4_mem[2]_44 ), .QN ());
+ DFFSRX1 \u5_mem_reg[1][26] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9293), .Q (\u5_mem[1]_85 ), .QN ());
+ DFFSRX1 \u13_occ0_r_reg[11] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_8569), .Q (oc1_cfg_976), .QN ());
+ DFFSRX1 \u5_mem_reg[1][22] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9298), .Q (\u5_mem[1]_81 ), .QN ());
+ DFFSRX1 \u5_mem_reg[1][15] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9310), .Q (\u5_mem[1]_74 ), .QN ());
+ DFFSRX1 \u5_mem_reg[1][19] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9302), .Q (\u5_mem[1]_78 ), .QN ());
+ DFFSRX1 \u5_mem_reg[1][11] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9315), .Q (\u5_mem[1]_70 ), .QN ());
+ DFFSRX1 \u3_mem_reg[1][22] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8866), .Q (\u3_mem[1]_81 ), .QN ());
+ DFFSRX1 \u8_mem_reg[2][20] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8869), .Q (\u8_mem[2]_48 ), .QN ());
+ DFFSRX1 \u4_mem_reg[3][9] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9319), .Q (\u4_mem[3]_130 ), .QN ());
+ DFFSRX1 \u4_mem_reg[3][5] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9324), .Q (\u4_mem[3]_126 ), .QN ());
+ DFFSRX1 \u4_mem_reg[3][30] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9329), .Q (\u4_mem[3]_151 ), .QN ());
+ DFFSRX1 \u8_mem_reg[0][15] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8715), .Q (\u8_mem[0]_105 ), .QN ());
+ DFFSRX1 \u3_mem_reg[0][16] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8722), .Q (\u3_mem[0]_106 ), .QN ());
+ DFFSRX1 \u4_mem_reg[3][23] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9339), .Q (\u4_mem[3]_144 ), .QN ());
+ DFFSRX1 \u4_mem_reg[3][27] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9334), .Q (\u4_mem[3]_148 ), .QN ());
+ DFFSRX1 \u8_mem_reg[1][6] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9072), .Q (\u8_mem[1]_65 ), .QN ());
+ DFFSRX1 \u13_occ0_r_reg[8] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_8523), .Q (oc1_cfg), .QN ());
+ DFFSRX1 \u13_icc_r_reg[8] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_8575), .Q (ic1_cfg), .QN ());
+ DFFSRX1 \u8_mem_reg[2][13] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8882), .Q (\u8_mem[2]_41 ), .QN ());
+ DFFSRX1 \u3_mem_reg[1][19] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8880), .Q (\u3_mem[1]_78 ), .QN ());
+ DFFSRX1 \u4_mem_reg[2][9] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8266), .Q (\u4_mem[2]_37 ), .QN ());
+ DFFSRX1 \u4_mem_reg[3][16] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9350), .Q (\u4_mem[3]_137 ), .QN ());
+ DFFSRX1 \u4_mem_reg[3][12] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8261), .Q (\u4_mem[3]_133 ), .QN ());
+ AOI21X1 g31654(.A0 (n_7166), .A1 (n_1122), .B0 (n_12847), .Y
+ (n_9506));
+ AOI21X1 g31656(.A0 (n_7288), .A1 (n_1208), .B0 (n_9503), .Y (n_9504));
+ AOI21X1 g31658(.A0 (n_7164), .A1 (n_1130), .B0 (n_9501), .Y (n_9502));
+ AOI21X1 g31659(.A0 (n_7163), .A1 (n_1116), .B0 (n_9499), .Y (n_9500));
+ OR2X1 g31660(.A (n_9498), .B (n_9488), .Y (n_9615));
+ DFFSRX1 \u4_mem_reg[2][5] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8270), .Q (\u4_mem[2]_33 ), .QN ());
+ OR2X1 g31662(.A (n_9497), .B (n_9486), .Y (n_9612));
+ OR2X1 g31670(.A (n_9496), .B (n_9483), .Y (n_9654));
+ OR2X1 g31671(.A (n_9495), .B (n_9481), .Y (n_9651));
+ AND2X1 g31672(.A (n_5615), .B (ac97_rst_force), .Y (n_9494));
+ NAND2X1 g31673(.A (n_5632), .B (ac97_rst_force), .Y (n_9597));
+ DFFSRX1 \u3_mem_reg[1][15] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8897), .Q (\u3_mem[1]_74 ), .QN ());
+ DFFSRX1 \u4_mem_reg[2][30] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8274), .Q (\u4_mem[2]_58 ), .QN ());
+ DFFSRX1 \u4_mem_reg[2][27] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8280), .Q (\u4_mem[2]_55 ), .QN ());
+ DFFSRX1 \u4_mem_reg[2][23] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8284), .Q (\u4_mem[2]_51 ), .QN ());
+ AND2X1 g31731(.A (n_5626), .B (ac97_rst_force), .Y (n_9493));
+ AND2X1 g31732(.A (n_5625), .B (ac97_rst_force), .Y (n_9492));
+ DFFSRX1 \u7_mem_reg[0][30] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8731), .Q (\u7_mem[0]_120 ), .QN ());
+ DFFSRX1 \u3_mem_reg[2][2] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8400), .Q (\u3_mem[2]_30 ), .QN ());
+ DFFSRX1 \u8_mem_reg[1][21] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8924), .Q (\u8_mem[1]_80 ), .QN ());
+ AND2X1 g31761(.A (n_1778), .B (ac97_rst_force), .Y (n_9491));
+ DFFSRX1 \u3_mem_reg[1][11] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8908), .Q (\u3_mem[1]_70 ), .QN ());
+ AOI21X1 g31766(.A0 (n_1122), .A1 (n_7037), .B0 (n_12848), .Y
+ (n_9556));
+ AOI21X1 g31770(.A0 (n_1208), .A1 (n_7160), .B0 (n_9503), .Y (n_9554));
+ DFFSRX1 \u8_mem_reg[1][3] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8902), .Q (\u8_mem[1]_62 ), .QN ());
+ AOI21X1 g31774(.A0 (n_1130), .A1 (n_7035), .B0 (n_9501), .Y (n_9552));
+ AOI21X1 g31776(.A0 (n_1116), .A1 (n_7034), .B0 (n_9499), .Y (n_9550));
+ DFFSRX1 \u4_mem_reg[2][12] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8298), .Q (\u4_mem[2]_40 ), .QN ());
+ DFFSRX1 \u4_mem_reg[1][30] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8313), .Q (\u4_mem[1]_89 ), .QN ());
+ DFFSRX1 \u4_mem_reg[1][9] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8303), .Q (\u4_mem[1]_68 ), .QN ());
+ DFFSRX1 \u4_mem_reg[1][5] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8308), .Q (\u4_mem[1]_64 ), .QN ());
+ NAND3X1 g30848(.A (n_8848), .B (n_1887), .C (n_7533), .Y (n_9490));
+ AOI21X1 g31792(.A0 (n_5398), .A1 (n_9488), .B0 (n_9498), .Y (n_9489));
+ AOI21X1 g31794(.A0 (n_4070), .A1 (n_9486), .B0 (n_9497), .Y (n_9487));
+ NAND3X1 g30850(.A (n_8844), .B (n_1886), .C (n_7530), .Y (n_9485));
+ AOI21X1 g31797(.A0 (n_5394), .A1 (n_9483), .B0 (n_9496), .Y (n_9484));
+ AOI21X1 g31798(.A0 (n_4068), .A1 (n_9481), .B0 (n_9495), .Y (n_9482));
+ DFFSRX1 \u4_mem_reg[1][27] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8320), .Q (\u4_mem[1]_86 ), .QN ());
+ DFFSRX1 \u8_mem_reg[1][28] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9196), .Q (\u8_mem[1]_87 ), .QN ());
+ DFFSRX1 \u3_mem_reg[0][13] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8724), .Q (\u3_mem[0]_103 ), .QN ());
+ DFFSRX1 \u8_mem_reg[1][25] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8918), .Q (\u8_mem[1]_84 ), .QN ());
+ DFFSRX1 \u4_mem_reg[1][23] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8325), .Q (\u4_mem[1]_82 ), .QN ());
+ DFFSRX1 \u4_mem_reg[1][16] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8336), .Q (\u4_mem[1]_75 ), .QN ());
+ DFFSRX1 \u7_mem_reg[0][6] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8727), .Q (\u7_mem[0]_96 ), .QN ());
+ DFFSRX1 \u7_mem_reg[1][25] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9042), .Q (\u7_mem[1]_84 ), .QN ());
+ DFFSRX1 \u3_mem_reg[3][3] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9069), .Q (\u3_mem[3]_124 ), .QN ());
+ DFFSRX1 \u4_mem_reg[1][12] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8342), .Q (\u4_mem[1]_71 ), .QN ());
+ DFFSRX1 \u7_mem_reg[3][3] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8952), .Q (\u7_mem[3]_124 ), .QN ());
+ DFFSRX1 \u8_mem_reg[1][14] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8935), .Q (\u8_mem[1]_73 ), .QN ());
+ DFFSRX1 \u8_mem_reg[1][18] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8929), .Q (\u8_mem[1]_77 ), .QN ());
+ DFFSRX1 \u3_mem_reg[3][6] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8355), .Q (\u3_mem[3]_127 ), .QN ());
+ DFFSRX1 \u3_mem_reg[3][22] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8371), .Q (\u3_mem[3]_143 ), .QN ());
+ DFFSRX1 \u3_mem_reg[3][30] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8361), .Q (\u3_mem[3]_151 ), .QN ());
+ DFFSRX1 \u3_mem_reg[3][27] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8366), .Q (\u3_mem[3]_148 ), .QN ());
+ DFFSRX1 \u7_mem_reg[0][23] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8739), .Q (\u7_mem[0]_113 ), .QN ());
+ DFFSRX1 \u3_mem_reg[3][19] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8376), .Q (\u3_mem[3]_140 ), .QN ());
+ DFFX1 u14_u0_en_out_l2_reg(.CK (clk_i), .D (n_9480), .Q (n_11507),
+ .QN ());
+ DFFX1 u14_u1_en_out_l2_reg(.CK (clk_i), .D (n_9479), .Q
+ (u14_u1_en_out_l2), .QN ());
+ DFFX1 u14_u2_en_out_l2_reg(.CK (clk_i), .D (n_9478), .Q (n_11533),
+ .QN ());
+ DFFX1 u14_u3_en_out_l2_reg(.CK (clk_i), .D (n_9477), .Q (n_11529),
+ .QN ());
+ DFFX1 u14_u4_en_out_l2_reg(.CK (clk_i), .D (n_9476), .Q (n_11528),
+ .QN ());
+ DFFX1 u14_u5_en_out_l2_reg(.CK (clk_i), .D (n_9475), .Q (n_11530),
+ .QN ());
+ DFFSRX1 \u6_mem_reg[0][12] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8778), .Q (\u6_mem[0]_102 ), .QN ());
+ DFFSRX1 \u8_mem_reg[1][10] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8940), .Q (\u8_mem[1]_69 ), .QN ());
+ OAI21X1 g30903(.A0 (n_7295), .A1 (n_7526), .B0 (n_8915), .Y (n_9474));
+ OAI21X1 g30904(.A0 (n_7294), .A1 (n_7524), .B0 (n_8909), .Y (n_9473));
+ DFFSRX1 \u7_mem_reg[3][7] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8946), .Q (\u7_mem[3]_128 ), .QN ());
+ DFFSRX1 \u3_mem_reg[3][15] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8381), .Q (\u3_mem[3]_136 ), .QN ());
+ DFFSRX1 \u3_mem_reg[3][11] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8386), .Q (\u3_mem[3]_132 ), .QN ());
+ DFFSRX1 \u3_mem_reg[2][8] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8391), .Q (\u3_mem[2]_36 ), .QN ());
+ DFFSRX1 \u7_mem_reg[0][12] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8750), .Q (\u7_mem[0]_102 ), .QN ());
+ DFFSRX1 \u7_mem_reg[3][14] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8977), .Q (\u7_mem[3]_135 ), .QN ());
+ DFFSRX1 \u7_mem_reg[3][25] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8962), .Q (\u7_mem[3]_146 ), .QN ());
+ DFFSRX1 \u7_mem_reg[3][29] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8956), .Q (\u7_mem[3]_150 ), .QN ());
+ DFFSRX1 \u3_mem_reg[2][26] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8404), .Q (\u3_mem[2]_54 ), .QN ());
+ DFFSRX1 \u3_mem_reg[2][22] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8406), .Q (\u3_mem[2]_50 ), .QN ());
+ DFFSRX1 \u3_mem_reg[2][18] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8412), .Q (\u3_mem[2]_46 ), .QN ());
+ DFFSRX1 \u8_mem_reg[3][8] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9068), .Q (\u8_mem[3]_129 ), .QN ());
+ DFFSRX1 \u8_mem_reg[3][6] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9197), .Q (\u8_mem[3]_127 ), .QN ());
+ DFFSRX1 \u7_mem_reg[3][21] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8967), .Q (\u7_mem[3]_142 ), .QN ());
+ DFFSRX1 \u7_mem_reg[3][18] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8972), .Q (\u7_mem[3]_139 ), .QN ());
+ DFFSRX1 \u8_mem_reg[3][3] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8422), .Q (\u8_mem[3]_124 ), .QN ());
+ DFFSRX1 \u3_mem_reg[2][11] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8427), .Q (\u3_mem[2]_39 ), .QN ());
+ DFFSRX1 \u8_mem_reg[3][28] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8365), .Q (\u8_mem[3]_149 ), .QN ());
+ DFFSRX1 \u7_mem_reg[0][17] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8747), .Q (\u7_mem[0]_107 ), .QN ());
+ DFFSRX1 \u7_mem_reg[0][0] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8753), .Q (\u7_mem[0] ), .QN ());
+ DFFSRX1 \u8_mem_reg[3][22] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8439), .Q (\u8_mem[3]_143 ), .QN ());
+ DFFSRX1 \u8_mem_reg[3][25] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8434), .Q (\u8_mem[3]_146 ), .QN ());
+ DFFSRX1 \u7_mem_reg[2][25] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9000), .Q (\u7_mem[2]_53 ), .QN ());
+ DFFSRX1 \u7_mem_reg[2][7] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8986), .Q (\u7_mem[2]_35 ), .QN ());
+ DFFSRX1 \u7_mem_reg[3][10] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8982), .Q (\u7_mem[3]_131 ), .QN ());
+ DFFSRX1 \u8_mem_reg[3][18] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8448), .Q (\u8_mem[3]_139 ), .QN ());
+ DFFSRX1 \u3_mem_reg[1][3] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8460), .Q (\u3_mem[1]_62 ), .QN ());
+ DFFSRX1 \u8_mem_reg[3][15] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8456), .Q (\u8_mem[3]_136 ), .QN ());
+ DFFSRX1 \u8_mem_reg[3][10] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8465), .Q (\u8_mem[3]_131 ), .QN ());
+ OR2X1 g35290(.A (n_36), .B (n_11827), .Y (n_9472));
+ NAND2X1 g35291(.A (out_slt_25), .B (n_11827), .Y (n_9471));
+ OR2X1 g35292(.A (n_324), .B (n_11827), .Y (n_9579));
+ NAND2X1 g35293(.A (out_slt_24), .B (n_11827), .Y (n_9470));
+ DFFSRX1 \u7_mem_reg[2][3] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8990), .Q (\u7_mem[2]_31 ), .QN ());
+ DFFSRX1 \u7_mem_reg[2][29] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8995), .Q (\u7_mem[2]_57 ), .QN ());
+ DFFSRX1 \u3_mem_reg[1][2] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8471), .Q (\u3_mem[1]_61 ), .QN ());
+ DFFSRX1 \u7_mem_reg[2][21] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9004), .Q (\u7_mem[2]_49 ), .QN ());
+ DFFSRX1 \u7_mem_reg[2][18] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9009), .Q (\u7_mem[2]_46 ), .QN ());
+ DFFSRX1 \u6_mem_reg[0][30] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8759), .Q (\u6_mem[0]_120 ), .QN ());
+ DFFSRX1 \u6_mem_reg[0][6] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8755), .Q (\u6_mem[0]_96 ), .QN ());
+ DFFSRX1 \u7_mem_reg[2][14] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9014), .Q (\u7_mem[2]_42 ), .QN ());
+ DFFSRX1 \u7_mem_reg[2][10] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9019), .Q (\u7_mem[2]_38 ), .QN ());
+ DFFSRX1 \u8_mem_reg[2][6] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8473), .Q (\u8_mem[2]_34 ), .QN ());
+ DFFSRX1 \u6_mem_reg[0][28] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8763), .Q (\u6_mem[0]_118 ), .QN ());
+ DFFSRX1 \u7_mem_reg[1][7] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9025), .Q (\u7_mem[1]_66 ), .QN ());
+ DFFSRX1 \u6_mem_reg[3][8] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9074), .Q (\u6_mem[3]_129 ), .QN ());
+ DFFX1 \u13_crac_dout_r_reg[3] (.CK (clk_i), .D (n_8641), .Q (), .QN
+ (crac_out_848));
+ DFFX1 \u13_crac_dout_r_reg[9] (.CK (clk_i), .D (n_8636), .Q (), .QN
+ (crac_out_854));
+ DFFSRX1 \u7_mem_reg[1][3] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9030), .Q (\u7_mem[1]_62 ), .QN ());
+ DFFSRX1 \u13_icc_r_reg[22] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_8592), .Q (ic2_cfg_1049), .QN ());
+ DFFX1 \u13_crac_dout_r_reg[14] (.CK (clk_i), .D (n_8649), .Q (), .QN
+ (crac_out_859));
+ DFFSRX1 \u13_occ0_r_reg[2] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_8535), .Q (oc0_cfg_965), .QN ());
+ DFFSRX1 \u13_occ0_r_reg[4] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_8529), .Q (n_8528), .QN ());
+ DFFSRX1 \u13_intm_r_reg[7] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_8489), .Q (\u13_intm_r[7] ), .QN ());
+ DFFSRX1 \u13_intm_r_reg[22] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_8506), .Q (\u13_intm_r[22] ), .QN ());
+ DFFSRX1 \u13_icc_r_reg[11] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_8620), .Q (ic1_cfg_1036), .QN ());
+ DFFSRX1 \u7_mem_reg[1][29] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9037), .Q (\u7_mem[1]_88 ), .QN ());
+ DFFSRX1 \u6_mem_reg[0][23] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8767), .Q (\u6_mem[0]_113 ), .QN ());
+ DFFSRX1 \u13_icc_r_reg[15] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_8610), .Q (ic1_cfg_1040), .QN ());
+ DFFSRX1 \u13_icc_r_reg[19] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_8601), .Q (ic2_cfg_1046), .QN ());
+ DFFSRX1 \u13_crac_r_reg[0] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_8635), .Q (crac_out_861), .QN ());
+ DFFSRX1 \u13_crac_r_reg[1] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_8633), .Q (crac_out_862), .QN ());
+ DFFSRX1 \u13_crac_r_reg[3] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_8631), .Q (crac_out_864), .QN ());
+ DFFSRX1 \u13_crac_r_reg[4] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_8630), .Q (crac_out_865), .QN ());
+ DFFSRX1 \u13_crac_r_reg[5] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_8628), .Q (crac_out_866), .QN ());
+ DFFSRX1 \u13_crac_r_reg[7] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_8626), .Q (crac_out_876), .QN ());
+ DFFSRX1 \u13_icc_r_reg[0] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_8624), .Q (ic0_cfg), .QN ());
+ DFFSRX1 \u13_icc_r_reg[10] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_8622), .Q (ic1_cfg_1035), .QN ());
+ DFFSRX1 \u13_icc_r_reg[12] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_8618), .Q (n_4736), .QN ());
+ DFFSRX1 \u13_icc_r_reg[13] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_8616), .Q (n_4734), .QN ());
+ DFFSRX1 \u13_icc_r_reg[14] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_8613), .Q (ic1_cfg_1039), .QN ());
+ DFFSRX1 \u13_icc_r_reg[16] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_8608), .Q (ic2_cfg), .QN ());
+ DFFSRX1 \u13_icc_r_reg[17] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_8606), .Q (ic2_cfg_1044), .QN ());
+ DFFSRX1 \u13_icc_r_reg[18] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_8604), .Q (ic2_cfg_1045), .QN ());
+ DFFSRX1 \u13_icc_r_reg[1] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_8599), .Q (ic0_cfg_1024), .QN ());
+ DFFSRX1 \u13_icc_r_reg[20] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_8597), .Q (n_5788), .QN ());
+ DFFSRX1 \u13_icc_r_reg[21] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_8594), .Q (n_5588), .QN ());
+ DFFSRX1 \u13_icc_r_reg[23] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_8589), .Q (ic2_cfg_1050), .QN ());
+ DFFSRX1 \u13_icc_r_reg[2] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_8588), .Q (ic0_cfg_1025), .QN ());
+ DFFSRX1 \u13_icc_r_reg[3] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_8586), .Q (ic0_cfg_1026), .QN ());
+ DFFSRX1 \u13_icc_r_reg[4] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_8584), .Q (n_4708), .QN ());
+ DFFSRX1 \u13_icc_r_reg[5] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_8581), .Q (n_4703), .QN ());
+ DFFSRX1 \u13_icc_r_reg[6] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_8579), .Q (ic0_cfg_1029), .QN ());
+ DFFSRX1 \u13_icc_r_reg[7] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_8577), .Q (ic0_cfg_1030), .QN ());
+ DFFSRX1 \u13_icc_r_reg[9] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_8573), .Q (ic1_cfg_1034), .QN ());
+ DFFSRX1 \u13_occ0_r_reg[0] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_8571), .Q (oc0_cfg), .QN ());
+ DFFSRX1 \u13_occ0_r_reg[10] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_8570), .Q (oc1_cfg_975), .QN ());
+ DFFSRX1 \u13_occ0_r_reg[12] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_8568), .Q (n_8567), .QN ());
+ DFFSRX1 \u13_occ0_r_reg[13] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_8566), .Q (n_8565), .QN ());
+ DFFSRX1 \u13_occ0_r_reg[14] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_8564), .Q (oc1_cfg_979), .QN ());
+ DFFSRX1 \u13_occ0_r_reg[16] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_8562), .Q (oc2_cfg), .QN ());
+ DFFSRX1 \u13_occ0_r_reg[17] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_8560), .Q (oc2_cfg_984), .QN ());
+ DFFSRX1 \u13_occ0_r_reg[18] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_8558), .Q (oc2_cfg_985), .QN ());
+ DFFSRX1 \u13_occ0_r_reg[1] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_8555), .Q (oc0_cfg_964), .QN ());
+ DFFSRX1 \u13_occ0_r_reg[20] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_8554), .Q (oc2_cfg_987), .QN ());
+ DFFSRX1 \u13_occ0_r_reg[21] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_8551), .Q (n_8550), .QN ());
+ DFFSRX1 \u13_occ0_r_reg[23] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_8548), .Q (oc2_cfg_990), .QN ());
+ DFFSRX1 \u13_occ0_r_reg[24] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_8546), .Q (oc3_cfg), .QN ());
+ DFFSRX1 \u13_occ0_r_reg[25] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_8545), .Q (oc3_cfg_994), .QN ());
+ DFFSRX1 \u13_occ0_r_reg[27] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_8542), .Q (oc3_cfg_996), .QN ());
+ DFFSRX1 \u13_occ0_r_reg[28] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_8540), .Q (oc3_cfg_997), .QN ());
+ DFFSRX1 \u13_occ0_r_reg[29] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_8537), .Q (n_8536), .QN ());
+ DFFSRX1 \u13_occ0_r_reg[30] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_8534), .Q (oc3_cfg_999), .QN ());
+ DFFSRX1 \u13_occ0_r_reg[31] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_8532), .Q (oc3_cfg_1000), .QN ());
+ DFFSRX1 \u13_occ0_r_reg[3] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_8530), .Q (oc0_cfg_966), .QN ());
+ DFFSRX1 \u13_occ0_r_reg[5] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_8527), .Q (n_8526), .QN ());
+ DFFSRX1 \u13_occ0_r_reg[6] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_8525), .Q (oc0_cfg_969), .QN ());
+ DFFSRX1 \u13_occ0_r_reg[7] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_8524), .Q (oc0_cfg_970), .QN ());
+ DFFSRX1 \u13_occ0_r_reg[9] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_8522), .Q (oc1_cfg_974), .QN ());
+ DFFSRX1 \u13_intm_r_reg[0] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_8521), .Q (\u13_intm_r[0] ), .QN ());
+ DFFSRX1 \u13_intm_r_reg[10] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_8520), .Q (\u13_intm_r[10] ), .QN ());
+ DFFSRX1 \u13_intm_r_reg[11] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_8518), .Q (\u13_intm_r[11] ), .QN ());
+ DFFSRX1 \u13_intm_r_reg[12] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_8517), .Q (\u13_intm_r[12] ), .QN ());
+ DFFSRX1 \u13_intm_r_reg[13] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_8516), .Q (\u13_intm_r[13] ), .QN ());
+ DFFSRX1 \u13_intm_r_reg[14] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_8515), .Q (\u13_intm_r[14] ), .QN ());
+ DFFSRX1 \u13_intm_r_reg[16] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_8513), .Q (\u13_intm_r[16] ), .QN ());
+ DFFSRX1 \u13_intm_r_reg[17] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_8512), .Q (\u13_intm_r[17] ), .QN ());
+ DFFSRX1 \u13_intm_r_reg[18] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_8511), .Q (\u13_intm_r[18] ), .QN ());
+ DFFSRX1 \u13_intm_r_reg[19] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_8510), .Q (\u13_intm_r[19] ), .QN ());
+ DFFSRX1 \u13_intm_r_reg[1] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_8509), .Q (\u13_intm_r[1] ), .QN ());
+ DFFSRX1 \u13_intm_r_reg[20] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_8508), .Q (\u13_intm_r[20] ), .QN ());
+ DFFSRX1 \u13_intm_r_reg[21] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_8507), .Q (\u13_intm_r[21] ), .QN ());
+ DFFSRX1 \u13_intm_r_reg[23] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_8505), .Q (\u13_intm_r[23] ), .QN ());
+ DFFSRX1 \u13_intm_r_reg[24] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_8504), .Q (\u13_intm_r[24] ), .QN ());
+ DFFSRX1 \u13_intm_r_reg[25] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_8502), .Q (\u13_intm_r[25] ), .QN ());
+ DFFSRX1 \u13_intm_r_reg[27] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_8498), .Q (\u13_intm_r[27] ), .QN ());
+ DFFSRX1 \u13_intm_r_reg[28] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_8496), .Q (\u13_intm_r[28] ), .QN ());
+ DFFSRX1 \u13_intm_r_reg[2] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_8494), .Q (\u13_intm_r[2] ), .QN ());
+ DFFSRX1 \u13_intm_r_reg[5] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_8491), .Q (\u13_intm_r[5] ), .QN ());
+ DFFSRX1 \u13_intm_r_reg[6] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_8490), .Q (\u13_intm_r[6] ), .QN ());
+ DFFSRX1 \u13_intm_r_reg[9] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_8487), .Q (\u13_intm_r[9] ), .QN ());
+ DFFSRX1 \u13_intm_r_reg[4] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_8492), .Q (\u13_intm_r[4] ), .QN ());
+ DFFSRX1 \u13_intm_r_reg[15] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_8514), .Q (\u13_intm_r[15] ), .QN ());
+ DFFX1 \u13_crac_dout_r_reg[0] (.CK (clk_i), .D (n_8655), .Q (), .QN
+ (crac_out));
+ DFFX1 \u13_crac_dout_r_reg[10] (.CK (clk_i), .D (n_8654), .Q (), .QN
+ (crac_out_855));
+ DFFX1 \u13_crac_dout_r_reg[11] (.CK (clk_i), .D (n_8653), .Q (), .QN
+ (crac_out_856));
+ DFFX1 \u13_crac_dout_r_reg[12] (.CK (clk_i), .D (n_8652), .Q (), .QN
+ (crac_out_857));
+ DFFX1 \u13_crac_dout_r_reg[13] (.CK (clk_i), .D (n_8651), .Q (), .QN
+ (crac_out_858));
+ DFFX1 \u13_crac_dout_r_reg[15] (.CK (clk_i), .D (n_8648), .Q (), .QN
+ (crac_out_860));
+ DFFX1 \u13_crac_dout_r_reg[1] (.CK (clk_i), .D (n_8646), .Q (), .QN
+ (crac_out_846));
+ DFFX1 \u13_crac_dout_r_reg[2] (.CK (clk_i), .D (n_8644), .Q (), .QN
+ (crac_out_847));
+ DFFX1 \u13_crac_dout_r_reg[4] (.CK (clk_i), .D (n_8642), .Q (), .QN
+ (crac_out_849));
+ DFFX1 \u13_crac_dout_r_reg[5] (.CK (clk_i), .D (n_8640), .Q (), .QN
+ (crac_out_850));
+ DFFX1 \u13_crac_dout_r_reg[6] (.CK (clk_i), .D (n_8639), .Q (), .QN
+ (crac_out_851));
+ DFFX1 \u13_crac_dout_r_reg[8] (.CK (clk_i), .D (n_8637), .Q (), .QN
+ (crac_out_853));
+ DFFSRX1 \u8_mem_reg[2][4] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8845), .Q (\u8_mem[2]_32 ), .QN ());
+ DFFSRX1 \u3_mem_reg[1][28] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8846), .Q (\u3_mem[1]_87 ), .QN ());
+ DFFSRX1 \u8_mem_reg[2][5] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8475), .Q (\u8_mem[2]_33 ), .QN ());
+ DFFSRX1 \u3_mem_reg[1][29] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8474), .Q (\u3_mem[1]_88 ), .QN ());
+ DFFSRX1 \u8_mem_reg[2][7] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8472), .Q (\u8_mem[2]_35 ), .QN ());
+ DFFSRX1 \u8_mem_reg[2][8] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8470), .Q (\u8_mem[2]_36 ), .QN ());
+ DFFSRX1 \u8_mem_reg[2][9] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8469), .Q (\u8_mem[2]_37 ), .QN ());
+ DFFSRX1 \u8_mem_reg[3][0] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8467), .Q (\u8_mem[3] ), .QN ());
+ DFFSRX1 \u3_mem_reg[1][30] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8408), .Q (\u3_mem[1]_89 ), .QN ());
+ DFFSRX1 \u8_mem_reg[3][11] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8903), .Q (\u8_mem[3]_132 ), .QN ());
+ DFFSRX1 \u3_mem_reg[1][31] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8463), .Q (\u3_mem[1]_90 ), .QN ());
+ DFFSRX1 \u8_mem_reg[3][12] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8462), .Q (\u8_mem[3]_133 ), .QN ());
+ DFFSRX1 \u8_mem_reg[3][13] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8459), .Q (\u8_mem[3]_134 ), .QN ());
+ DFFSRX1 \u3_mem_reg[1][4] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8455), .Q (\u3_mem[1]_63 ), .QN ());
+ DFFSRX1 \u8_mem_reg[3][14] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8458), .Q (\u8_mem[3]_135 ), .QN ());
+ DFFSRX1 \u8_mem_reg[3][16] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8454), .Q (\u8_mem[3]_137 ), .QN ());
+ DFFSRX1 \u8_mem_reg[3][17] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8450), .Q (\u8_mem[3]_138 ), .QN ());
+ DFFSRX1 \u3_mem_reg[1][5] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8452), .Q (\u3_mem[1]_64 ), .QN ());
+ DFFSRX1 \u8_mem_reg[3][19] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8468), .Q (\u8_mem[3]_140 ), .QN ());
+ DFFSRX1 \u3_mem_reg[1][6] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8447), .Q (\u3_mem[1]_65 ), .QN ());
+ DFFSRX1 \u8_mem_reg[3][1] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8446), .Q (\u8_mem[3]_122 ), .QN ());
+ DFFSRX1 \u3_mem_reg[1][7] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8445), .Q (\u3_mem[1]_66 ), .QN ());
+ DFFSRX1 \u8_mem_reg[3][20] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8443), .Q (\u8_mem[3]_141 ), .QN ());
+ DFFSRX1 \u8_mem_reg[3][21] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8442), .Q (\u8_mem[3]_142 ), .QN ());
+ DFFSRX1 \u3_mem_reg[1][8] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8441), .Q (\u3_mem[1]_67 ), .QN ());
+ DFFSRX1 \u8_mem_reg[3][23] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8437), .Q (\u8_mem[3]_144 ), .QN ());
+ DFFSRX1 \u8_mem_reg[3][24] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8435), .Q (\u8_mem[3]_145 ), .QN ());
+ DFFSRX1 \u3_mem_reg[1][9] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8436), .Q (\u3_mem[1]_68 ), .QN ());
+ DFFSRX1 \u8_mem_reg[3][26] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8350), .Q (\u8_mem[3]_147 ), .QN ());
+ DFFSRX1 \u3_mem_reg[2][0] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8432), .Q (\u3_mem[2] ), .QN ());
+ DFFSRX1 \u8_mem_reg[3][27] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8431), .Q (\u8_mem[3]_148 ), .QN ());
+ DFFSRX1 \u3_mem_reg[2][10] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8430), .Q (\u3_mem[2]_38 ), .QN ());
+ DFFSRX1 \u8_mem_reg[3][29] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8428), .Q (\u8_mem[3]_150 ), .QN ());
+ DFFSRX1 \u8_mem_reg[3][2] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8426), .Q (\u8_mem[3]_123 ), .QN ());
+ DFFSRX1 \u8_mem_reg[3][30] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8425), .Q (\u8_mem[3]_151 ), .QN ());
+ DFFSRX1 \u8_mem_reg[3][31] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9193), .Q (\u8_mem[3]_152 ), .QN ());
+ DFFSRX1 \u3_mem_reg[2][12] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8424), .Q (\u3_mem[2]_40 ), .QN ());
+ DFFSRX1 \u8_mem_reg[3][4] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9070), .Q (\u8_mem[3]_125 ), .QN ());
+ DFFSRX1 \u3_mem_reg[2][13] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8421), .Q (\u3_mem[2]_41 ), .QN ());
+ DFFSRX1 \u8_mem_reg[3][5] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8420), .Q (\u8_mem[3]_126 ), .QN ());
+ DFFSRX1 \u3_mem_reg[2][14] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9318), .Q (\u3_mem[2]_42 ), .QN ());
+ DFFSRX1 \u8_mem_reg[3][7] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8419), .Q (\u8_mem[3]_128 ), .QN ());
+ DFFSRX1 \u3_mem_reg[2][15] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8418), .Q (\u3_mem[2]_43 ), .QN ());
+ DFFSRX1 \u8_mem_reg[3][9] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8416), .Q (\u8_mem[3]_130 ), .QN ());
+ DFFSRX1 \u3_mem_reg[2][16] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8415), .Q (\u3_mem[2]_44 ), .QN ());
+ DFFSRX1 \u3_mem_reg[2][17] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8943), .Q (\u3_mem[2]_45 ), .QN ());
+ DFFSRX1 \u3_mem_reg[2][19] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8411), .Q (\u3_mem[2]_47 ), .QN ());
+ DFFSRX1 \u3_mem_reg[2][1] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8410), .Q (\u3_mem[2]_29 ), .QN ());
+ DFFSRX1 \u3_mem_reg[2][21] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8407), .Q (\u3_mem[2]_49 ), .QN ());
+ DFFSRX1 \u3_mem_reg[2][23] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8346), .Q (\u3_mem[2]_51 ), .QN ());
+ DFFSRX1 \u3_mem_reg[2][24] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8405), .Q (\u3_mem[2]_52 ), .QN ());
+ DFFSRX1 \u3_mem_reg[2][25] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9071), .Q (\u3_mem[2]_53 ), .QN ());
+ DFFSRX1 \u3_mem_reg[2][27] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8403), .Q (\u3_mem[2]_55 ), .QN ());
+ DFFSRX1 \u3_mem_reg[2][28] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8402), .Q (\u3_mem[2]_56 ), .QN ());
+ DFFSRX1 \u3_mem_reg[2][29] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8401), .Q (\u3_mem[2]_57 ), .QN ());
+ DFFSRX1 \u3_mem_reg[2][30] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8399), .Q (\u3_mem[2]_58 ), .QN ());
+ DFFSRX1 \u3_mem_reg[2][31] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8398), .Q (\u3_mem[2]_59 ), .QN ());
+ DFFSRX1 \u3_mem_reg[2][3] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8397), .Q (\u3_mem[2]_31 ), .QN ());
+ DFFSRX1 \u3_mem_reg[2][4] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8396), .Q (\u3_mem[2]_32 ), .QN ());
+ DFFSRX1 \u3_mem_reg[2][5] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8395), .Q (\u3_mem[2]_33 ), .QN ());
+ DFFSRX1 \u3_mem_reg[2][6] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8394), .Q (\u3_mem[2]_34 ), .QN ());
+ DFFSRX1 \u3_mem_reg[2][7] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8392), .Q (\u3_mem[2]_35 ), .QN ());
+ DFFSRX1 \u3_mem_reg[2][9] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8390), .Q (\u3_mem[2]_37 ), .QN ());
+ DFFSRX1 \u3_mem_reg[3][0] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8389), .Q (\u3_mem[3] ), .QN ());
+ DFFSRX1 \u3_mem_reg[3][10] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8388), .Q (\u3_mem[3]_131 ), .QN ());
+ DFFSRX1 \u3_mem_reg[3][12] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8385), .Q (\u3_mem[3]_133 ), .QN ());
+ DFFSRX1 \u3_mem_reg[3][13] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8384), .Q (\u3_mem[3]_134 ), .QN ());
+ DFFSRX1 \u3_mem_reg[3][14] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8382), .Q (\u3_mem[3]_135 ), .QN ());
+ DFFSRX1 \u3_mem_reg[3][16] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8379), .Q (\u3_mem[3]_137 ), .QN ());
+ DFFSRX1 \u3_mem_reg[3][17] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8378), .Q (\u3_mem[3]_138 ), .QN ());
+ DFFSRX1 \u3_mem_reg[3][18] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8377), .Q (\u3_mem[3]_139 ), .QN ());
+ DFFSRX1 \u3_mem_reg[3][1] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8374), .Q (\u3_mem[3]_122 ), .QN ());
+ DFFSRX1 \u3_mem_reg[3][20] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9023), .Q (\u3_mem[3]_141 ), .QN ());
+ DFFSRX1 \u3_mem_reg[3][21] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8373), .Q (\u3_mem[3]_142 ), .QN ());
+ DFFSRX1 \u3_mem_reg[3][23] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8370), .Q (\u3_mem[3]_144 ), .QN ());
+ DFFSRX1 \u3_mem_reg[3][24] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8368), .Q (\u3_mem[3]_145 ), .QN ());
+ DFFSRX1 \u3_mem_reg[3][26] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8367), .Q (\u3_mem[3]_147 ), .QN ());
+ DFFSRX1 \u3_mem_reg[3][28] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8364), .Q (\u3_mem[3]_149 ), .QN ());
+ DFFSRX1 \u3_mem_reg[3][29] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8363), .Q (\u3_mem[3]_150 ), .QN ());
+ DFFSRX1 \u3_mem_reg[3][2] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8362), .Q (\u3_mem[3]_123 ), .QN ());
+ DFFSRX1 \u3_mem_reg[3][31] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8360), .Q (\u3_mem[3]_152 ), .QN ());
+ DFFSRX1 \u3_mem_reg[3][4] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8359), .Q (\u3_mem[3]_125 ), .QN ());
+ DFFSRX1 \u3_mem_reg[3][5] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8358), .Q (\u3_mem[3]_126 ), .QN ());
+ DFFSRX1 \u3_mem_reg[3][7] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8354), .Q (\u3_mem[3]_128 ), .QN ());
+ DFFSRX1 \u3_mem_reg[3][9] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8352), .Q (\u3_mem[3]_130 ), .QN ());
+ DFFSRX1 \u3_mem_reg[3][25] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8348), .Q (\u3_mem[3]_146 ), .QN ());
+ DFFSRX1 \u4_mem_reg[1][0] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8345), .Q (\u4_mem[1] ), .QN ());
+ DFFSRX1 \u4_mem_reg[1][10] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8344), .Q (\u4_mem[1]_69 ), .QN ());
+ DFFSRX1 \u4_mem_reg[1][11] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8343), .Q (\u4_mem[1]_70 ), .QN ());
+ DFFSRX1 \u4_mem_reg[1][13] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8341), .Q (\u4_mem[1]_72 ), .QN ());
+ DFFSRX1 \u4_mem_reg[1][14] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8340), .Q (\u4_mem[1]_73 ), .QN ());
+ DFFSRX1 \u4_mem_reg[1][15] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8338), .Q (\u4_mem[1]_74 ), .QN ());
+ DFFSRX1 \u4_mem_reg[1][17] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8334), .Q (\u4_mem[1]_76 ), .QN ());
+ DFFSRX1 \u4_mem_reg[1][18] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8332), .Q (\u4_mem[1]_77 ), .QN ());
+ DFFSRX1 \u4_mem_reg[1][19] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8330), .Q (\u4_mem[1]_78 ), .QN ());
+ DFFSRX1 \u4_mem_reg[1][1] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8329), .Q (\u4_mem[1]_60 ), .QN ());
+ DFFSRX1 \u4_mem_reg[1][20] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8328), .Q (\u4_mem[1]_79 ), .QN ());
+ DFFSRX1 \u4_mem_reg[1][21] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8327), .Q (\u4_mem[1]_80 ), .QN ());
+ DFFSRX1 \u4_mem_reg[1][22] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8326), .Q (\u4_mem[1]_81 ), .QN ());
+ DFFSRX1 \u4_mem_reg[1][24] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8324), .Q (\u4_mem[1]_83 ), .QN ());
+ DFFSRX1 \u4_mem_reg[1][25] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8322), .Q (\u4_mem[1]_84 ), .QN ());
+ DFFSRX1 \u4_mem_reg[1][26] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8321), .Q (\u4_mem[1]_85 ), .QN ());
+ DFFSRX1 \u4_mem_reg[1][28] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8319), .Q (\u4_mem[1]_87 ), .QN ());
+ DFFSRX1 \u4_mem_reg[1][29] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8317), .Q (\u4_mem[1]_88 ), .QN ());
+ DFFSRX1 \u4_mem_reg[1][2] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8315), .Q (\u4_mem[1]_61 ), .QN ());
+ DFFSRX1 \u4_mem_reg[1][31] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8311), .Q (\u4_mem[1]_90 ), .QN ());
+ DFFSRX1 \u4_mem_reg[1][3] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8310), .Q (\u4_mem[1]_62 ), .QN ());
+ DFFSRX1 \u4_mem_reg[1][4] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8309), .Q (\u4_mem[1]_63 ), .QN ());
+ DFFSRX1 \u4_mem_reg[1][6] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8306), .Q (\u4_mem[1]_65 ), .QN ());
+ DFFSRX1 \u4_mem_reg[1][7] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8305), .Q (\u4_mem[1]_66 ), .QN ());
+ DFFSRX1 \u4_mem_reg[1][8] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8304), .Q (\u4_mem[1]_67 ), .QN ());
+ DFFSRX1 \u4_mem_reg[2][0] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8302), .Q (\u4_mem[2] ), .QN ());
+ DFFSRX1 \u4_mem_reg[2][10] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8301), .Q (\u4_mem[2]_38 ), .QN ());
+ DFFSRX1 \u4_mem_reg[2][11] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8300), .Q (\u4_mem[2]_39 ), .QN ());
+ DFFSRX1 \u4_mem_reg[2][13] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8297), .Q (\u4_mem[2]_41 ), .QN ());
+ DFFSRX1 \u4_mem_reg[2][14] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8296), .Q (\u4_mem[2]_42 ), .QN ());
+ DFFSRX1 \u4_mem_reg[2][15] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8295), .Q (\u4_mem[2]_43 ), .QN ());
+ DFFSRX1 \u4_mem_reg[2][17] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8293), .Q (\u4_mem[2]_45 ), .QN ());
+ DFFSRX1 \u4_mem_reg[2][18] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8291), .Q (\u4_mem[2]_46 ), .QN ());
+ DFFSRX1 \u4_mem_reg[2][19] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8290), .Q (\u4_mem[2]_47 ), .QN ());
+ DFFSRX1 \u4_mem_reg[2][1] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8289), .Q (\u4_mem[2]_29 ), .QN ());
+ DFFSRX1 \u4_mem_reg[2][20] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8287), .Q (\u4_mem[2]_48 ), .QN ());
+ DFFSRX1 \u4_mem_reg[2][21] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8286), .Q (\u4_mem[2]_49 ), .QN ());
+ DFFSRX1 \u4_mem_reg[2][22] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8285), .Q (\u4_mem[2]_50 ), .QN ());
+ DFFSRX1 \u4_mem_reg[2][24] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8283), .Q (\u4_mem[2]_52 ), .QN ());
+ DFFSRX1 \u4_mem_reg[2][25] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8282), .Q (\u4_mem[2]_53 ), .QN ());
+ DFFSRX1 \u4_mem_reg[2][26] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8281), .Q (\u4_mem[2]_54 ), .QN ());
+ DFFSRX1 \u4_mem_reg[2][28] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8278), .Q (\u4_mem[2]_56 ), .QN ());
+ DFFSRX1 \u4_mem_reg[2][29] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8277), .Q (\u4_mem[2]_57 ), .QN ());
+ DFFSRX1 \u4_mem_reg[2][2] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8275), .Q (\u4_mem[2]_30 ), .QN ());
+ DFFSRX1 \u4_mem_reg[2][31] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8273), .Q (\u4_mem[2]_59 ), .QN ());
+ DFFSRX1 \u4_mem_reg[2][3] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8272), .Q (\u4_mem[2]_31 ), .QN ());
+ DFFSRX1 \u4_mem_reg[2][4] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8271), .Q (\u4_mem[2]_32 ), .QN ());
+ DFFSRX1 \u4_mem_reg[2][6] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8269), .Q (\u4_mem[2]_34 ), .QN ());
+ DFFSRX1 \u4_mem_reg[2][7] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8268), .Q (\u4_mem[2]_35 ), .QN ());
+ DFFSRX1 \u4_mem_reg[2][8] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8267), .Q (\u4_mem[2]_36 ), .QN ());
+ DFFSRX1 \u4_mem_reg[3][0] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8265), .Q (\u4_mem[3] ), .QN ());
+ DFFSRX1 \u4_mem_reg[3][10] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8264), .Q (\u4_mem[3]_131 ), .QN ());
+ DFFSRX1 \u4_mem_reg[3][11] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8262), .Q (\u4_mem[3]_132 ), .QN ());
+ DFFSRX1 \u4_mem_reg[3][13] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8260), .Q (\u4_mem[3]_134 ), .QN ());
+ DFFSRX1 \u4_mem_reg[3][14] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8259), .Q (\u4_mem[3]_135 ), .QN ());
+ DFFSRX1 \u4_mem_reg[3][15] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9351), .Q (\u4_mem[3]_136 ), .QN ());
+ DFFSRX1 \u4_mem_reg[3][17] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9348), .Q (\u4_mem[3]_138 ), .QN ());
+ DFFSRX1 \u4_mem_reg[3][18] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9347), .Q (\u4_mem[3]_139 ), .QN ());
+ DFFSRX1 \u4_mem_reg[3][19] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9345), .Q (\u4_mem[3]_140 ), .QN ());
+ DFFSRX1 \u4_mem_reg[3][1] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9344), .Q (\u4_mem[3]_122 ), .QN ());
+ DFFSRX1 \u4_mem_reg[3][20] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9343), .Q (\u4_mem[3]_141 ), .QN ());
+ DFFSRX1 \u4_mem_reg[3][21] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9342), .Q (\u4_mem[3]_142 ), .QN ());
+ DFFSRX1 \u4_mem_reg[3][22] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9340), .Q (\u4_mem[3]_143 ), .QN ());
+ DFFSRX1 \u4_mem_reg[3][24] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9338), .Q (\u4_mem[3]_145 ), .QN ());
+ DFFSRX1 \u4_mem_reg[3][25] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9337), .Q (\u4_mem[3]_146 ), .QN ());
+ DFFSRX1 \u4_mem_reg[3][26] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9335), .Q (\u4_mem[3]_147 ), .QN ());
+ DFFSRX1 \u4_mem_reg[3][28] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9332), .Q (\u4_mem[3]_149 ), .QN ());
+ DFFSRX1 \u4_mem_reg[3][29] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9331), .Q (\u4_mem[3]_150 ), .QN ());
+ DFFSRX1 \u4_mem_reg[3][2] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9330), .Q (\u4_mem[3]_123 ), .QN ());
+ DFFSRX1 \u4_mem_reg[3][31] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9328), .Q (\u4_mem[3]_152 ), .QN ());
+ DFFSRX1 \u4_mem_reg[3][3] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9327), .Q (\u4_mem[3]_124 ), .QN ());
+ DFFSRX1 \u4_mem_reg[3][4] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9325), .Q (\u4_mem[3]_125 ), .QN ());
+ DFFSRX1 \u4_mem_reg[3][6] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9322), .Q (\u4_mem[3]_127 ), .QN ());
+ DFFSRX1 \u4_mem_reg[3][7] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9321), .Q (\u4_mem[3]_128 ), .QN ());
+ DFFSRX1 \u4_mem_reg[3][8] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9320), .Q (\u4_mem[3]_129 ), .QN ());
+ DFFSRX1 \u3_mem_reg[2][20] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9195), .Q (\u3_mem[2]_48 ), .QN ());
+ DFFSRX1 \u5_mem_reg[1][0] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9317), .Q (\u5_mem[1] ), .QN ());
+ DFFSRX1 \u5_mem_reg[1][10] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9316), .Q (\u5_mem[1]_69 ), .QN ());
+ DFFSRX1 \u5_mem_reg[1][12] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9314), .Q (\u5_mem[1]_71 ), .QN ());
+ DFFSRX1 \u5_mem_reg[1][13] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9313), .Q (\u5_mem[1]_72 ), .QN ());
+ DFFSRX1 \u5_mem_reg[1][14] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9312), .Q (\u5_mem[1]_73 ), .QN ());
+ DFFSRX1 \u7_mem_reg[1][14] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9060), .Q (\u7_mem[1]_73 ), .QN ());
+ DFFSRX1 \u5_mem_reg[1][16] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9308), .Q (\u5_mem[1]_75 ), .QN ());
+ DFFSRX1 \u5_mem_reg[1][17] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9306), .Q (\u5_mem[1]_76 ), .QN ());
+ DFFSRX1 \u5_mem_reg[1][18] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9304), .Q (\u5_mem[1]_77 ), .QN ());
+ DFFSRX1 \u5_mem_reg[1][1] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9301), .Q (\u5_mem[1]_60 ), .QN ());
+ DFFSRX1 \u5_mem_reg[1][20] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9300), .Q (\u5_mem[1]_79 ), .QN ());
+ DFFSRX1 \u5_mem_reg[1][21] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9299), .Q (\u5_mem[1]_80 ), .QN ());
+ DFFSRX1 \u5_mem_reg[1][23] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9297), .Q (\u5_mem[1]_82 ), .QN ());
+ DFFSRX1 \u5_mem_reg[1][24] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9296), .Q (\u5_mem[1]_83 ), .QN ());
+ DFFSRX1 \u5_mem_reg[1][25] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9294), .Q (\u5_mem[1]_84 ), .QN ());
+ DFFSRX1 \u5_mem_reg[1][27] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9292), .Q (\u5_mem[1]_86 ), .QN ());
+ DFFSRX1 \u5_mem_reg[1][28] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9291), .Q (\u5_mem[1]_87 ), .QN ());
+ DFFSRX1 \u5_mem_reg[1][29] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9289), .Q (\u5_mem[1]_88 ), .QN ());
+ DFFSRX1 \u5_mem_reg[1][30] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9285), .Q (\u5_mem[1]_89 ), .QN ());
+ DFFSRX1 \u5_mem_reg[1][31] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9283), .Q (\u5_mem[1]_90 ), .QN ());
+ DFFSRX1 \u5_mem_reg[1][3] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9282), .Q (\u5_mem[1]_62 ), .QN ());
+ DFFSRX1 \u5_mem_reg[1][5] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9280), .Q (\u5_mem[1]_64 ), .QN ());
+ DFFSRX1 \u5_mem_reg[1][6] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9278), .Q (\u5_mem[1]_65 ), .QN ());
+ DFFSRX1 \u5_mem_reg[1][7] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9277), .Q (\u5_mem[1]_66 ), .QN ());
+ DFFSRX1 \u5_mem_reg[1][9] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9275), .Q (\u5_mem[1]_68 ), .QN ());
+ DFFSRX1 \u5_mem_reg[2][0] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9274), .Q (\u5_mem[2] ), .QN ());
+ DFFSRX1 \u5_mem_reg[2][10] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9273), .Q (\u5_mem[2]_38 ), .QN ());
+ DFFSRX1 \u5_mem_reg[2][12] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9270), .Q (\u5_mem[2]_40 ), .QN ());
+ DFFSRX1 \u5_mem_reg[2][13] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9269), .Q (\u5_mem[2]_41 ), .QN ());
+ DFFSRX1 \u5_mem_reg[2][14] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9268), .Q (\u5_mem[2]_42 ), .QN ());
+ DFFSRX1 \u5_mem_reg[2][16] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9266), .Q (\u5_mem[2]_44 ), .QN ());
+ DFFSRX1 \u5_mem_reg[2][17] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9265), .Q (\u5_mem[2]_45 ), .QN ());
+ DFFSRX1 \u5_mem_reg[2][18] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9263), .Q (\u5_mem[2]_46 ), .QN ());
+ DFFSRX1 \u5_mem_reg[2][1] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9261), .Q (\u5_mem[2]_29 ), .QN ());
+ DFFSRX1 \u5_mem_reg[2][20] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9259), .Q (\u5_mem[2]_48 ), .QN ());
+ DFFSRX1 \u5_mem_reg[2][21] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9258), .Q (\u5_mem[2]_49 ), .QN ());
+ DFFSRX1 \u5_mem_reg[2][23] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9256), .Q (\u5_mem[2]_51 ), .QN ());
+ DFFSRX1 \u5_mem_reg[2][24] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9255), .Q (\u5_mem[2]_52 ), .QN ());
+ DFFSRX1 \u5_mem_reg[2][25] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9254), .Q (\u5_mem[2]_53 ), .QN ());
+ DFFSRX1 \u5_mem_reg[2][27] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9252), .Q (\u5_mem[2]_55 ), .QN ());
+ DFFSRX1 \u5_mem_reg[2][28] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9250), .Q (\u5_mem[2]_56 ), .QN ());
+ DFFSRX1 \u5_mem_reg[2][29] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9249), .Q (\u5_mem[2]_57 ), .QN ());
+ DFFSRX1 \u5_mem_reg[2][30] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9246), .Q (\u5_mem[2]_58 ), .QN ());
+ DFFSRX1 \u5_mem_reg[2][31] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9245), .Q (\u5_mem[2]_59 ), .QN ());
+ DFFSRX1 \u5_mem_reg[2][3] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9244), .Q (\u5_mem[2]_31 ), .QN ());
+ DFFSRX1 \u5_mem_reg[2][4] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9243), .Q (\u5_mem[2]_32 ), .QN ());
+ DFFSRX1 \u5_mem_reg[2][5] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9242), .Q (\u5_mem[2]_33 ), .QN ());
+ DFFSRX1 \u5_mem_reg[2][6] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9241), .Q (\u5_mem[2]_34 ), .QN ());
+ DFFSRX1 \u5_mem_reg[2][7] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9240), .Q (\u5_mem[2]_35 ), .QN ());
+ DFFSRX1 \u5_mem_reg[2][9] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9238), .Q (\u5_mem[2]_37 ), .QN ());
+ DFFSRX1 \u5_mem_reg[3][0] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9237), .Q (\u5_mem[3] ), .QN ());
+ DFFSRX1 \u5_mem_reg[3][10] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9236), .Q (\u5_mem[3]_131 ), .QN ());
+ DFFSRX1 \u5_mem_reg[3][12] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9233), .Q (\u5_mem[3]_133 ), .QN ());
+ DFFSRX1 \u5_mem_reg[3][13] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9232), .Q (\u5_mem[3]_134 ), .QN ());
+ DFFSRX1 \u5_mem_reg[3][14] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9231), .Q (\u5_mem[3]_135 ), .QN ());
+ DFFSRX1 \u5_mem_reg[3][16] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9228), .Q (\u5_mem[3]_137 ), .QN ());
+ DFFSRX1 \u5_mem_reg[3][17] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9227), .Q (\u5_mem[3]_138 ), .QN ());
+ DFFSRX1 \u5_mem_reg[3][18] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9226), .Q (\u5_mem[3]_139 ), .QN ());
+ DFFSRX1 \u5_mem_reg[3][1] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9223), .Q (\u5_mem[3]_122 ), .QN ());
+ DFFSRX1 \u5_mem_reg[3][20] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9222), .Q (\u5_mem[3]_141 ), .QN ());
+ DFFSRX1 \u5_mem_reg[3][21] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9221), .Q (\u5_mem[3]_142 ), .QN ());
+ DFFSRX1 \u6_mem_reg[0][17] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8775), .Q (\u6_mem[0]_107 ), .QN ());
+ DFFSRX1 \u5_mem_reg[3][23] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9218), .Q (\u5_mem[3]_144 ), .QN ());
+ DFFSRX1 \u5_mem_reg[3][24] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9217), .Q (\u5_mem[3]_145 ), .QN ());
+ DFFSRX1 \u5_mem_reg[3][25] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9216), .Q (\u5_mem[3]_146 ), .QN ());
+ DFFSRX1 \u5_mem_reg[3][27] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9213), .Q (\u5_mem[3]_148 ), .QN ());
+ DFFSRX1 \u5_mem_reg[3][28] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9211), .Q (\u5_mem[3]_149 ), .QN ());
+ DFFSRX1 \u5_mem_reg[3][29] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9210), .Q (\u5_mem[3]_150 ), .QN ());
+ DFFSRX1 \u5_mem_reg[3][30] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9208), .Q (\u5_mem[3]_151 ), .QN ());
+ DFFSRX1 \u5_mem_reg[3][31] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9207), .Q (\u5_mem[3]_152 ), .QN ());
+ DFFSRX1 \u5_mem_reg[3][3] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9206), .Q (\u5_mem[3]_124 ), .QN ());
+ DFFSRX1 \u5_mem_reg[3][5] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9203), .Q (\u5_mem[3]_126 ), .QN ());
+ DFFSRX1 \u5_mem_reg[3][6] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9201), .Q (\u5_mem[3]_127 ), .QN ());
+ DFFSRX1 \u5_mem_reg[3][7] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9200), .Q (\u5_mem[3]_128 ), .QN ());
+ DFFSRX1 \u5_mem_reg[3][9] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9198), .Q (\u5_mem[3]_130 ), .QN ());
+ DFFSRX1 \u6_mem_reg[1][0] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9192), .Q (\u6_mem[1] ), .QN ());
+ DFFSRX1 \u6_mem_reg[1][10] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9191), .Q (\u6_mem[1]_69 ), .QN ());
+ DFFSRX1 \u6_mem_reg[1][12] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9189), .Q (\u6_mem[1]_71 ), .QN ());
+ DFFSRX1 \u6_mem_reg[1][13] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9188), .Q (\u6_mem[1]_72 ), .QN ());
+ DFFSRX1 \u6_mem_reg[1][14] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9187), .Q (\u6_mem[1]_73 ), .QN ());
+ DFFSRX1 \u6_mem_reg[1][16] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9183), .Q (\u6_mem[1]_75 ), .QN ());
+ DFFSRX1 \u6_mem_reg[1][17] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9181), .Q (\u6_mem[1]_76 ), .QN ());
+ DFFSRX1 \u6_mem_reg[1][18] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9179), .Q (\u6_mem[1]_77 ), .QN ());
+ DFFSRX1 \u6_mem_reg[1][19] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9177), .Q (\u6_mem[1]_78 ), .QN ());
+ DFFSRX1 \u6_mem_reg[1][1] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9176), .Q (\u6_mem[1]_60 ), .QN ());
+ DFFSRX1 \u6_mem_reg[1][20] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9175), .Q (\u6_mem[1]_79 ), .QN ());
+ DFFSRX1 \u6_mem_reg[1][21] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9174), .Q (\u6_mem[1]_80 ), .QN ());
+ DFFSRX1 \u6_mem_reg[1][23] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9172), .Q (\u6_mem[1]_82 ), .QN ());
+ DFFSRX1 \u6_mem_reg[1][24] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9171), .Q (\u6_mem[1]_83 ), .QN ());
+ DFFSRX1 \u6_mem_reg[1][25] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9169), .Q (\u6_mem[1]_84 ), .QN ());
+ DFFSRX1 \u6_mem_reg[1][27] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9167), .Q (\u6_mem[1]_86 ), .QN ());
+ DFFSRX1 \u6_mem_reg[1][28] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9166), .Q (\u6_mem[1]_87 ), .QN ());
+ DFFSRX1 \u6_mem_reg[1][29] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9164), .Q (\u6_mem[1]_88 ), .QN ());
+ DFFSRX1 \u6_mem_reg[1][30] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9160), .Q (\u6_mem[1]_89 ), .QN ());
+ DFFSRX1 \u6_mem_reg[1][31] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9158), .Q (\u6_mem[1]_90 ), .QN ());
+ DFFSRX1 \u6_mem_reg[1][3] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9157), .Q (\u6_mem[1]_62 ), .QN ());
+ DFFSRX1 \u6_mem_reg[1][5] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9155), .Q (\u6_mem[1]_64 ), .QN ());
+ DFFSRX1 \u6_mem_reg[1][6] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9153), .Q (\u6_mem[1]_65 ), .QN ());
+ DFFSRX1 \u6_mem_reg[1][7] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9152), .Q (\u6_mem[1]_66 ), .QN ());
+ DFFSRX1 \u6_mem_reg[1][9] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9150), .Q (\u6_mem[1]_68 ), .QN ());
+ DFFSRX1 \u6_mem_reg[2][0] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9149), .Q (\u6_mem[2] ), .QN ());
+ DFFSRX1 \u6_mem_reg[2][10] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9148), .Q (\u6_mem[2]_38 ), .QN ());
+ DFFSRX1 \u6_mem_reg[2][12] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9145), .Q (\u6_mem[2]_40 ), .QN ());
+ DFFSRX1 \u6_mem_reg[2][13] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9144), .Q (\u6_mem[2]_41 ), .QN ());
+ DFFSRX1 \u6_mem_reg[2][14] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9143), .Q (\u6_mem[2]_42 ), .QN ());
+ DFFSRX1 \u6_mem_reg[2][16] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9141), .Q (\u6_mem[2]_44 ), .QN ());
+ DFFSRX1 \u6_mem_reg[2][17] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9140), .Q (\u6_mem[2]_45 ), .QN ());
+ DFFSRX1 \u6_mem_reg[2][18] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9138), .Q (\u6_mem[2]_46 ), .QN ());
+ DFFSRX1 \u6_mem_reg[2][1] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9136), .Q (\u6_mem[2]_29 ), .QN ());
+ DFFSRX1 \u6_mem_reg[2][20] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9134), .Q (\u6_mem[2]_48 ), .QN ());
+ DFFSRX1 \u6_mem_reg[2][21] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9133), .Q (\u6_mem[2]_49 ), .QN ());
+ DFFSRX1 \u6_mem_reg[2][23] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9131), .Q (\u6_mem[2]_51 ), .QN ());
+ DFFSRX1 \u6_mem_reg[2][24] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9130), .Q (\u6_mem[2]_52 ), .QN ());
+ DFFSRX1 \u6_mem_reg[2][25] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9129), .Q (\u6_mem[2]_53 ), .QN ());
+ DFFSRX1 \u6_mem_reg[2][27] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9127), .Q (\u6_mem[2]_55 ), .QN ());
+ DFFSRX1 \u6_mem_reg[2][28] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9125), .Q (\u6_mem[2]_56 ), .QN ());
+ DFFSRX1 \u6_mem_reg[2][29] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9124), .Q (\u6_mem[2]_57 ), .QN ());
+ DFFSRX1 \u6_mem_reg[2][30] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9121), .Q (\u6_mem[2]_58 ), .QN ());
+ DFFSRX1 \u6_mem_reg[2][31] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9120), .Q (\u6_mem[2]_59 ), .QN ());
+ DFFSRX1 \u6_mem_reg[2][3] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9119), .Q (\u6_mem[2]_31 ), .QN ());
+ DFFSRX1 \u6_mem_reg[2][5] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9117), .Q (\u6_mem[2]_33 ), .QN ());
+ DFFSRX1 \u6_mem_reg[2][6] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9116), .Q (\u6_mem[2]_34 ), .QN ());
+ DFFSRX1 \u6_mem_reg[2][7] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9115), .Q (\u6_mem[2]_35 ), .QN ());
+ DFFSRX1 \u6_mem_reg[2][9] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9113), .Q (\u6_mem[2]_37 ), .QN ());
+ DFFSRX1 \u6_mem_reg[3][0] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9112), .Q (\u6_mem[3] ), .QN ());
+ DFFSRX1 \u6_mem_reg[3][10] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9111), .Q (\u6_mem[3]_131 ), .QN ());
+ DFFSRX1 \u6_mem_reg[3][12] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9108), .Q (\u6_mem[3]_133 ), .QN ());
+ DFFSRX1 \u6_mem_reg[3][13] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9107), .Q (\u6_mem[3]_134 ), .QN ());
+ DFFSRX1 \u6_mem_reg[3][14] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9106), .Q (\u6_mem[3]_135 ), .QN ());
+ DFFSRX1 \u6_mem_reg[3][16] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9103), .Q (\u6_mem[3]_137 ), .QN ());
+ DFFSRX1 \u6_mem_reg[3][17] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9102), .Q (\u6_mem[3]_138 ), .QN ());
+ DFFSRX1 \u6_mem_reg[3][18] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9101), .Q (\u6_mem[3]_139 ), .QN ());
+ DFFSRX1 \u6_mem_reg[3][1] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9098), .Q (\u6_mem[3]_122 ), .QN ());
+ DFFSRX1 \u6_mem_reg[3][20] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9097), .Q (\u6_mem[3]_141 ), .QN ());
+ DFFSRX1 \u6_mem_reg[3][21] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9096), .Q (\u6_mem[3]_142 ), .QN ());
+ DFFSRX1 \u6_mem_reg[3][23] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9093), .Q (\u6_mem[3]_144 ), .QN ());
+ DFFSRX1 \u6_mem_reg[3][24] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9092), .Q (\u6_mem[3]_145 ), .QN ());
+ DFFSRX1 \u6_mem_reg[3][25] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9091), .Q (\u6_mem[3]_146 ), .QN ());
+ DFFSRX1 \u6_mem_reg[3][27] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9088), .Q (\u6_mem[3]_148 ), .QN ());
+ DFFSRX1 \u6_mem_reg[3][28] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9086), .Q (\u6_mem[3]_149 ), .QN ());
+ DFFSRX1 \u6_mem_reg[3][29] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9085), .Q (\u6_mem[3]_150 ), .QN ());
+ DFFSRX1 \u6_mem_reg[3][30] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9083), .Q (\u6_mem[3]_151 ), .QN ());
+ DFFSRX1 \u6_mem_reg[3][31] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9082), .Q (\u6_mem[3]_152 ), .QN ());
+ DFFSRX1 \u6_mem_reg[3][3] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9081), .Q (\u6_mem[3]_124 ), .QN ());
+ DFFSRX1 \u6_mem_reg[3][5] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9078), .Q (\u6_mem[3]_126 ), .QN ());
+ DFFSRX1 \u6_mem_reg[3][6] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9076), .Q (\u6_mem[3]_127 ), .QN ());
+ DFFSRX1 \u6_mem_reg[3][7] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9075), .Q (\u6_mem[3]_128 ), .QN ());
+ DFFSRX1 \u6_mem_reg[3][9] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9073), .Q (\u6_mem[3]_130 ), .QN ());
+ DFFSRX1 \u3_mem_reg[3][8] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8353), .Q (\u3_mem[3]_129 ), .QN ());
+ DFFSRX1 \u7_mem_reg[1][0] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9066), .Q (\u7_mem[1] ), .QN ());
+ DFFSRX1 \u7_mem_reg[1][11] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9064), .Q (\u7_mem[1]_70 ), .QN ());
+ DFFSRX1 \u7_mem_reg[1][12] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9062), .Q (\u7_mem[1]_71 ), .QN ());
+ DFFSRX1 \u7_mem_reg[1][13] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9061), .Q (\u7_mem[1]_72 ), .QN ());
+ DFFSRX1 \u7_mem_reg[1][15] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9058), .Q (\u7_mem[1]_74 ), .QN ());
+ DFFSRX1 \u7_mem_reg[1][16] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9056), .Q (\u7_mem[1]_75 ), .QN ());
+ DFFSRX1 \u7_mem_reg[1][17] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9054), .Q (\u7_mem[1]_76 ), .QN ());
+ DFFSRX1 \u7_mem_reg[1][18] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9052), .Q (\u7_mem[1]_77 ), .QN ());
+ DFFSRX1 \u7_mem_reg[1][19] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9050), .Q (\u7_mem[1]_78 ), .QN ());
+ DFFSRX1 \u7_mem_reg[1][1] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9049), .Q (\u7_mem[1]_60 ), .QN ());
+ DFFSRX1 \u7_mem_reg[1][20] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9048), .Q (\u7_mem[1]_79 ), .QN ());
+ DFFSRX1 \u7_mem_reg[1][22] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9046), .Q (\u7_mem[1]_81 ), .QN ());
+ DFFSRX1 \u7_mem_reg[1][23] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9045), .Q (\u7_mem[1]_82 ), .QN ());
+ DFFSRX1 \u7_mem_reg[1][24] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9044), .Q (\u7_mem[1]_83 ), .QN ());
+ DFFSRX1 \u7_mem_reg[1][26] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9041), .Q (\u7_mem[1]_85 ), .QN ());
+ DFFSRX1 \u7_mem_reg[1][27] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9040), .Q (\u7_mem[1]_86 ), .QN ());
+ DFFSRX1 \u7_mem_reg[1][28] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9039), .Q (\u7_mem[1]_87 ), .QN ());
+ DFFSRX1 \u7_mem_reg[1][2] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9035), .Q (\u7_mem[1]_61 ), .QN ());
+ DFFSRX1 \u7_mem_reg[1][30] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9033), .Q (\u7_mem[1]_89 ), .QN ());
+ DFFSRX1 \u7_mem_reg[1][31] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9031), .Q (\u7_mem[1]_90 ), .QN ());
+ DFFSRX1 \u7_mem_reg[1][4] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9029), .Q (\u7_mem[1]_63 ), .QN ());
+ DFFSRX1 \u7_mem_reg[1][5] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9028), .Q (\u7_mem[1]_64 ), .QN ());
+ DFFSRX1 \u7_mem_reg[1][6] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9026), .Q (\u7_mem[1]_65 ), .QN ());
+ DFFSRX1 \u7_mem_reg[1][8] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9024), .Q (\u7_mem[1]_67 ), .QN ());
+ DFFSRX1 \u7_mem_reg[1][9] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9021), .Q (\u7_mem[1]_68 ), .QN ());
+ DFFSRX1 \u7_mem_reg[2][0] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9020), .Q (\u7_mem[2] ), .QN ());
+ DFFSRX1 \u7_mem_reg[2][11] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9018), .Q (\u7_mem[2]_39 ), .QN ());
+ DFFSRX1 \u7_mem_reg[2][12] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9016), .Q (\u7_mem[2]_40 ), .QN ());
+ DFFSRX1 \u7_mem_reg[2][13] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9015), .Q (\u7_mem[2]_41 ), .QN ());
+ DFFSRX1 \u7_mem_reg[2][15] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9013), .Q (\u7_mem[2]_43 ), .QN ());
+ DFFSRX1 \u7_mem_reg[2][16] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9012), .Q (\u7_mem[2]_44 ), .QN ());
+ DFFSRX1 \u7_mem_reg[2][17] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9011), .Q (\u7_mem[2]_45 ), .QN ());
+ DFFSRX1 \u7_mem_reg[2][19] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9008), .Q (\u7_mem[2]_47 ), .QN ());
+ DFFSRX1 \u7_mem_reg[2][1] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9007), .Q (\u7_mem[2]_29 ), .QN ());
+ DFFSRX1 \u7_mem_reg[2][20] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9005), .Q (\u7_mem[2]_48 ), .QN ());
+ DFFSRX1 \u7_mem_reg[2][22] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9003), .Q (\u7_mem[2]_50 ), .QN ());
+ DFFSRX1 \u7_mem_reg[2][23] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9002), .Q (\u7_mem[2]_51 ), .QN ());
+ DFFSRX1 \u7_mem_reg[2][24] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9001), .Q (\u7_mem[2]_52 ), .QN ());
+ DFFSRX1 \u7_mem_reg[2][26] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8999), .Q (\u7_mem[2]_54 ), .QN ());
+ DFFSRX1 \u7_mem_reg[2][27] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8998), .Q (\u7_mem[2]_55 ), .QN ());
+ DFFSRX1 \u7_mem_reg[2][28] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8996), .Q (\u7_mem[2]_56 ), .QN ());
+ DFFSRX1 \u7_mem_reg[2][2] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8993), .Q (\u7_mem[2]_30 ), .QN ());
+ DFFSRX1 \u7_mem_reg[2][30] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8992), .Q (\u7_mem[2]_58 ), .QN ());
+ DFFSRX1 \u7_mem_reg[2][31] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8991), .Q (\u7_mem[2]_59 ), .QN ());
+ DFFSRX1 \u7_mem_reg[2][4] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8989), .Q (\u7_mem[2]_32 ), .QN ());
+ DFFSRX1 \u7_mem_reg[2][5] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8988), .Q (\u7_mem[2]_33 ), .QN ());
+ DFFSRX1 \u7_mem_reg[2][6] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8987), .Q (\u7_mem[2]_34 ), .QN ());
+ DFFSRX1 \u7_mem_reg[2][8] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8985), .Q (\u7_mem[2]_36 ), .QN ());
+ DFFSRX1 \u7_mem_reg[2][9] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8984), .Q (\u7_mem[2]_37 ), .QN ());
+ DFFSRX1 \u7_mem_reg[3][0] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8983), .Q (\u7_mem[3] ), .QN ());
+ DFFSRX1 \u7_mem_reg[3][11] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8980), .Q (\u7_mem[3]_132 ), .QN ());
+ DFFSRX1 \u7_mem_reg[3][12] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8979), .Q (\u7_mem[3]_133 ), .QN ());
+ DFFSRX1 \u7_mem_reg[3][13] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8978), .Q (\u7_mem[3]_134 ), .QN ());
+ DFFSRX1 \u7_mem_reg[3][15] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8975), .Q (\u7_mem[3]_136 ), .QN ());
+ DFFSRX1 \u7_mem_reg[3][16] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8974), .Q (\u7_mem[3]_137 ), .QN ());
+ DFFSRX1 \u7_mem_reg[3][17] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8973), .Q (\u7_mem[3]_138 ), .QN ());
+ DFFSRX1 \u7_mem_reg[3][19] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8970), .Q (\u7_mem[3]_140 ), .QN ());
+ DFFSRX1 \u7_mem_reg[3][1] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8969), .Q (\u7_mem[3]_122 ), .QN ());
+ DFFSRX1 \u7_mem_reg[3][20] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8968), .Q (\u7_mem[3]_141 ), .QN ());
+ DFFSRX1 \u6_mem_reg[0][20] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8770), .Q (\u6_mem[0]_110 ), .QN ());
+ DFFSRX1 \u7_mem_reg[3][22] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8965), .Q (\u7_mem[3]_143 ), .QN ());
+ DFFSRX1 \u7_mem_reg[3][23] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8964), .Q (\u7_mem[3]_144 ), .QN ());
+ DFFSRX1 \u7_mem_reg[3][24] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8963), .Q (\u7_mem[3]_145 ), .QN ());
+ DFFSRX1 \u7_mem_reg[3][26] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8960), .Q (\u7_mem[3]_147 ), .QN ());
+ DFFSRX1 \u7_mem_reg[3][27] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8959), .Q (\u7_mem[3]_148 ), .QN ());
+ DFFSRX1 \u7_mem_reg[3][28] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8957), .Q (\u7_mem[3]_149 ), .QN ());
+ DFFSRX1 \u7_mem_reg[3][2] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8955), .Q (\u7_mem[3]_123 ), .QN ());
+ DFFSRX1 \u7_mem_reg[3][30] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8954), .Q (\u7_mem[3]_151 ), .QN ());
+ DFFSRX1 \u7_mem_reg[3][31] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8953), .Q (\u7_mem[3]_152 ), .QN ());
+ DFFSRX1 \u7_mem_reg[3][4] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8950), .Q (\u7_mem[3]_125 ), .QN ());
+ DFFSRX1 \u7_mem_reg[3][5] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8949), .Q (\u7_mem[3]_126 ), .QN ());
+ DFFSRX1 \u7_mem_reg[3][6] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8947), .Q (\u7_mem[3]_127 ), .QN ());
+ DFFSRX1 \u7_mem_reg[3][8] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8945), .Q (\u7_mem[3]_129 ), .QN ());
+ DFFSRX1 \u7_mem_reg[3][9] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8944), .Q (\u7_mem[3]_130 ), .QN ());
+ DFFSRX1 \u8_mem_reg[1][0] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8941), .Q (\u8_mem[1] ), .QN ());
+ DFFSRX1 \u8_mem_reg[1][11] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8939), .Q (\u8_mem[1]_70 ), .QN ());
+ DFFSRX1 \u8_mem_reg[1][12] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8938), .Q (\u8_mem[1]_71 ), .QN ());
+ DFFSRX1 \u8_mem_reg[1][13] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8936), .Q (\u8_mem[1]_72 ), .QN ());
+ DFFSRX1 \u8_mem_reg[1][15] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8934), .Q (\u8_mem[1]_74 ), .QN ());
+ DFFSRX1 \u8_mem_reg[1][16] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8932), .Q (\u8_mem[1]_75 ), .QN ());
+ DFFSRX1 \u8_mem_reg[1][17] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8931), .Q (\u8_mem[1]_76 ), .QN ());
+ DFFSRX1 \u8_mem_reg[1][19] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8928), .Q (\u8_mem[1]_78 ), .QN ());
+ DFFSRX1 \u8_mem_reg[1][1] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8926), .Q (\u8_mem[1]_60 ), .QN ());
+ DFFSRX1 \u8_mem_reg[1][20] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8925), .Q (\u8_mem[1]_79 ), .QN ());
+ DFFSRX1 \u8_mem_reg[1][22] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8922), .Q (\u8_mem[1]_81 ), .QN ());
+ DFFSRX1 \u8_mem_reg[1][23] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8921), .Q (\u8_mem[1]_82 ), .QN ());
+ DFFSRX1 \u8_mem_reg[1][24] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8920), .Q (\u8_mem[1]_83 ), .QN ());
+ DFFSRX1 \u8_mem_reg[1][26] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8916), .Q (\u8_mem[1]_85 ), .QN ());
+ DFFSRX1 \u3_mem_reg[1][0] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8917), .Q (\u3_mem[1] ), .QN ());
+ DFFSRX1 \u8_mem_reg[1][27] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8914), .Q (\u8_mem[1]_86 ), .QN ());
+ DFFSRX1 \u3_mem_reg[1][10] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8912), .Q (\u3_mem[1]_69 ), .QN ());
+ DFFSRX1 \u8_mem_reg[1][29] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8910), .Q (\u8_mem[1]_88 ), .QN ());
+ DFFSRX1 \u8_mem_reg[1][2] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8907), .Q (\u8_mem[1]_61 ), .QN ());
+ DFFSRX1 \u8_mem_reg[1][30] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8906), .Q (\u8_mem[1]_89 ), .QN ());
+ DFFSRX1 \u8_mem_reg[1][31] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8351), .Q (\u8_mem[1]_90 ), .QN ());
+ DFFSRX1 \u3_mem_reg[1][12] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8905), .Q (\u3_mem[1]_71 ), .QN ());
+ DFFSRX1 \u8_mem_reg[1][4] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8413), .Q (\u8_mem[1]_63 ), .QN ());
+ DFFSRX1 \u3_mem_reg[1][13] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8901), .Q (\u3_mem[1]_72 ), .QN ());
+ DFFSRX1 \u8_mem_reg[1][5] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8900), .Q (\u8_mem[1]_64 ), .QN ());
+ DFFSRX1 \u3_mem_reg[1][14] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9067), .Q (\u3_mem[1]_73 ), .QN ());
+ DFFSRX1 \u8_mem_reg[1][7] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8899), .Q (\u8_mem[1]_66 ), .QN ());
+ DFFSRX1 \u8_mem_reg[1][8] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8896), .Q (\u8_mem[1]_67 ), .QN ());
+ DFFSRX1 \u8_mem_reg[1][9] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8895), .Q (\u8_mem[1]_68 ), .QN ());
+ DFFSRX1 \u8_mem_reg[2][0] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8892), .Q (\u8_mem[2] ), .QN ());
+ DFFSRX1 \u3_mem_reg[1][16] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8893), .Q (\u3_mem[1]_75 ), .QN ());
+ DFFSRX1 \u8_mem_reg[2][10] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8890), .Q (\u8_mem[2]_38 ), .QN ());
+ DFFSRX1 \u8_mem_reg[2][11] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8886), .Q (\u8_mem[2]_39 ), .QN ());
+ DFFSRX1 \u3_mem_reg[1][17] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8888), .Q (\u3_mem[1]_76 ), .QN ());
+ DFFSRX1 \u8_mem_reg[2][12] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8885), .Q (\u8_mem[2]_40 ), .QN ());
+ DFFSRX1 \u3_mem_reg[1][18] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8883), .Q (\u3_mem[1]_77 ), .QN ());
+ DFFSRX1 \u8_mem_reg[2][14] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8881), .Q (\u8_mem[2]_42 ), .QN ());
+ DFFSRX1 \u8_mem_reg[2][15] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8879), .Q (\u8_mem[2]_43 ), .QN ());
+ DFFSRX1 \u8_mem_reg[2][16] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8878), .Q (\u8_mem[2]_44 ), .QN ());
+ DFFSRX1 \u8_mem_reg[2][17] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8876), .Q (\u8_mem[2]_45 ), .QN ());
+ DFFSRX1 \u3_mem_reg[1][1] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8356), .Q (\u3_mem[1]_60 ), .QN ());
+ DFFSRX1 \u8_mem_reg[2][19] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8872), .Q (\u8_mem[2]_47 ), .QN ());
+ DFFSRX1 \u3_mem_reg[1][20] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8874), .Q (\u3_mem[1]_79 ), .QN ());
+ DFFSRX1 \u8_mem_reg[2][1] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8871), .Q (\u8_mem[2]_29 ), .QN ());
+ DFFSRX1 \u3_mem_reg[1][21] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8870), .Q (\u3_mem[1]_80 ), .QN ());
+ DFFSRX1 \u8_mem_reg[2][21] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8867), .Q (\u8_mem[2]_49 ), .QN ());
+ DFFSRX1 \u8_mem_reg[2][22] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8865), .Q (\u8_mem[2]_50 ), .QN ());
+ DFFSRX1 \u8_mem_reg[2][23] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8864), .Q (\u8_mem[2]_51 ), .QN ());
+ DFFSRX1 \u8_mem_reg[2][24] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8862), .Q (\u8_mem[2]_52 ), .QN ());
+ DFFSRX1 \u3_mem_reg[1][23] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9063), .Q (\u3_mem[1]_82 ), .QN ());
+ DFFSRX1 \u8_mem_reg[2][26] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8859), .Q (\u8_mem[2]_54 ), .QN ());
+ DFFSRX1 \u3_mem_reg[1][24] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8860), .Q (\u3_mem[1]_83 ), .QN ());
+ DFFSRX1 \u8_mem_reg[2][27] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8858), .Q (\u8_mem[2]_55 ), .QN ());
+ DFFSRX1 \u3_mem_reg[1][25] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8857), .Q (\u3_mem[1]_84 ), .QN ());
+ DFFSRX1 \u8_mem_reg[2][29] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8854), .Q (\u8_mem[2]_57 ), .QN ());
+ DFFSRX1 \u8_mem_reg[2][2] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8851), .Q (\u8_mem[2]_30 ), .QN ());
+ DFFSRX1 \u8_mem_reg[2][30] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8850), .Q (\u8_mem[2]_58 ), .QN ());
+ DFFSRX1 \u8_mem_reg[2][31] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8849), .Q (\u8_mem[2]_59 ), .QN ());
+ DFFSRX1 \u3_mem_reg[1][27] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8347), .Q (\u3_mem[1]_86 ), .QN ());
+ DFFSRX1 \u4_mem_reg[0][0] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8837), .Q (\u4_mem[0] ), .QN ());
+ DFFSRX1 \u4_mem_reg[0][10] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8838), .Q (\u4_mem[0]_100 ), .QN ());
+ DFFSRX1 \u4_mem_reg[0][11] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8836), .Q (\u4_mem[0]_101 ), .QN ());
+ DFFSRX1 \u4_mem_reg[0][15] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8833), .Q (\u4_mem[0]_105 ), .QN ());
+ DFFSRX1 \u4_mem_reg[0][18] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8830), .Q (\u4_mem[0]_108 ), .QN ());
+ DFFSRX1 \u4_mem_reg[0][1] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8829), .Q (\u4_mem[0]_91 ), .QN ());
+ DFFSRX1 \u4_mem_reg[0][21] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8825), .Q (\u4_mem[0]_111 ), .QN ());
+ DFFSRX1 \u4_mem_reg[0][25] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8823), .Q (\u4_mem[0]_115 ), .QN ());
+ DFFSRX1 \u4_mem_reg[0][27] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8821), .Q (\u4_mem[0]_117 ), .QN ());
+ DFFSRX1 \u4_mem_reg[0][28] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8820), .Q (\u4_mem[0]_118 ), .QN ());
+ DFFSRX1 \u4_mem_reg[0][26] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8822), .Q (\u4_mem[0]_116 ), .QN ());
+ DFFSRX1 \u4_mem_reg[0][2] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8817), .Q (\u4_mem[0]_92 ), .QN ());
+ DFFSRX1 \u4_mem_reg[0][29] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8818), .Q (\u4_mem[0]_119 ), .QN ());
+ DFFSRX1 \u4_mem_reg[0][3] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8815), .Q (\u4_mem[0]_93 ), .QN ());
+ DFFSRX1 \u4_mem_reg[0][5] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8813), .Q (\u4_mem[0]_95 ), .QN ());
+ DFFSRX1 \u4_mem_reg[0][8] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8811), .Q (\u4_mem[0]_98 ), .QN ());
+ DFFSRX1 \u5_mem_reg[0][10] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8808), .Q (\u5_mem[0]_100 ), .QN ());
+ DFFSRX1 \u5_mem_reg[0][11] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8807), .Q (\u5_mem[0]_101 ), .QN ());
+ DFFSRX1 \u5_mem_reg[0][15] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8804), .Q (\u5_mem[0]_105 ), .QN ());
+ DFFSRX1 \u5_mem_reg[0][18] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8801), .Q (\u5_mem[0]_108 ), .QN ());
+ DFFSRX1 \u5_mem_reg[0][1] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8800), .Q (\u5_mem[0]_91 ), .QN ());
+ DFFSRX1 \u5_mem_reg[0][21] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8796), .Q (\u5_mem[0]_111 ), .QN ());
+ DFFSRX1 \u13_intm_r_reg[8] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_8488), .Q (\u13_intm_r[8] ), .QN ());
+ DFFSRX1 \u5_mem_reg[0][26] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8793), .Q (\u5_mem[0]_116 ), .QN ());
+ DFFSRX1 \u5_mem_reg[0][27] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8792), .Q (\u5_mem[0]_117 ), .QN ());
+ DFFSRX1 \u5_mem_reg[0][25] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8794), .Q (\u5_mem[0]_115 ), .QN ());
+ DFFSRX1 \u5_mem_reg[0][2] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8788), .Q (\u5_mem[0]_92 ), .QN ());
+ DFFSRX1 \u5_mem_reg[0][29] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8789), .Q (\u5_mem[0]_119 ), .QN ());
+ DFFSRX1 \u5_mem_reg[0][3] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8786), .Q (\u5_mem[0]_93 ), .QN ());
+ DFFSRX1 \u5_mem_reg[0][5] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8784), .Q (\u5_mem[0]_95 ), .QN ());
+ DFFSRX1 \u5_mem_reg[0][8] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8782), .Q (\u5_mem[0]_98 ), .QN ());
+ DFFSRX1 \u6_mem_reg[0][10] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8780), .Q (\u6_mem[0]_100 ), .QN ());
+ DFFSRX1 \u6_mem_reg[0][11] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8779), .Q (\u6_mem[0]_101 ), .QN ());
+ DFFSRX1 \u6_mem_reg[0][15] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8776), .Q (\u6_mem[0]_105 ), .QN ());
+ DFFSRX1 \u7_mem_reg[1][21] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9047), .Q (\u7_mem[1]_80 ), .QN ());
+ DFFSRX1 \u6_mem_reg[0][18] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8773), .Q (\u6_mem[0]_108 ), .QN ());
+ DFFSRX1 \u6_mem_reg[0][1] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8772), .Q (\u6_mem[0]_91 ), .QN ());
+ DFFSRX1 \u6_mem_reg[0][21] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8768), .Q (\u6_mem[0]_111 ), .QN ());
+ DFFSRX1 \u6_mem_reg[0][26] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8765), .Q (\u6_mem[0]_116 ), .QN ());
+ DFFSRX1 \u6_mem_reg[0][27] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8764), .Q (\u6_mem[0]_117 ), .QN ());
+ DFFSRX1 \u6_mem_reg[0][25] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8766), .Q (\u6_mem[0]_115 ), .QN ());
+ DFFSRX1 \u6_mem_reg[0][2] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8760), .Q (\u6_mem[0]_92 ), .QN ());
+ DFFSRX1 \u6_mem_reg[0][29] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8761), .Q (\u6_mem[0]_119 ), .QN ());
+ DFFSRX1 \u6_mem_reg[0][3] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8758), .Q (\u6_mem[0]_93 ), .QN ());
+ DFFSRX1 \u6_mem_reg[0][5] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8756), .Q (\u6_mem[0]_95 ), .QN ());
+ DFFSRX1 \u6_mem_reg[0][8] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8754), .Q (\u6_mem[0]_98 ), .QN ());
+ DFFSRX1 \u7_mem_reg[0][10] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8752), .Q (\u7_mem[0]_100 ), .QN ());
+ DFFSRX1 \u7_mem_reg[0][11] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8751), .Q (\u7_mem[0]_101 ), .QN ());
+ DFFSRX1 \u7_mem_reg[0][15] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8748), .Q (\u7_mem[0]_105 ), .QN ());
+ DFFSRX1 \u7_mem_reg[0][18] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8745), .Q (\u7_mem[0]_108 ), .QN ());
+ DFFSRX1 \u7_mem_reg[0][1] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8744), .Q (\u7_mem[0]_91 ), .QN ());
+ DFFSRX1 \u7_mem_reg[0][21] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8740), .Q (\u7_mem[0]_111 ), .QN ());
+ DFFSRX1 \u7_mem_reg[0][25] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8738), .Q (\u7_mem[0]_115 ), .QN ());
+ DFFSRX1 \u7_mem_reg[0][27] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8736), .Q (\u7_mem[0]_117 ), .QN ());
+ DFFSRX1 \u7_mem_reg[0][28] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8735), .Q (\u7_mem[0]_118 ), .QN ());
+ DFFSRX1 \u7_mem_reg[0][26] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8737), .Q (\u7_mem[0]_116 ), .QN ());
+ DFFSRX1 \u7_mem_reg[0][2] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8732), .Q (\u7_mem[0]_92 ), .QN ());
+ DFFSRX1 \u7_mem_reg[0][29] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8733), .Q (\u7_mem[0]_119 ), .QN ());
+ DFFSRX1 \u7_mem_reg[0][3] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8730), .Q (\u7_mem[0]_93 ), .QN ());
+ DFFSRX1 \u7_mem_reg[0][5] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8728), .Q (\u7_mem[0]_95 ), .QN ());
+ DFFSRX1 \u7_mem_reg[0][8] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8726), .Q (\u7_mem[0]_98 ), .QN ());
+ DFFSRX1 \u3_mem_reg[0][10] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8725), .Q (\u3_mem[0]_100 ), .QN ());
+ DFFSRX1 \u8_mem_reg[0][10] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8721), .Q (\u8_mem[0]_100 ), .QN ());
+ DFFSRX1 \u8_mem_reg[0][13] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8718), .Q (\u8_mem[0]_103 ), .QN ());
+ DFFSRX1 \u3_mem_reg[0][18] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8719), .Q (\u3_mem[0]_108 ), .QN ());
+ DFFSRX1 \u8_mem_reg[0][14] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8717), .Q (\u8_mem[0]_104 ), .QN ());
+ DFFSRX1 \u3_mem_reg[0][19] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8839), .Q (\u3_mem[0]_109 ), .QN ());
+ DFFSRX1 \u8_mem_reg[0][18] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8713), .Q (\u8_mem[0]_108 ), .QN ());
+ DFFSRX1 \u8_mem_reg[0][19] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8709), .Q (\u8_mem[0]_109 ), .QN ());
+ DFFSRX1 \u3_mem_reg[0][20] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8711), .Q (\u3_mem[0]_110 ), .QN ());
+ DFFSRX1 \u8_mem_reg[0][20] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8707), .Q (\u8_mem[0]_110 ), .QN ());
+ DFFSRX1 \u8_mem_reg[0][21] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8705), .Q (\u8_mem[0]_111 ), .QN ());
+ DFFSRX1 \u3_mem_reg[0][22] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8842), .Q (\u3_mem[0]_112 ), .QN ());
+ DFFSRX1 \u8_mem_reg[0][23] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8703), .Q (\u8_mem[0]_113 ), .QN ());
+ DFFSRX1 \u8_mem_reg[0][24] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8699), .Q (\u8_mem[0]_114 ), .QN ());
+ DFFSRX1 \u8_mem_reg[0][25] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8698), .Q (\u8_mem[0]_115 ), .QN ());
+ DFFSRX1 \u3_mem_reg[0][24] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8696), .Q (\u3_mem[0]_114 ), .QN ());
+ DFFSRX1 \u3_mem_reg[0][25] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8694), .Q (\u3_mem[0]_115 ), .QN ());
+ DFFSRX1 \u3_mem_reg[0][26] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8810), .Q (\u3_mem[0]_116 ), .QN ());
+ DFFSRX1 \u3_mem_reg[0][28] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8841), .Q (\u3_mem[0]_118 ), .QN ());
+ DFFSRX1 \u8_mem_reg[0][7] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8688), .Q (\u8_mem[0]_97 ), .QN ());
+ DFFSRX1 \u8_mem_reg[0][8] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8687), .Q (\u8_mem[0]_98 ), .QN ());
+ DFFSRX1 \u8_mem_reg[0][6] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8689), .Q (\u8_mem[0]_96 ), .QN ());
+ DFFSRX1 \u8_mem_reg[0][9] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8686), .Q (\u8_mem[0]_99 ), .QN ());
+ DFFSRX1 \u3_mem_reg[0][30] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8685), .Q (\u3_mem[0]_120 ), .QN ());
+ DFFSRX1 \u3_mem_reg[0][3] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8684), .Q (\u3_mem[0]_93 ), .QN ());
+ DFFSRX1 \u3_mem_reg[0][8] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8681), .Q (\u3_mem[0]_98 ), .QN ());
+ DFFSRX1 \u7_mem_reg[1][10] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9065), .Q (\u7_mem[1]_69 ), .QN ());
+ DFFX1 \u13_crac_dout_r_reg[7] (.CK (clk_i), .D (n_8638), .Q (), .QN
+ (crac_out_852));
+ DFFSRX1 \u6_mem_reg[2][22] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9132), .Q (\u6_mem[2]_50 ), .QN ());
+ DFFSRX1 \u6_mem_reg[0][0] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8781), .Q (\u6_mem[0] ), .QN ());
+ DFFSRX1 \u6_mem_reg[3][4] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9079), .Q (\u6_mem[3]_125 ), .QN ());
+ DFFSRX1 \u13_intm_r_reg[26] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_8500), .Q (\u13_intm_r[26] ), .QN ());
+ DFFSRX1 \u6_mem_reg[3][2] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9084), .Q (\u6_mem[3]_123 ), .QN ());
+ DFFSRX1 \u13_occ0_r_reg[22] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_8549), .Q (oc2_cfg_989), .QN ());
+ DFFSRX1 \u6_mem_reg[3][26] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9089), .Q (\u6_mem[3]_147 ), .QN ());
+ DFFSRX1 \u6_mem_reg[3][22] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9094), .Q (\u6_mem[3]_143 ), .QN ());
+ DFFSRX1 \u6_mem_reg[3][15] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9104), .Q (\u6_mem[3]_136 ), .QN ());
+ DFFSRX1 \u5_mem_reg[0][6] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8783), .Q (\u5_mem[0]_96 ), .QN ());
+ DFFSRX1 \u6_mem_reg[3][19] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9099), .Q (\u6_mem[3]_140 ), .QN ());
+ DFFX1 \u1_sr_reg[10] (.CK (bit_clk_pad_i), .D (u1_sr_125), .Q
+ (u1_sr_126), .QN ());
+ NOR2X1 g32613(.A (n_8481), .B (n_11030), .Y (n_9469));
+ NOR2X1 g32614(.A (n_8479), .B (n_10940), .Y (n_9468));
+ NOR2X1 g32615(.A (n_8478), .B (n_10994), .Y (n_9467));
+ NOR2X1 g32616(.A (n_8480), .B (n_5839), .Y (n_9466));
+ NOR2X1 g32617(.A (n_8477), .B (n_11086), .Y (n_9465));
+ NOR2X1 g32618(.A (n_8476), .B (n_5825), .Y (n_9464));
+ DFFSRX1 \u5_mem_reg[0][30] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8787), .Q (\u5_mem[0]_120 ), .QN ());
+ DFFSRX1 \u5_mem_reg[0][23] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8795), .Q (\u5_mem[0]_113 ), .QN ());
+ DFFSRX1 \u13_crac_r_reg[2] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_8634), .Q (crac_out_863), .QN ());
+ DFFSRX1 \u6_mem_reg[2][4] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9118), .Q (\u6_mem[2]_32 ), .QN ());
+ DFFSRX1 \u6_mem_reg[3][11] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9109), .Q (\u6_mem[3]_132 ), .QN ());
+ DFFSRX1 \u6_mem_reg[2][8] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9114), .Q (\u6_mem[2]_36 ), .QN ());
+ DFFSRX1 \u6_mem_reg[2][2] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9122), .Q (\u6_mem[2]_30 ), .QN ());
+ DFFSRX1 \u3_mem_reg[0][9] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8680), .Q (\u3_mem[0]_99 ), .QN ());
+ DFFSRX1 \u13_occ0_r_reg[26] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_8543), .Q (oc3_cfg_995), .QN ());
+ DFFSRX1 \u5_mem_reg[0][28] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8791), .Q (\u5_mem[0]_118 ), .QN ());
+ DFFSRX1 \u13_intm_r_reg[3] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_8493), .Q (\u13_intm_r[3] ), .QN ());
+ DFFSRX1 \u6_mem_reg[2][26] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9128), .Q (\u6_mem[2]_54 ), .QN ());
+ OR2X1 g32763(.A (n_8484), .B (n_9352), .Y (n_9463));
+ OR2X1 g32764(.A (n_8483), .B (n_8679), .Y (n_9462));
+ OR2X1 g32765(.A (n_8482), .B (n_9359), .Y (n_9461));
+ DFFSRX1 \u3_mem_reg[0][4] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8682), .Q (\u3_mem[0]_94 ), .QN ());
+ DFFSRX1 \u5_mem_reg[0][20] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8798), .Q (\u5_mem[0]_110 ), .QN ());
+ DFFSRX1 \u8_mem_reg[0][31] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8692), .Q (\u8_mem[0]_121 ), .QN ());
+ DFFSRX1 \u6_mem_reg[2][15] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9142), .Q (\u6_mem[2]_43 ), .QN ());
+ DFFSRX1 \u6_mem_reg[1][15] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9185), .Q (\u6_mem[1]_74 ), .QN ());
+ NAND2X1 g32979(.A (n_8183), .B (n_8678), .Y (n_9460));
+ NAND2X1 g32980(.A (n_8179), .B (n_8677), .Y (n_9459));
+ DFFSRX1 \u6_mem_reg[2][19] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9137), .Q (\u6_mem[2]_47 ), .QN ());
+ NAND2X1 g32981(.A (n_8178), .B (n_8676), .Y (n_9458));
+ NAND2X1 g32982(.A (n_8181), .B (n_8675), .Y (n_9457));
+ NAND2X1 g32983(.A (n_8177), .B (n_8674), .Y (n_9456));
+ NAND2X1 g32987(.A (n_8175), .B (n_8673), .Y (n_9455));
+ AOI21X1 g32990(.A0 (i4_dout_595), .A1 (n_7468), .B0 (n_8485), .Y
+ (n_9454));
+ DFFSRX1 \u6_mem_reg[2][11] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9147), .Q (\u6_mem[2]_39 ), .QN ());
+ DFFSRX1 \u5_mem_reg[0][17] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8803), .Q (\u5_mem[0]_107 ), .QN ());
+ DFFSRX1 \u13_occ0_r_reg[19] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_8556), .Q (oc2_cfg_986), .QN ());
+ DFFSRX1 \u5_mem_reg[0][12] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8806), .Q (\u5_mem[0]_102 ), .QN ());
+ DFFSRX1 \u6_mem_reg[1][26] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9168), .Q (\u6_mem[1]_85 ), .QN ());
+ DFFSRX1 \u4_mem_reg[0][6] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8812), .Q (\u4_mem[0]_96 ), .QN ());
+ DFFSRX1 \u6_mem_reg[1][4] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9156), .Q (\u6_mem[1]_63 ), .QN ());
+ DFFSRX1 \u6_mem_reg[1][8] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9151), .Q (\u6_mem[1]_67 ), .QN ());
+ DFFSRX1 \u6_mem_reg[1][2] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9162), .Q (\u6_mem[1]_61 ), .QN ());
+ DFFSRX1 \u5_mem_reg[0][0] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8809), .Q (\u5_mem[0] ), .QN ());
+ DFFSRX1 \u6_mem_reg[1][22] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9173), .Q (\u6_mem[1]_81 ), .QN ());
+ DFFSRX1 \u8_mem_reg[0][3] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8691), .Q (\u8_mem[0]_93 ), .QN ());
+ DFFSRX1 \u4_mem_reg[0][30] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8816), .Q (\u4_mem[0]_120 ), .QN ());
+ DFFSRX1 \u8_mem_reg[0][16] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8714), .Q (\u8_mem[0]_106 ), .QN ());
+ DFFSRX1 \u5_mem_reg[3][8] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9199), .Q (\u5_mem[3]_129 ), .QN ());
+ DFFSRX1 \u6_mem_reg[1][11] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9190), .Q (\u6_mem[1]_70 ), .QN ());
+ DFFSRX1 \u5_mem_reg[3][4] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9204), .Q (\u5_mem[3]_125 ), .QN ());
+ DFFSRX1 \u8_mem_reg[0][2] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8693), .Q (\u8_mem[0]_92 ), .QN ());
+ DFFSRX1 \u5_mem_reg[2][8] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9239), .Q (\u5_mem[2]_36 ), .QN ());
+ DFFSRX1 \u4_mem_reg[0][23] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8824), .Q (\u4_mem[0]_113 ), .QN ());
+ DFFSRX1 \u3_mem_reg[0][23] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8701), .Q (\u3_mem[0]_113 ), .QN ());
+ DFFSRX1 \u4_mem_reg[0][17] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8832), .Q (\u4_mem[0]_107 ), .QN ());
+ DFFSRX1 \u5_mem_reg[3][19] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9224), .Q (\u5_mem[3]_140 ), .QN ());
+ DFFSRX1 \u5_mem_reg[3][26] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9214), .Q (\u5_mem[3]_147 ), .QN ());
+ DFFSRX1 \u5_mem_reg[3][2] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9209), .Q (\u5_mem[3]_123 ), .QN ());
+ NAND4X1 g33298(.A (n_5620), .B (n_1833), .C (n_8700), .D (n_822), .Y
+ (n_9453));
+ DFFSRX1 \u5_mem_reg[3][22] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9219), .Q (\u5_mem[3]_143 ), .QN ());
+ NAND4X1 g33311(.A (n_6049), .B (n_2646), .C (n_7499), .D (n_1001), .Y
+ (n_9452));
+ NAND4X1 g33322(.A (n_6047), .B (n_2645), .C (n_7496), .D (n_968), .Y
+ (n_9451));
+ DFFSRX1 \u5_mem_reg[3][15] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9229), .Q (\u5_mem[3]_136 ), .QN ());
+ DFFSRX1 \u8_mem_reg[0][27] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8695), .Q (\u8_mem[0]_117 ), .QN ());
+ NAND4X1 g33336(.A (n_5618), .B (n_1806), .C (n_7505), .D (n_1256), .Y
+ (n_9450));
+ NAND4X1 g33349(.A (n_5616), .B (n_1926), .C (n_7493), .D (n_1444), .Y
+ (n_9449));
+ NAND4X1 g33363(.A (n_5622), .B (n_1929), .C (n_7490), .D (n_1447), .Y
+ (n_9448));
+ DFFSRX1 \u4_mem_reg[0][20] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8827), .Q (\u4_mem[0]_110 ), .QN ());
+ INVX2 g33369(.A (n_9447), .Y (n_9514));
+ NOR2X1 g33381(.A (n_7536), .B (u14_u7_en_out_l2), .Y (n_9513));
+ DFFSRX1 \u5_mem_reg[3][11] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9234), .Q (\u5_mem[3]_132 ), .QN ());
+ DFFSRX1 \u5_mem_reg[2][2] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9247), .Q (\u5_mem[2]_30 ), .QN ());
+ DFFSRX1 \u4_mem_reg[0][12] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8835), .Q (\u4_mem[0]_102 ), .QN ());
+ DFFSRX1 \u5_mem_reg[2][26] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9253), .Q (\u5_mem[2]_54 ), .QN ());
+ DFFSRX1 \u8_mem_reg[0][22] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8704), .Q (\u8_mem[0]_112 ), .QN ());
+ DFFSRX1 \u13_occ0_r_reg[15] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_8563), .Q (oc1_cfg_980), .QN ());
+ DFFSRX1 \u5_mem_reg[1][2] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9287), .Q (\u5_mem[1]_61 ), .QN ());
+ DFFSRX1 \u5_mem_reg[2][11] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9272), .Q (\u5_mem[2]_39 ), .QN ());
+ DFFSRX1 \u3_mem_reg[1][26] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8852), .Q (\u3_mem[1]_85 ), .QN ());
+ DFFSRX1 \u5_mem_reg[2][19] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9262), .Q (\u5_mem[2]_47 ), .QN ());
+ DFFSRX1 \u5_mem_reg[2][22] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9257), .Q (\u5_mem[2]_50 ), .QN ());
+ DFFSRX1 \u5_mem_reg[2][15] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9267), .Q (\u5_mem[2]_43 ), .QN ());
+ DFFSRX1 \u8_mem_reg[2][3] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8349), .Q (\u8_mem[2]_31 ), .QN ());
+ DFFSRX1 \u5_mem_reg[1][8] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9276), .Q (\u5_mem[1]_67 ), .QN ());
+ DFFSRX1 \u5_mem_reg[1][4] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_9281), .Q (\u5_mem[1]_63 ), .QN ());
+ DFFSRX1 \u8_mem_reg[0][1] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8708), .Q (\u8_mem[0]_91 ), .QN ());
+ DFFSRX1 \u13_occ1_r_reg[11] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_8201), .Q (oc5_cfg_1016), .QN ());
+ AOI22X1 g31740(.A0 (n_7520), .A1 (n_8207), .B0 (n_565), .B1 (n_7434),
+ .Y (n_9446));
+ AOI21X1 g31779(.A0 (n_9444), .A1 (n_8208), .B0 (n_8206), .Y (n_9445));
+ MX2X1 g33980(.A (\u3_mem[0]_101 ), .B (n_3811), .S0 (n_8700), .Y
+ (n_9443));
+ MX2X1 g33985(.A (\u4_mem[0]_103 ), .B (n_3797), .S0 (n_7499), .Y
+ (n_9442));
+ MX2X1 g33986(.A (\u4_mem[0]_104 ), .B (n_3796), .S0 (n_7499), .Y
+ (n_9441));
+ MX2X1 g33988(.A (\u4_mem[0]_106 ), .B (n_3791), .S0 (n_7499), .Y
+ (n_9440));
+ MX2X1 g33991(.A (\u4_mem[0]_109 ), .B (n_3783), .S0 (n_7499), .Y
+ (n_9439));
+ MX2X1 g33995(.A (\u4_mem[0]_112 ), .B (n_3776), .S0 (n_7499), .Y
+ (n_9437));
+ MX2X1 g33997(.A (\u4_mem[0]_114 ), .B (n_3770), .S0 (n_7499), .Y
+ (n_9435));
+ DFFSRX1 \u3_mem_reg[0][0] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8253), .Q (\u3_mem[0] ), .QN ());
+ MX2X1 g34005(.A (\u4_mem[0]_121 ), .B (n_3759), .S0 (n_7499), .Y
+ (n_9434));
+ MX2X1 g34007(.A (\u4_mem[0]_94 ), .B (n_3756), .S0 (n_7499), .Y
+ (n_9433));
+ MX2X1 g34010(.A (\u4_mem[0]_97 ), .B (n_3754), .S0 (n_7499), .Y
+ (n_9432));
+ MX2X1 g34012(.A (\u4_mem[0]_99 ), .B (n_3752), .S0 (n_7499), .Y
+ (n_9430));
+ MX2X1 g34018(.A (\u5_mem[0]_103 ), .B (n_3624), .S0 (n_7496), .Y
+ (n_9429));
+ MX2X1 g34019(.A (\u5_mem[0]_104 ), .B (n_3734), .S0 (n_7496), .Y
+ (n_9428));
+ MX2X1 g34021(.A (\u5_mem[0]_106 ), .B (n_3731), .S0 (n_7496), .Y
+ (n_9427));
+ MX2X1 g34024(.A (\u5_mem[0]_109 ), .B (n_3725), .S0 (n_7496), .Y
+ (n_9426));
+ MX2X1 g34028(.A (\u5_mem[0]_112 ), .B (n_3717), .S0 (n_7496), .Y
+ (n_9424));
+ MX2X1 g34030(.A (\u5_mem[0]_114 ), .B (n_3714), .S0 (n_7496), .Y
+ (n_9422));
+ MX2X1 g34038(.A (\u5_mem[0]_121 ), .B (n_3698), .S0 (n_7496), .Y
+ (n_9421));
+ MX2X1 g34040(.A (\u5_mem[0]_94 ), .B (n_3696), .S0 (n_7496), .Y
+ (n_9420));
+ MX2X1 g34043(.A (\u5_mem[0]_97 ), .B (n_3694), .S0 (n_7496), .Y
+ (n_9419));
+ MX2X1 g34045(.A (\u5_mem[0]_99 ), .B (n_3692), .S0 (n_7496), .Y
+ (n_9417));
+ MX2X1 g34046(.A (\u3_mem[0]_92 ), .B (n_3820), .S0 (n_8700), .Y
+ (n_9416));
+ MX2X1 g34051(.A (\u6_mem[0]_103 ), .B (n_3679), .S0 (n_7505), .Y
+ (n_9414));
+ MX2X1 g34052(.A (\u6_mem[0]_104 ), .B (n_3567), .S0 (n_7505), .Y
+ (n_9413));
+ MX2X1 g34054(.A (\u6_mem[0]_106 ), .B (n_3675), .S0 (n_7505), .Y
+ (n_9412));
+ MX2X1 g34057(.A (\u6_mem[0]_109 ), .B (n_3573), .S0 (n_7505), .Y
+ (n_9411));
+ MX2X1 g34061(.A (\u6_mem[0]_112 ), .B (n_3611), .S0 (n_7505), .Y
+ (n_9409));
+ MX2X1 g34063(.A (\u6_mem[0]_114 ), .B (n_3614), .S0 (n_7505), .Y
+ (n_9407));
+ MX2X1 g34072(.A (\u6_mem[0]_121 ), .B (n_3666), .S0 (n_7505), .Y
+ (n_9406));
+ MX2X1 g34074(.A (\u6_mem[0]_94 ), .B (n_3648), .S0 (n_7505), .Y
+ (n_9405));
+ MX2X1 g34077(.A (\u6_mem[0]_97 ), .B (n_3663), .S0 (n_7505), .Y
+ (n_9404));
+ MX2X1 g34079(.A (\u6_mem[0]_99 ), .B (n_3658), .S0 (n_7505), .Y
+ (n_9402));
+ MX2X1 g34088(.A (\u7_mem[0]_103 ), .B (n_3647), .S0 (n_7493), .Y
+ (n_9401));
+ MX2X1 g34089(.A (\u7_mem[0]_104 ), .B (n_3684), .S0 (n_7493), .Y
+ (n_9400));
+ MX2X1 g34091(.A (\u7_mem[0]_106 ), .B (n_3792), .S0 (n_7493), .Y
+ (n_9399));
+ MX2X1 g34094(.A (\u7_mem[0]_109 ), .B (n_2635), .S0 (n_7493), .Y
+ (n_9398));
+ MX2X1 g34098(.A (\u7_mem[0]_112 ), .B (n_3641), .S0 (n_7493), .Y
+ (n_9396));
+ MX2X1 g34100(.A (\u7_mem[0]_114 ), .B (n_3639), .S0 (n_7493), .Y
+ (n_9394));
+ MX2X1 g34108(.A (\u7_mem[0]_121 ), .B (n_3635), .S0 (n_7493), .Y
+ (n_9393));
+ MX2X1 g34110(.A (\u7_mem[0]_94 ), .B (n_3619), .S0 (n_7493), .Y
+ (n_9392));
+ MX2X1 g34113(.A (\u7_mem[0]_97 ), .B (n_3623), .S0 (n_7493), .Y
+ (n_9391));
+ MX2X1 g34115(.A (\u7_mem[0]_99 ), .B (n_3626), .S0 (n_7493), .Y
+ (n_9389));
+ MX2X1 g34118(.A (\u3_mem[0]_102 ), .B (n_3812), .S0 (n_8700), .Y
+ (n_9388));
+ MX2X1 g34121(.A (\u3_mem[0]_105 ), .B (n_3804), .S0 (n_8700), .Y
+ (n_9387));
+ MX2X1 g34123(.A (\u8_mem[0] ), .B (n_3604), .S0 (n_7490), .Y
+ (n_9386));
+ MX2X1 g34126(.A (\u8_mem[0]_101 ), .B (n_3814), .S0 (n_7490), .Y
+ (n_9385));
+ MX2X1 g34127(.A (\u8_mem[0]_102 ), .B (n_3817), .S0 (n_7490), .Y
+ (n_9383));
+ MX2X1 g34133(.A (\u3_mem[0]_91 ), .B (n_3598), .S0 (n_8700), .Y
+ (n_9382));
+ MX2X1 g34134(.A (\u8_mem[0]_107 ), .B (n_3825), .S0 (n_7490), .Y
+ (n_9381));
+ MX2X1 g34139(.A (\u3_mem[0]_111 ), .B (n_3593), .S0 (n_8700), .Y
+ (n_9380));
+ MX2X1 g34148(.A (\u8_mem[0]_116 ), .B (n_3589), .S0 (n_7490), .Y
+ (n_9379));
+ MX2X1 g34151(.A (\u8_mem[0]_118 ), .B (n_3686), .S0 (n_7490), .Y
+ (n_9378));
+ MX2X1 g34152(.A (\u8_mem[0]_119 ), .B (n_3587), .S0 (n_7490), .Y
+ (n_9376));
+ MX2X1 g34154(.A (\u8_mem[0]_120 ), .B (n_3748), .S0 (n_7490), .Y
+ (n_9375));
+ MX2X1 g34155(.A (\u3_mem[0]_117 ), .B (n_3585), .S0 (n_8700), .Y
+ (n_9373));
+ MX2X1 g34158(.A (\u8_mem[0]_94 ), .B (n_3837), .S0 (n_7490), .Y
+ (n_9371));
+ MX2X1 g34159(.A (\u8_mem[0]_95 ), .B (n_3583), .S0 (n_7490), .Y
+ (n_9370));
+ MX2X1 g34160(.A (\u3_mem[0]_119 ), .B (n_3582), .S0 (n_8700), .Y
+ (n_9369));
+ MX2X1 g34169(.A (\u3_mem[0]_95 ), .B (n_3771), .S0 (n_8700), .Y
+ (n_9368));
+ MX2X1 g34170(.A (\u3_mem[0]_96 ), .B (n_3574), .S0 (n_8700), .Y
+ (n_9366));
+ AOI21X1 g34174(.A0 (\u8_wp[0] ), .A1 (n_7976), .B0 (n_8248), .Y
+ (n_9365));
+ AOI21X1 g34175(.A0 (n_1419), .A1 (n_7984), .B0 (n_8227), .Y (n_9364));
+ AOI21X1 g34176(.A0 (n_798), .A1 (n_7870), .B0 (n_8224), .Y (n_9363));
+ AOI21X1 g34177(.A0 (n_1424), .A1 (n_8141), .B0 (n_8241), .Y (n_9362));
+ AOI21X1 g34178(.A0 (n_1417), .A1 (n_7758), .B0 (n_8221), .Y (n_9361));
+ AOI21X1 g34179(.A0 (n_1421), .A1 (n_7651), .B0 (n_8218), .Y (n_9360));
+ DFFX1 u14_u8_en_out_l2_reg(.CK (clk_i), .D (n_9359), .Q
+ (u14_u8_en_out_l2), .QN ());
+ DFFX1 \u12_wb_data_o_reg[4] (.CK (clk_i), .D (n_8233), .Q
+ (wb_data_o[4]), .QN ());
+ DFFX1 \u12_wb_data_o_reg[6] (.CK (clk_i), .D (n_8231), .Q
+ (wb_data_o[6]), .QN ());
+ DFFX1 \u12_wb_data_o_reg[10] (.CK (clk_i), .D (n_8244), .Q
+ (wb_data_o[10]), .QN ());
+ DFFSRX1 \u13_occ1_r_reg[8] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_8185), .Q (oc5_cfg), .QN ());
+ DFFSRX1 \u13_occ1_r_reg[0] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_8204), .Q (oc4_cfg), .QN ());
+ DFFSRX1 \u13_occ1_r_reg[10] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_8203), .Q (oc5_cfg_1015), .QN ());
+ DFFSRX1 \u13_occ1_r_reg[12] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_8200), .Q (n_8199), .QN ());
+ DFFSRX1 \u13_occ1_r_reg[13] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_8198), .Q (n_8197), .QN ());
+ DFFSRX1 \u13_occ1_r_reg[14] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_8196), .Q (oc5_cfg_1019), .QN ());
+ DFFSRX1 \u13_occ1_r_reg[1] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_8194), .Q (oc4_cfg_1004), .QN ());
+ DFFSRX1 \u13_occ1_r_reg[2] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_8193), .Q (oc4_cfg_1005), .QN ());
+ DFFSRX1 \u13_occ1_r_reg[3] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_8192), .Q (oc4_cfg_1006), .QN ());
+ DFFSRX1 \u13_occ1_r_reg[5] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_8189), .Q (n_8188), .QN ());
+ DFFSRX1 \u13_occ1_r_reg[6] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_8187), .Q (oc4_cfg_1009), .QN ());
+ DFFSRX1 \u13_occ1_r_reg[7] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_8186), .Q (oc4_cfg_1010), .QN ());
+ DFFX1 \u1_slt1_reg[7] (.CK (bit_clk_pad_i), .D (n_8258), .Q
+ (in_slt_749), .QN ());
+ DFFX1 \u1_slt2_reg[7] (.CK (bit_clk_pad_i), .D (n_8257), .Q
+ (in_slt_833), .QN ());
+ DFFX1 \u1_slt4_reg[7] (.CK (bit_clk_pad_i), .D (n_8255), .Q
+ (in_slt_425), .QN ());
+ DFFX1 \u1_slt3_reg[7] (.CK (bit_clk_pad_i), .D (n_8256), .Q
+ (in_slt_403), .QN ());
+ DFFSRX1 \u3_mem_reg[0][14] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8252), .Q (\u3_mem[0]_104 ), .QN ());
+ DFFSRX1 \u3_mem_reg[0][7] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8249), .Q (\u3_mem[0]_97 ), .QN ());
+ DFFX1 u14_u6_full_empty_r_reg(.CK (clk_i), .D (n_8215), .Q
+ (u14_u6_full_empty_r), .QN ());
+ DFFX1 u14_u8_full_empty_r_reg(.CK (clk_i), .D (n_8213), .Q
+ (u14_u8_full_empty_r), .QN ());
+ DFFX1 \u12_wb_data_o_reg[0] (.CK (clk_i), .D (n_8245), .Q
+ (wb_data_o[0]), .QN ());
+ DFFX1 \u12_wb_data_o_reg[14] (.CK (clk_i), .D (n_8237), .Q
+ (wb_data_o[14]), .QN ());
+ DFFX1 \u12_wb_data_o_reg[13] (.CK (clk_i), .D (n_8238), .Q
+ (wb_data_o[13]), .QN ());
+ DFFX1 \u12_wb_data_o_reg[12] (.CK (clk_i), .D (n_8242), .Q
+ (wb_data_o[12]), .QN ());
+ DFFX1 \u12_wb_data_o_reg[11] (.CK (clk_i), .D (n_8243), .Q
+ (wb_data_o[11]), .QN ());
+ DFFX1 \u12_wb_data_o_reg[9] (.CK (clk_i), .D (n_8228), .Q
+ (wb_data_o[9]), .QN ());
+ DFFX1 \u12_wb_data_o_reg[7] (.CK (clk_i), .D (n_8230), .Q
+ (wb_data_o[7]), .QN ());
+ DFFX1 \u12_wb_data_o_reg[15] (.CK (clk_i), .D (n_8236), .Q
+ (wb_data_o[15]), .QN ());
+ DFFX1 \u12_wb_data_o_reg[5] (.CK (clk_i), .D (n_8232), .Q
+ (wb_data_o[5]), .QN ());
+ DFFX1 \u12_wb_data_o_reg[3] (.CK (clk_i), .D (n_8234), .Q
+ (wb_data_o[3]), .QN ());
+ DFFX1 \u12_wb_data_o_reg[8] (.CK (clk_i), .D (n_8229), .Q
+ (wb_data_o[8]), .QN ());
+ DFFX1 \u12_wb_data_o_reg[2] (.CK (clk_i), .D (n_8235), .Q
+ (wb_data_o[2]), .QN ());
+ DFFSRX1 \u13_occ1_r_reg[9] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_8184), .Q (oc5_cfg_1014), .QN ());
+ DFFSRX1 \u13_occ1_r_reg[15] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_8195), .Q (oc5_cfg_1020), .QN ());
+ DFFSRX1 \u3_mem_reg[0][31] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_8250), .Q (\u3_mem[0]_121 ), .QN ());
+ DFFSRX1 \u13_occ1_r_reg[4] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_8191), .Q (n_8190), .QN ());
+ NOR2X1 g32904(.A (n_854), .B (o4_empty), .Y (n_12846));
+ NOR2X1 g32906(.A (n_12802), .B (o7_empty), .Y (n_9560));
+ NOR2X1 g32965(.A (o4_empty), .B (n_458), .Y (n_9559));
+ NOR2X1 g32967(.A (o7_empty), .B (n_422), .Y (n_9558));
+ MX2X1 g33185(.A (u1_sr_124), .B (in_slt_750), .S0 (out_le_180), .Y
+ (n_9357));
+ MX2X1 g33186(.A (u1_sr_124), .B (in_slt_834), .S0 (out_le_181), .Y
+ (n_9356));
+ MX2X1 g33187(.A (u1_sr_124), .B (in_slt_404), .S0 (out_le_182), .Y
+ (n_9355));
+ MX2X1 g33188(.A (u1_sr_124), .B (in_slt_426), .S0 (out_le_183), .Y
+ (n_9354));
+ MX2X1 g33189(.A (u1_sr_124), .B (in_slt_448), .S0 (out_le_184), .Y
+ (n_9353));
+ DFFX1 \u0_slt9_r_reg[1] (.CK (bit_clk_pad_i), .D (n_8211), .Q
+ (u0_slt9_r_168), .QN ());
+ AND2X1 g33370(.A (n_9352), .B (u14_u6_en_out_l2), .Y (n_9447));
+ DFFX1 \u1_slt6_reg[7] (.CK (bit_clk_pad_i), .D (n_8254), .Q
+ (in_slt_447), .QN ());
+ OAI21X1 g33577(.A0 (n_5098), .A1 (n_9349), .B0 (n_7978), .Y (n_9351));
+ OAI21X1 g33578(.A0 (n_5096), .A1 (n_9349), .B0 (n_7975), .Y (n_9350));
+ OAI21X1 g33579(.A0 (n_5095), .A1 (n_9346), .B0 (n_7974), .Y (n_9348));
+ OAI21X1 g33580(.A0 (n_5094), .A1 (n_9346), .B0 (n_7973), .Y (n_9347));
+ OAI21X1 g33581(.A0 (n_5092), .A1 (n_9346), .B0 (n_7972), .Y (n_9345));
+ OAI21X1 g33582(.A0 (n_5091), .A1 (n_9346), .B0 (n_7971), .Y (n_9344));
+ OAI21X1 g33583(.A0 (n_5090), .A1 (n_8318), .B0 (n_7970), .Y (n_9343));
+ OAI21X1 g33584(.A0 (n_5089), .A1 (n_8318), .B0 (n_7969), .Y (n_9342));
+ OAI21X1 g33585(.A0 (n_5088), .A1 (n_8318), .B0 (n_7968), .Y (n_9340));
+ OAI21X1 g33586(.A0 (n_5087), .A1 (n_8318), .B0 (n_7967), .Y (n_9339));
+ OAI21X1 g33587(.A0 (n_5086), .A1 (n_9336), .B0 (n_7966), .Y (n_9338));
+ OAI21X1 g33588(.A0 (n_5085), .A1 (n_9336), .B0 (n_7965), .Y (n_9337));
+ OAI21X1 g33589(.A0 (n_5084), .A1 (n_9333), .B0 (n_7964), .Y (n_9335));
+ OAI21X1 g33590(.A0 (n_5082), .A1 (n_9333), .B0 (n_7963), .Y (n_9334));
+ OAI21X1 g33591(.A0 (n_5081), .A1 (n_9333), .B0 (n_7962), .Y (n_9332));
+ OAI21X1 g33592(.A0 (n_5080), .A1 (n_9333), .B0 (n_7961), .Y (n_9331));
+ OAI21X1 g33593(.A0 (n_5078), .A1 (n_9336), .B0 (n_7960), .Y (n_9330));
+ OAI21X1 g33594(.A0 (n_5077), .A1 (n_9336), .B0 (n_7959), .Y (n_9329));
+ OAI21X1 g33595(.A0 (n_5075), .A1 (n_9326), .B0 (n_7958), .Y (n_9328));
+ OAI21X1 g33596(.A0 (n_5074), .A1 (n_9326), .B0 (n_7956), .Y (n_9327));
+ OAI21X1 g33597(.A0 (n_5072), .A1 (n_9349), .B0 (n_7955), .Y (n_9325));
+ OAI21X1 g33598(.A0 (n_5071), .A1 (n_9349), .B0 (n_7954), .Y (n_9324));
+ OAI21X1 g33599(.A0 (n_5068), .A1 (n_9326), .B0 (n_7953), .Y (n_9322));
+ OAI21X1 g33600(.A0 (n_5067), .A1 (n_9326), .B0 (n_7952), .Y (n_9321));
+ OAI21X1 g33601(.A0 (n_5065), .A1 (n_9349), .B0 (n_7951), .Y (n_9320));
+ OAI21X1 g33602(.A0 (n_5064), .A1 (n_9349), .B0 (n_7950), .Y (n_9319));
+ OAI21X1 g33603(.A0 (n_4384), .A1 (n_8856), .B0 (n_8132), .Y (n_9318));
+ OAI21X1 g33604(.A0 (n_5049), .A1 (n_9286), .B0 (n_7943), .Y (n_9317));
+ OAI21X1 g33605(.A0 (n_5047), .A1 (n_9286), .B0 (n_7942), .Y (n_9316));
+ OAI21X1 g33606(.A0 (n_5046), .A1 (n_9307), .B0 (n_7941), .Y (n_9315));
+ OAI21X1 g33607(.A0 (n_5023), .A1 (n_9307), .B0 (n_7939), .Y (n_9314));
+ OAI21X1 g33608(.A0 (n_5043), .A1 (n_9307), .B0 (n_7938), .Y (n_9313));
+ OAI21X1 g33609(.A0 (n_5042), .A1 (n_9286), .B0 (n_7936), .Y (n_9312));
+ OAI21X1 g33610(.A0 (n_5040), .A1 (n_9286), .B0 (n_7935), .Y (n_9310));
+ OAI21X1 g33611(.A0 (n_5039), .A1 (n_9307), .B0 (n_7934), .Y (n_9308));
+ OAI21X1 g33612(.A0 (n_5038), .A1 (n_9307), .B0 (n_7933), .Y (n_9306));
+ OAI21X1 g33613(.A0 (n_5035), .A1 (n_9307), .B0 (n_7932), .Y (n_9304));
+ OAI21X1 g33614(.A0 (n_5034), .A1 (n_9307), .B0 (n_7930), .Y (n_9302));
+ OAI21X1 g33615(.A0 (n_5033), .A1 (n_9286), .B0 (n_7929), .Y (n_9301));
+ OAI21X1 g33616(.A0 (n_5032), .A1 (n_9235), .B0 (n_7927), .Y (n_9300));
+ OAI21X1 g33617(.A0 (n_5031), .A1 (n_9264), .B0 (n_7925), .Y (n_9299));
+ OAI21X1 g33618(.A0 (n_5030), .A1 (n_9286), .B0 (n_7924), .Y (n_9298));
+ OAI21X1 g33619(.A0 (n_5029), .A1 (n_9288), .B0 (n_7923), .Y (n_9297));
+ OAI21X1 g33620(.A0 (n_5028), .A1 (n_9288), .B0 (n_7922), .Y (n_9296));
+ OAI21X1 g33621(.A0 (n_5027), .A1 (n_9290), .B0 (n_7921), .Y (n_9294));
+ OAI21X1 g33622(.A0 (n_5026), .A1 (n_9264), .B0 (n_7920), .Y (n_9293));
+ OAI21X1 g33623(.A0 (n_5025), .A1 (n_9288), .B0 (n_7919), .Y (n_9292));
+ OAI21X1 g33624(.A0 (n_5024), .A1 (n_9290), .B0 (n_7918), .Y (n_9291));
+ OAI21X1 g33625(.A0 (n_5022), .A1 (n_9288), .B0 (n_7917), .Y (n_9289));
+ OAI21X1 g33626(.A0 (n_5021), .A1 (n_9286), .B0 (n_7916), .Y (n_9287));
+ OAI21X1 g33627(.A0 (n_5018), .A1 (n_9235), .B0 (n_7915), .Y (n_9285));
+ OAI21X1 g33628(.A0 (n_5017), .A1 (n_9307), .B0 (n_7914), .Y (n_9283));
+ OAI21X1 g33629(.A0 (n_5016), .A1 (n_9202), .B0 (n_7913), .Y (n_9282));
+ OAI21X1 g33630(.A0 (n_5015), .A1 (n_9286), .B0 (n_7912), .Y (n_9281));
+ OAI21X1 g33631(.A0 (n_5014), .A1 (n_9286), .B0 (n_7911), .Y (n_9280));
+ OAI21X1 g33632(.A0 (n_5013), .A1 (n_9212), .B0 (n_7910), .Y (n_9278));
+ OAI21X1 g33633(.A0 (n_5012), .A1 (n_9307), .B0 (n_7909), .Y (n_9277));
+ OAI21X1 g33634(.A0 (n_5141), .A1 (n_9286), .B0 (n_7908), .Y (n_9276));
+ OAI21X1 g33635(.A0 (n_5010), .A1 (n_9286), .B0 (n_7907), .Y (n_9275));
+ OAI21X1 g33636(.A0 (n_4379), .A1 (n_9286), .B0 (n_7904), .Y (n_9274));
+ OAI21X1 g33637(.A0 (n_4377), .A1 (n_9288), .B0 (n_7903), .Y (n_9273));
+ OAI21X1 g33638(.A0 (n_4376), .A1 (n_9307), .B0 (n_7902), .Y (n_9272));
+ OAI21X1 g33639(.A0 (n_4375), .A1 (n_9307), .B0 (n_7901), .Y (n_9270));
+ OAI21X1 g33640(.A0 (n_4542), .A1 (n_9288), .B0 (n_7900), .Y (n_9269));
+ OAI21X1 g33641(.A0 (n_4374), .A1 (n_9307), .B0 (n_7899), .Y (n_9268));
+ OAI21X1 g33642(.A0 (n_4373), .A1 (n_9290), .B0 (n_7898), .Y (n_9267));
+ OAI21X1 g33643(.A0 (n_4372), .A1 (n_9307), .B0 (n_7897), .Y (n_9266));
+ OAI21X1 g33644(.A0 (n_4371), .A1 (n_9264), .B0 (n_7896), .Y (n_9265));
+ OAI21X1 g33645(.A0 (n_4369), .A1 (n_9307), .B0 (n_7895), .Y (n_9263));
+ OAI21X1 g33646(.A0 (n_4276), .A1 (n_9288), .B0 (n_7894), .Y (n_9262));
+ OAI21X1 g33647(.A0 (n_4368), .A1 (n_9212), .B0 (n_7893), .Y (n_9261));
+ OAI21X1 g33648(.A0 (n_4367), .A1 (n_9290), .B0 (n_7892), .Y (n_9259));
+ OAI21X1 g33649(.A0 (n_4365), .A1 (n_9288), .B0 (n_7891), .Y (n_9258));
+ OAI21X1 g33650(.A0 (n_4364), .A1 (n_9307), .B0 (n_7890), .Y (n_9257));
+ OAI21X1 g33651(.A0 (n_4289), .A1 (n_9286), .B0 (n_7889), .Y (n_9256));
+ OAI21X1 g33652(.A0 (n_4363), .A1 (n_9264), .B0 (n_7888), .Y (n_9255));
+ OAI21X1 g33653(.A0 (n_4362), .A1 (n_9264), .B0 (n_7887), .Y (n_9254));
+ OAI21X1 g33654(.A0 (n_4361), .A1 (n_9235), .B0 (n_7886), .Y (n_9253));
+ OAI21X1 g33655(.A0 (n_4360), .A1 (n_9202), .B0 (n_7885), .Y (n_9252));
+ OAI21X1 g33656(.A0 (n_4359), .A1 (n_9212), .B0 (n_7884), .Y (n_9250));
+ OAI21X1 g33657(.A0 (n_4357), .A1 (n_9264), .B0 (n_7883), .Y (n_9249));
+ OAI21X1 g33658(.A0 (n_4300), .A1 (n_9286), .B0 (n_7882), .Y (n_9247));
+ OAI21X1 g33659(.A0 (n_4301), .A1 (n_9286), .B0 (n_7881), .Y (n_9246));
+ OAI21X1 g33660(.A0 (n_4356), .A1 (n_9235), .B0 (n_7880), .Y (n_9245));
+ OAI21X1 g33661(.A0 (n_4355), .A1 (n_9286), .B0 (n_7879), .Y (n_9244));
+ OAI21X1 g33662(.A0 (n_4306), .A1 (n_9212), .B0 (n_7878), .Y (n_9243));
+ OAI21X1 g33663(.A0 (n_4308), .A1 (n_9202), .B0 (n_7877), .Y (n_9242));
+ OAI21X1 g33664(.A0 (n_4354), .A1 (n_9202), .B0 (n_7876), .Y (n_9241));
+ OAI21X1 g33665(.A0 (n_4353), .A1 (n_9264), .B0 (n_7875), .Y (n_9240));
+ OAI21X1 g33666(.A0 (n_4352), .A1 (n_9264), .B0 (n_7874), .Y (n_9239));
+ OAI21X1 g33667(.A0 (n_4351), .A1 (n_9307), .B0 (n_7873), .Y (n_9238));
+ OAI21X1 g33668(.A0 (n_5002), .A1 (n_9235), .B0 (n_7872), .Y (n_9237));
+ OAI21X1 g33669(.A0 (n_5001), .A1 (n_9235), .B0 (n_7871), .Y (n_9236));
+ OAI21X1 g33670(.A0 (n_4999), .A1 (n_9235), .B0 (n_7869), .Y (n_9234));
+ OAI21X1 g33671(.A0 (n_4998), .A1 (n_9235), .B0 (n_7868), .Y (n_9233));
+ OAI21X1 g33672(.A0 (n_4997), .A1 (n_9230), .B0 (n_7867), .Y (n_9232));
+ OAI21X1 g33673(.A0 (n_4995), .A1 (n_9230), .B0 (n_7866), .Y (n_9231));
+ OAI21X1 g33674(.A0 (n_4994), .A1 (n_9230), .B0 (n_7865), .Y (n_9229));
+ OAI21X1 g33675(.A0 (n_4993), .A1 (n_9230), .B0 (n_7864), .Y (n_9228));
+ OAI21X1 g33676(.A0 (n_4992), .A1 (n_9205), .B0 (n_7863), .Y (n_9227));
+ OAI21X1 g33677(.A0 (n_4990), .A1 (n_9205), .B0 (n_7862), .Y (n_9226));
+ OAI21X1 g33678(.A0 (n_4989), .A1 (n_9205), .B0 (n_7861), .Y (n_9224));
+ OAI21X1 g33679(.A0 (n_4987), .A1 (n_9205), .B0 (n_7860), .Y (n_9223));
+ OAI21X1 g33680(.A0 (n_4986), .A1 (n_9290), .B0 (n_7859), .Y (n_9222));
+ OAI21X1 g33681(.A0 (n_4985), .A1 (n_9290), .B0 (n_7858), .Y (n_9221));
+ OAI21X1 g33682(.A0 (n_4984), .A1 (n_9290), .B0 (n_7857), .Y (n_9219));
+ OAI21X1 g33683(.A0 (n_4983), .A1 (n_9290), .B0 (n_7856), .Y (n_9218));
+ OAI21X1 g33684(.A0 (n_4982), .A1 (n_9230), .B0 (n_7855), .Y (n_9217));
+ OAI21X1 g33685(.A0 (n_4981), .A1 (n_9230), .B0 (n_7854), .Y (n_9216));
+ OAI21X1 g33686(.A0 (n_4980), .A1 (n_9212), .B0 (n_7853), .Y (n_9214));
+ OAI21X1 g33687(.A0 (n_4979), .A1 (n_9212), .B0 (n_7852), .Y (n_9213));
+ OAI21X1 g33688(.A0 (n_4978), .A1 (n_9212), .B0 (n_7851), .Y (n_9211));
+ OAI21X1 g33689(.A0 (n_4977), .A1 (n_9212), .B0 (n_7850), .Y (n_9210));
+ OAI21X1 g33690(.A0 (n_4976), .A1 (n_9230), .B0 (n_7849), .Y (n_9209));
+ OAI21X1 g33691(.A0 (n_4974), .A1 (n_9230), .B0 (n_7848), .Y (n_9208));
+ OAI21X1 g33692(.A0 (n_4972), .A1 (n_9205), .B0 (n_7847), .Y (n_9207));
+ OAI21X1 g33693(.A0 (n_4971), .A1 (n_9205), .B0 (n_7846), .Y (n_9206));
+ OAI21X1 g33694(.A0 (n_4970), .A1 (n_9202), .B0 (n_7845), .Y (n_9204));
+ OAI21X1 g33695(.A0 (n_4969), .A1 (n_9202), .B0 (n_7844), .Y (n_9203));
+ OAI21X1 g33696(.A0 (n_4950), .A1 (n_9205), .B0 (n_7843), .Y (n_9201));
+ OAI21X1 g33697(.A0 (n_4968), .A1 (n_9205), .B0 (n_7842), .Y (n_9200));
+ OAI21X1 g33698(.A0 (n_4966), .A1 (n_9202), .B0 (n_7841), .Y (n_9199));
+ OAI21X1 g33699(.A0 (n_4965), .A1 (n_9202), .B0 (n_7840), .Y (n_9198));
+ OAI21X1 g33700(.A0 (n_3858), .A1 (n_8464), .B0 (n_7837), .Y (n_9197));
+ OAI21X1 g33701(.A0 (n_4319), .A1 (n_8433), .B0 (n_7596), .Y (n_9196));
+ OAI21X1 g33702(.A0 (n_4346), .A1 (n_8856), .B0 (n_7835), .Y (n_9195));
+ OAI21X1 g33703(.A0 (n_3850), .A1 (n_8453), .B0 (n_7727), .Y (n_9193));
+ OAI21X1 g33704(.A0 (n_4948), .A1 (n_9161), .B0 (n_7832), .Y (n_9192));
+ OAI21X1 g33705(.A0 (n_4930), .A1 (n_9161), .B0 (n_7831), .Y (n_9191));
+ OAI21X1 g33706(.A0 (n_4947), .A1 (n_9182), .B0 (n_7830), .Y (n_9190));
+ OAI21X1 g33707(.A0 (n_4933), .A1 (n_9182), .B0 (n_7828), .Y (n_9189));
+ OAI21X1 g33708(.A0 (n_4946), .A1 (n_9182), .B0 (n_7827), .Y (n_9188));
+ OAI21X1 g33709(.A0 (n_4938), .A1 (n_9161), .B0 (n_7825), .Y (n_9187));
+ OAI21X1 g33710(.A0 (n_4941), .A1 (n_9161), .B0 (n_7824), .Y (n_9185));
+ OAI21X1 g33711(.A0 (n_4944), .A1 (n_9182), .B0 (n_7823), .Y (n_9183));
+ OAI21X1 g33712(.A0 (n_4945), .A1 (n_9182), .B0 (n_7822), .Y (n_9181));
+ OAI21X1 g33713(.A0 (n_4967), .A1 (n_9182), .B0 (n_7821), .Y (n_9179));
+ OAI21X1 g33714(.A0 (n_4973), .A1 (n_9182), .B0 (n_7819), .Y (n_9177));
+ OAI21X1 g33715(.A0 (n_4943), .A1 (n_9161), .B0 (n_7817), .Y (n_9176));
+ OAI21X1 g33716(.A0 (n_4942), .A1 (n_9110), .B0 (n_7815), .Y (n_9175));
+ OAI21X1 g33717(.A0 (n_5003), .A1 (n_9139), .B0 (n_7813), .Y (n_9174));
+ OAI21X1 g33718(.A0 (n_5004), .A1 (n_9161), .B0 (n_7812), .Y (n_9173));
+ OAI21X1 g33719(.A0 (n_5007), .A1 (n_9170), .B0 (n_7811), .Y (n_9172));
+ OAI21X1 g33720(.A0 (n_4940), .A1 (n_9170), .B0 (n_7810), .Y (n_9171));
+ OAI21X1 g33721(.A0 (n_5008), .A1 (n_9165), .B0 (n_7809), .Y (n_9169));
+ OAI21X1 g33722(.A0 (n_5011), .A1 (n_9139), .B0 (n_7808), .Y (n_9168));
+ OAI21X1 g33723(.A0 (n_5020), .A1 (n_9087), .B0 (n_7807), .Y (n_9167));
+ OAI21X1 g33724(.A0 (n_4939), .A1 (n_9165), .B0 (n_7806), .Y (n_9166));
+ OAI21X1 g33725(.A0 (n_5054), .A1 (n_9087), .B0 (n_7804), .Y (n_9164));
+ OAI21X1 g33726(.A0 (n_4937), .A1 (n_9161), .B0 (n_7803), .Y (n_9162));
+ OAI21X1 g33727(.A0 (n_5140), .A1 (n_9110), .B0 (n_7802), .Y (n_9160));
+ OAI21X1 g33728(.A0 (n_4936), .A1 (n_9182), .B0 (n_7801), .Y (n_9158));
+ OAI21X1 g33729(.A0 (n_4935), .A1 (n_9077), .B0 (n_7800), .Y (n_9157));
+ OAI21X1 g33730(.A0 (n_5097), .A1 (n_9161), .B0 (n_7799), .Y (n_9156));
+ OAI21X1 g33731(.A0 (n_5108), .A1 (n_9161), .B0 (n_7798), .Y (n_9155));
+ OAI21X1 g33732(.A0 (n_4934), .A1 (n_9170), .B0 (n_7797), .Y (n_9153));
+ OAI21X1 g33733(.A0 (n_5113), .A1 (n_9182), .B0 (n_7796), .Y (n_9152));
+ OAI21X1 g33734(.A0 (n_5111), .A1 (n_9161), .B0 (n_7795), .Y (n_9151));
+ OAI21X1 g33735(.A0 (n_4906), .A1 (n_9161), .B0 (n_7794), .Y (n_9150));
+ OAI21X1 g33736(.A0 (n_4443), .A1 (n_9161), .B0 (n_7793), .Y (n_9149));
+ OAI21X1 g33737(.A0 (n_4338), .A1 (n_9170), .B0 (n_7792), .Y (n_9148));
+ OAI21X1 g33738(.A0 (n_4468), .A1 (n_9182), .B0 (n_7790), .Y (n_9147));
+ OAI21X1 g33739(.A0 (n_4476), .A1 (n_9182), .B0 (n_7789), .Y (n_9145));
+ OAI21X1 g33740(.A0 (n_4488), .A1 (n_9170), .B0 (n_7788), .Y (n_9144));
+ OAI21X1 g33741(.A0 (n_4337), .A1 (n_9182), .B0 (n_7787), .Y (n_9143));
+ OAI21X1 g33742(.A0 (n_4498), .A1 (n_9165), .B0 (n_7786), .Y (n_9142));
+ OAI21X1 g33743(.A0 (n_4336), .A1 (n_9182), .B0 (n_7785), .Y (n_9141));
+ OAI21X1 g33744(.A0 (n_4505), .A1 (n_9139), .B0 (n_7784), .Y (n_9140));
+ OAI21X1 g33745(.A0 (n_4515), .A1 (n_9182), .B0 (n_7783), .Y (n_9138));
+ OAI21X1 g33746(.A0 (n_4523), .A1 (n_9087), .B0 (n_7782), .Y (n_9137));
+ OAI21X1 g33747(.A0 (n_4335), .A1 (n_9170), .B0 (n_7781), .Y (n_9136));
+ OAI21X1 g33748(.A0 (n_4525), .A1 (n_9165), .B0 (n_7780), .Y (n_9134));
+ OAI21X1 g33749(.A0 (n_4531), .A1 (n_9087), .B0 (n_7779), .Y (n_9133));
+ OAI21X1 g33750(.A0 (n_4535), .A1 (n_9182), .B0 (n_7778), .Y (n_9132));
+ OAI21X1 g33751(.A0 (n_4334), .A1 (n_9161), .B0 (n_7777), .Y (n_9131));
+ OAI21X1 g33752(.A0 (n_4539), .A1 (n_9139), .B0 (n_7776), .Y (n_9130));
+ OAI21X1 g33753(.A0 (n_4332), .A1 (n_9139), .B0 (n_7775), .Y (n_9129));
+ OAI21X1 g33754(.A0 (n_4543), .A1 (n_9110), .B0 (n_7774), .Y (n_9128));
+ OAI21X1 g33755(.A0 (n_4545), .A1 (n_9077), .B0 (n_7773), .Y (n_9127));
+ OAI21X1 g33756(.A0 (n_4547), .A1 (n_9170), .B0 (n_7772), .Y (n_9125));
+ OAI21X1 g33757(.A0 (n_4358), .A1 (n_9139), .B0 (n_7771), .Y (n_9124));
+ OAI21X1 g33758(.A0 (n_4323), .A1 (n_9161), .B0 (n_7770), .Y (n_9122));
+ OAI21X1 g33759(.A0 (n_4399), .A1 (n_9161), .B0 (n_7769), .Y (n_9121));
+ OAI21X1 g33760(.A0 (n_4398), .A1 (n_9110), .B0 (n_7768), .Y (n_9120));
+ OAI21X1 g33761(.A0 (n_4330), .A1 (n_9161), .B0 (n_7767), .Y (n_9119));
+ OAI21X1 g33762(.A0 (n_4457), .A1 (n_9170), .B0 (n_7766), .Y (n_9118));
+ OAI21X1 g33763(.A0 (n_4401), .A1 (n_9077), .B0 (n_7765), .Y (n_9117));
+ OAI21X1 g33764(.A0 (n_4403), .A1 (n_9077), .B0 (n_7764), .Y (n_9116));
+ OAI21X1 g33765(.A0 (n_4405), .A1 (n_9139), .B0 (n_7763), .Y (n_9115));
+ OAI21X1 g33766(.A0 (n_4415), .A1 (n_9139), .B0 (n_7762), .Y (n_9114));
+ OAI21X1 g33767(.A0 (n_4322), .A1 (n_9182), .B0 (n_7761), .Y (n_9113));
+ OAI21X1 g33768(.A0 (n_4928), .A1 (n_9110), .B0 (n_7760), .Y (n_9112));
+ OAI21X1 g33769(.A0 (n_4927), .A1 (n_9110), .B0 (n_7759), .Y (n_9111));
+ OAI21X1 g33770(.A0 (n_4926), .A1 (n_9110), .B0 (n_7757), .Y (n_9109));
+ OAI21X1 g33771(.A0 (n_4988), .A1 (n_9110), .B0 (n_7756), .Y (n_9108));
+ OAI21X1 g33772(.A0 (n_4925), .A1 (n_9105), .B0 (n_7755), .Y (n_9107));
+ OAI21X1 g33773(.A0 (n_4924), .A1 (n_9105), .B0 (n_7754), .Y (n_9106));
+ OAI21X1 g33774(.A0 (n_4923), .A1 (n_9105), .B0 (n_7753), .Y (n_9104));
+ OAI21X1 g33775(.A0 (n_5036), .A1 (n_9105), .B0 (n_7752), .Y (n_9103));
+ OAI21X1 g33776(.A0 (n_5041), .A1 (n_9100), .B0 (n_7751), .Y (n_9102));
+ OAI21X1 g33777(.A0 (n_5044), .A1 (n_9100), .B0 (n_7750), .Y (n_9101));
+ OAI21X1 g33778(.A0 (n_4922), .A1 (n_9100), .B0 (n_7749), .Y (n_9099));
+ OAI21X1 g33779(.A0 (n_5050), .A1 (n_9100), .B0 (n_7748), .Y (n_9098));
+ OAI21X1 g33780(.A0 (n_5051), .A1 (n_9165), .B0 (n_7747), .Y (n_9097));
+ OAI21X1 g33781(.A0 (n_4921), .A1 (n_9165), .B0 (n_7746), .Y (n_9096));
+ OAI21X1 g33782(.A0 (n_5052), .A1 (n_9165), .B0 (n_7745), .Y (n_9094));
+ OAI21X1 g33783(.A0 (n_5053), .A1 (n_9165), .B0 (n_7744), .Y (n_9093));
+ OAI21X1 g33784(.A0 (n_5055), .A1 (n_9105), .B0 (n_7743), .Y (n_9092));
+ OAI21X1 g33785(.A0 (n_5056), .A1 (n_9105), .B0 (n_7742), .Y (n_9091));
+ OAI21X1 g33786(.A0 (n_4920), .A1 (n_9087), .B0 (n_7741), .Y (n_9089));
+ OAI21X1 g33787(.A0 (n_5060), .A1 (n_9087), .B0 (n_7740), .Y (n_9088));
+ OAI21X1 g33788(.A0 (n_5006), .A1 (n_9087), .B0 (n_7739), .Y (n_9086));
+ OAI21X1 g33789(.A0 (n_5061), .A1 (n_9087), .B0 (n_7738), .Y (n_9085));
+ OAI21X1 g33790(.A0 (n_4919), .A1 (n_9105), .B0 (n_7737), .Y (n_9084));
+ OAI21X1 g33791(.A0 (n_5062), .A1 (n_9105), .B0 (n_7736), .Y (n_9083));
+ OAI21X1 g33792(.A0 (n_5063), .A1 (n_9080), .B0 (n_7735), .Y (n_9082));
+ OAI21X1 g33793(.A0 (n_5109), .A1 (n_9080), .B0 (n_7734), .Y (n_9081));
+ OAI21X1 g33794(.A0 (n_4917), .A1 (n_9077), .B0 (n_7733), .Y (n_9079));
+ OAI21X1 g33795(.A0 (n_5101), .A1 (n_9077), .B0 (n_7732), .Y (n_9078));
+ OAI21X1 g33796(.A0 (n_4916), .A1 (n_9080), .B0 (n_7731), .Y (n_9076));
+ OAI21X1 g33797(.A0 (n_4915), .A1 (n_9080), .B0 (n_7730), .Y (n_9075));
+ OAI21X1 g33798(.A0 (n_4914), .A1 (n_9077), .B0 (n_7729), .Y (n_9074));
+ OAI21X1 g33799(.A0 (n_5058), .A1 (n_9077), .B0 (n_7728), .Y (n_9073));
+ OAI21X1 g33800(.A0 (n_4340), .A1 (n_8898), .B0 (n_7588), .Y (n_9072));
+ OAI21X1 g33801(.A0 (n_4343), .A1 (n_8440), .B0 (n_8122), .Y (n_9071));
+ OAI21X1 g33802(.A0 (n_3852), .A1 (n_8438), .B0 (n_8134), .Y (n_9070));
+ OAI21X1 g33803(.A0 (n_5115), .A1 (n_9022), .B0 (n_8077), .Y (n_9069));
+ OAI21X1 g33804(.A0 (n_3857), .A1 (n_8930), .B0 (n_8130), .Y (n_9068));
+ OAI21X1 g33805(.A0 (n_4867), .A1 (n_8393), .B0 (n_7589), .Y (n_9067));
+ OAI21X1 g33806(.A0 (n_4902), .A1 (n_9034), .B0 (n_7722), .Y (n_9066));
+ OAI21X1 g33807(.A0 (n_4905), .A1 (n_9034), .B0 (n_7721), .Y (n_9065));
+ OAI21X1 g33808(.A0 (n_4918), .A1 (n_9055), .B0 (n_7719), .Y (n_9064));
+ OAI21X1 g33809(.A0 (n_4858), .A1 (n_8856), .B0 (n_7568), .Y (n_9063));
+ OAI21X1 g33810(.A0 (n_4904), .A1 (n_9055), .B0 (n_7718), .Y (n_9062));
+ OAI21X1 g33811(.A0 (n_4929), .A1 (n_9055), .B0 (n_7717), .Y (n_9061));
+ OAI21X1 g33812(.A0 (n_4903), .A1 (n_9034), .B0 (n_7716), .Y (n_9060));
+ OAI21X1 g33813(.A0 (n_5110), .A1 (n_9034), .B0 (n_7715), .Y (n_9058));
+ OAI21X1 g33814(.A0 (n_4901), .A1 (n_9055), .B0 (n_7713), .Y (n_9056));
+ OAI21X1 g33815(.A0 (n_4900), .A1 (n_9055), .B0 (n_7712), .Y (n_9054));
+ OAI21X1 g33816(.A0 (n_5128), .A1 (n_9055), .B0 (n_7710), .Y (n_9052));
+ OAI21X1 g33817(.A0 (n_4932), .A1 (n_9055), .B0 (n_7708), .Y (n_9050));
+ OAI21X1 g33818(.A0 (n_5083), .A1 (n_9034), .B0 (n_7707), .Y (n_9049));
+ OAI21X1 g33819(.A0 (n_4991), .A1 (n_8981), .B0 (n_7706), .Y (n_9048));
+ OAI21X1 g33820(.A0 (n_4899), .A1 (n_9010), .B0 (n_7705), .Y (n_9047));
+ OAI21X1 g33821(.A0 (n_4964), .A1 (n_9034), .B0 (n_7704), .Y (n_9046));
+ OAI21X1 g33822(.A0 (n_4897), .A1 (n_9043), .B0 (n_7703), .Y (n_9045));
+ OAI21X1 g33823(.A0 (n_4975), .A1 (n_9043), .B0 (n_7702), .Y (n_9044));
+ OAI21X1 g33824(.A0 (n_4896), .A1 (n_9038), .B0 (n_7701), .Y (n_9042));
+ OAI21X1 g33825(.A0 (n_4911), .A1 (n_9010), .B0 (n_7699), .Y (n_9041));
+ OAI21X1 g33826(.A0 (n_4895), .A1 (n_9036), .B0 (n_7698), .Y (n_9040));
+ OAI21X1 g33827(.A0 (n_5005), .A1 (n_9038), .B0 (n_7696), .Y (n_9039));
+ OAI21X1 g33828(.A0 (n_4894), .A1 (n_9036), .B0 (n_7695), .Y (n_9037));
+ OAI21X1 g33829(.A0 (n_5119), .A1 (n_9034), .B0 (n_7693), .Y (n_9035));
+ OAI21X1 g33830(.A0 (n_4893), .A1 (n_8981), .B0 (n_7692), .Y (n_9033));
+ OAI21X1 g33831(.A0 (n_5009), .A1 (n_9055), .B0 (n_7906), .Y (n_9031));
+ OAI21X1 g33832(.A0 (n_4892), .A1 (n_8948), .B0 (n_7691), .Y (n_9030));
+ OAI21X1 g33833(.A0 (n_5117), .A1 (n_9034), .B0 (n_7690), .Y (n_9029));
+ OAI21X1 g33834(.A0 (n_4951), .A1 (n_9034), .B0 (n_7689), .Y (n_9028));
+ OAI21X1 g33835(.A0 (n_5070), .A1 (n_9043), .B0 (n_7688), .Y (n_9026));
+ OAI21X1 g33836(.A0 (n_4891), .A1 (n_9055), .B0 (n_7687), .Y (n_9025));
+ OAI21X1 g33837(.A0 (n_5079), .A1 (n_9034), .B0 (n_7686), .Y (n_9024));
+ OAI21X1 g33838(.A0 (n_5045), .A1 (n_9022), .B0 (n_8089), .Y (n_9023));
+ OAI21X1 g33839(.A0 (n_4890), .A1 (n_9034), .B0 (n_7685), .Y (n_9021));
+ OAI21X1 g33840(.A0 (n_4404), .A1 (n_9034), .B0 (n_7684), .Y (n_9020));
+ OAI21X1 g33841(.A0 (n_4406), .A1 (n_9043), .B0 (n_7683), .Y (n_9019));
+ OAI21X1 g33842(.A0 (n_4318), .A1 (n_9055), .B0 (n_7682), .Y (n_9018));
+ OAI21X1 g33843(.A0 (n_4424), .A1 (n_9055), .B0 (n_7681), .Y (n_9016));
+ OAI21X1 g33844(.A0 (n_4317), .A1 (n_9043), .B0 (n_7680), .Y (n_9015));
+ OAI21X1 g33845(.A0 (n_4316), .A1 (n_9055), .B0 (n_7679), .Y (n_9014));
+ OAI21X1 g33846(.A0 (n_4315), .A1 (n_9038), .B0 (n_7678), .Y (n_9013));
+ OAI21X1 g33847(.A0 (n_4452), .A1 (n_9055), .B0 (n_7677), .Y (n_9012));
+ OAI21X1 g33848(.A0 (n_4314), .A1 (n_9010), .B0 (n_7676), .Y (n_9011));
+ OAI21X1 g33849(.A0 (n_4466), .A1 (n_9055), .B0 (n_7675), .Y (n_9009));
+ OAI21X1 g33850(.A0 (n_4313), .A1 (n_9036), .B0 (n_7674), .Y (n_9008));
+ OAI21X1 g33851(.A0 (n_4496), .A1 (n_9043), .B0 (n_8078), .Y (n_9007));
+ OAI21X1 g33852(.A0 (n_4501), .A1 (n_9038), .B0 (n_7673), .Y (n_9005));
+ OAI21X1 g33853(.A0 (n_4510), .A1 (n_9036), .B0 (n_7672), .Y (n_9004));
+ OAI21X1 g33854(.A0 (n_4506), .A1 (n_9055), .B0 (n_7671), .Y (n_9003));
+ OAI21X1 g33855(.A0 (n_4516), .A1 (n_9034), .B0 (n_7670), .Y (n_9002));
+ OAI21X1 g33856(.A0 (n_4481), .A1 (n_9010), .B0 (n_7669), .Y (n_9001));
+ OAI21X1 g33857(.A0 (n_4312), .A1 (n_9010), .B0 (n_7668), .Y (n_9000));
+ OAI21X1 g33858(.A0 (n_4311), .A1 (n_8981), .B0 (n_7667), .Y (n_8999));
+ OAI21X1 g33859(.A0 (n_4529), .A1 (n_8948), .B0 (n_7666), .Y (n_8998));
+ OAI21X1 g33860(.A0 (n_4309), .A1 (n_9043), .B0 (n_7665), .Y (n_8996));
+ OAI21X1 g33861(.A0 (n_4536), .A1 (n_9010), .B0 (n_8145), .Y (n_8995));
+ OAI21X1 g33862(.A0 (n_4538), .A1 (n_9034), .B0 (n_7664), .Y (n_8993));
+ OAI21X1 g33863(.A0 (n_4541), .A1 (n_9034), .B0 (n_7663), .Y (n_8992));
+ OAI21X1 g33864(.A0 (n_4307), .A1 (n_8981), .B0 (n_7661), .Y (n_8991));
+ OAI21X1 g33865(.A0 (n_4546), .A1 (n_9034), .B0 (n_7660), .Y (n_8990));
+ OAI21X1 g33866(.A0 (n_4339), .A1 (n_9043), .B0 (n_7659), .Y (n_8989));
+ OAI21X1 g33867(.A0 (n_4366), .A1 (n_8948), .B0 (n_7658), .Y (n_8988));
+ OAI21X1 g33868(.A0 (n_4305), .A1 (n_8948), .B0 (n_7657), .Y (n_8987));
+ OAI21X1 g33869(.A0 (n_4292), .A1 (n_9010), .B0 (n_7656), .Y (n_8986));
+ OAI21X1 g33870(.A0 (n_4294), .A1 (n_9010), .B0 (n_7655), .Y (n_8985));
+ OAI21X1 g33871(.A0 (n_4303), .A1 (n_9055), .B0 (n_7654), .Y (n_8984));
+ OAI21X1 g33872(.A0 (n_4875), .A1 (n_8981), .B0 (n_7653), .Y (n_8983));
+ OAI21X1 g33873(.A0 (n_4888), .A1 (n_8981), .B0 (n_7652), .Y (n_8982));
+ OAI21X1 g33874(.A0 (n_4907), .A1 (n_8981), .B0 (n_7650), .Y (n_8980));
+ OAI21X1 g33875(.A0 (n_4887), .A1 (n_8981), .B0 (n_7649), .Y (n_8979));
+ OAI21X1 g33876(.A0 (n_4874), .A1 (n_8976), .B0 (n_7648), .Y (n_8978));
+ OAI21X1 g33877(.A0 (n_4886), .A1 (n_8976), .B0 (n_7647), .Y (n_8977));
+ OAI21X1 g33878(.A0 (n_4949), .A1 (n_8976), .B0 (n_7646), .Y (n_8975));
+ OAI21X1 g33879(.A0 (n_4962), .A1 (n_8976), .B0 (n_7645), .Y (n_8974));
+ OAI21X1 g33880(.A0 (n_5076), .A1 (n_8971), .B0 (n_7644), .Y (n_8973));
+ OAI21X1 g33881(.A0 (n_4952), .A1 (n_8971), .B0 (n_7643), .Y (n_8972));
+ OAI21X1 g33882(.A0 (n_5057), .A1 (n_8971), .B0 (n_7642), .Y (n_8970));
+ OAI21X1 g33883(.A0 (n_4884), .A1 (n_8971), .B0 (n_7641), .Y (n_8969));
+ OAI21X1 g33884(.A0 (n_5159), .A1 (n_9038), .B0 (n_7640), .Y (n_8968));
+ OAI21X1 g33885(.A0 (n_4883), .A1 (n_9038), .B0 (n_7639), .Y (n_8967));
+ OAI21X1 g33886(.A0 (n_5073), .A1 (n_9038), .B0 (n_7638), .Y (n_8965));
+ OAI21X1 g33887(.A0 (n_4882), .A1 (n_9038), .B0 (n_7637), .Y (n_8964));
+ OAI21X1 g33888(.A0 (n_4898), .A1 (n_8961), .B0 (n_7636), .Y (n_8963));
+ OAI21X1 g33889(.A0 (n_4881), .A1 (n_8961), .B0 (n_7635), .Y (n_8962));
+ OAI21X1 g33890(.A0 (n_4862), .A1 (n_8958), .B0 (n_7634), .Y (n_8960));
+ OAI21X1 g33891(.A0 (n_4959), .A1 (n_8958), .B0 (n_7633), .Y (n_8959));
+ OAI21X1 g33892(.A0 (n_4876), .A1 (n_8958), .B0 (n_7632), .Y (n_8957));
+ OAI21X1 g33893(.A0 (n_4880), .A1 (n_8958), .B0 (n_7631), .Y (n_8956));
+ OAI21X1 g33894(.A0 (n_4909), .A1 (n_8961), .B0 (n_7630), .Y (n_8955));
+ OAI21X1 g33895(.A0 (n_4879), .A1 (n_8961), .B0 (n_7628), .Y (n_8954));
+ OAI21X1 g33896(.A0 (n_4912), .A1 (n_8951), .B0 (n_7627), .Y (n_8953));
+ OAI21X1 g33897(.A0 (n_4878), .A1 (n_8951), .B0 (n_7626), .Y (n_8952));
+ OAI21X1 g33898(.A0 (n_4957), .A1 (n_8948), .B0 (n_7625), .Y (n_8950));
+ OAI21X1 g33899(.A0 (n_4931), .A1 (n_8948), .B0 (n_7624), .Y (n_8949));
+ OAI21X1 g33900(.A0 (n_4885), .A1 (n_8951), .B0 (n_7623), .Y (n_8947));
+ OAI21X1 g33901(.A0 (n_4956), .A1 (n_8951), .B0 (n_7622), .Y (n_8946));
+ OAI21X1 g33902(.A0 (n_5146), .A1 (n_8948), .B0 (n_7621), .Y (n_8945));
+ OAI21X1 g33903(.A0 (n_4877), .A1 (n_8948), .B0 (n_7620), .Y (n_8944));
+ OAI21X1 g33904(.A0 (n_4484), .A1 (n_8856), .B0 (n_7617), .Y (n_8943));
+ OAI21X1 g33905(.A0 (n_4412), .A1 (n_8453), .B0 (n_7982), .Y (n_8941));
+ OAI21X1 g33906(.A0 (n_4329), .A1 (n_8891), .B0 (n_7615), .Y (n_8940));
+ OAI21X1 g33907(.A0 (n_4288), .A1 (n_8449), .B0 (n_7614), .Y (n_8939));
+ OAI21X1 g33908(.A0 (n_4388), .A1 (n_8449), .B0 (n_7948), .Y (n_8938));
+ OAI21X1 g33909(.A0 (n_4287), .A1 (n_8457), .B0 (n_7613), .Y (n_8936));
+ OAI21X1 g33910(.A0 (n_4391), .A1 (n_8933), .B0 (n_7612), .Y (n_8935));
+ OAI21X1 g33911(.A0 (n_4286), .A1 (n_8933), .B0 (n_7611), .Y (n_8934));
+ OAI21X1 g33912(.A0 (n_4380), .A1 (n_8930), .B0 (n_7839), .Y (n_8932));
+ OAI21X1 g33913(.A0 (n_4285), .A1 (n_8930), .B0 (n_7610), .Y (n_8931));
+ OAI21X1 g33914(.A0 (n_4383), .A1 (n_8933), .B0 (n_7608), .Y (n_8929));
+ OAI21X1 g33915(.A0 (n_4284), .A1 (n_8933), .B0 (n_7606), .Y (n_8928));
+ OAI21X1 g33916(.A0 (n_4385), .A1 (n_8868), .B0 (n_7945), .Y (n_8926));
+ OAI21X1 g33917(.A0 (n_4283), .A1 (n_8891), .B0 (n_7605), .Y (n_8925));
+ OAI21X1 g33918(.A0 (n_4386), .A1 (n_8457), .B0 (n_7604), .Y (n_8924));
+ OAI21X1 g33919(.A0 (n_4282), .A1 (n_8457), .B0 (n_7603), .Y (n_8922));
+ OAI21X1 g33920(.A0 (n_4397), .A1 (n_8933), .B0 (n_7949), .Y (n_8921));
+ OAI21X1 g33921(.A0 (n_4281), .A1 (n_8891), .B0 (n_7602), .Y (n_8920));
+ OAI21X1 g33922(.A0 (n_4400), .A1 (n_8891), .B0 (n_7601), .Y (n_8918));
+ OAI21X1 g33923(.A0 (n_4870), .A1 (n_8911), .B0 (n_7600), .Y (n_8917));
+ OAI21X1 g33924(.A0 (n_4280), .A1 (n_8438), .B0 (n_7599), .Y (n_8916));
+ AOI22X1 g31738(.A0 (n_7449), .A1 (n_7531), .B0 (n_700), .B1 (n_7379),
+ .Y (n_8915));
+ OAI21X1 g33925(.A0 (n_4382), .A1 (n_8438), .B0 (n_7598), .Y (n_8914));
+ OAI21X1 g33926(.A0 (n_4869), .A1 (n_8911), .B0 (n_7597), .Y (n_8912));
+ OAI21X1 g33927(.A0 (n_4290), .A1 (n_8930), .B0 (n_7564), .Y (n_8910));
+ AOI22X1 g31739(.A0 (n_7447), .A1 (n_7528), .B0 (n_569), .B1 (n_7378),
+ .Y (n_8909));
+ OAI21X1 g33928(.A0 (n_4868), .A1 (n_8911), .B0 (n_7957), .Y (n_8908));
+ OAI21X1 g33929(.A0 (n_4279), .A1 (n_8930), .B0 (n_7595), .Y (n_8907));
+ OAI21X1 g33930(.A0 (n_4393), .A1 (n_8898), .B0 (n_7594), .Y (n_8906));
+ OAI21X1 g33931(.A0 (n_5144), .A1 (n_8911), .B0 (n_7593), .Y (n_8905));
+ OAI21X1 g33932(.A0 (n_3841), .A1 (n_8449), .B0 (n_8165), .Y (n_8903));
+ OAI21X1 g33933(.A0 (n_4402), .A1 (n_8464), .B0 (n_8124), .Y (n_8902));
+ OAI21X1 g33934(.A0 (n_5143), .A1 (n_8856), .B0 (n_7591), .Y (n_8901));
+ OAI21X1 g33935(.A0 (n_4503), .A1 (n_8894), .B0 (n_7590), .Y (n_8900));
+ OAI21X1 g33936(.A0 (n_4302), .A1 (n_8898), .B0 (n_7726), .Y (n_8899));
+ OAI21X1 g33937(.A0 (n_4913), .A1 (n_8097), .B0 (n_7725), .Y (n_8897));
+ OAI21X1 g33938(.A0 (n_4274), .A1 (n_8898), .B0 (n_7587), .Y (n_8896));
+ OAI21X1 g33939(.A0 (n_4275), .A1 (n_8894), .B0 (n_7586), .Y (n_8895));
+ OAI21X1 g33940(.A0 (n_4866), .A1 (n_8856), .B0 (n_7585), .Y (n_8893));
+ OAI21X1 g33941(.A0 (n_4328), .A1 (n_8891), .B0 (n_7584), .Y (n_8892));
+ OAI21X1 g33942(.A0 (n_4273), .A1 (n_8433), .B0 (n_7583), .Y (n_8890));
+ OAI21X1 g33943(.A0 (n_4865), .A1 (n_8856), .B0 (n_7791), .Y (n_8888));
+ OAI21X1 g33944(.A0 (n_4331), .A1 (n_8433), .B0 (n_7805), .Y (n_8886));
+ OAI21X1 g33945(.A0 (n_4344), .A1 (n_8464), .B0 (n_7582), .Y (n_8885));
+ OAI21X1 g33946(.A0 (n_4963), .A1 (n_8440), .B0 (n_7581), .Y (n_8883));
+ OAI21X1 g33947(.A0 (n_4350), .A1 (n_8433), .B0 (n_8125), .Y (n_8882));
+ OAI21X1 g33948(.A0 (n_4494), .A1 (n_8438), .B0 (n_7579), .Y (n_8881));
+ OAI21X1 g33949(.A0 (n_4864), .A1 (n_8911), .B0 (n_7578), .Y (n_8880));
+ OAI21X1 g33950(.A0 (n_4495), .A1 (n_8438), .B0 (n_7577), .Y (n_8879));
+ OAI21X1 g33951(.A0 (n_4497), .A1 (n_8898), .B0 (n_7576), .Y (n_8878));
+ OAI21X1 g33952(.A0 (n_4500), .A1 (n_8898), .B0 (n_8076), .Y (n_8876));
+ OAI21X1 g33953(.A0 (n_4272), .A1 (n_8898), .B0 (n_7574), .Y (n_8875));
+ OAI21X1 g33954(.A0 (n_4861), .A1 (n_8911), .B0 (n_7947), .Y (n_8874));
+ OAI21X1 g33955(.A0 (n_4270), .A1 (n_8891), .B0 (n_7580), .Y (n_8872));
+ OAI21X1 g33956(.A0 (n_4278), .A1 (n_8868), .B0 (n_7573), .Y (n_8871));
+ OAI21X1 g33957(.A0 (n_4872), .A1 (n_8911), .B0 (n_7572), .Y (n_8870));
+ OAI21X1 g33958(.A0 (n_4291), .A1 (n_8868), .B0 (n_7616), .Y (n_8869));
+ OAI21X1 g33959(.A0 (n_4396), .A1 (n_8868), .B0 (n_7571), .Y (n_8867));
+ OAI21X1 g33960(.A0 (n_4860), .A1 (n_8856), .B0 (n_7570), .Y (n_8866));
+ OAI21X1 g33961(.A0 (n_4298), .A1 (n_8868), .B0 (n_7700), .Y (n_8865));
+ OAI21X1 g33962(.A0 (n_4390), .A1 (n_8453), .B0 (n_7569), .Y (n_8864));
+ OAI21X1 g33963(.A0 (n_4304), .A1 (n_8891), .B0 (n_7662), .Y (n_8862));
+ OAI21X1 g33964(.A0 (n_4271), .A1 (n_8453), .B0 (n_7567), .Y (n_8861));
+ OAI21X1 g33965(.A0 (n_4857), .A1 (n_8097), .B0 (n_7694), .Y (n_8860));
+ OAI21X1 g33966(.A0 (n_4326), .A1 (n_8453), .B0 (n_7697), .Y (n_8859));
+ OAI21X1 g33967(.A0 (n_4321), .A1 (n_8449), .B0 (n_7566), .Y (n_8858));
+ OAI21X1 g33968(.A0 (n_5116), .A1 (n_8856), .B0 (n_7565), .Y (n_8857));
+ OAI21X1 g33969(.A0 (n_4434), .A1 (n_8449), .B0 (n_7977), .Y (n_8855));
+ OAI21X1 g33970(.A0 (n_4477), .A1 (n_8868), .B0 (n_7563), .Y (n_8854));
+ OAI21X1 g33971(.A0 (n_4856), .A1 (n_8856), .B0 (n_7562), .Y (n_8852));
+ OAI21X1 g33972(.A0 (n_4479), .A1 (n_8868), .B0 (n_7561), .Y (n_8851));
+ OAI21X1 g33973(.A0 (n_4482), .A1 (n_8457), .B0 (n_7560), .Y (n_8850));
+ OAI21X1 g33974(.A0 (n_4483), .A1 (n_8933), .B0 (n_8062), .Y (n_8849));
+ AOI21X1 g31777(.A0 (n_8847), .A1 (n_7532), .B0 (n_7527), .Y (n_8848));
+ OAI21X1 g33975(.A0 (n_5160), .A1 (n_8393), .B0 (n_8068), .Y (n_8846));
+ OAI21X1 g33976(.A0 (n_4487), .A1 (n_8933), .B0 (n_8067), .Y (n_8845));
+ AOI21X1 g31778(.A0 (n_8843), .A1 (n_7529), .B0 (n_7525), .Y (n_8844));
+ MX2X1 g33977(.A (\u3_mem[0]_112 ), .B (n_3834), .S0 (n_8700), .Y
+ (n_8842));
+ MX2X1 g33978(.A (\u3_mem[0]_118 ), .B (n_3584), .S0 (n_8700), .Y
+ (n_8841));
+ MX2X1 g33979(.A (\u3_mem[0]_109 ), .B (n_3823), .S0 (n_8700), .Y
+ (n_8839));
+ MX2X1 g33981(.A (\u4_mem[0]_100 ), .B (n_3802), .S0 (n_7499), .Y
+ (n_8838));
+ MX2X1 g33982(.A (\u4_mem[0] ), .B (n_3803), .S0 (n_7499), .Y
+ (n_8837));
+ MX2X1 g33983(.A (\u4_mem[0]_101 ), .B (n_3801), .S0 (n_7499), .Y
+ (n_8836));
+ MX2X1 g33984(.A (\u4_mem[0]_102 ), .B (n_3799), .S0 (n_7499), .Y
+ (n_8835));
+ MX2X1 g33987(.A (\u4_mem[0]_105 ), .B (n_3795), .S0 (n_7499), .Y
+ (n_8833));
+ MX2X1 g33989(.A (\u4_mem[0]_107 ), .B (n_3788), .S0 (n_7499), .Y
+ (n_8832));
+ MX2X1 g33990(.A (\u4_mem[0]_108 ), .B (n_3784), .S0 (n_7499), .Y
+ (n_8830));
+ MX2X1 g33992(.A (\u4_mem[0]_91 ), .B (n_3780), .S0 (n_7499), .Y
+ (n_8829));
+ MX2X1 g33993(.A (\u4_mem[0]_110 ), .B (n_3779), .S0 (n_7499), .Y
+ (n_8827));
+ MX2X1 g33994(.A (\u4_mem[0]_111 ), .B (n_3777), .S0 (n_7499), .Y
+ (n_8825));
+ MX2X1 g33996(.A (\u4_mem[0]_113 ), .B (n_3773), .S0 (n_7499), .Y
+ (n_8824));
+ MX2X1 g33998(.A (\u4_mem[0]_115 ), .B (n_3768), .S0 (n_7499), .Y
+ (n_8823));
+ MX2X1 g33999(.A (\u4_mem[0]_116 ), .B (n_3766), .S0 (n_7499), .Y
+ (n_8822));
+ MX2X1 g34000(.A (\u4_mem[0]_117 ), .B (n_3764), .S0 (n_7499), .Y
+ (n_8821));
+ MX2X1 g34001(.A (\u4_mem[0]_118 ), .B (n_3763), .S0 (n_7499), .Y
+ (n_8820));
+ MX2X1 g34002(.A (\u4_mem[0]_119 ), .B (n_3762), .S0 (n_7499), .Y
+ (n_8818));
+ MX2X1 g34003(.A (\u4_mem[0]_92 ), .B (n_3761), .S0 (n_7499), .Y
+ (n_8817));
+ MX2X1 g34004(.A (\u4_mem[0]_120 ), .B (n_3760), .S0 (n_7499), .Y
+ (n_8816));
+ MX2X1 g34006(.A (\u4_mem[0]_93 ), .B (n_3757), .S0 (n_7499), .Y
+ (n_8815));
+ MX2X1 g34008(.A (\u4_mem[0]_95 ), .B (n_3790), .S0 (n_7499), .Y
+ (n_8813));
+ MX2X1 g34009(.A (\u4_mem[0]_96 ), .B (n_3755), .S0 (n_7499), .Y
+ (n_8812));
+ MX2X1 g34011(.A (\u4_mem[0]_98 ), .B (n_3753), .S0 (n_7499), .Y
+ (n_8811));
+ MX2X1 g34013(.A (\u3_mem[0]_116 ), .B (n_3586), .S0 (n_8700), .Y
+ (n_8810));
+ MX2X1 g34014(.A (\u5_mem[0] ), .B (n_3741), .S0 (n_7496), .Y
+ (n_8809));
+ MX2X1 g34015(.A (\u5_mem[0]_100 ), .B (n_3739), .S0 (n_7496), .Y
+ (n_8808));
+ MX2X1 g34016(.A (\u5_mem[0]_101 ), .B (n_3737), .S0 (n_7496), .Y
+ (n_8807));
+ MX2X1 g34017(.A (\u5_mem[0]_102 ), .B (n_3735), .S0 (n_7496), .Y
+ (n_8806));
+ MX2X1 g34020(.A (\u5_mem[0]_105 ), .B (n_3732), .S0 (n_7496), .Y
+ (n_8804));
+ MX2X1 g34022(.A (\u5_mem[0]_107 ), .B (n_3728), .S0 (n_7496), .Y
+ (n_8803));
+ MX2X1 g34023(.A (\u5_mem[0]_108 ), .B (n_3727), .S0 (n_7496), .Y
+ (n_8801));
+ MX2X1 g34025(.A (\u5_mem[0]_91 ), .B (n_3724), .S0 (n_7496), .Y
+ (n_8800));
+ MX2X1 g34026(.A (\u5_mem[0]_110 ), .B (n_3722), .S0 (n_7496), .Y
+ (n_8798));
+ MX2X1 g34027(.A (\u5_mem[0]_111 ), .B (n_3719), .S0 (n_7496), .Y
+ (n_8796));
+ MX2X1 g34029(.A (\u5_mem[0]_113 ), .B (n_3715), .S0 (n_7496), .Y
+ (n_8795));
+ MX2X1 g34031(.A (\u5_mem[0]_115 ), .B (n_3712), .S0 (n_7496), .Y
+ (n_8794));
+ MX2X1 g34032(.A (\u5_mem[0]_116 ), .B (n_3709), .S0 (n_7496), .Y
+ (n_8793));
+ MX2X1 g34033(.A (\u5_mem[0]_117 ), .B (n_3656), .S0 (n_7496), .Y
+ (n_8792));
+ MX2X1 g34034(.A (\u5_mem[0]_118 ), .B (n_3707), .S0 (n_7496), .Y
+ (n_8791));
+ MX2X1 g34035(.A (\u5_mem[0]_119 ), .B (n_3704), .S0 (n_7496), .Y
+ (n_8789));
+ MX2X1 g34036(.A (\u5_mem[0]_92 ), .B (n_3700), .S0 (n_7496), .Y
+ (n_8788));
+ MX2X1 g34037(.A (\u5_mem[0]_120 ), .B (n_3699), .S0 (n_7496), .Y
+ (n_8787));
+ MX2X1 g34039(.A (\u5_mem[0]_93 ), .B (n_3697), .S0 (n_7496), .Y
+ (n_8786));
+ MX2X1 g34041(.A (\u5_mem[0]_95 ), .B (n_3690), .S0 (n_7496), .Y
+ (n_8784));
+ MX2X1 g34042(.A (\u5_mem[0]_96 ), .B (n_3688), .S0 (n_7496), .Y
+ (n_8783));
+ MX2X1 g34044(.A (\u5_mem[0]_98 ), .B (n_3693), .S0 (n_7496), .Y
+ (n_8782));
+ MX2X1 g34047(.A (\u6_mem[0] ), .B (n_3683), .S0 (n_7505), .Y
+ (n_8781));
+ MX2X1 g34048(.A (\u6_mem[0]_100 ), .B (n_3682), .S0 (n_7505), .Y
+ (n_8780));
+ MX2X1 g34049(.A (\u6_mem[0]_101 ), .B (n_3565), .S0 (n_7505), .Y
+ (n_8779));
+ MX2X1 g34050(.A (\u6_mem[0]_102 ), .B (n_3681), .S0 (n_7505), .Y
+ (n_8778));
+ MX2X1 g34053(.A (\u6_mem[0]_105 ), .B (n_3676), .S0 (n_7505), .Y
+ (n_8776));
+ MX2X1 g34055(.A (\u6_mem[0]_107 ), .B (n_3576), .S0 (n_7505), .Y
+ (n_8775));
+ MX2X1 g34056(.A (\u6_mem[0]_108 ), .B (n_3674), .S0 (n_7505), .Y
+ (n_8773));
+ MX2X1 g34058(.A (\u6_mem[0]_91 ), .B (n_3630), .S0 (n_7505), .Y
+ (n_8772));
+ MX2X1 g34059(.A (\u6_mem[0]_110 ), .B (n_3609), .S0 (n_7505), .Y
+ (n_8770));
+ MX2X1 g34060(.A (\u6_mem[0]_111 ), .B (n_3608), .S0 (n_7505), .Y
+ (n_8768));
+ MX2X1 g34062(.A (\u6_mem[0]_113 ), .B (n_3671), .S0 (n_7505), .Y
+ (n_8767));
+ MX2X1 g34064(.A (\u6_mem[0]_115 ), .B (n_3615), .S0 (n_7505), .Y
+ (n_8766));
+ MX2X1 g34065(.A (\u6_mem[0]_116 ), .B (n_3670), .S0 (n_7505), .Y
+ (n_8765));
+ MX2X1 g34066(.A (\u6_mem[0]_117 ), .B (n_3617), .S0 (n_7505), .Y
+ (n_8764));
+ MX2X1 g34067(.A (\u6_mem[0]_118 ), .B (n_3621), .S0 (n_7505), .Y
+ (n_8763));
+ MX2X1 g34068(.A (\u6_mem[0]_119 ), .B (n_3633), .S0 (n_7505), .Y
+ (n_8761));
+ MX2X1 g34069(.A (\u6_mem[0]_92 ), .B (n_3668), .S0 (n_7505), .Y
+ (n_8760));
+ MX2X1 g34071(.A (\u6_mem[0]_120 ), .B (n_3667), .S0 (n_7505), .Y
+ (n_8759));
+ MX2X1 g34073(.A (\u6_mem[0]_93 ), .B (n_3628), .S0 (n_7505), .Y
+ (n_8758));
+ MX2X1 g34075(.A (\u6_mem[0]_95 ), .B (n_3664), .S0 (n_7505), .Y
+ (n_8756));
+ MX2X1 g34076(.A (\u6_mem[0]_96 ), .B (n_3652), .S0 (n_7505), .Y
+ (n_8755));
+ MX2X1 g34078(.A (\u6_mem[0]_98 ), .B (n_3660), .S0 (n_7505), .Y
+ (n_8754));
+ MX2X1 g34084(.A (\u7_mem[0] ), .B (n_3710), .S0 (n_7493), .Y
+ (n_8753));
+ MX2X1 g34085(.A (\u7_mem[0]_100 ), .B (n_3677), .S0 (n_7493), .Y
+ (n_8752));
+ MX2X1 g34086(.A (\u7_mem[0]_101 ), .B (n_3806), .S0 (n_7493), .Y
+ (n_8751));
+ MX2X1 g34087(.A (\u7_mem[0]_102 ), .B (n_3786), .S0 (n_7493), .Y
+ (n_8750));
+ MX2X1 g34090(.A (\u7_mem[0]_105 ), .B (n_3645), .S0 (n_7493), .Y
+ (n_8748));
+ MX2X1 g34092(.A (\u7_mem[0]_107 ), .B (n_3644), .S0 (n_7493), .Y
+ (n_8747));
+ MX2X1 g34093(.A (\u7_mem[0]_108 ), .B (n_3564), .S0 (n_7493), .Y
+ (n_8745));
+ MX2X1 g34095(.A (\u7_mem[0]_91 ), .B (n_3566), .S0 (n_7493), .Y
+ (n_8744));
+ MX2X1 g34096(.A (\u7_mem[0]_110 ), .B (n_3642), .S0 (n_7493), .Y
+ (n_8742));
+ MX2X1 g34097(.A (\u7_mem[0]_111 ), .B (n_3568), .S0 (n_7493), .Y
+ (n_8740));
+ MX2X1 g34099(.A (\u7_mem[0]_113 ), .B (n_3569), .S0 (n_7493), .Y
+ (n_8739));
+ MX2X1 g34101(.A (\u7_mem[0]_115 ), .B (n_3571), .S0 (n_7493), .Y
+ (n_8738));
+ MX2X1 g34102(.A (\u7_mem[0]_116 ), .B (n_3638), .S0 (n_7493), .Y
+ (n_8737));
+ MX2X1 g34103(.A (\u7_mem[0]_117 ), .B (n_3607), .S0 (n_7493), .Y
+ (n_8736));
+ MX2X1 g34104(.A (\u7_mem[0]_118 ), .B (n_3636), .S0 (n_7493), .Y
+ (n_8735));
+ MX2X1 g34105(.A (\u7_mem[0]_119 ), .B (n_3610), .S0 (n_7493), .Y
+ (n_8733));
+ MX2X1 g34106(.A (\u7_mem[0]_92 ), .B (n_3612), .S0 (n_7493), .Y
+ (n_8732));
+ MX2X1 g34107(.A (\u7_mem[0]_120 ), .B (n_3613), .S0 (n_7493), .Y
+ (n_8731));
+ MX2X1 g34109(.A (\u7_mem[0]_93 ), .B (n_3616), .S0 (n_7493), .Y
+ (n_8730));
+ MX2X1 g34111(.A (\u7_mem[0]_95 ), .B (n_3620), .S0 (n_7493), .Y
+ (n_8728));
+ MX2X1 g34112(.A (\u7_mem[0]_96 ), .B (n_3631), .S0 (n_7493), .Y
+ (n_8727));
+ MX2X1 g34114(.A (\u7_mem[0]_98 ), .B (n_3625), .S0 (n_7493), .Y
+ (n_8726));
+ MX2X1 g34117(.A (\u3_mem[0]_100 ), .B (n_3809), .S0 (n_8700), .Y
+ (n_8725));
+ MX2X1 g34119(.A (\u3_mem[0]_103 ), .B (n_3606), .S0 (n_8700), .Y
+ (n_8724));
+ MX2X1 g34122(.A (\u3_mem[0]_106 ), .B (n_3603), .S0 (n_7423), .Y
+ (n_8722));
+ MX2X1 g34124(.A (\u8_mem[0]_100 ), .B (n_3602), .S0 (n_7490), .Y
+ (n_8721));
+ MX2X1 g34125(.A (\u3_mem[0]_107 ), .B (n_3815), .S0 (n_8700), .Y
+ (n_8720));
+ MX2X1 g34128(.A (\u3_mem[0]_108 ), .B (n_3601), .S0 (n_8700), .Y
+ (n_8719));
+ MX2X1 g34129(.A (\u8_mem[0]_103 ), .B (n_3818), .S0 (n_7490), .Y
+ (n_8718));
+ MX2X1 g34130(.A (\u8_mem[0]_104 ), .B (n_3600), .S0 (n_7490), .Y
+ (n_8717));
+ MX2X1 g34131(.A (\u8_mem[0]_105 ), .B (n_3824), .S0 (n_7490), .Y
+ (n_8715));
+ MX2X1 g34132(.A (\u8_mem[0]_106 ), .B (n_3599), .S0 (n_7490), .Y
+ (n_8714));
+ MX2X1 g34135(.A (\u8_mem[0]_108 ), .B (n_3596), .S0 (n_7490), .Y
+ (n_8713));
+ MX2X1 g34136(.A (\u3_mem[0]_110 ), .B (n_3595), .S0 (n_8700), .Y
+ (n_8711));
+ MX2X1 g34137(.A (\u8_mem[0]_109 ), .B (n_3827), .S0 (n_7490), .Y
+ (n_8709));
+ MX2X1 g34138(.A (\u8_mem[0]_91 ), .B (n_3828), .S0 (n_7490), .Y
+ (n_8708));
+ MX2X1 g34140(.A (\u8_mem[0]_110 ), .B (n_3830), .S0 (n_7490), .Y
+ (n_8707));
+ MX2X1 g34141(.A (\u8_mem[0]_111 ), .B (n_3831), .S0 (n_7490), .Y
+ (n_8705));
+ MX2X1 g34142(.A (\u8_mem[0]_112 ), .B (n_3833), .S0 (n_7490), .Y
+ (n_8704));
+ MX2X1 g34143(.A (\u8_mem[0]_113 ), .B (n_3836), .S0 (n_7490), .Y
+ (n_8703));
+ MX2X1 g34144(.A (\u3_mem[0]_113 ), .B (n_3592), .S0 (n_8700), .Y
+ (n_8701));
+ MX2X1 g34145(.A (\u8_mem[0]_114 ), .B (n_3838), .S0 (n_7490), .Y
+ (n_8699));
+ MX2X1 g34146(.A (\u8_mem[0]_115 ), .B (n_3590), .S0 (n_7490), .Y
+ (n_8698));
+ MX2X1 g34147(.A (\u3_mem[0]_114 ), .B (n_3627), .S0 (n_8700), .Y
+ (n_8696));
+ MX2X1 g34149(.A (\u8_mem[0]_117 ), .B (n_3651), .S0 (n_7490), .Y
+ (n_8695));
+ MX2X1 g34150(.A (\u3_mem[0]_115 ), .B (n_3588), .S0 (n_8700), .Y
+ (n_8694));
+ MX2X1 g34153(.A (\u8_mem[0]_92 ), .B (n_3746), .S0 (n_7490), .Y
+ (n_8693));
+ MX2X1 g34156(.A (\u8_mem[0]_121 ), .B (n_3822), .S0 (n_7490), .Y
+ (n_8692));
+ MX2X1 g34157(.A (\u8_mem[0]_93 ), .B (n_3832), .S0 (n_7490), .Y
+ (n_8691));
+ MX2X1 g34161(.A (\u8_mem[0]_96 ), .B (n_3750), .S0 (n_7490), .Y
+ (n_8689));
+ MX2X1 g34162(.A (\u8_mem[0]_97 ), .B (n_3570), .S0 (n_7490), .Y
+ (n_8688));
+ MX2X1 g34163(.A (\u8_mem[0]_98 ), .B (n_3649), .S0 (n_7490), .Y
+ (n_8687));
+ MX2X1 g34164(.A (\u8_mem[0]_99 ), .B (n_3580), .S0 (n_7490), .Y
+ (n_8686));
+ MX2X1 g34165(.A (\u3_mem[0]_120 ), .B (n_3579), .S0 (n_8700), .Y
+ (n_8685));
+ MX2X1 g34167(.A (\u3_mem[0]_93 ), .B (n_3744), .S0 (n_7423), .Y
+ (n_8684));
+ MX2X1 g34168(.A (\u3_mem[0]_94 ), .B (n_3575), .S0 (n_8700), .Y
+ (n_8682));
+ MX2X1 g34172(.A (\u3_mem[0]_98 ), .B (n_3572), .S0 (n_8700), .Y
+ (n_8681));
+ MX2X1 g34173(.A (\u3_mem[0]_99 ), .B (n_3751), .S0 (n_8700), .Y
+ (n_8680));
+ DFFX1 u14_u7_en_out_l2_reg(.CK (clk_i), .D (n_8679), .Q
+ (u14_u7_en_out_l2), .QN ());
+ NAND3X1 g34877(.A (n_7490), .B (n_1454), .C (n_8182), .Y (n_8678));
+ NAND3X1 g34879(.A (n_7499), .B (n_1450), .C (n_991), .Y (n_8677));
+ NAND3X1 g34886(.A (n_7496), .B (n_2175), .C (n_9833), .Y (n_8676));
+ NAND3X1 g34894(.A (n_8700), .B (n_2157), .C (n_862), .Y (n_8675));
+ NAND3X1 g34895(.A (n_7505), .B (n_1972), .C (n_1873), .Y (n_8674));
+ NAND3X1 g34897(.A (n_7493), .B (n_2052), .C (n_1481), .Y (n_8673));
+ INVX1 g35294(.A (n_11841), .Y (n_9480));
+ OR2X1 g35297(.A (n_5839), .B (n_11827), .Y (n_8671));
+ INVX1 g35298(.A (n_8670), .Y (n_9479));
+ OR2X1 g35301(.A (n_5831), .B (n_11827), .Y (n_8669));
+ INVX1 g35302(.A (n_8667), .Y (n_9478));
+ OR2X1 g35305(.A (n_2485), .B (n_11827), .Y (n_8666));
+ INVX1 g35306(.A (n_8665), .Y (n_9477));
+ OR2X1 g35309(.A (n_5827), .B (n_11827), .Y (n_8664));
+ INVX1 g35310(.A (n_8663), .Y (n_9476));
+ OR2X1 g35313(.A (n_5825), .B (n_11827), .Y (n_8662));
+ INVX1 g35314(.A (n_8661), .Y (n_9475));
+ OR2X1 g35317(.A (n_11827), .B (n_12585), .Y (n_8660));
+ DFFX1 u14_u7_full_empty_r_reg(.CK (clk_i), .D (n_7557), .Q
+ (u14_u7_full_empty_r), .QN ());
+ DFFX1 u13_ac97_rst_force_reg(.CK (clk_i), .D (n_7523), .Q (), .QN
+ (ac97_rst_force));
+ DFFX1 u13_resume_req_reg(.CK (clk_i), .D (n_7522), .Q (resume_req),
+ .QN ());
+ NOR2X1 g32903(.A (n_976), .B (o3_empty), .Y (n_12848));
+ NOR2X1 g32905(.A (n_12804), .B (o6_empty), .Y (n_9503));
+ NOR2X1 g32907(.A (n_847), .B (o8_empty), .Y (n_9501));
+ NOR2X1 g32908(.A (n_977), .B (o9_empty), .Y (n_9499));
+ NOR2X1 g32964(.A (o3_empty), .B (n_459), .Y (n_9498));
+ NOR2X1 g32966(.A (o6_empty), .B (n_447), .Y (n_9497));
+ NOR2X1 g32971(.A (o8_empty), .B (n_5825), .Y (n_9496));
+ NOR2X1 g32973(.A (o9_empty), .B (n_12585), .Y (n_9495));
+ MX2X1 g33089(.A (n_392), .B (wb_din), .S0 (n_8643), .Y (n_8655));
+ MX2X1 g33090(.A (n_56), .B (wb_din_670), .S0 (n_8643), .Y (n_8654));
+ MX2X1 g33091(.A (n_138), .B (wb_din_671), .S0 (n_8643), .Y (n_8653));
+ MX2X1 g33092(.A (n_338), .B (wb_din_672), .S0 (n_8643), .Y (n_8652));
+ MX2X1 g33093(.A (n_179), .B (wb_din_673), .S0 (n_8643), .Y (n_8651));
+ MX2X1 g33094(.A (n_177), .B (wb_din_674), .S0 (n_8643), .Y (n_8649));
+ MX2X1 g33095(.A (n_170), .B (wb_din_675), .S0 (n_8643), .Y (n_8648));
+ MX2X1 g33096(.A (n_227), .B (wb_din_661), .S0 (n_8643), .Y (n_8646));
+ MX2X1 g33097(.A (n_306), .B (wb_din_662), .S0 (n_8643), .Y (n_8644));
+ MX2X1 g33098(.A (n_130), .B (wb_din_664), .S0 (n_8643), .Y (n_8642));
+ MX2X1 g33099(.A (n_71), .B (wb_din_663), .S0 (n_8643), .Y (n_8641));
+ MX2X1 g33100(.A (n_311), .B (wb_din_665), .S0 (n_8643), .Y (n_8640));
+ MX2X1 g33101(.A (n_149), .B (wb_din_666), .S0 (n_8643), .Y (n_8639));
+ MX2X1 g33102(.A (n_357), .B (wb_din_667), .S0 (n_8643), .Y (n_8638));
+ MX2X1 g33103(.A (n_231), .B (wb_din_668), .S0 (n_8643), .Y (n_8637));
+ MX2X1 g33104(.A (n_112), .B (wb_din_669), .S0 (n_8643), .Y (n_8636));
+ MX2X1 g33105(.A (crac_out_861), .B (wb_din_676), .S0 (n_8643), .Y
+ (n_8635));
+ MX2X1 g33106(.A (crac_out_863), .B (wb_din_678), .S0 (n_8643), .Y
+ (n_8634));
+ MX2X1 g33107(.A (crac_out_862), .B (wb_din_677), .S0 (n_8643), .Y
+ (n_8633));
+ MX2X1 g33108(.A (crac_out_864), .B (wb_din_679), .S0 (n_8643), .Y
+ (n_8631));
+ MX2X1 g33109(.A (crac_out_865), .B (wb_din_680), .S0 (n_8643), .Y
+ (n_8630));
+ MX2X1 g33110(.A (crac_out_866), .B (wb_din_681), .S0 (n_8643), .Y
+ (n_8628));
+ MX2X1 g33111(.A (crac_out_867), .B (wb_din_682), .S0 (n_8643), .Y
+ (n_8627));
+ MX2X1 g33112(.A (crac_out_876), .B (wb_din_691), .S0 (n_8643), .Y
+ (n_8626));
+ MX2X1 g33113(.A (n_11564), .B (wb_din), .S0 (n_8611), .Y (n_8624));
+ MX2X1 g33114(.A (n_4738), .B (wb_din_670), .S0 (n_8611), .Y (n_8622));
+ MX2X1 g33115(.A (n_4690), .B (wb_din_671), .S0 (n_8611), .Y (n_8620));
+ MX2X1 g33116(.A (n_4736), .B (wb_din_672), .S0 (n_8611), .Y (n_8618));
+ MX2X1 g33117(.A (n_4734), .B (wb_din_673), .S0 (n_8611), .Y (n_8616));
+ MX2X1 g33118(.A (n_4687), .B (wb_din_674), .S0 (n_8611), .Y (n_8613));
+ MX2X1 g33119(.A (ic1_cfg_1040), .B (wb_din_675), .S0 (n_8611), .Y
+ (n_8610));
+ MX2X1 g33120(.A (n_11772), .B (wb_din_676), .S0 (n_8611), .Y
+ (n_8608));
+ MX2X1 g33121(.A (ic2_cfg_1044), .B (wb_din_677), .S0 (n_8611), .Y
+ (n_8606));
+ MX2X1 g33122(.A (n_4683), .B (wb_din_678), .S0 (n_8611), .Y (n_8604));
+ MX2X1 g33123(.A (n_4706), .B (wb_din_679), .S0 (n_8611), .Y (n_8601));
+ MX2X1 g33124(.A (ic0_cfg_1024), .B (wb_din_661), .S0 (n_8611), .Y
+ (n_8599));
+ MX2X1 g33125(.A (n_5788), .B (wb_din_680), .S0 (n_8611), .Y (n_8597));
+ MX2X1 g33126(.A (n_5588), .B (wb_din_681), .S0 (n_8611), .Y (n_8594));
+ MX2X1 g33127(.A (ic2_cfg_1049), .B (wb_din_682), .S0 (n_8611), .Y
+ (n_8592));
+ MX2X1 g33128(.A (ic2_cfg_1050), .B (wb_din_683), .S0 (n_8611), .Y
+ (n_8589));
+ MX2X1 g33129(.A (n_4713), .B (wb_din_662), .S0 (n_8611), .Y (n_8588));
+ MX2X1 g33130(.A (n_4710), .B (wb_din_663), .S0 (n_8611), .Y (n_8586));
+ MX2X1 g33131(.A (n_4708), .B (wb_din_664), .S0 (n_8611), .Y (n_8584));
+ MX2X1 g33132(.A (n_4703), .B (wb_din_665), .S0 (n_8611), .Y (n_8581));
+ MX2X1 g33133(.A (n_4699), .B (wb_din_666), .S0 (n_8611), .Y (n_8579));
+ MX2X1 g33134(.A (ic0_cfg_1030), .B (wb_din_667), .S0 (n_8611), .Y
+ (n_8577));
+ MX2X1 g33135(.A (n_11600), .B (wb_din_668), .S0 (n_8611), .Y
+ (n_8575));
+ MX2X1 g33136(.A (ic1_cfg_1034), .B (wb_din_669), .S0 (n_8611), .Y
+ (n_8573));
+ MX2X1 g33137(.A (wb_din), .B (n_862), .S0 (n_8538), .Y (n_8571));
+ MX2X1 g33138(.A (wb_din_670), .B (oc1_cfg_975), .S0 (n_8538), .Y
+ (n_8570));
+ MX2X1 g33139(.A (wb_din_671), .B (oc1_cfg_976), .S0 (n_8538), .Y
+ (n_8569));
+ MX2X1 g33140(.A (wb_din_672), .B (n_8567), .S0 (n_8538), .Y (n_8568));
+ MX2X1 g33141(.A (wb_din_673), .B (n_8565), .S0 (n_8538), .Y (n_8566));
+ MX2X1 g33142(.A (wb_din_674), .B (oc1_cfg_979), .S0 (n_8538), .Y
+ (n_8564));
+ MX2X1 g33143(.A (wb_din_675), .B (oc1_cfg_980), .S0 (n_8538), .Y
+ (n_8563));
+ MX2X1 g33144(.A (wb_din_676), .B (n_9833), .S0 (n_8538), .Y (n_8562));
+ MX2X1 g33145(.A (wb_din_677), .B (oc2_cfg_984), .S0 (n_8538), .Y
+ (n_8560));
+ MX2X1 g33146(.A (wb_din_678), .B (oc2_cfg_985), .S0 (n_8538), .Y
+ (n_8558));
+ MX2X1 g33147(.A (wb_din_679), .B (oc2_cfg_986), .S0 (n_8538), .Y
+ (n_8556));
+ MX2X1 g33148(.A (wb_din_661), .B (oc0_cfg_964), .S0 (n_8538), .Y
+ (n_8555));
+ MX2X1 g33149(.A (wb_din_680), .B (oc2_cfg_987), .S0 (n_8538), .Y
+ (n_8554));
+ MX2X1 g33150(.A (wb_din_681), .B (n_8550), .S0 (n_8538), .Y (n_8551));
+ MX2X1 g33151(.A (wb_din_682), .B (n_3987), .S0 (n_8538), .Y (n_8549));
+ MX2X1 g33152(.A (wb_din_683), .B (oc2_cfg_990), .S0 (n_8538), .Y
+ (n_8548));
+ MX2X1 g33153(.A (wb_din_684), .B (n_1873), .S0 (n_8538), .Y (n_8546));
+ MX2X1 g33154(.A (wb_din_685), .B (oc3_cfg_994), .S0 (n_8538), .Y
+ (n_8545));
+ MX2X1 g33155(.A (wb_din_686), .B (oc3_cfg_995), .S0 (n_8538), .Y
+ (n_8543));
+ MX2X1 g33156(.A (wb_din_687), .B (oc3_cfg_996), .S0 (n_8538), .Y
+ (n_8542));
+ MX2X1 g33157(.A (wb_din_688), .B (oc3_cfg_997), .S0 (n_8538), .Y
+ (n_8540));
+ MX2X1 g33158(.A (wb_din_689), .B (n_8536), .S0 (n_8538), .Y (n_8537));
+ MX2X1 g33159(.A (wb_din_662), .B (oc0_cfg_965), .S0 (n_8538), .Y
+ (n_8535));
+ MX2X1 g33160(.A (wb_din_690), .B (oc3_cfg_999), .S0 (n_8538), .Y
+ (n_8534));
+ MX2X1 g33161(.A (wb_din_691), .B (oc3_cfg_1000), .S0 (n_8538), .Y
+ (n_8532));
+ MX2X1 g33162(.A (wb_din_663), .B (oc0_cfg_966), .S0 (n_8538), .Y
+ (n_8530));
+ MX2X1 g33163(.A (wb_din_664), .B (n_8528), .S0 (n_8538), .Y (n_8529));
+ MX2X1 g33164(.A (wb_din_665), .B (n_8526), .S0 (n_8538), .Y (n_8527));
+ MX2X1 g33165(.A (wb_din_666), .B (oc0_cfg_969), .S0 (n_8538), .Y
+ (n_8525));
+ MX2X1 g33166(.A (wb_din_667), .B (oc0_cfg_970), .S0 (n_8538), .Y
+ (n_8524));
+ MX2X1 g33167(.A (wb_din_668), .B (n_991), .S0 (n_8538), .Y (n_8523));
+ MX2X1 g33168(.A (wb_din_669), .B (oc1_cfg_974), .S0 (n_8538), .Y
+ (n_8522));
+ MX2X1 g33190(.A (\u13_intm_r[0] ), .B (wb_din), .S0 (n_8519), .Y
+ (n_8521));
+ MX2X1 g33191(.A (\u13_intm_r[10] ), .B (wb_din_670), .S0 (n_8519), .Y
+ (n_8520));
+ MX2X1 g33192(.A (\u13_intm_r[11] ), .B (wb_din_671), .S0 (n_8519), .Y
+ (n_8518));
+ MX2X1 g33193(.A (\u13_intm_r[12] ), .B (wb_din_672), .S0 (n_8519), .Y
+ (n_8517));
+ MX2X1 g33194(.A (\u13_intm_r[13] ), .B (wb_din_673), .S0 (n_8519), .Y
+ (n_8516));
+ MX2X1 g33195(.A (\u13_intm_r[14] ), .B (wb_din_674), .S0 (n_8519), .Y
+ (n_8515));
+ MX2X1 g33196(.A (\u13_intm_r[15] ), .B (wb_din_675), .S0 (n_8519), .Y
+ (n_8514));
+ MX2X1 g33197(.A (\u13_intm_r[16] ), .B (wb_din_676), .S0 (n_8519), .Y
+ (n_8513));
+ MX2X1 g33198(.A (\u13_intm_r[17] ), .B (wb_din_677), .S0 (n_8519), .Y
+ (n_8512));
+ MX2X1 g33199(.A (\u13_intm_r[18] ), .B (wb_din_678), .S0 (n_8519), .Y
+ (n_8511));
+ MX2X1 g33200(.A (\u13_intm_r[19] ), .B (wb_din_679), .S0 (n_8519), .Y
+ (n_8510));
+ MX2X1 g33201(.A (\u13_intm_r[1] ), .B (wb_din_661), .S0 (n_8519), .Y
+ (n_8509));
+ MX2X1 g33202(.A (\u13_intm_r[20] ), .B (wb_din_680), .S0 (n_8519), .Y
+ (n_8508));
+ MX2X1 g33203(.A (\u13_intm_r[21] ), .B (wb_din_681), .S0 (n_8519), .Y
+ (n_8507));
+ MX2X1 g33204(.A (\u13_intm_r[22] ), .B (wb_din_682), .S0 (n_8519), .Y
+ (n_8506));
+ MX2X1 g33205(.A (\u13_intm_r[23] ), .B (wb_din_683), .S0 (n_8519), .Y
+ (n_8505));
+ MX2X1 g33206(.A (\u13_intm_r[24] ), .B (wb_din_684), .S0 (n_8519), .Y
+ (n_8504));
+ MX2X1 g33207(.A (\u13_intm_r[25] ), .B (wb_din_685), .S0 (n_8519), .Y
+ (n_8502));
+ MX2X1 g33208(.A (\u13_intm_r[26] ), .B (wb_din_686), .S0 (n_8519), .Y
+ (n_8500));
+ MX2X1 g33209(.A (\u13_intm_r[27] ), .B (wb_din_687), .S0 (n_8519), .Y
+ (n_8498));
+ MX2X1 g33210(.A (\u13_intm_r[28] ), .B (wb_din_688), .S0 (n_8519), .Y
+ (n_8496));
+ MX2X1 g33211(.A (\u13_intm_r[2] ), .B (wb_din_662), .S0 (n_8519), .Y
+ (n_8494));
+ MX2X1 g33212(.A (\u13_intm_r[3] ), .B (wb_din_663), .S0 (n_8519), .Y
+ (n_8493));
+ MX2X1 g33213(.A (\u13_intm_r[4] ), .B (wb_din_664), .S0 (n_8519), .Y
+ (n_8492));
+ MX2X1 g33214(.A (\u13_intm_r[5] ), .B (wb_din_665), .S0 (n_8519), .Y
+ (n_8491));
+ MX2X1 g33215(.A (\u13_intm_r[6] ), .B (wb_din_666), .S0 (n_8519), .Y
+ (n_8490));
+ MX2X1 g33216(.A (\u13_intm_r[7] ), .B (wb_din_667), .S0 (n_8519), .Y
+ (n_8489));
+ MX2X1 g33217(.A (\u13_intm_r[8] ), .B (wb_din_668), .S0 (n_8519), .Y
+ (n_8488));
+ MX2X1 g33218(.A (\u13_intm_r[9] ), .B (wb_din_669), .S0 (n_8519), .Y
+ (n_8487));
+ INVX1 g33223(.A (o4_empty), .Y (n_9541));
+ INVX1 g33227(.A (o7_empty), .Y (n_9536));
+ INVX1 g33249(.A (u15_crac_we_r), .Y (n_8486));
+ DFFX1 \u1_sr_reg[9] (.CK (bit_clk_pad_i), .D (u1_sr_124), .Q
+ (u1_sr_125), .QN ());
+ AOI21X1 g33260(.A0 (n_7458), .A1 (n_4730), .B0 (n_7353), .Y (n_8485));
+ AOI21X1 g33389(.A0 (n_1309), .A1 (n_7480), .B0 (n_1260), .Y (n_8484));
+ AOI21X1 g33390(.A0 (n_1126), .A1 (n_7479), .B0 (n_1259), .Y (n_8483));
+ AOI21X1 g33391(.A0 (n_1120), .A1 (n_7478), .B0 (n_1289), .Y (n_8482));
+ AOI22X1 g33395(.A0 (n_2487), .A1 (n_7490), .B0 (n_7976), .B1
+ (\u8_wp[2] ), .Y (n_8481));
+ AOI22X1 g33396(.A0 (n_4333), .A1 (n_7423), .B0 (n_8101), .B1
+ (\u3_wp[2] ), .Y (n_8480));
+ AOI22X1 g33397(.A0 (n_4395), .A1 (n_7499), .B0 (n_7984), .B1
+ (\u4_wp[2] ), .Y (n_8479));
+ AOI22X1 g33398(.A0 (n_4349), .A1 (n_7496), .B0 (n_7870), .B1
+ (\u5_wp[2] ), .Y (n_8478));
+ AOI22X1 g33399(.A0 (n_4389), .A1 (n_7505), .B0 (n_7758), .B1
+ (\u6_wp[2] ), .Y (n_8477));
+ AOI22X1 g33400(.A0 (n_4299), .A1 (n_7493), .B0 (n_7651), .B1
+ (\u7_wp[2] ), .Y (n_8476));
+ OAI21X1 g33401(.A0 (n_4489), .A1 (n_8464), .B0 (n_8173), .Y (n_8475));
+ OAI21X1 g33402(.A0 (n_5122), .A1 (n_8856), .B0 (n_8172), .Y (n_8474));
+ OAI21X1 g33403(.A0 (n_4490), .A1 (n_8894), .B0 (n_8070), .Y (n_8473));
+ OAI21X1 g33404(.A0 (n_4492), .A1 (n_8868), .B0 (n_8171), .Y (n_8472));
+ OAI21X1 g33405(.A0 (n_5158), .A1 (n_8911), .B0 (n_8169), .Y (n_8471));
+ OAI21X1 g33406(.A0 (n_4493), .A1 (n_8933), .B0 (n_8072), .Y (n_8470));
+ OAI21X1 g33407(.A0 (n_4530), .A1 (n_8464), .B0 (n_8168), .Y (n_8469));
+ OAI21X1 g33408(.A0 (n_3867), .A1 (n_8894), .B0 (n_8154), .Y (n_8468));
+ OAI21X1 g33409(.A0 (n_3886), .A1 (n_8894), .B0 (n_8118), .Y (n_8467));
+ OAI21X1 g33410(.A0 (n_3851), .A1 (n_8464), .B0 (n_7838), .Y (n_8465));
+ OAI21X1 g33411(.A0 (n_5155), .A1 (n_8856), .B0 (n_7607), .Y (n_8463));
+ OAI21X1 g33412(.A0 (n_3842), .A1 (n_8930), .B0 (n_7609), .Y (n_8462));
+ OAI21X1 g33413(.A0 (n_5154), .A1 (n_8911), .B0 (n_8164), .Y (n_8460));
+ OAI21X1 g33414(.A0 (n_3889), .A1 (n_8457), .B0 (n_8163), .Y (n_8459));
+ OAI21X1 g33415(.A0 (n_3845), .A1 (n_8457), .B0 (n_8162), .Y (n_8458));
+ OAI21X1 g33416(.A0 (n_3884), .A1 (n_8433), .B0 (n_8160), .Y (n_8456));
+ OAI21X1 g33417(.A0 (n_5153), .A1 (n_8911), .B0 (n_7618), .Y (n_8455));
+ OAI21X1 g33418(.A0 (n_3847), .A1 (n_8453), .B0 (n_7629), .Y (n_8454));
+ OAI21X1 g33419(.A0 (n_5152), .A1 (n_8097), .B0 (n_8158), .Y (n_8452));
+ OAI21X1 g33420(.A0 (n_3843), .A1 (n_8449), .B0 (n_8157), .Y (n_8450));
+ OAI21X1 g33421(.A0 (n_3871), .A1 (n_8449), .B0 (n_8156), .Y (n_8448));
+ OAI21X1 g33422(.A0 (n_5151), .A1 (n_8097), .B0 (n_7818), .Y (n_8447));
+ OAI21X1 g33423(.A0 (n_3869), .A1 (n_8894), .B0 (n_8047), .Y (n_8446));
+ OAI21X1 g33424(.A0 (n_5150), .A1 (n_8440), .B0 (n_8058), .Y (n_8445));
+ OAI21X1 g33425(.A0 (n_3888), .A1 (n_8464), .B0 (n_8153), .Y (n_8443));
+ OAI21X1 g33426(.A0 (n_3874), .A1 (n_8453), .B0 (n_8152), .Y (n_8442));
+ OAI21X1 g33427(.A0 (n_5149), .A1 (n_8440), .B0 (n_8064), .Y (n_8441));
+ OAI21X1 g33428(.A0 (n_3878), .A1 (n_8438), .B0 (n_8151), .Y (n_8439));
+ OAI21X1 g33429(.A0 (n_3880), .A1 (n_8438), .B0 (n_8150), .Y (n_8437));
+ OAI21X1 g33430(.A0 (n_5147), .A1 (n_8097), .B0 (n_8069), .Y (n_8436));
+ OAI21X1 g33431(.A0 (n_3881), .A1 (n_8438), .B0 (n_8149), .Y (n_8435));
+ OAI21X1 g33432(.A0 (n_3883), .A1 (n_8433), .B0 (n_8148), .Y (n_8434));
+ OAI21X1 g33433(.A0 (n_4537), .A1 (n_8101), .B0 (n_8071), .Y (n_8432));
+ OAI21X1 g33434(.A0 (n_3885), .A1 (n_8433), .B0 (n_8146), .Y (n_8431));
+ OAI21X1 g33435(.A0 (n_4348), .A1 (n_8101), .B0 (n_8144), .Y (n_8430));
+ OAI21X1 g33436(.A0 (n_3854), .A1 (n_8930), .B0 (n_8143), .Y (n_8428));
+ OAI21X1 g33437(.A0 (n_4534), .A1 (n_8440), .B0 (n_8142), .Y (n_8427));
+ OAI21X1 g33438(.A0 (n_3855), .A1 (n_8930), .B0 (n_8140), .Y (n_8426));
+ OAI21X1 g33439(.A0 (n_3860), .A1 (n_8433), .B0 (n_8139), .Y (n_8425));
+ OAI21X1 g33440(.A0 (n_4532), .A1 (n_8393), .B0 (n_8138), .Y (n_8424));
+ OAI21X1 g33441(.A0 (n_3864), .A1 (n_8453), .B0 (n_8137), .Y (n_8422));
+ OAI21X1 g33442(.A0 (n_4325), .A1 (n_8856), .B0 (n_8136), .Y (n_8421));
+ OAI21X1 g33443(.A0 (n_3856), .A1 (n_8457), .B0 (n_8133), .Y (n_8420));
+ OAI21X1 g33444(.A0 (n_3861), .A1 (n_8457), .B0 (n_7833), .Y (n_8419));
+ OAI21X1 g33445(.A0 (n_4528), .A1 (n_8911), .B0 (n_8131), .Y (n_8418));
+ OAI21X1 g33446(.A0 (n_3877), .A1 (n_8449), .B0 (n_7836), .Y (n_8416));
+ OAI21X1 g33447(.A0 (n_4296), .A1 (n_8097), .B0 (n_7723), .Y (n_8415));
+ OAI21X1 g33448(.A0 (n_4277), .A1 (n_8898), .B0 (n_8126), .Y (n_8413));
+ OAI21X1 g33449(.A0 (n_4486), .A1 (n_8856), .B0 (n_8129), .Y (n_8412));
+ OAI21X1 g33450(.A0 (n_4347), .A1 (n_8911), .B0 (n_8127), .Y (n_8411));
+ OAI21X1 g33451(.A0 (n_4526), .A1 (n_8097), .B0 (n_7724), .Y (n_8410));
+ OAI21X1 g33452(.A0 (n_5156), .A1 (n_8911), .B0 (n_8167), .Y (n_8408));
+ OAI21X1 g33453(.A0 (n_4474), .A1 (n_8393), .B0 (n_7946), .Y (n_8407));
+ OAI21X1 g33454(.A0 (n_4524), .A1 (n_8911), .B0 (n_8060), .Y (n_8406));
+ OAI21X1 g33455(.A0 (n_4320), .A1 (n_8101), .B0 (n_8123), .Y (n_8405));
+ OAI21X1 g33456(.A0 (n_4522), .A1 (n_8097), .B0 (n_8121), .Y (n_8404));
+ OAI21X1 g33457(.A0 (n_4341), .A1 (n_8856), .B0 (n_8120), .Y (n_8403));
+ OAI21X1 g33458(.A0 (n_4521), .A1 (n_8101), .B0 (n_8119), .Y (n_8402));
+ OAI21X1 g33459(.A0 (n_4520), .A1 (n_8440), .B0 (n_8117), .Y (n_8401));
+ OAI21X1 g33460(.A0 (n_4518), .A1 (n_8097), .B0 (n_8116), .Y (n_8400));
+ OAI21X1 g33461(.A0 (n_4392), .A1 (n_8393), .B0 (n_8115), .Y (n_8399));
+ OAI21X1 g33462(.A0 (n_4480), .A1 (n_8097), .B0 (n_8114), .Y (n_8398));
+ OAI21X1 g33463(.A0 (n_4394), .A1 (n_8097), .B0 (n_8113), .Y (n_8397));
+ OAI21X1 g33464(.A0 (n_4293), .A1 (n_8856), .B0 (n_8112), .Y (n_8396));
+ OAI21X1 g33465(.A0 (n_4324), .A1 (n_8911), .B0 (n_8110), .Y (n_8395));
+ OAI21X1 g33466(.A0 (n_4513), .A1 (n_8393), .B0 (n_8109), .Y (n_8394));
+ OAI21X1 g33467(.A0 (n_4512), .A1 (n_8440), .B0 (n_8107), .Y (n_8392));
+ OAI21X1 g33468(.A0 (n_4517), .A1 (n_8393), .B0 (n_8106), .Y (n_8391));
+ OAI21X1 g33469(.A0 (n_4511), .A1 (n_8440), .B0 (n_8105), .Y (n_8390));
+ OAI21X1 g33470(.A0 (n_4859), .A1 (n_8387), .B0 (n_8104), .Y (n_8389));
+ OAI21X1 g33471(.A0 (n_4873), .A1 (n_8387), .B0 (n_8102), .Y (n_8388));
+ OAI21X1 g33472(.A0 (n_4871), .A1 (n_9022), .B0 (n_8100), .Y (n_8386));
+ OAI21X1 g33473(.A0 (n_4889), .A1 (n_8383), .B0 (n_8099), .Y (n_8385));
+ OAI21X1 g33474(.A0 (n_5139), .A1 (n_8383), .B0 (n_8098), .Y (n_8384));
+ OAI21X1 g33475(.A0 (n_4955), .A1 (n_8380), .B0 (n_8096), .Y (n_8382));
+ OAI21X1 g33476(.A0 (n_5137), .A1 (n_8380), .B0 (n_8095), .Y (n_8381));
+ OAI21X1 g33477(.A0 (n_5136), .A1 (n_8380), .B0 (n_8094), .Y (n_8379));
+ OAI21X1 g33478(.A0 (n_5135), .A1 (n_8380), .B0 (n_8093), .Y (n_8378));
+ OAI21X1 g33479(.A0 (n_4910), .A1 (n_8375), .B0 (n_8092), .Y (n_8377));
+ OAI21X1 g33480(.A0 (n_5134), .A1 (n_8375), .B0 (n_8091), .Y (n_8376));
+ OAI21X1 g33481(.A0 (n_5132), .A1 (n_8372), .B0 (n_8090), .Y (n_8374));
+ OAI21X1 g33482(.A0 (n_4958), .A1 (n_8372), .B0 (n_8088), .Y (n_8373));
+ OAI21X1 g33483(.A0 (n_5093), .A1 (n_8369), .B0 (n_8087), .Y (n_8371));
+ OAI21X1 g33484(.A0 (n_5131), .A1 (n_8369), .B0 (n_8061), .Y (n_8370));
+ OAI21X1 g33485(.A0 (n_5120), .A1 (n_8372), .B0 (n_8063), .Y (n_8368));
+ OAI21X1 g33486(.A0 (n_5129), .A1 (n_8372), .B0 (n_8085), .Y (n_8367));
+ OAI21X1 g33487(.A0 (n_5123), .A1 (n_8369), .B0 (n_8084), .Y (n_8366));
+ OAI21X1 g33488(.A0 (n_3887), .A1 (n_8894), .B0 (n_8080), .Y (n_8365));
+ OAI21X1 g33489(.A0 (n_5142), .A1 (n_8369), .B0 (n_8083), .Y (n_8364));
+ OAI21X1 g33490(.A0 (n_5066), .A1 (n_8383), .B0 (n_8081), .Y (n_8363));
+ OAI21X1 g33491(.A0 (n_5127), .A1 (n_8383), .B0 (n_8082), .Y (n_8362));
+ OAI21X1 g33492(.A0 (n_5130), .A1 (n_8375), .B0 (n_7619), .Y (n_8361));
+ OAI21X1 g33493(.A0 (n_4953), .A1 (n_8375), .B0 (n_8079), .Y (n_8360));
+ OAI21X1 g33494(.A0 (n_4954), .A1 (n_8357), .B0 (n_8057), .Y (n_8359));
+ OAI21X1 g33495(.A0 (n_5125), .A1 (n_8357), .B0 (n_8065), .Y (n_8358));
+ OAI21X1 g33496(.A0 (n_4863), .A1 (n_8097), .B0 (n_7575), .Y (n_8356));
+ OAI21X1 g33497(.A0 (n_5121), .A1 (n_8357), .B0 (n_8075), .Y (n_8355));
+ OAI21X1 g33498(.A0 (n_5124), .A1 (n_8357), .B0 (n_8074), .Y (n_8354));
+ OAI21X1 g33499(.A0 (n_4908), .A1 (n_8387), .B0 (n_8073), .Y (n_8353));
+ OAI21X1 g33500(.A0 (n_5126), .A1 (n_8387), .B0 (n_7834), .Y (n_8352));
+ OAI21X1 g33501(.A0 (n_4527), .A1 (n_8894), .B0 (n_7592), .Y (n_8351));
+ OAI21X1 g33502(.A0 (n_3872), .A1 (n_8464), .B0 (n_8147), .Y (n_8350));
+ OAI21X1 g33503(.A0 (n_4485), .A1 (n_8891), .B0 (n_7558), .Y (n_8349));
+ OAI21X1 g33504(.A0 (n_4960), .A1 (n_9022), .B0 (n_8086), .Y (n_8348));
+ OAI21X1 g33505(.A0 (n_4855), .A1 (n_8911), .B0 (n_7559), .Y (n_8347));
+ OAI21X1 g33506(.A0 (n_4345), .A1 (n_8393), .B0 (n_8066), .Y (n_8346));
+ OAI21X1 g33507(.A0 (n_4473), .A1 (n_8333), .B0 (n_8056), .Y (n_8345));
+ OAI21X1 g33508(.A0 (n_4381), .A1 (n_9349), .B0 (n_8055), .Y (n_8344));
+ OAI21X1 g33509(.A0 (n_4472), .A1 (n_8333), .B0 (n_8054), .Y (n_8343));
+ OAI21X1 g33510(.A0 (n_4470), .A1 (n_9346), .B0 (n_8052), .Y (n_8342));
+ OAI21X1 g33511(.A0 (n_4469), .A1 (n_8333), .B0 (n_8051), .Y (n_8341));
+ OAI21X1 g33512(.A0 (n_4467), .A1 (n_8333), .B0 (n_8049), .Y (n_8340));
+ OAI21X1 g33513(.A0 (n_4465), .A1 (n_9349), .B0 (n_8048), .Y (n_8338));
+ OAI21X1 g33514(.A0 (n_4475), .A1 (n_8333), .B0 (n_8046), .Y (n_8336));
+ OAI21X1 g33515(.A0 (n_4464), .A1 (n_8333), .B0 (n_8045), .Y (n_8334));
+ OAI21X1 g33516(.A0 (n_4463), .A1 (n_9346), .B0 (n_8044), .Y (n_8332));
+ OAI21X1 g33517(.A0 (n_4462), .A1 (n_9346), .B0 (n_8042), .Y (n_8330));
+ OAI21X1 g33518(.A0 (n_4461), .A1 (n_8333), .B0 (n_8041), .Y (n_8329));
+ OAI21X1 g33519(.A0 (n_4460), .A1 (n_9326), .B0 (n_8039), .Y (n_8328));
+ OAI21X1 g33520(.A0 (n_4459), .A1 (n_8333), .B0 (n_8037), .Y (n_8327));
+ OAI21X1 g33521(.A0 (n_4458), .A1 (n_9349), .B0 (n_8036), .Y (n_8326));
+ OAI21X1 g33522(.A0 (n_4456), .A1 (n_9349), .B0 (n_8035), .Y (n_8325));
+ OAI21X1 g33523(.A0 (n_4478), .A1 (n_9349), .B0 (n_8034), .Y (n_8324));
+ OAI21X1 g33524(.A0 (n_4455), .A1 (n_8318), .B0 (n_8033), .Y (n_8322));
+ OAI21X1 g33525(.A0 (n_4454), .A1 (n_8333), .B0 (n_8032), .Y (n_8321));
+ OAI21X1 g33526(.A0 (n_4453), .A1 (n_9333), .B0 (n_8031), .Y (n_8320));
+ OAI21X1 g33527(.A0 (n_4508), .A1 (n_8318), .B0 (n_8030), .Y (n_8319));
+ OAI21X1 g33528(.A0 (n_4514), .A1 (n_9333), .B0 (n_8029), .Y (n_8317));
+ OAI21X1 g33529(.A0 (n_4451), .A1 (n_8333), .B0 (n_8028), .Y (n_8315));
+ OAI21X1 g33530(.A0 (n_4450), .A1 (n_9326), .B0 (n_8027), .Y (n_8313));
+ OAI21X1 g33531(.A0 (n_4449), .A1 (n_8333), .B0 (n_8026), .Y (n_8311));
+ OAI21X1 g33532(.A0 (n_4448), .A1 (n_8333), .B0 (n_8025), .Y (n_8310));
+ OAI21X1 g33533(.A0 (n_4447), .A1 (n_9336), .B0 (n_8024), .Y (n_8309));
+ OAI21X1 g33534(.A0 (n_4446), .A1 (n_9336), .B0 (n_8023), .Y (n_8308));
+ OAI21X1 g33535(.A0 (n_4297), .A1 (n_8333), .B0 (n_8022), .Y (n_8306));
+ OAI21X1 g33536(.A0 (n_4445), .A1 (n_8318), .B0 (n_8021), .Y (n_8305));
+ OAI21X1 g33537(.A0 (n_4444), .A1 (n_8333), .B0 (n_8020), .Y (n_8304));
+ OAI21X1 g33538(.A0 (n_4295), .A1 (n_9349), .B0 (n_8019), .Y (n_8303));
+ OAI21X1 g33539(.A0 (n_4442), .A1 (n_8333), .B0 (n_8018), .Y (n_8302));
+ OAI21X1 g33540(.A0 (n_4441), .A1 (n_9349), .B0 (n_8017), .Y (n_8301));
+ OAI21X1 g33541(.A0 (n_4440), .A1 (n_8318), .B0 (n_8016), .Y (n_8300));
+ OAI21X1 g33542(.A0 (n_4310), .A1 (n_8318), .B0 (n_8015), .Y (n_8298));
+ OAI21X1 g33543(.A0 (n_4438), .A1 (n_9349), .B0 (n_8014), .Y (n_8297));
+ OAI21X1 g33544(.A0 (n_4436), .A1 (n_8333), .B0 (n_8013), .Y (n_8296));
+ OAI21X1 g33545(.A0 (n_4435), .A1 (n_8318), .B0 (n_8012), .Y (n_8295));
+ OAI21X1 g33546(.A0 (n_4433), .A1 (n_8333), .B0 (n_8011), .Y (n_8294));
+ OAI21X1 g33547(.A0 (n_4432), .A1 (n_8333), .B0 (n_8010), .Y (n_8293));
+ OAI21X1 g33548(.A0 (n_4431), .A1 (n_8333), .B0 (n_8009), .Y (n_8291));
+ OAI21X1 g33549(.A0 (n_4430), .A1 (n_9333), .B0 (n_8008), .Y (n_8290));
+ OAI21X1 g33550(.A0 (n_4429), .A1 (n_8333), .B0 (n_8007), .Y (n_8289));
+ OAI21X1 g33551(.A0 (n_4327), .A1 (n_8318), .B0 (n_8006), .Y (n_8287));
+ OAI21X1 g33552(.A0 (n_4428), .A1 (n_9333), .B0 (n_8005), .Y (n_8286));
+ OAI21X1 g33553(.A0 (n_4427), .A1 (n_9346), .B0 (n_8004), .Y (n_8285));
+ OAI21X1 g33554(.A0 (n_4426), .A1 (n_8333), .B0 (n_8003), .Y (n_8284));
+ OAI21X1 g33555(.A0 (n_4425), .A1 (n_8333), .B0 (n_8002), .Y (n_8283));
+ OAI21X1 g33556(.A0 (n_4423), .A1 (n_8333), .B0 (n_8001), .Y (n_8282));
+ OAI21X1 g33557(.A0 (n_4422), .A1 (n_9326), .B0 (n_8000), .Y (n_8281));
+ OAI21X1 g33558(.A0 (n_4421), .A1 (n_8333), .B0 (n_7999), .Y (n_8280));
+ OAI21X1 g33559(.A0 (n_4420), .A1 (n_8333), .B0 (n_7998), .Y (n_8278));
+ OAI21X1 g33560(.A0 (n_4419), .A1 (n_8333), .B0 (n_7997), .Y (n_8277));
+ OAI21X1 g33561(.A0 (n_4418), .A1 (n_8333), .B0 (n_7996), .Y (n_8275));
+ OAI21X1 g33562(.A0 (n_4417), .A1 (n_9336), .B0 (n_7995), .Y (n_8274));
+ OAI21X1 g33563(.A0 (n_4416), .A1 (n_9326), .B0 (n_7994), .Y (n_8273));
+ OAI21X1 g33564(.A0 (n_4414), .A1 (n_9336), .B0 (n_7993), .Y (n_8272));
+ OAI21X1 g33565(.A0 (n_4413), .A1 (n_8333), .B0 (n_7992), .Y (n_8271));
+ OAI21X1 g33566(.A0 (n_4411), .A1 (n_8333), .B0 (n_7991), .Y (n_8270));
+ OAI21X1 g33567(.A0 (n_4410), .A1 (n_8333), .B0 (n_7990), .Y (n_8269));
+ OAI21X1 g33568(.A0 (n_4409), .A1 (n_8333), .B0 (n_7989), .Y (n_8268));
+ OAI21X1 g33569(.A0 (n_4408), .A1 (n_8333), .B0 (n_7988), .Y (n_8267));
+ OAI21X1 g33570(.A0 (n_4407), .A1 (n_8318), .B0 (n_7987), .Y (n_8266));
+ OAI21X1 g33571(.A0 (n_5114), .A1 (n_8318), .B0 (n_7986), .Y (n_8265));
+ OAI21X1 g33572(.A0 (n_5107), .A1 (n_8318), .B0 (n_7985), .Y (n_8264));
+ OAI21X1 g33573(.A0 (n_5105), .A1 (n_8318), .B0 (n_7983), .Y (n_8262));
+ OAI21X1 g33574(.A0 (n_5104), .A1 (n_8318), .B0 (n_7981), .Y (n_8261));
+ OAI21X1 g33575(.A0 (n_5103), .A1 (n_9349), .B0 (n_7980), .Y (n_8260));
+ OAI21X1 g33576(.A0 (n_5099), .A1 (n_9349), .B0 (n_7979), .Y (n_8259));
+ MX2X1 g34070(.A (u1_sr_123), .B (in_slt_749), .S0 (out_le_180), .Y
+ (n_8258));
+ MX2X1 g34080(.A (u1_sr_123), .B (in_slt_833), .S0 (out_le_181), .Y
+ (n_8257));
+ MX2X1 g34081(.A (u1_sr_123), .B (in_slt_403), .S0 (out_le_182), .Y
+ (n_8256));
+ MX2X1 g34082(.A (u1_sr_123), .B (in_slt_425), .S0 (out_le_183), .Y
+ (n_8255));
+ MX2X1 g34083(.A (u1_sr_123), .B (in_slt_447), .S0 (out_le_184), .Y
+ (n_8254));
+ MX2X1 g34116(.A (\u3_mem[0] ), .B (n_3808), .S0 (n_7423), .Y
+ (n_8253));
+ MX2X1 g34120(.A (\u3_mem[0]_104 ), .B (n_3813), .S0 (n_7423), .Y
+ (n_8252));
+ MX2X1 g34166(.A (\u3_mem[0]_121 ), .B (n_3577), .S0 (n_7423), .Y
+ (n_8250));
+ MX2X1 g34171(.A (\u3_mem[0]_97 ), .B (n_3654), .S0 (n_7423), .Y
+ (n_8249));
+ DFFX1 u14_u6_en_out_l2_reg(.CK (clk_i), .D (n_9352), .Q (), .QN
+ (u14_u6_en_out_l2));
+ NOR2X1 g34273(.A (\u8_wp[0] ), .B (n_7976), .Y (n_8248));
+ NAND2X1 g34306(.A (n_6706), .B (n_7475), .Y (n_8245));
+ NAND2X1 g34316(.A (n_6675), .B (n_7459), .Y (n_8244));
+ NAND2X1 g34324(.A (n_6705), .B (n_7473), .Y (n_8243));
+ NAND2X1 g34326(.A (n_6677), .B (n_7472), .Y (n_8242));
+ NOR2X1 g34327(.A (n_1424), .B (n_8141), .Y (n_8241));
+ NAND2X1 g34328(.A (n_6676), .B (n_7461), .Y (n_8238));
+ NAND2X1 g34331(.A (n_6703), .B (n_7460), .Y (n_8237));
+ NAND2X1 g34333(.A (n_6702), .B (n_7471), .Y (n_8236));
+ NAND2X1 g34344(.A (n_6738), .B (n_7470), .Y (n_8235));
+ NAND2X1 g34347(.A (n_6691), .B (n_7469), .Y (n_8234));
+ NAND2X1 g34351(.A (n_6688), .B (n_7467), .Y (n_8233));
+ NAND2X1 g34361(.A (n_6687), .B (n_7466), .Y (n_8232));
+ NAND2X1 g34368(.A (n_6068), .B (n_7465), .Y (n_8231));
+ NAND2X1 g34377(.A (n_6684), .B (n_7464), .Y (n_8230));
+ NAND2X1 g34385(.A (n_6683), .B (n_7463), .Y (n_8229));
+ NAND2X1 g34393(.A (n_6681), .B (n_7462), .Y (n_8228));
+ NOR2X1 g34473(.A (n_1419), .B (n_7984), .Y (n_8227));
+ NOR2X1 g34589(.A (n_798), .B (n_7870), .Y (n_8224));
+ NOR2X1 g34699(.A (n_1417), .B (n_7758), .Y (n_8221));
+ NOR2X1 g34814(.A (n_1421), .B (n_7651), .Y (n_8218));
+ MX2X1 g34909(.A (i3_full), .B (u14_u6_full_empty_r), .S0 (n_7389), .Y
+ (n_8215));
+ MX2X1 g34911(.A (i6_full), .B (u14_u8_full_empty_r), .S0 (n_7388), .Y
+ (n_8213));
+ MX2X1 g34916(.A (n_120), .B (u0_slt9_r), .S0 (n_11319), .Y (n_8211));
+ INVX1 g35285(.A (n_8210), .Y (n_9359));
+ INVX1 g35299(.A (n_7542), .Y (n_8670));
+ INVX1 g35303(.A (n_7541), .Y (n_8667));
+ INVX1 g35307(.A (n_7539), .Y (n_8665));
+ INVX1 g35311(.A (n_7538), .Y (n_8663));
+ INVX1 g35315(.A (n_7537), .Y (n_8661));
+ DFFX1 \u12_wb_data_o_reg[31] (.CK (clk_i), .D (n_7450), .Q
+ (wb_data_o[31]), .QN ());
+ DFFX1 \u1_slt3_reg[6] (.CK (bit_clk_pad_i), .D (n_7509), .Q
+ (in_slt_402), .QN ());
+ DFFX1 \u1_slt1_reg[6] (.CK (bit_clk_pad_i), .D (n_7507), .Q
+ (in_slt_748), .QN ());
+ DFFX1 \u1_slt2_reg[6] (.CK (bit_clk_pad_i), .D (n_7510), .Q
+ (in_slt_832), .QN ());
+ DFFX1 \u1_slt4_reg[6] (.CK (bit_clk_pad_i), .D (n_7511), .Q
+ (in_slt_424), .QN ());
+ DFFX1 \u12_wb_data_o_reg[23] (.CK (clk_i), .D (n_7482), .Q
+ (wb_data_o[23]), .QN ());
+ DFFX1 \u12_wb_data_o_reg[22] (.CK (clk_i), .D (n_7483), .Q
+ (wb_data_o[22]), .QN ());
+ DFFX1 \u12_wb_data_o_reg[21] (.CK (clk_i), .D (n_7484), .Q
+ (wb_data_o[21]), .QN ());
+ DFFX1 \u12_wb_data_o_reg[16] (.CK (clk_i), .D (n_7488), .Q
+ (wb_data_o[16]), .QN ());
+ DFFX1 \u12_wb_data_o_reg[20] (.CK (clk_i), .D (n_7485), .Q
+ (wb_data_o[20]), .QN ());
+ DFFX1 \u12_wb_data_o_reg[19] (.CK (clk_i), .D (n_7486), .Q
+ (wb_data_o[19]), .QN ());
+ DFFX1 \u12_wb_data_o_reg[17] (.CK (clk_i), .D (n_7487), .Q
+ (wb_data_o[17]), .QN ());
+ DFFX1 \u12_wb_data_o_reg[24] (.CK (clk_i), .D (n_7457), .Q
+ (wb_data_o[24]), .QN ());
+ DFFX1 \u12_wb_data_o_reg[30] (.CK (clk_i), .D (n_7451), .Q
+ (wb_data_o[30]), .QN ());
+ DFFX1 \u12_wb_data_o_reg[28] (.CK (clk_i), .D (n_7453), .Q
+ (wb_data_o[28]), .QN ());
+ DFFX1 \u12_wb_data_o_reg[27] (.CK (clk_i), .D (n_7454), .Q
+ (wb_data_o[27]), .QN ());
+ DFFX1 \u12_wb_data_o_reg[26] (.CK (clk_i), .D (n_7455), .Q
+ (wb_data_o[26]), .QN ());
+ DFFX1 \u12_wb_data_o_reg[29] (.CK (clk_i), .D (n_7452), .Q
+ (wb_data_o[29]), .QN ());
+ DFFX1 \u12_wb_data_o_reg[25] (.CK (clk_i), .D (n_7456), .Q
+ (wb_data_o[25]), .QN ());
+ DFFX1 \u1_slt6_reg[6] (.CK (bit_clk_pad_i), .D (n_7508), .Q
+ (in_slt_446), .QN ());
+ OAI21X1 g32701(.A0 (n_5434), .A1 (n_8208), .B0 (n_8207), .Y (n_8209));
+ DFFX1 \u12_wb_data_o_reg[18] (.CK (clk_i), .D (n_7476), .Q
+ (wb_data_o[18]), .QN ());
+ AOI21X1 g32986(.A0 (n_7440), .A1 (n_7441), .B0 (n_8205), .Y (n_8206));
+ MX2X1 g33169(.A (wb_din), .B (n_1481), .S0 (n_8202), .Y (n_8204));
+ MX2X1 g33170(.A (wb_din_670), .B (oc5_cfg_1015), .S0 (n_8202), .Y
+ (n_8203));
+ MX2X1 g33171(.A (wb_din_671), .B (oc5_cfg_1016), .S0 (n_8202), .Y
+ (n_8201));
+ MX2X1 g33172(.A (wb_din_672), .B (n_8199), .S0 (n_8202), .Y (n_8200));
+ MX2X1 g33173(.A (wb_din_673), .B (n_8197), .S0 (n_8202), .Y (n_8198));
+ MX2X1 g33174(.A (wb_din_674), .B (n_4688), .S0 (n_8202), .Y (n_8196));
+ MX2X1 g33175(.A (wb_din_675), .B (oc5_cfg_1020), .S0 (n_8202), .Y
+ (n_8195));
+ MX2X1 g33176(.A (wb_din_661), .B (oc4_cfg_1004), .S0 (n_8202), .Y
+ (n_8194));
+ MX2X1 g33177(.A (wb_din_662), .B (n_4714), .S0 (n_8202), .Y (n_8193));
+ MX2X1 g33178(.A (wb_din_663), .B (n_4711), .S0 (n_8202), .Y (n_8192));
+ MX2X1 g33179(.A (wb_din_664), .B (n_8190), .S0 (n_8202), .Y (n_8191));
+ MX2X1 g33180(.A (wb_din_665), .B (n_8188), .S0 (n_8202), .Y (n_8189));
+ MX2X1 g33181(.A (wb_din_666), .B (n_4701), .S0 (n_8202), .Y (n_8187));
+ MX2X1 g33182(.A (wb_din_667), .B (oc4_cfg_1010), .S0 (n_8202), .Y
+ (n_8186));
+ MX2X1 g33183(.A (wb_din_668), .B (n_8182), .S0 (n_8202), .Y (n_8185));
+ MX2X1 g33184(.A (wb_din_669), .B (oc5_cfg_1014), .S0 (n_8202), .Y
+ (n_8184));
+ INVX1 g33221(.A (o3_empty), .Y (n_9543));
+ DFFSRX1 u4_empty_reg(.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_7513), .Q (), .QN (o4_empty));
+ INVX1 g33225(.A (o6_empty), .Y (n_9538));
+ DFFSRX1 u6_empty_reg(.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_7512), .Q (), .QN (o7_empty));
+ INVX1 g33229(.A (o8_empty), .Y (n_9534));
+ INVX1 g33231(.A (o9_empty), .Y (n_9532));
+ DFFX1 u15_crac_we_r_reg(.CK (clk_i), .D (n_8643), .Q (u15_crac_we_r),
+ .QN ());
+ NAND3X1 g33262(.A (n_8182), .B (\u8_wp[1] ), .C (n_7976), .Y
+ (n_8183));
+ NAND3X1 g33263(.A (n_862), .B (n_6838), .C (n_8101), .Y (n_8181));
+ NAND3X1 g33274(.A (n_991), .B (n_614), .C (n_7984), .Y (n_8179));
+ NAND3X1 g33281(.A (n_9833), .B (n_657), .C (n_7870), .Y (n_8178));
+ NAND3X1 g33337(.A (n_1873), .B (n_7048), .C (n_7758), .Y (n_8177));
+ NAND3X1 g33372(.A (n_1481), .B (n_6841), .C (n_7651), .Y (n_8175));
+ DFFX1 \u1_sr_reg[8] (.CK (bit_clk_pad_i), .D (u1_sr_123), .Q
+ (u1_sr_124), .QN ());
+ NAND2X1 g34234(.A (\u8_mem[2]_33 ), .B (n_7976), .Y (n_8173));
+ NAND2X1 g34235(.A (\u3_mem[1]_88 ), .B (n_8101), .Y (n_8172));
+ NAND2X1 g34236(.A (\u8_mem[2]_35 ), .B (n_7976), .Y (n_8171));
+ NAND2X1 g34237(.A (\u3_mem[1]_61 ), .B (n_8101), .Y (n_8169));
+ NAND2X1 g34238(.A (\u8_mem[2]_37 ), .B (n_7976), .Y (n_8168));
+ NAND2X1 g34239(.A (\u3_mem[1]_89 ), .B (n_8101), .Y (n_8167));
+ NAND2X1 g34240(.A (\u8_mem[3]_132 ), .B (n_7976), .Y (n_8165));
+ NAND2X1 g34241(.A (\u3_mem[1]_62 ), .B (n_8101), .Y (n_8164));
+ NAND2X1 g34242(.A (\u8_mem[3]_134 ), .B (n_7976), .Y (n_8163));
+ NAND2X1 g34243(.A (\u8_mem[3]_135 ), .B (n_7976), .Y (n_8162));
+ NAND2X1 g34244(.A (\u8_mem[3]_136 ), .B (n_7976), .Y (n_8160));
+ NAND2X1 g34245(.A (\u3_mem[1]_64 ), .B (n_8101), .Y (n_8158));
+ NAND2X1 g34246(.A (\u8_mem[3]_138 ), .B (n_7976), .Y (n_8157));
+ NAND2X1 g34247(.A (\u8_mem[3]_139 ), .B (n_7976), .Y (n_8156));
+ NAND2X1 g34248(.A (\u8_mem[3]_140 ), .B (n_7976), .Y (n_8154));
+ NAND2X1 g34249(.A (\u8_mem[3]_141 ), .B (n_7976), .Y (n_8153));
+ NAND2X1 g34250(.A (\u8_mem[3]_142 ), .B (n_7976), .Y (n_8152));
+ NAND2X1 g34251(.A (\u8_mem[3]_143 ), .B (n_7976), .Y (n_8151));
+ NAND2X1 g34252(.A (\u8_mem[3]_144 ), .B (n_7976), .Y (n_8150));
+ NAND2X1 g34253(.A (\u8_mem[3]_145 ), .B (n_7976), .Y (n_8149));
+ NAND2X1 g34254(.A (\u8_mem[3]_146 ), .B (n_7976), .Y (n_8148));
+ NAND2X1 g34255(.A (\u8_mem[3]_147 ), .B (n_7976), .Y (n_8147));
+ NAND2X1 g34256(.A (\u8_mem[3]_148 ), .B (n_7976), .Y (n_8146));
+ NAND2X1 g34257(.A (\u7_mem[2]_57 ), .B (n_7651), .Y (n_8145));
+ NAND2X1 g34258(.A (\u3_mem[2]_38 ), .B (n_8141), .Y (n_8144));
+ NAND2X1 g34259(.A (\u8_mem[3]_150 ), .B (n_7976), .Y (n_8143));
+ NAND2X1 g34260(.A (\u3_mem[2]_39 ), .B (n_8141), .Y (n_8142));
+ NAND2X1 g34261(.A (\u8_mem[3]_123 ), .B (n_7976), .Y (n_8140));
+ NAND2X1 g34262(.A (\u8_mem[3]_151 ), .B (n_7976), .Y (n_8139));
+ NAND2X1 g34263(.A (\u3_mem[2]_40 ), .B (n_8101), .Y (n_8138));
+ NAND2X1 g34264(.A (\u8_mem[3]_124 ), .B (n_7976), .Y (n_8137));
+ NAND2X1 g34265(.A (\u3_mem[2]_41 ), .B (n_8101), .Y (n_8136));
+ NAND2X1 g34266(.A (\u8_mem[3]_125 ), .B (n_7976), .Y (n_8134));
+ NAND2X1 g34267(.A (\u8_mem[3]_126 ), .B (n_7976), .Y (n_8133));
+ NAND2X1 g34268(.A (\u3_mem[2]_42 ), .B (n_8141), .Y (n_8132));
+ NAND2X1 g34269(.A (\u3_mem[2]_43 ), .B (n_8101), .Y (n_8131));
+ NAND2X1 g34270(.A (\u8_mem[3]_129 ), .B (n_7976), .Y (n_8130));
+ NAND2X1 g34271(.A (\u3_mem[2]_46 ), .B (n_8101), .Y (n_8129));
+ NAND2X1 g34272(.A (\u3_mem[2]_47 ), .B (n_8141), .Y (n_8127));
+ NAND2X1 g34274(.A (\u8_mem[1]_63 ), .B (n_7976), .Y (n_8126));
+ NAND2X1 g34275(.A (\u8_mem[2]_41 ), .B (n_7976), .Y (n_8125));
+ NAND2X1 g34276(.A (\u8_mem[1]_62 ), .B (n_7976), .Y (n_8124));
+ NAND2X1 g34277(.A (\u3_mem[2]_52 ), .B (n_8101), .Y (n_8123));
+ NAND2X1 g34278(.A (\u3_mem[2]_53 ), .B (n_8141), .Y (n_8122));
+ NAND2X1 g34279(.A (\u3_mem[2]_54 ), .B (n_8101), .Y (n_8121));
+ NAND2X1 g34280(.A (\u3_mem[2]_55 ), .B (n_8141), .Y (n_8120));
+ NAND2X1 g34281(.A (\u3_mem[2]_56 ), .B (n_8141), .Y (n_8119));
+ NAND2X1 g34282(.A (\u8_mem[3] ), .B (n_7976), .Y (n_8118));
+ NAND2X1 g34283(.A (\u3_mem[2]_57 ), .B (n_8141), .Y (n_8117));
+ NAND2X1 g34284(.A (\u3_mem[2]_30 ), .B (n_8101), .Y (n_8116));
+ NAND2X1 g34285(.A (\u3_mem[2]_58 ), .B (n_8141), .Y (n_8115));
+ NAND2X1 g34286(.A (\u3_mem[2]_59 ), .B (n_8101), .Y (n_8114));
+ NAND2X1 g34287(.A (\u3_mem[2]_31 ), .B (n_8101), .Y (n_8113));
+ NAND2X1 g34288(.A (\u3_mem[2]_32 ), .B (n_8141), .Y (n_8112));
+ NAND2X1 g34289(.A (\u3_mem[2]_33 ), .B (n_8141), .Y (n_8110));
+ NAND2X1 g34290(.A (\u3_mem[2]_34 ), .B (n_8101), .Y (n_8109));
+ NAND2X1 g34291(.A (\u3_mem[2]_35 ), .B (n_8101), .Y (n_8107));
+ NAND2X1 g34292(.A (\u3_mem[2]_36 ), .B (n_8141), .Y (n_8106));
+ NAND2X1 g34293(.A (\u3_mem[2]_37 ), .B (n_8101), .Y (n_8105));
+ NAND2X1 g34294(.A (\u3_mem[3] ), .B (n_8101), .Y (n_8104));
+ NAND2X1 g34295(.A (\u3_mem[3]_131 ), .B (n_8101), .Y (n_8102));
+ NAND2X1 g34296(.A (\u3_mem[3]_132 ), .B (n_8101), .Y (n_8100));
+ NAND2X1 g34297(.A (\u3_mem[3]_133 ), .B (n_8097), .Y (n_8099));
+ NAND2X1 g34298(.A (\u3_mem[3]_134 ), .B (n_8097), .Y (n_8098));
+ NAND2X1 g34299(.A (\u3_mem[3]_135 ), .B (n_8101), .Y (n_8096));
+ NAND2X1 g34300(.A (\u3_mem[3]_136 ), .B (n_8101), .Y (n_8095));
+ NAND2X1 g34301(.A (\u3_mem[3]_137 ), .B (n_8097), .Y (n_8094));
+ NAND2X1 g34302(.A (\u3_mem[3]_138 ), .B (n_8097), .Y (n_8093));
+ NAND2X1 g34303(.A (\u3_mem[3]_139 ), .B (n_8101), .Y (n_8092));
+ NAND2X1 g34304(.A (\u3_mem[3]_140 ), .B (n_8101), .Y (n_8091));
+ NAND2X1 g34305(.A (\u3_mem[3]_122 ), .B (n_8101), .Y (n_8090));
+ NAND2X1 g34307(.A (\u3_mem[3]_141 ), .B (n_8101), .Y (n_8089));
+ NAND2X1 g34308(.A (\u3_mem[3]_142 ), .B (n_8101), .Y (n_8088));
+ NAND2X1 g34309(.A (\u3_mem[3]_143 ), .B (n_8101), .Y (n_8087));
+ NAND2X1 g34310(.A (\u3_mem[3]_146 ), .B (n_8101), .Y (n_8086));
+ NAND2X1 g34311(.A (\u3_mem[3]_147 ), .B (n_8141), .Y (n_8085));
+ NAND2X1 g34312(.A (\u3_mem[3]_148 ), .B (n_8141), .Y (n_8084));
+ NAND2X1 g34313(.A (\u3_mem[3]_149 ), .B (n_8101), .Y (n_8083));
+ NAND2X1 g34315(.A (\u3_mem[3]_123 ), .B (n_8141), .Y (n_8082));
+ NAND2X1 g34314(.A (\u3_mem[3]_150 ), .B (n_8101), .Y (n_8081));
+ NAND2X1 g34317(.A (\u8_mem[3]_149 ), .B (n_7976), .Y (n_8080));
+ NAND2X1 g34318(.A (\u3_mem[3]_152 ), .B (n_8141), .Y (n_8079));
+ NAND2X1 g34319(.A (\u7_mem[2]_29 ), .B (n_7651), .Y (n_8078));
+ NAND2X1 g34320(.A (\u3_mem[3]_124 ), .B (n_8101), .Y (n_8077));
+ NAND2X1 g34321(.A (\u8_mem[2]_45 ), .B (n_7976), .Y (n_8076));
+ NAND2X1 g34322(.A (\u3_mem[3]_127 ), .B (n_8141), .Y (n_8075));
+ NAND2X1 g34323(.A (\u3_mem[3]_128 ), .B (n_8141), .Y (n_8074));
+ NAND2X1 g34325(.A (\u3_mem[3]_129 ), .B (n_8141), .Y (n_8073));
+ NAND2X1 g34330(.A (\u8_mem[2]_36 ), .B (n_7976), .Y (n_8072));
+ NAND2X1 g34329(.A (\u3_mem[2] ), .B (n_8101), .Y (n_8071));
+ NAND2X1 g34332(.A (\u8_mem[2]_34 ), .B (n_7976), .Y (n_8070));
+ NAND2X1 g34334(.A (\u3_mem[1]_68 ), .B (n_8101), .Y (n_8069));
+ NAND2X1 g34335(.A (\u3_mem[1]_87 ), .B (n_8101), .Y (n_8068));
+ NAND2X1 g34336(.A (\u8_mem[2]_32 ), .B (n_7976), .Y (n_8067));
+ NAND2X1 g34337(.A (\u3_mem[2]_51 ), .B (n_8101), .Y (n_8066));
+ NAND2X1 g34338(.A (\u3_mem[3]_126 ), .B (n_8141), .Y (n_8065));
+ NAND2X1 g34339(.A (\u3_mem[1]_67 ), .B (n_8141), .Y (n_8064));
+ NAND2X1 g34340(.A (\u3_mem[3]_145 ), .B (n_8097), .Y (n_8063));
+ NAND2X1 g34341(.A (\u8_mem[2]_59 ), .B (n_7976), .Y (n_8062));
+ NAND2X1 g34342(.A (\u3_mem[3]_144 ), .B (n_8097), .Y (n_8061));
+ NAND2X1 g34343(.A (\u3_mem[2]_50 ), .B (n_8101), .Y (n_8060));
+ NAND2X1 g34345(.A (\u3_mem[1]_66 ), .B (n_8141), .Y (n_8058));
+ NAND2X1 g34346(.A (\u3_mem[3]_125 ), .B (n_8101), .Y (n_8057));
+ NAND2X1 g34348(.A (\u4_mem[1] ), .B (n_7984), .Y (n_8056));
+ NAND2X1 g34349(.A (\u4_mem[1]_69 ), .B (n_7984), .Y (n_8055));
+ NAND2X1 g34350(.A (\u4_mem[1]_70 ), .B (n_7984), .Y (n_8054));
+ NAND2X1 g34352(.A (\u4_mem[1]_71 ), .B (n_7984), .Y (n_8052));
+ NAND2X1 g34353(.A (\u4_mem[1]_72 ), .B (n_7984), .Y (n_8051));
+ NAND2X1 g34354(.A (\u4_mem[1]_73 ), .B (n_7984), .Y (n_8049));
+ NAND2X1 g34355(.A (\u4_mem[1]_74 ), .B (n_7984), .Y (n_8048));
+ NAND2X1 g34356(.A (\u8_mem[3]_122 ), .B (n_7976), .Y (n_8047));
+ NAND2X1 g34357(.A (\u4_mem[1]_75 ), .B (n_7984), .Y (n_8046));
+ NAND2X1 g34358(.A (\u4_mem[1]_76 ), .B (n_7984), .Y (n_8045));
+ NAND2X1 g34359(.A (\u4_mem[1]_77 ), .B (n_7984), .Y (n_8044));
+ NAND2X1 g34360(.A (\u4_mem[1]_78 ), .B (n_7984), .Y (n_8042));
+ NAND2X1 g34362(.A (\u4_mem[1]_60 ), .B (n_7984), .Y (n_8041));
+ NAND2X1 g34363(.A (\u4_mem[1]_79 ), .B (n_7984), .Y (n_8039));
+ NAND2X1 g34364(.A (\u4_mem[1]_80 ), .B (n_7984), .Y (n_8037));
+ NAND2X1 g34365(.A (\u4_mem[1]_81 ), .B (n_7984), .Y (n_8036));
+ NAND2X1 g34366(.A (\u4_mem[1]_82 ), .B (n_7984), .Y (n_8035));
+ NAND2X1 g34367(.A (\u4_mem[1]_83 ), .B (n_7984), .Y (n_8034));
+ NAND2X1 g34369(.A (\u4_mem[1]_84 ), .B (n_7984), .Y (n_8033));
+ NAND2X1 g34370(.A (\u4_mem[1]_85 ), .B (n_7984), .Y (n_8032));
+ NAND2X1 g34371(.A (\u4_mem[1]_86 ), .B (n_7984), .Y (n_8031));
+ NAND2X1 g34372(.A (\u4_mem[1]_87 ), .B (n_7984), .Y (n_8030));
+ NAND2X1 g34373(.A (\u4_mem[1]_88 ), .B (n_7984), .Y (n_8029));
+ NAND2X1 g34374(.A (\u4_mem[1]_61 ), .B (n_7984), .Y (n_8028));
+ NAND2X1 g34375(.A (\u4_mem[1]_89 ), .B (n_7984), .Y (n_8027));
+ NAND2X1 g34376(.A (\u4_mem[1]_90 ), .B (n_7984), .Y (n_8026));
+ NAND2X1 g34378(.A (\u4_mem[1]_62 ), .B (n_7984), .Y (n_8025));
+ NAND2X1 g34379(.A (\u4_mem[1]_63 ), .B (n_7984), .Y (n_8024));
+ NAND2X1 g34380(.A (\u4_mem[1]_64 ), .B (n_7984), .Y (n_8023));
+ NAND2X1 g34381(.A (\u4_mem[1]_65 ), .B (n_7984), .Y (n_8022));
+ NAND2X1 g34382(.A (\u4_mem[1]_66 ), .B (n_7984), .Y (n_8021));
+ NAND2X1 g34383(.A (\u4_mem[1]_67 ), .B (n_7984), .Y (n_8020));
+ NAND2X1 g34384(.A (\u4_mem[1]_68 ), .B (n_7984), .Y (n_8019));
+ NAND2X1 g34386(.A (\u4_mem[2] ), .B (n_7984), .Y (n_8018));
+ NAND2X1 g34387(.A (\u4_mem[2]_38 ), .B (n_7984), .Y (n_8017));
+ NAND2X1 g34388(.A (\u4_mem[2]_39 ), .B (n_7984), .Y (n_8016));
+ NAND2X1 g34389(.A (\u4_mem[2]_40 ), .B (n_7984), .Y (n_8015));
+ NAND2X1 g34390(.A (\u4_mem[2]_41 ), .B (n_7984), .Y (n_8014));
+ NAND2X1 g34391(.A (\u4_mem[2]_42 ), .B (n_7984), .Y (n_8013));
+ NAND2X1 g34392(.A (\u4_mem[2]_43 ), .B (n_7984), .Y (n_8012));
+ NAND2X1 g34394(.A (\u4_mem[2]_44 ), .B (n_7984), .Y (n_8011));
+ NAND2X1 g34395(.A (\u4_mem[2]_45 ), .B (n_7984), .Y (n_8010));
+ NAND2X1 g34396(.A (\u4_mem[2]_46 ), .B (n_7984), .Y (n_8009));
+ NAND2X1 g34397(.A (\u4_mem[2]_47 ), .B (n_7984), .Y (n_8008));
+ NAND2X1 g34398(.A (\u4_mem[2]_29 ), .B (n_7984), .Y (n_8007));
+ NAND2X1 g34399(.A (\u4_mem[2]_48 ), .B (n_7984), .Y (n_8006));
+ NAND2X1 g34400(.A (\u4_mem[2]_49 ), .B (n_7984), .Y (n_8005));
+ NAND2X1 g34401(.A (\u4_mem[2]_50 ), .B (n_7984), .Y (n_8004));
+ NAND2X1 g34402(.A (\u4_mem[2]_51 ), .B (n_7984), .Y (n_8003));
+ NAND2X1 g34403(.A (\u4_mem[2]_52 ), .B (n_7984), .Y (n_8002));
+ NAND2X1 g34415(.A (\u4_mem[2]_53 ), .B (n_7984), .Y (n_8001));
+ NAND2X1 g34416(.A (\u4_mem[2]_54 ), .B (n_7984), .Y (n_8000));
+ NAND2X1 g34417(.A (\u4_mem[2]_55 ), .B (n_7984), .Y (n_7999));
+ NAND2X1 g34418(.A (\u4_mem[2]_56 ), .B (n_7984), .Y (n_7998));
+ NAND2X1 g34419(.A (\u4_mem[2]_57 ), .B (n_7984), .Y (n_7997));
+ NAND2X1 g34420(.A (\u4_mem[2]_30 ), .B (n_7984), .Y (n_7996));
+ NAND2X1 g34421(.A (\u4_mem[2]_58 ), .B (n_7984), .Y (n_7995));
+ NAND2X1 g34422(.A (\u4_mem[2]_59 ), .B (n_7984), .Y (n_7994));
+ NAND2X1 g34423(.A (\u4_mem[2]_31 ), .B (n_7984), .Y (n_7993));
+ NAND2X1 g34424(.A (\u4_mem[2]_32 ), .B (n_7984), .Y (n_7992));
+ NAND2X1 g34425(.A (\u4_mem[2]_33 ), .B (n_7984), .Y (n_7991));
+ NAND2X1 g34426(.A (\u4_mem[2]_34 ), .B (n_7984), .Y (n_7990));
+ NAND2X1 g34427(.A (\u4_mem[2]_35 ), .B (n_7984), .Y (n_7989));
+ NAND2X1 g34428(.A (\u4_mem[2]_36 ), .B (n_7984), .Y (n_7988));
+ NAND2X1 g34429(.A (\u4_mem[2]_37 ), .B (n_7984), .Y (n_7987));
+ NAND2X1 g34430(.A (\u4_mem[3] ), .B (n_7984), .Y (n_7986));
+ NAND2X1 g34431(.A (\u4_mem[3]_131 ), .B (n_7984), .Y (n_7985));
+ NAND2X1 g34432(.A (\u4_mem[3]_132 ), .B (n_7984), .Y (n_7983));
+ NAND2X1 g34433(.A (\u8_mem[1] ), .B (n_7976), .Y (n_7982));
+ NAND2X1 g34434(.A (\u4_mem[3]_133 ), .B (n_7984), .Y (n_7981));
+ NAND2X1 g34435(.A (\u4_mem[3]_134 ), .B (n_7984), .Y (n_7980));
+ NAND2X1 g34436(.A (\u4_mem[3]_135 ), .B (n_7984), .Y (n_7979));
+ NAND2X1 g34437(.A (\u4_mem[3]_136 ), .B (n_7984), .Y (n_7978));
+ NAND2X1 g34438(.A (\u8_mem[2]_56 ), .B (n_7976), .Y (n_7977));
+ NAND2X1 g34439(.A (\u4_mem[3]_137 ), .B (n_7984), .Y (n_7975));
+ NAND2X1 g34440(.A (\u4_mem[3]_138 ), .B (n_7984), .Y (n_7974));
+ NAND2X1 g34441(.A (\u4_mem[3]_139 ), .B (n_7984), .Y (n_7973));
+ NAND2X1 g34442(.A (\u4_mem[3]_140 ), .B (n_7984), .Y (n_7972));
+ NAND2X1 g34443(.A (\u4_mem[3]_122 ), .B (n_7984), .Y (n_7971));
+ NAND2X1 g34444(.A (\u4_mem[3]_141 ), .B (n_7984), .Y (n_7970));
+ NAND2X1 g34452(.A (\u4_mem[3]_142 ), .B (n_7984), .Y (n_7969));
+ NAND2X1 g34453(.A (\u4_mem[3]_143 ), .B (n_7984), .Y (n_7968));
+ NAND2X1 g34454(.A (\u4_mem[3]_144 ), .B (n_7984), .Y (n_7967));
+ NAND2X1 g34455(.A (\u4_mem[3]_145 ), .B (n_7984), .Y (n_7966));
+ NAND2X1 g34456(.A (\u4_mem[3]_146 ), .B (n_7984), .Y (n_7965));
+ NAND2X1 g34457(.A (\u4_mem[3]_147 ), .B (n_7984), .Y (n_7964));
+ NAND2X1 g34458(.A (\u4_mem[3]_148 ), .B (n_7984), .Y (n_7963));
+ NAND2X1 g34459(.A (\u4_mem[3]_149 ), .B (n_7984), .Y (n_7962));
+ NAND2X1 g34460(.A (\u4_mem[3]_150 ), .B (n_7984), .Y (n_7961));
+ NAND2X1 g34461(.A (\u4_mem[3]_123 ), .B (n_7984), .Y (n_7960));
+ NAND2X1 g34462(.A (\u4_mem[3]_151 ), .B (n_7984), .Y (n_7959));
+ NAND2X1 g34463(.A (\u4_mem[3]_152 ), .B (n_7984), .Y (n_7958));
+ NAND2X1 g34464(.A (\u3_mem[1]_70 ), .B (n_8101), .Y (n_7957));
+ NAND2X1 g34465(.A (\u4_mem[3]_124 ), .B (n_7984), .Y (n_7956));
+ NAND2X1 g34466(.A (\u4_mem[3]_125 ), .B (n_7984), .Y (n_7955));
+ NAND2X1 g34467(.A (\u4_mem[3]_126 ), .B (n_7984), .Y (n_7954));
+ NAND2X1 g34468(.A (\u4_mem[3]_127 ), .B (n_7984), .Y (n_7953));
+ NAND2X1 g34469(.A (\u4_mem[3]_128 ), .B (n_7984), .Y (n_7952));
+ NAND2X1 g34470(.A (\u4_mem[3]_129 ), .B (n_7984), .Y (n_7951));
+ NAND2X1 g34471(.A (\u4_mem[3]_130 ), .B (n_7984), .Y (n_7950));
+ NAND2X1 g34472(.A (\u8_mem[1]_82 ), .B (n_7976), .Y (n_7949));
+ NAND2X1 g34474(.A (\u8_mem[1]_71 ), .B (n_7976), .Y (n_7948));
+ NAND2X1 g34477(.A (\u3_mem[1]_79 ), .B (n_8101), .Y (n_7947));
+ NAND2X1 g34478(.A (\u3_mem[2]_49 ), .B (n_8101), .Y (n_7946));
+ NAND2X1 g34488(.A (\u8_mem[1]_60 ), .B (n_7976), .Y (n_7945));
+ NAND2X1 g34489(.A (\u5_mem[1] ), .B (n_7870), .Y (n_7943));
+ NAND2X1 g34491(.A (\u5_mem[1]_69 ), .B (n_7870), .Y (n_7942));
+ NAND2X1 g34492(.A (\u5_mem[1]_70 ), .B (n_7870), .Y (n_7941));
+ NAND2X1 g34493(.A (\u5_mem[1]_71 ), .B (n_7870), .Y (n_7939));
+ NAND2X1 g34494(.A (\u5_mem[1]_72 ), .B (n_7870), .Y (n_7938));
+ NAND2X1 g34495(.A (\u5_mem[1]_73 ), .B (n_7870), .Y (n_7936));
+ NAND2X1 g34496(.A (\u5_mem[1]_74 ), .B (n_7870), .Y (n_7935));
+ NAND2X1 g34497(.A (\u5_mem[1]_75 ), .B (n_7870), .Y (n_7934));
+ NAND2X1 g34498(.A (\u5_mem[1]_76 ), .B (n_7870), .Y (n_7933));
+ NAND2X1 g34499(.A (\u5_mem[1]_77 ), .B (n_7870), .Y (n_7932));
+ NAND2X1 g34500(.A (\u5_mem[1]_78 ), .B (n_7870), .Y (n_7930));
+ NAND2X1 g34501(.A (\u5_mem[1]_60 ), .B (n_7870), .Y (n_7929));
+ NAND2X1 g34502(.A (\u5_mem[1]_79 ), .B (n_7870), .Y (n_7927));
+ NAND2X1 g34503(.A (\u5_mem[1]_80 ), .B (n_7870), .Y (n_7925));
+ NAND2X1 g34504(.A (\u5_mem[1]_81 ), .B (n_7870), .Y (n_7924));
+ NAND2X1 g34505(.A (\u5_mem[1]_82 ), .B (n_7870), .Y (n_7923));
+ NAND2X1 g34506(.A (\u5_mem[1]_83 ), .B (n_7870), .Y (n_7922));
+ NAND2X1 g34507(.A (\u5_mem[1]_84 ), .B (n_7870), .Y (n_7921));
+ NAND2X1 g34508(.A (\u5_mem[1]_85 ), .B (n_7870), .Y (n_7920));
+ NAND2X1 g34509(.A (\u5_mem[1]_86 ), .B (n_7870), .Y (n_7919));
+ NAND2X1 g34510(.A (\u5_mem[1]_87 ), .B (n_7870), .Y (n_7918));
+ NAND2X1 g34511(.A (\u5_mem[1]_88 ), .B (n_7870), .Y (n_7917));
+ NAND2X1 g34512(.A (\u5_mem[1]_61 ), .B (n_7870), .Y (n_7916));
+ NAND2X1 g34513(.A (\u5_mem[1]_89 ), .B (n_7870), .Y (n_7915));
+ NAND2X1 g34514(.A (\u5_mem[1]_90 ), .B (n_7870), .Y (n_7914));
+ NAND2X1 g34515(.A (\u5_mem[1]_62 ), .B (n_7870), .Y (n_7913));
+ NAND2X1 g34516(.A (\u5_mem[1]_63 ), .B (n_7870), .Y (n_7912));
+ NAND2X1 g34517(.A (\u5_mem[1]_64 ), .B (n_7870), .Y (n_7911));
+ NAND2X1 g34518(.A (\u5_mem[1]_65 ), .B (n_7870), .Y (n_7910));
+ NAND2X1 g34519(.A (\u5_mem[1]_66 ), .B (n_7870), .Y (n_7909));
+ NAND2X1 g34520(.A (\u5_mem[1]_67 ), .B (n_7870), .Y (n_7908));
+ NAND2X1 g34521(.A (\u5_mem[1]_68 ), .B (n_7870), .Y (n_7907));
+ NAND2X1 g34522(.A (\u7_mem[1]_90 ), .B (n_7651), .Y (n_7906));
+ NAND2X1 g34523(.A (\u5_mem[2] ), .B (n_7870), .Y (n_7904));
+ NAND2X1 g34524(.A (\u5_mem[2]_38 ), .B (n_7870), .Y (n_7903));
+ NAND2X1 g34525(.A (\u5_mem[2]_39 ), .B (n_7870), .Y (n_7902));
+ NAND2X1 g34526(.A (\u5_mem[2]_40 ), .B (n_7870), .Y (n_7901));
+ NAND2X1 g34527(.A (\u5_mem[2]_41 ), .B (n_7870), .Y (n_7900));
+ NAND2X1 g34528(.A (\u5_mem[2]_42 ), .B (n_7870), .Y (n_7899));
+ NAND2X1 g34529(.A (\u5_mem[2]_43 ), .B (n_7870), .Y (n_7898));
+ NAND2X1 g34530(.A (\u5_mem[2]_44 ), .B (n_7870), .Y (n_7897));
+ NAND2X1 g34531(.A (\u5_mem[2]_45 ), .B (n_7870), .Y (n_7896));
+ NAND2X1 g34532(.A (\u5_mem[2]_46 ), .B (n_7870), .Y (n_7895));
+ NAND2X1 g34533(.A (\u5_mem[2]_47 ), .B (n_7870), .Y (n_7894));
+ NAND2X1 g34534(.A (\u5_mem[2]_29 ), .B (n_7870), .Y (n_7893));
+ NAND2X1 g34535(.A (\u5_mem[2]_48 ), .B (n_7870), .Y (n_7892));
+ NAND2X1 g34536(.A (\u5_mem[2]_49 ), .B (n_7870), .Y (n_7891));
+ NAND2X1 g34537(.A (\u5_mem[2]_50 ), .B (n_7870), .Y (n_7890));
+ NAND2X1 g34538(.A (\u5_mem[2]_51 ), .B (n_7870), .Y (n_7889));
+ NAND2X1 g34539(.A (\u5_mem[2]_52 ), .B (n_7870), .Y (n_7888));
+ NAND2X1 g34540(.A (\u5_mem[2]_53 ), .B (n_7870), .Y (n_7887));
+ NAND2X1 g34541(.A (\u5_mem[2]_54 ), .B (n_7870), .Y (n_7886));
+ NAND2X1 g34542(.A (\u5_mem[2]_55 ), .B (n_7870), .Y (n_7885));
+ NAND2X1 g34543(.A (\u5_mem[2]_56 ), .B (n_7870), .Y (n_7884));
+ NAND2X1 g34544(.A (\u5_mem[2]_57 ), .B (n_7870), .Y (n_7883));
+ NAND2X1 g34545(.A (\u5_mem[2]_30 ), .B (n_7870), .Y (n_7882));
+ NAND2X1 g34546(.A (\u5_mem[2]_58 ), .B (n_7870), .Y (n_7881));
+ NAND2X1 g34547(.A (\u5_mem[2]_59 ), .B (n_7870), .Y (n_7880));
+ NAND2X1 g34548(.A (\u5_mem[2]_31 ), .B (n_7870), .Y (n_7879));
+ NAND2X1 g34549(.A (\u5_mem[2]_32 ), .B (n_7870), .Y (n_7878));
+ NAND2X1 g34550(.A (\u5_mem[2]_33 ), .B (n_7870), .Y (n_7877));
+ NAND2X1 g34551(.A (\u5_mem[2]_34 ), .B (n_7870), .Y (n_7876));
+ NAND2X1 g34552(.A (\u5_mem[2]_35 ), .B (n_7870), .Y (n_7875));
+ NAND2X1 g34553(.A (\u5_mem[2]_36 ), .B (n_7870), .Y (n_7874));
+ NAND2X1 g34554(.A (\u5_mem[2]_37 ), .B (n_7870), .Y (n_7873));
+ NAND2X1 g34555(.A (\u5_mem[3] ), .B (n_7870), .Y (n_7872));
+ NAND2X1 g34556(.A (\u5_mem[3]_131 ), .B (n_7870), .Y (n_7871));
+ NAND2X1 g34557(.A (\u5_mem[3]_132 ), .B (n_7870), .Y (n_7869));
+ NAND2X1 g34558(.A (\u5_mem[3]_133 ), .B (n_7870), .Y (n_7868));
+ NAND2X1 g34559(.A (\u5_mem[3]_134 ), .B (n_7870), .Y (n_7867));
+ NAND2X1 g34560(.A (\u5_mem[3]_135 ), .B (n_7870), .Y (n_7866));
+ NAND2X1 g34561(.A (\u5_mem[3]_136 ), .B (n_7870), .Y (n_7865));
+ NAND2X1 g34562(.A (\u5_mem[3]_137 ), .B (n_7870), .Y (n_7864));
+ NAND2X1 g34563(.A (\u5_mem[3]_138 ), .B (n_7870), .Y (n_7863));
+ NAND2X1 g34564(.A (\u5_mem[3]_139 ), .B (n_7870), .Y (n_7862));
+ NAND2X1 g34565(.A (\u5_mem[3]_140 ), .B (n_7870), .Y (n_7861));
+ NAND2X1 g34566(.A (\u5_mem[3]_122 ), .B (n_7870), .Y (n_7860));
+ NAND2X1 g34567(.A (\u5_mem[3]_141 ), .B (n_7870), .Y (n_7859));
+ NAND2X1 g34568(.A (\u5_mem[3]_142 ), .B (n_7870), .Y (n_7858));
+ NAND2X1 g34569(.A (\u5_mem[3]_143 ), .B (n_7870), .Y (n_7857));
+ NAND2X1 g34570(.A (\u5_mem[3]_144 ), .B (n_7870), .Y (n_7856));
+ NAND2X1 g34571(.A (\u5_mem[3]_145 ), .B (n_7870), .Y (n_7855));
+ NAND2X1 g34572(.A (\u5_mem[3]_146 ), .B (n_7870), .Y (n_7854));
+ NAND2X1 g34573(.A (\u5_mem[3]_147 ), .B (n_7870), .Y (n_7853));
+ NAND2X1 g34574(.A (\u5_mem[3]_148 ), .B (n_7870), .Y (n_7852));
+ NAND2X1 g34575(.A (\u5_mem[3]_149 ), .B (n_7870), .Y (n_7851));
+ NAND2X1 g34576(.A (\u5_mem[3]_150 ), .B (n_7870), .Y (n_7850));
+ NAND2X1 g34577(.A (\u5_mem[3]_123 ), .B (n_7870), .Y (n_7849));
+ NAND2X1 g34578(.A (\u5_mem[3]_151 ), .B (n_7870), .Y (n_7848));
+ NAND2X1 g34579(.A (\u5_mem[3]_152 ), .B (n_7870), .Y (n_7847));
+ NAND2X1 g34580(.A (\u5_mem[3]_124 ), .B (n_7870), .Y (n_7846));
+ NAND2X1 g34581(.A (\u5_mem[3]_125 ), .B (n_7870), .Y (n_7845));
+ NAND2X1 g34582(.A (\u5_mem[3]_126 ), .B (n_7870), .Y (n_7844));
+ NAND2X1 g34583(.A (\u5_mem[3]_127 ), .B (n_7870), .Y (n_7843));
+ NAND2X1 g34584(.A (\u5_mem[3]_128 ), .B (n_7870), .Y (n_7842));
+ NAND2X1 g34585(.A (\u5_mem[3]_129 ), .B (n_7870), .Y (n_7841));
+ NAND2X1 g34586(.A (\u5_mem[3]_130 ), .B (n_7870), .Y (n_7840));
+ NAND2X1 g34587(.A (\u8_mem[1]_75 ), .B (n_7976), .Y (n_7839));
+ NAND2X1 g34588(.A (\u8_mem[3]_131 ), .B (n_7976), .Y (n_7838));
+ NAND2X1 g34590(.A (\u8_mem[3]_127 ), .B (n_7976), .Y (n_7837));
+ NAND2X1 g34591(.A (\u8_mem[3]_130 ), .B (n_7976), .Y (n_7836));
+ NAND2X1 g34592(.A (\u3_mem[2]_48 ), .B (n_8141), .Y (n_7835));
+ NAND2X1 g34593(.A (\u3_mem[3]_130 ), .B (n_8101), .Y (n_7834));
+ NAND2X1 g34594(.A (\u8_mem[3]_128 ), .B (n_7976), .Y (n_7833));
+ NAND2X1 g34598(.A (\u6_mem[1] ), .B (n_7758), .Y (n_7832));
+ NAND2X1 g34599(.A (\u6_mem[1]_69 ), .B (n_7758), .Y (n_7831));
+ NAND2X1 g34600(.A (\u6_mem[1]_70 ), .B (n_7758), .Y (n_7830));
+ NAND2X1 g34601(.A (\u6_mem[1]_71 ), .B (n_7758), .Y (n_7828));
+ NAND2X1 g34602(.A (\u6_mem[1]_72 ), .B (n_7758), .Y (n_7827));
+ NAND2X1 g34603(.A (\u6_mem[1]_73 ), .B (n_7758), .Y (n_7825));
+ NAND2X1 g34604(.A (\u6_mem[1]_74 ), .B (n_7758), .Y (n_7824));
+ NAND2X1 g34605(.A (\u6_mem[1]_75 ), .B (n_7758), .Y (n_7823));
+ NAND2X1 g34606(.A (\u6_mem[1]_76 ), .B (n_7758), .Y (n_7822));
+ NAND2X1 g34607(.A (\u6_mem[1]_77 ), .B (n_7758), .Y (n_7821));
+ NAND2X1 g34608(.A (\u6_mem[1]_78 ), .B (n_7758), .Y (n_7819));
+ NAND2X1 g34609(.A (\u3_mem[1]_65 ), .B (n_8101), .Y (n_7818));
+ NAND2X1 g34610(.A (\u6_mem[1]_60 ), .B (n_7758), .Y (n_7817));
+ NAND2X1 g34611(.A (\u6_mem[1]_79 ), .B (n_7758), .Y (n_7815));
+ NAND2X1 g34612(.A (\u6_mem[1]_80 ), .B (n_7758), .Y (n_7813));
+ NAND2X1 g34613(.A (\u6_mem[1]_81 ), .B (n_7758), .Y (n_7812));
+ NAND2X1 g34614(.A (\u6_mem[1]_82 ), .B (n_7758), .Y (n_7811));
+ NAND2X1 g34615(.A (\u6_mem[1]_83 ), .B (n_7758), .Y (n_7810));
+ NAND2X1 g34616(.A (\u6_mem[1]_84 ), .B (n_7758), .Y (n_7809));
+ NAND2X1 g34617(.A (\u6_mem[1]_85 ), .B (n_7758), .Y (n_7808));
+ NAND2X1 g34618(.A (\u6_mem[1]_86 ), .B (n_7758), .Y (n_7807));
+ NAND2X1 g34619(.A (\u6_mem[1]_87 ), .B (n_7758), .Y (n_7806));
+ NAND2X1 g34620(.A (\u8_mem[2]_39 ), .B (n_7976), .Y (n_7805));
+ NAND2X1 g34621(.A (\u6_mem[1]_88 ), .B (n_7758), .Y (n_7804));
+ NAND2X1 g34622(.A (\u6_mem[1]_61 ), .B (n_7758), .Y (n_7803));
+ NAND2X1 g34623(.A (\u6_mem[1]_89 ), .B (n_7758), .Y (n_7802));
+ NAND2X1 g34624(.A (\u6_mem[1]_90 ), .B (n_7758), .Y (n_7801));
+ NAND2X1 g34625(.A (\u6_mem[1]_62 ), .B (n_7758), .Y (n_7800));
+ NAND2X1 g34626(.A (\u6_mem[1]_63 ), .B (n_7758), .Y (n_7799));
+ NAND2X1 g34627(.A (\u6_mem[1]_64 ), .B (n_7758), .Y (n_7798));
+ NAND2X1 g34628(.A (\u6_mem[1]_65 ), .B (n_7758), .Y (n_7797));
+ NAND2X1 g34629(.A (\u6_mem[1]_66 ), .B (n_7758), .Y (n_7796));
+ NAND2X1 g34630(.A (\u6_mem[1]_67 ), .B (n_7758), .Y (n_7795));
+ NAND2X1 g34631(.A (\u6_mem[1]_68 ), .B (n_7758), .Y (n_7794));
+ NAND2X1 g34632(.A (\u6_mem[2] ), .B (n_7758), .Y (n_7793));
+ NAND2X1 g34633(.A (\u6_mem[2]_38 ), .B (n_7758), .Y (n_7792));
+ NAND2X1 g34634(.A (\u3_mem[1]_76 ), .B (n_8101), .Y (n_7791));
+ NAND2X1 g34635(.A (\u6_mem[2]_39 ), .B (n_7758), .Y (n_7790));
+ NAND2X1 g34636(.A (\u6_mem[2]_40 ), .B (n_7758), .Y (n_7789));
+ NAND2X1 g34637(.A (\u6_mem[2]_41 ), .B (n_7758), .Y (n_7788));
+ NAND2X1 g34638(.A (\u6_mem[2]_42 ), .B (n_7758), .Y (n_7787));
+ NAND2X1 g34639(.A (\u6_mem[2]_43 ), .B (n_7758), .Y (n_7786));
+ NAND2X1 g34640(.A (\u6_mem[2]_44 ), .B (n_7758), .Y (n_7785));
+ NAND2X1 g34641(.A (\u6_mem[2]_45 ), .B (n_7758), .Y (n_7784));
+ NAND2X1 g34642(.A (\u6_mem[2]_46 ), .B (n_7758), .Y (n_7783));
+ NAND2X1 g34643(.A (\u6_mem[2]_47 ), .B (n_7758), .Y (n_7782));
+ NAND2X1 g34644(.A (\u6_mem[2]_29 ), .B (n_7758), .Y (n_7781));
+ NAND2X1 g34645(.A (\u6_mem[2]_48 ), .B (n_7758), .Y (n_7780));
+ NAND2X1 g34646(.A (\u6_mem[2]_49 ), .B (n_7758), .Y (n_7779));
+ NAND2X1 g34647(.A (\u6_mem[2]_50 ), .B (n_7758), .Y (n_7778));
+ NAND2X1 g34648(.A (\u6_mem[2]_51 ), .B (n_7758), .Y (n_7777));
+ NAND2X1 g34649(.A (\u6_mem[2]_52 ), .B (n_7758), .Y (n_7776));
+ NAND2X1 g34650(.A (\u6_mem[2]_53 ), .B (n_7758), .Y (n_7775));
+ NAND2X1 g34651(.A (\u6_mem[2]_54 ), .B (n_7758), .Y (n_7774));
+ NAND2X1 g34652(.A (\u6_mem[2]_55 ), .B (n_7758), .Y (n_7773));
+ NAND2X1 g34653(.A (\u6_mem[2]_56 ), .B (n_7758), .Y (n_7772));
+ NAND2X1 g34654(.A (\u6_mem[2]_57 ), .B (n_7758), .Y (n_7771));
+ NAND2X1 g34655(.A (\u6_mem[2]_30 ), .B (n_7758), .Y (n_7770));
+ NAND2X1 g34656(.A (\u6_mem[2]_58 ), .B (n_7758), .Y (n_7769));
+ NAND2X1 g34657(.A (\u6_mem[2]_59 ), .B (n_7758), .Y (n_7768));
+ NAND2X1 g34658(.A (\u6_mem[2]_31 ), .B (n_7758), .Y (n_7767));
+ NAND2X1 g34659(.A (\u6_mem[2]_32 ), .B (n_7758), .Y (n_7766));
+ NAND2X1 g34660(.A (\u6_mem[2]_33 ), .B (n_7758), .Y (n_7765));
+ NAND2X1 g34661(.A (\u6_mem[2]_34 ), .B (n_7758), .Y (n_7764));
+ NAND2X1 g34662(.A (\u6_mem[2]_35 ), .B (n_7758), .Y (n_7763));
+ NAND2X1 g34663(.A (\u6_mem[2]_36 ), .B (n_7758), .Y (n_7762));
+ NAND2X1 g34664(.A (\u6_mem[2]_37 ), .B (n_7758), .Y (n_7761));
+ NAND2X1 g34665(.A (\u6_mem[3] ), .B (n_7758), .Y (n_7760));
+ NAND2X1 g34666(.A (\u6_mem[3]_131 ), .B (n_7758), .Y (n_7759));
+ NAND2X1 g34667(.A (\u6_mem[3]_132 ), .B (n_7758), .Y (n_7757));
+ NAND2X1 g34668(.A (\u6_mem[3]_133 ), .B (n_7758), .Y (n_7756));
+ NAND2X1 g34669(.A (\u6_mem[3]_134 ), .B (n_7758), .Y (n_7755));
+ NAND2X1 g34670(.A (\u6_mem[3]_135 ), .B (n_7758), .Y (n_7754));
+ NAND2X1 g34671(.A (\u6_mem[3]_136 ), .B (n_7758), .Y (n_7753));
+ NAND2X1 g34672(.A (\u6_mem[3]_137 ), .B (n_7758), .Y (n_7752));
+ NAND2X1 g34673(.A (\u6_mem[3]_138 ), .B (n_7758), .Y (n_7751));
+ NAND2X1 g34674(.A (\u6_mem[3]_139 ), .B (n_7758), .Y (n_7750));
+ NAND2X1 g34675(.A (\u6_mem[3]_140 ), .B (n_7758), .Y (n_7749));
+ NAND2X1 g34676(.A (\u6_mem[3]_122 ), .B (n_7758), .Y (n_7748));
+ NAND2X1 g34677(.A (\u6_mem[3]_141 ), .B (n_7758), .Y (n_7747));
+ NAND2X1 g34678(.A (\u6_mem[3]_142 ), .B (n_7758), .Y (n_7746));
+ NAND2X1 g34679(.A (\u6_mem[3]_143 ), .B (n_7758), .Y (n_7745));
+ NAND2X1 g34680(.A (\u6_mem[3]_144 ), .B (n_7758), .Y (n_7744));
+ NAND2X1 g34681(.A (\u6_mem[3]_145 ), .B (n_7758), .Y (n_7743));
+ NAND2X1 g34682(.A (\u6_mem[3]_146 ), .B (n_7758), .Y (n_7742));
+ NAND2X1 g34683(.A (\u6_mem[3]_147 ), .B (n_7758), .Y (n_7741));
+ NAND2X1 g34684(.A (\u6_mem[3]_148 ), .B (n_7758), .Y (n_7740));
+ NAND2X1 g34685(.A (\u6_mem[3]_149 ), .B (n_7758), .Y (n_7739));
+ NAND2X1 g34686(.A (\u6_mem[3]_150 ), .B (n_7758), .Y (n_7738));
+ NAND2X1 g34687(.A (\u6_mem[3]_123 ), .B (n_7758), .Y (n_7737));
+ NAND2X1 g34688(.A (\u6_mem[3]_151 ), .B (n_7758), .Y (n_7736));
+ NAND2X1 g34689(.A (\u6_mem[3]_152 ), .B (n_7758), .Y (n_7735));
+ NAND2X1 g34690(.A (\u6_mem[3]_124 ), .B (n_7758), .Y (n_7734));
+ NAND2X1 g34691(.A (\u6_mem[3]_125 ), .B (n_7758), .Y (n_7733));
+ NAND2X1 g34692(.A (\u6_mem[3]_126 ), .B (n_7758), .Y (n_7732));
+ NAND2X1 g34694(.A (\u6_mem[3]_127 ), .B (n_7758), .Y (n_7731));
+ NAND2X1 g34695(.A (\u6_mem[3]_128 ), .B (n_7758), .Y (n_7730));
+ NAND2X1 g34696(.A (\u6_mem[3]_129 ), .B (n_7758), .Y (n_7729));
+ NAND2X1 g34697(.A (\u6_mem[3]_130 ), .B (n_7758), .Y (n_7728));
+ NAND2X1 g34698(.A (\u8_mem[3]_152 ), .B (n_7976), .Y (n_7727));
+ NAND2X1 g34701(.A (\u8_mem[1]_66 ), .B (n_7976), .Y (n_7726));
+ NAND2X1 g34703(.A (\u3_mem[1]_74 ), .B (n_8101), .Y (n_7725));
+ NAND2X1 g34713(.A (\u3_mem[2]_29 ), .B (n_8101), .Y (n_7724));
+ NAND2X1 g34714(.A (\u3_mem[2]_44 ), .B (n_8141), .Y (n_7723));
+ NAND2X1 g34716(.A (\u7_mem[1] ), .B (n_7651), .Y (n_7722));
+ NAND2X1 g34717(.A (\u7_mem[1]_69 ), .B (n_7651), .Y (n_7721));
+ NAND2X1 g34718(.A (\u7_mem[1]_70 ), .B (n_7651), .Y (n_7719));
+ NAND2X1 g34719(.A (\u7_mem[1]_71 ), .B (n_7651), .Y (n_7718));
+ NAND2X1 g34720(.A (\u7_mem[1]_72 ), .B (n_7651), .Y (n_7717));
+ NAND2X1 g34721(.A (\u7_mem[1]_73 ), .B (n_7651), .Y (n_7716));
+ NAND2X1 g34722(.A (\u7_mem[1]_74 ), .B (n_7651), .Y (n_7715));
+ NAND2X1 g34723(.A (\u7_mem[1]_75 ), .B (n_7651), .Y (n_7713));
+ NAND2X1 g34724(.A (\u7_mem[1]_76 ), .B (n_7651), .Y (n_7712));
+ NAND2X1 g34725(.A (\u7_mem[1]_77 ), .B (n_7651), .Y (n_7710));
+ NAND2X1 g34726(.A (\u7_mem[1]_78 ), .B (n_7651), .Y (n_7708));
+ NAND2X1 g34727(.A (\u7_mem[1]_60 ), .B (n_7651), .Y (n_7707));
+ NAND2X1 g34728(.A (\u7_mem[1]_79 ), .B (n_7651), .Y (n_7706));
+ NAND2X1 g34729(.A (\u7_mem[1]_80 ), .B (n_7651), .Y (n_7705));
+ NAND2X1 g34730(.A (\u7_mem[1]_81 ), .B (n_7651), .Y (n_7704));
+ NAND2X1 g34731(.A (\u7_mem[1]_82 ), .B (n_7651), .Y (n_7703));
+ NAND2X1 g34732(.A (\u7_mem[1]_83 ), .B (n_7651), .Y (n_7702));
+ NAND2X1 g34733(.A (\u7_mem[1]_84 ), .B (n_7651), .Y (n_7701));
+ NAND2X1 g34734(.A (\u8_mem[2]_50 ), .B (n_7976), .Y (n_7700));
+ NAND2X1 g34735(.A (\u7_mem[1]_85 ), .B (n_7651), .Y (n_7699));
+ NAND2X1 g34736(.A (\u7_mem[1]_86 ), .B (n_7651), .Y (n_7698));
+ NAND2X1 g34737(.A (\u8_mem[2]_54 ), .B (n_7976), .Y (n_7697));
+ NAND2X1 g34738(.A (\u7_mem[1]_87 ), .B (n_7651), .Y (n_7696));
+ NAND2X1 g34739(.A (\u7_mem[1]_88 ), .B (n_7651), .Y (n_7695));
+ NAND2X1 g34740(.A (\u3_mem[1]_83 ), .B (n_8101), .Y (n_7694));
+ NAND2X1 g34741(.A (\u7_mem[1]_61 ), .B (n_7651), .Y (n_7693));
+ NAND2X1 g34742(.A (\u7_mem[1]_89 ), .B (n_7651), .Y (n_7692));
+ NAND2X1 g34743(.A (\u7_mem[1]_62 ), .B (n_7651), .Y (n_7691));
+ NAND2X1 g34744(.A (\u7_mem[1]_63 ), .B (n_7651), .Y (n_7690));
+ NAND2X1 g34745(.A (\u7_mem[1]_64 ), .B (n_7651), .Y (n_7689));
+ NAND2X1 g34746(.A (\u7_mem[1]_65 ), .B (n_7651), .Y (n_7688));
+ NAND2X1 g34747(.A (\u7_mem[1]_66 ), .B (n_7651), .Y (n_7687));
+ NAND2X1 g34748(.A (\u7_mem[1]_67 ), .B (n_7651), .Y (n_7686));
+ NAND2X1 g34749(.A (\u7_mem[1]_68 ), .B (n_7651), .Y (n_7685));
+ NAND2X1 g34750(.A (\u7_mem[2] ), .B (n_7651), .Y (n_7684));
+ NAND2X1 g34751(.A (\u7_mem[2]_38 ), .B (n_7651), .Y (n_7683));
+ NAND2X1 g34752(.A (\u7_mem[2]_39 ), .B (n_7651), .Y (n_7682));
+ NAND2X1 g34753(.A (\u7_mem[2]_40 ), .B (n_7651), .Y (n_7681));
+ NAND2X1 g34754(.A (\u7_mem[2]_41 ), .B (n_7651), .Y (n_7680));
+ NAND2X1 g34755(.A (\u7_mem[2]_42 ), .B (n_7651), .Y (n_7679));
+ NAND2X1 g34756(.A (\u7_mem[2]_43 ), .B (n_7651), .Y (n_7678));
+ NAND2X1 g34757(.A (\u7_mem[2]_44 ), .B (n_7651), .Y (n_7677));
+ NAND2X1 g34758(.A (\u7_mem[2]_45 ), .B (n_7651), .Y (n_7676));
+ NAND2X1 g34759(.A (\u7_mem[2]_46 ), .B (n_7651), .Y (n_7675));
+ NAND2X1 g34760(.A (\u7_mem[2]_47 ), .B (n_7651), .Y (n_7674));
+ NAND2X1 g34761(.A (\u7_mem[2]_48 ), .B (n_7651), .Y (n_7673));
+ NAND2X1 g34762(.A (\u7_mem[2]_49 ), .B (n_7651), .Y (n_7672));
+ NAND2X1 g34763(.A (\u7_mem[2]_50 ), .B (n_7651), .Y (n_7671));
+ NAND2X1 g34764(.A (\u7_mem[2]_51 ), .B (n_7651), .Y (n_7670));
+ NAND2X1 g34765(.A (\u7_mem[2]_52 ), .B (n_7651), .Y (n_7669));
+ NAND2X1 g34766(.A (\u7_mem[2]_53 ), .B (n_7651), .Y (n_7668));
+ NAND2X1 g34767(.A (\u7_mem[2]_54 ), .B (n_7651), .Y (n_7667));
+ NAND2X1 g34768(.A (\u7_mem[2]_55 ), .B (n_7651), .Y (n_7666));
+ NAND2X1 g34769(.A (\u7_mem[2]_56 ), .B (n_7651), .Y (n_7665));
+ NAND2X1 g34770(.A (\u7_mem[2]_30 ), .B (n_7651), .Y (n_7664));
+ NAND2X1 g34771(.A (\u7_mem[2]_58 ), .B (n_7651), .Y (n_7663));
+ NAND2X1 g34772(.A (\u8_mem[2]_52 ), .B (n_7976), .Y (n_7662));
+ NAND2X1 g34773(.A (\u7_mem[2]_59 ), .B (n_7651), .Y (n_7661));
+ NAND2X1 g34774(.A (\u7_mem[2]_31 ), .B (n_7651), .Y (n_7660));
+ NAND2X1 g34775(.A (\u7_mem[2]_32 ), .B (n_7651), .Y (n_7659));
+ NAND2X1 g34776(.A (\u7_mem[2]_33 ), .B (n_7651), .Y (n_7658));
+ NAND2X1 g34777(.A (\u7_mem[2]_34 ), .B (n_7651), .Y (n_7657));
+ NAND2X1 g34778(.A (\u7_mem[2]_35 ), .B (n_7651), .Y (n_7656));
+ NAND2X1 g34779(.A (\u7_mem[2]_36 ), .B (n_7651), .Y (n_7655));
+ NAND2X1 g34780(.A (\u7_mem[2]_37 ), .B (n_7651), .Y (n_7654));
+ NAND2X1 g34781(.A (\u7_mem[3] ), .B (n_7651), .Y (n_7653));
+ NAND2X1 g34782(.A (\u7_mem[3]_131 ), .B (n_7651), .Y (n_7652));
+ NAND2X1 g34783(.A (\u7_mem[3]_132 ), .B (n_7651), .Y (n_7650));
+ NAND2X1 g34784(.A (\u7_mem[3]_133 ), .B (n_7651), .Y (n_7649));
+ NAND2X1 g34785(.A (\u7_mem[3]_134 ), .B (n_7651), .Y (n_7648));
+ NAND2X1 g34786(.A (\u7_mem[3]_135 ), .B (n_7651), .Y (n_7647));
+ NAND2X1 g34787(.A (\u7_mem[3]_136 ), .B (n_7651), .Y (n_7646));
+ NAND2X1 g34788(.A (\u7_mem[3]_137 ), .B (n_7651), .Y (n_7645));
+ NAND2X1 g34789(.A (\u7_mem[3]_138 ), .B (n_7651), .Y (n_7644));
+ NAND2X1 g34790(.A (\u7_mem[3]_139 ), .B (n_7651), .Y (n_7643));
+ NAND2X1 g34791(.A (\u7_mem[3]_140 ), .B (n_7651), .Y (n_7642));
+ NAND2X1 g34792(.A (\u7_mem[3]_122 ), .B (n_7651), .Y (n_7641));
+ NAND2X1 g34793(.A (\u7_mem[3]_141 ), .B (n_7651), .Y (n_7640));
+ NAND2X1 g34794(.A (\u7_mem[3]_142 ), .B (n_7651), .Y (n_7639));
+ NAND2X1 g34795(.A (\u7_mem[3]_143 ), .B (n_7651), .Y (n_7638));
+ NAND2X1 g34796(.A (\u7_mem[3]_144 ), .B (n_7651), .Y (n_7637));
+ NAND2X1 g34797(.A (\u7_mem[3]_145 ), .B (n_7651), .Y (n_7636));
+ NAND2X1 g34798(.A (\u7_mem[3]_146 ), .B (n_7651), .Y (n_7635));
+ NAND2X1 g34799(.A (\u7_mem[3]_147 ), .B (n_7651), .Y (n_7634));
+ NAND2X1 g34800(.A (\u7_mem[3]_148 ), .B (n_7651), .Y (n_7633));
+ NAND2X1 g34801(.A (\u7_mem[3]_149 ), .B (n_7651), .Y (n_7632));
+ NAND2X1 g34802(.A (\u7_mem[3]_150 ), .B (n_7651), .Y (n_7631));
+ NAND2X1 g34803(.A (\u7_mem[3]_123 ), .B (n_7651), .Y (n_7630));
+ NAND2X1 g34804(.A (\u8_mem[3]_137 ), .B (n_7976), .Y (n_7629));
+ NAND2X1 g34805(.A (\u7_mem[3]_151 ), .B (n_7651), .Y (n_7628));
+ NAND2X1 g34806(.A (\u7_mem[3]_152 ), .B (n_7651), .Y (n_7627));
+ NAND2X1 g34807(.A (\u7_mem[3]_124 ), .B (n_7651), .Y (n_7626));
+ NAND2X1 g34808(.A (\u7_mem[3]_125 ), .B (n_7651), .Y (n_7625));
+ NAND2X1 g34809(.A (\u7_mem[3]_126 ), .B (n_7651), .Y (n_7624));
+ NAND2X1 g34810(.A (\u7_mem[3]_127 ), .B (n_7651), .Y (n_7623));
+ NAND2X1 g34811(.A (\u7_mem[3]_128 ), .B (n_7651), .Y (n_7622));
+ NAND2X1 g34812(.A (\u7_mem[3]_129 ), .B (n_7651), .Y (n_7621));
+ NAND2X1 g34813(.A (\u7_mem[3]_130 ), .B (n_7651), .Y (n_7620));
+ NAND2X1 g34815(.A (\u3_mem[3]_151 ), .B (n_8097), .Y (n_7619));
+ NAND2X1 g34816(.A (\u3_mem[1]_63 ), .B (n_8141), .Y (n_7618));
+ NAND2X1 g34817(.A (\u3_mem[2]_45 ), .B (n_8101), .Y (n_7617));
+ NAND2X1 g34818(.A (\u8_mem[2]_48 ), .B (n_7976), .Y (n_7616));
+ NAND2X1 g34819(.A (\u8_mem[1]_69 ), .B (n_7976), .Y (n_7615));
+ NAND2X1 g34820(.A (\u8_mem[1]_70 ), .B (n_7976), .Y (n_7614));
+ NAND2X1 g34821(.A (\u8_mem[1]_72 ), .B (n_7976), .Y (n_7613));
+ NAND2X1 g34822(.A (\u8_mem[1]_73 ), .B (n_7976), .Y (n_7612));
+ NAND2X1 g34823(.A (\u8_mem[1]_74 ), .B (n_7976), .Y (n_7611));
+ NAND2X1 g34824(.A (\u8_mem[1]_76 ), .B (n_7976), .Y (n_7610));
+ NAND2X1 g34825(.A (\u8_mem[3]_133 ), .B (n_7976), .Y (n_7609));
+ NAND2X1 g34826(.A (\u8_mem[1]_77 ), .B (n_7976), .Y (n_7608));
+ NAND2X1 g34827(.A (\u3_mem[1]_90 ), .B (n_8101), .Y (n_7607));
+ NAND2X1 g34828(.A (\u8_mem[1]_78 ), .B (n_7976), .Y (n_7606));
+ NAND2X1 g34829(.A (\u8_mem[1]_79 ), .B (n_7976), .Y (n_7605));
+ NAND2X1 g34830(.A (\u8_mem[1]_80 ), .B (n_7976), .Y (n_7604));
+ NAND2X1 g34831(.A (\u8_mem[1]_81 ), .B (n_7976), .Y (n_7603));
+ NAND2X1 g34832(.A (\u8_mem[1]_83 ), .B (n_7976), .Y (n_7602));
+ NAND2X1 g34833(.A (\u8_mem[1]_84 ), .B (n_7976), .Y (n_7601));
+ NAND2X1 g34834(.A (\u3_mem[1] ), .B (n_8141), .Y (n_7600));
+ NAND2X1 g34835(.A (\u8_mem[1]_85 ), .B (n_7976), .Y (n_7599));
+ NAND2X1 g34836(.A (\u8_mem[1]_86 ), .B (n_7976), .Y (n_7598));
+ NAND2X1 g34837(.A (\u3_mem[1]_69 ), .B (n_8101), .Y (n_7597));
+ NAND2X1 g34838(.A (\u8_mem[1]_87 ), .B (n_7976), .Y (n_7596));
+ NAND2X1 g34839(.A (\u8_mem[1]_61 ), .B (n_7976), .Y (n_7595));
+ NAND2X1 g34840(.A (\u8_mem[1]_89 ), .B (n_7976), .Y (n_7594));
+ NAND2X1 g34841(.A (\u3_mem[1]_71 ), .B (n_8101), .Y (n_7593));
+ NAND2X1 g34842(.A (\u8_mem[1]_90 ), .B (n_7976), .Y (n_7592));
+ NAND2X1 g34843(.A (\u3_mem[1]_72 ), .B (n_8101), .Y (n_7591));
+ NAND2X1 g34844(.A (\u8_mem[1]_64 ), .B (n_7976), .Y (n_7590));
+ NAND2X1 g34845(.A (\u3_mem[1]_73 ), .B (n_8141), .Y (n_7589));
+ NAND2X1 g34846(.A (\u8_mem[1]_65 ), .B (n_7976), .Y (n_7588));
+ NAND2X1 g34847(.A (\u8_mem[1]_67 ), .B (n_7976), .Y (n_7587));
+ NAND2X1 g34848(.A (\u8_mem[1]_68 ), .B (n_7976), .Y (n_7586));
+ NAND2X1 g34849(.A (\u3_mem[1]_75 ), .B (n_8141), .Y (n_7585));
+ NAND2X1 g34850(.A (\u8_mem[2] ), .B (n_7976), .Y (n_7584));
+ NAND2X1 g34851(.A (\u8_mem[2]_38 ), .B (n_7976), .Y (n_7583));
+ NAND2X1 g34852(.A (\u8_mem[2]_40 ), .B (n_7976), .Y (n_7582));
+ NAND2X1 g34853(.A (\u3_mem[1]_77 ), .B (n_8141), .Y (n_7581));
+ NAND2X1 g34854(.A (\u8_mem[2]_47 ), .B (n_7976), .Y (n_7580));
+ NAND2X1 g34855(.A (\u8_mem[2]_42 ), .B (n_7976), .Y (n_7579));
+ NAND2X1 g34856(.A (\u3_mem[1]_78 ), .B (n_8141), .Y (n_7578));
+ NAND2X1 g34857(.A (\u8_mem[2]_43 ), .B (n_7976), .Y (n_7577));
+ NAND2X1 g34858(.A (\u8_mem[2]_44 ), .B (n_7976), .Y (n_7576));
+ NAND2X1 g34859(.A (\u3_mem[1]_60 ), .B (n_8101), .Y (n_7575));
+ NAND2X1 g34860(.A (\u8_mem[2]_46 ), .B (n_7976), .Y (n_7574));
+ NAND2X1 g34861(.A (\u8_mem[2]_29 ), .B (n_7976), .Y (n_7573));
+ NAND2X1 g34862(.A (\u3_mem[1]_80 ), .B (n_8101), .Y (n_7572));
+ NAND2X1 g34863(.A (\u8_mem[2]_49 ), .B (n_7976), .Y (n_7571));
+ NAND2X1 g34864(.A (\u3_mem[1]_81 ), .B (n_8141), .Y (n_7570));
+ NAND2X1 g34865(.A (\u8_mem[2]_51 ), .B (n_7976), .Y (n_7569));
+ NAND2X1 g34866(.A (\u3_mem[1]_82 ), .B (n_8101), .Y (n_7568));
+ NAND2X1 g34867(.A (\u8_mem[2]_53 ), .B (n_7976), .Y (n_7567));
+ NAND2X1 g34868(.A (\u8_mem[2]_55 ), .B (n_7976), .Y (n_7566));
+ NAND2X1 g34869(.A (\u3_mem[1]_84 ), .B (n_8101), .Y (n_7565));
+ NAND2X1 g34870(.A (\u8_mem[1]_88 ), .B (n_7976), .Y (n_7564));
+ NAND2X1 g34871(.A (\u8_mem[2]_57 ), .B (n_7976), .Y (n_7563));
+ NAND2X1 g34872(.A (\u3_mem[1]_85 ), .B (n_8101), .Y (n_7562));
+ NAND2X1 g34873(.A (\u8_mem[2]_30 ), .B (n_7976), .Y (n_7561));
+ NAND2X1 g34874(.A (\u8_mem[2]_58 ), .B (n_7976), .Y (n_7560));
+ NAND2X1 g34875(.A (\u3_mem[1]_86 ), .B (n_8141), .Y (n_7559));
+ NAND2X1 g34876(.A (\u8_mem[2]_31 ), .B (n_7976), .Y (n_7558));
+ MX2X1 g34910(.A (i4_full), .B (u14_u7_full_empty_r), .S0 (n_7357), .Y
+ (n_7557));
+ INVX1 g35286(.A (n_7481), .Y (n_8210));
+ NOR2X1 g35300(.A (n_1301), .B (n_12335), .Y (n_7542));
+ NOR2X1 g35304(.A (n_1372), .B (n_12335), .Y (n_7541));
+ NOR2X1 g35308(.A (n_1355), .B (n_12335), .Y (n_7539));
+ NOR2X1 g35312(.A (n_1100), .B (n_12335), .Y (n_7538));
+ NOR2X1 g35316(.A (n_7017), .B (n_12335), .Y (n_7537));
+ INVX1 g35332(.A (n_7536), .Y (n_8679));
+ OAI21X1 g32697(.A0 (n_5595), .A1 (n_7532), .B0 (n_7531), .Y (n_7533));
+ OAI21X1 g32699(.A0 (n_5594), .A1 (n_7529), .B0 (n_7528), .Y (n_7530));
+ AOI21X1 g32984(.A0 (n_7384), .A1 (n_7385), .B0 (n_7526), .Y (n_7527));
+ AOI21X1 g32985(.A0 (n_7381), .A1 (n_7382), .B0 (n_7524), .Y (n_7525));
+ DFFSRX1 u3_empty_reg(.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_7436), .Q (), .QN (o3_empty));
+ DFFSRX1 u5_empty_reg(.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_7438), .Q (), .QN (o6_empty));
+ DFFSRX1 u7_empty_reg(.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_7437), .Q (), .QN (o8_empty));
+ DFFSRX1 u8_empty_reg(.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_7435), .Q (), .QN (o9_empty));
+ AND2X1 g33264(.A (n_7521), .B (wb_din), .Y (n_7523));
+ AND2X1 g33275(.A (n_7521), .B (wb_din_661), .Y (n_7522));
+ OR2X1 g33394(.A (n_5439), .B (n_7519), .Y (n_7520));
+ DFFX1 \u10_rp_reg[2] (.CK (clk_i), .D (n_7372), .Q (\u10_rp[2] ), .QN
+ ());
+ DFFX1 \u11_rp_reg[2] (.CK (clk_i), .D (n_7373), .Q (\u11_rp[2] ), .QN
+ ());
+ INVX2 g34406(.A (n_7518), .Y (n_8643));
+ INVX2 g34449(.A (n_7515), .Y (n_8611));
+ INVX4 g34475(.A (n_7445), .Y (n_8519));
+ INVX4 g34485(.A (n_7514), .Y (n_8538));
+ NOR2X1 g34878(.A (n_7367), .B (n_4802), .Y (n_7513));
+ NOR2X1 g34893(.A (n_7365), .B (n_4800), .Y (n_7512));
+ MX2X1 g34908(.A (u1_sr_122), .B (in_slt_424), .S0 (out_le_183), .Y
+ (n_7511));
+ MX2X1 g34912(.A (u1_sr_122), .B (in_slt_832), .S0 (out_le_181), .Y
+ (n_7510));
+ MX2X1 g34913(.A (u1_sr_122), .B (in_slt_402), .S0 (out_le_182), .Y
+ (n_7509));
+ MX2X1 g34914(.A (u1_sr_122), .B (in_slt_446), .S0 (out_le_184), .Y
+ (n_7508));
+ MX2X1 g34915(.A (u1_sr_122), .B (in_slt_748), .S0 (out_le_180), .Y
+ (n_7507));
+ INVX4 g34977(.A (n_8141), .Y (n_8700));
+ NAND2X1 g35272(.A (n_6674), .B (n_7331), .Y (n_7488));
+ NAND2X1 g35273(.A (n_6678), .B (n_7330), .Y (n_7487));
+ NAND2X1 g35274(.A (n_6699), .B (n_7336), .Y (n_7486));
+ NAND2X1 g35276(.A (n_7112), .B (n_7335), .Y (n_7485));
+ NAND2X1 g35277(.A (n_6685), .B (n_7333), .Y (n_7484));
+ NAND2X1 g35278(.A (n_6690), .B (n_7332), .Y (n_7483));
+ NAND2X1 g35279(.A (n_7329), .B (n_6697), .Y (n_7482));
+ NOR2X1 g35287(.A (n_7477), .B (n_1119), .Y (n_7481));
+ NOR2X1 g35318(.A (\in_valid_s[0] ), .B (n_1308), .Y (n_9352));
+ OR2X1 g35319(.A (n_11563), .B (\in_valid_s[0] ), .Y (n_7480));
+ NAND2X1 g35320(.A (n_11600), .B (\in_valid_s[1] ), .Y (n_7479));
+ OR2X1 g35321(.A (n_11762), .B (n_7477), .Y (n_7478));
+ NAND2X1 g35326(.A (n_6745), .B (n_7337), .Y (n_7476));
+ INVX1 g35333(.A (n_7395), .Y (n_7536));
+ AOI21X1 g35339(.A0 (i4_dout), .A1 (n_7468), .B0 (n_7352), .Y
+ (n_7475));
+ AOI21X1 g35340(.A0 (i4_dout_605), .A1 (n_7468), .B0 (n_7351), .Y
+ (n_7473));
+ AOI21X1 g35341(.A0 (i4_dout_606), .A1 (n_7468), .B0 (n_7350), .Y
+ (n_7472));
+ AOI21X1 g35342(.A0 (i4_dout_609), .A1 (n_7468), .B0 (n_7354), .Y
+ (n_7471));
+ AOI21X1 g35343(.A0 (i4_dout_596), .A1 (n_7468), .B0 (n_7347), .Y
+ (n_7470));
+ AOI21X1 g35344(.A0 (i4_dout_597), .A1 (n_7468), .B0 (n_7346), .Y
+ (n_7469));
+ AOI21X1 g35345(.A0 (i4_dout_598), .A1 (n_7468), .B0 (n_7345), .Y
+ (n_7467));
+ AOI21X1 g35346(.A0 (i4_dout_599), .A1 (n_7468), .B0 (n_7344), .Y
+ (n_7466));
+ AOI21X1 g35347(.A0 (i4_dout_600), .A1 (n_7468), .B0 (n_7343), .Y
+ (n_7465));
+ AOI21X1 g35348(.A0 (i4_dout_601), .A1 (n_7468), .B0 (n_7342), .Y
+ (n_7464));
+ AOI21X1 g35349(.A0 (i4_dout_602), .A1 (n_7468), .B0 (n_7341), .Y
+ (n_7463));
+ AOI21X1 g35350(.A0 (n_7468), .A1 (i4_dout_603), .B0 (n_7340), .Y
+ (n_7462));
+ AOI21X1 g35351(.A0 (i4_dout_607), .A1 (n_7468), .B0 (n_7349), .Y
+ (n_7461));
+ AOI21X1 g35352(.A0 (i4_dout_608), .A1 (n_7297), .B0 (n_7348), .Y
+ (n_7460));
+ AOI21X1 g35356(.A0 (i4_dout_604), .A1 (n_7468), .B0 (n_7339), .Y
+ (n_7459));
+ AOI21X1 g35360(.A0 (n_6972), .A1 (oc0_cfg_964), .B0 (n_7338), .Y
+ (n_7458));
+ NAND2X1 g35444(.A (n_7303), .B (n_6673), .Y (n_7457));
+ NAND2X1 g35445(.A (n_7386), .B (n_7012), .Y (n_7456));
+ NAND2X1 g35446(.A (n_7301), .B (n_6696), .Y (n_7455));
+ NAND2X1 g35447(.A (n_7300), .B (n_6694), .Y (n_7454));
+ NAND2X1 g35448(.A (n_7299), .B (n_6693), .Y (n_7453));
+ NAND2X1 g35449(.A (n_7296), .B (n_6737), .Y (n_7452));
+ NAND2X1 g35450(.A (n_7298), .B (n_6743), .Y (n_7451));
+ NAND2X1 g35451(.A (n_6692), .B (n_7302), .Y (n_7450));
+ DFFSRX1 \u23_int_set_reg[1] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_7364), .Q (ic0_int_set_719), .QN ());
+ DFFSRX1 \u24_int_set_reg[1] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_7363), .Q (ic1_int_set_721), .QN ());
+ DFFX1 \u11_rp_reg[1] (.CK (clk_i), .D (n_7375), .Q (\u11_rp[1] ), .QN
+ ());
+ DFFX1 \u9_rp_reg[1] (.CK (clk_i), .D (n_7377), .Q (\u9_rp[1] ), .QN
+ ());
+ DFFX1 \u10_rp_reg[1] (.CK (clk_i), .D (n_7376), .Q (\u10_rp[1] ), .QN
+ ());
+ DFFX1 \u11_rp_reg[0] (.CK (clk_i), .D (n_7371), .Q (\u11_rp[0] ), .QN
+ ());
+ DFFX1 \u9_rp_reg[0] (.CK (clk_i), .D (n_7369), .Q (\u9_rp[0] ), .QN
+ ());
+ DFFX1 \u9_rp_reg[2] (.CK (clk_i), .D (n_7374), .Q (\u9_rp[2] ), .QN
+ ());
+ DFFX1 \u10_rp_reg[0] (.CK (clk_i), .D (n_7366), .Q (\u10_rp[0] ), .QN
+ ());
+ DFFX1 \u1_slt1_reg[5] (.CK (bit_clk_pad_i), .D (n_7359), .Q
+ (in_slt_747), .QN ());
+ DFFX1 \u1_slt2_reg[5] (.CK (bit_clk_pad_i), .D (n_7360), .Q
+ (in_slt_831), .QN ());
+ DFFX1 \u1_slt4_reg[5] (.CK (bit_clk_pad_i), .D (n_7362), .Q
+ (in_slt_423), .QN ());
+ DFFX1 \u1_slt3_reg[5] (.CK (bit_clk_pad_i), .D (n_7358), .Q
+ (in_slt_401), .QN ());
+ DFFX1 \u1_slt6_reg[5] (.CK (bit_clk_pad_i), .D (n_7361), .Q
+ (in_slt_445), .QN ());
+ OR2X1 g33392(.A (n_5597), .B (n_7448), .Y (n_7449));
+ OR2X1 g33393(.A (n_5596), .B (n_7446), .Y (n_7447));
+ DFFSRX1 \u25_int_set_reg[1] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_7280), .Q (ic2_int_set_723), .QN ());
+ AND2X1 g34404(.A (n_7287), .B (n_7443), .Y (n_7521));
+ NAND2X1 g34414(.A (n_7444), .B (n_996), .Y (n_7518));
+ NAND2X1 g34451(.A (n_6042), .B (n_7442), .Y (n_7515));
+ NAND2X1 g34476(.A (n_7444), .B (n_6044), .Y (n_7445));
+ AND2X1 g34487(.A (n_7286), .B (n_7443), .Y (n_7514));
+ NAND2X2 g34490(.A (n_1225), .B (n_7442), .Y (n_8202));
+ NAND2X1 g34710(.A (n_7441), .B (n_7439), .Y (n_8208));
+ OR2X1 g34711(.A (i6_status), .B (n_7439), .Y (n_7440));
+ NOR2X1 g34882(.A (n_7285), .B (n_2595), .Y (n_7438));
+ NOR2X1 g34896(.A (n_12838), .B (n_12837), .Y (n_7437));
+ NOR2X1 g34898(.A (n_7282), .B (n_4796), .Y (n_7436));
+ NOR2X1 g34899(.A (n_7281), .B (n_3993), .Y (n_7435));
+ OR2X1 g34902(.A (n_7434), .B (n_11534), .Y (n_7519));
+ INVX1 g34919(.A (n_7428), .Y (n_9110));
+ INVX1 g34921(.A (n_7428), .Y (n_9087));
+ INVX1 g34922(.A (n_7428), .Y (n_9100));
+ INVX1 g34923(.A (n_7428), .Y (n_9077));
+ INVX1 g34925(.A (n_7428), .Y (n_9105));
+ INVX1 g34926(.A (n_7428), .Y (n_9080));
+ INVX1 g34928(.A (n_7428), .Y (n_9170));
+ INVX2 g34929(.A (n_7428), .Y (n_9182));
+ INVX8 g34952(.A (n_7758), .Y (n_7505));
+ INVX1 g34962(.A (n_7428), .Y (n_9165));
+ INVX1 g34966(.A (n_7428), .Y (n_9139));
+ INVX2 g34968(.A (n_7428), .Y (n_9161));
+ INVX2 g34983(.A (n_7423), .Y (n_8097));
+ INVX4 g34989(.A (n_7423), .Y (n_8101));
+ INVX1 g34992(.A (n_7424), .Y (n_8387));
+ INVX1 g34993(.A (n_7424), .Y (n_8357));
+ INVX1 g34994(.A (n_7424), .Y (n_8380));
+ INVX1 g34995(.A (n_7424), .Y (n_8383));
+ INVX1 g34996(.A (n_7424), .Y (n_8372));
+ INVX1 g34997(.A (n_7424), .Y (n_8369));
+ INVX1 g34998(.A (n_7424), .Y (n_9022));
+ INVX1 g34999(.A (n_7424), .Y (n_8375));
+ INVX2 g35002(.A (n_7423), .Y (n_8856));
+ INVX2 g35012(.A (n_7424), .Y (n_8911));
+ INVX1 g35015(.A (n_7424), .Y (n_8393));
+ INVX1 g35017(.A (n_7424), .Y (n_8440));
+ INVX1 g35036(.A (n_7414), .Y (n_9333));
+ INVX1 g35037(.A (n_7414), .Y (n_9346));
+ INVX1 g35039(.A (n_7414), .Y (n_9336));
+ INVX2 g35040(.A (n_7414), .Y (n_9349));
+ INVX1 g35041(.A (n_7414), .Y (n_9326));
+ INVX2 g35046(.A (n_7414), .Y (n_8333));
+ INVX8 g35067(.A (n_7984), .Y (n_7499));
+ INVX2 g35077(.A (n_7414), .Y (n_8318));
+ INVX1 g35086(.A (n_7408), .Y (n_9235));
+ INVX1 g35088(.A (n_7408), .Y (n_9212));
+ INVX1 g35090(.A (n_7408), .Y (n_9202));
+ INVX1 g35092(.A (n_7408), .Y (n_9230));
+ INVX1 g35093(.A (n_7408), .Y (n_9205));
+ INVX2 g35096(.A (n_7408), .Y (n_9307));
+ INVX1 g35097(.A (n_7408), .Y (n_9288));
+ INVX8 g35119(.A (n_7870), .Y (n_7496));
+ INVX1 g35129(.A (n_7408), .Y (n_9290));
+ INVX1 g35133(.A (n_7408), .Y (n_9264));
+ INVX2 g35135(.A (n_7408), .Y (n_9286));
+ INVX1 g35138(.A (n_7402), .Y (n_8981));
+ INVX1 g35140(.A (n_7402), .Y (n_8958));
+ INVX1 g35141(.A (n_7402), .Y (n_8971));
+ INVX1 g35142(.A (n_7402), .Y (n_8948));
+ INVX1 g35143(.A (n_7402), .Y (n_8961));
+ INVX1 g35144(.A (n_7402), .Y (n_8976));
+ INVX1 g35145(.A (n_7402), .Y (n_8951));
+ INVX1 g35147(.A (n_7402), .Y (n_9043));
+ INVX2 g35148(.A (n_7402), .Y (n_9055));
+ INVX1 g35149(.A (n_7402), .Y (n_9036));
+ INVX8 g35171(.A (n_7651), .Y (n_7493));
+ INVX1 g35181(.A (n_7402), .Y (n_9038));
+ INVX1 g35185(.A (n_7402), .Y (n_9010));
+ INVX2 g35187(.A (n_7402), .Y (n_9034));
+ INVX1 g35190(.A (n_7396), .Y (n_8433));
+ INVX1 g35192(.A (n_7396), .Y (n_8453));
+ INVX1 g35193(.A (n_7396), .Y (n_8449));
+ INVX1 g35195(.A (n_7396), .Y (n_8457));
+ INVX1 g35196(.A (n_7396), .Y (n_8438));
+ INVX1 g35197(.A (n_7396), .Y (n_8464));
+ INVX1 g35199(.A (n_7396), .Y (n_8930));
+ INVX1 g35202(.A (n_7396), .Y (n_8868));
+ INVX1 g35206(.A (n_7396), .Y (n_8898));
+ INVX8 g35223(.A (n_7976), .Y (n_7490));
+ INVX1 g35233(.A (n_7396), .Y (n_8894));
+ INVX1 g35238(.A (n_7396), .Y (n_8891));
+ INVX1 g35239(.A (n_7396), .Y (n_8933));
+ DFFX1 \u1_sr_reg[7] (.CK (bit_clk_pad_i), .D (u1_sr_122), .Q
+ (u1_sr_123), .QN ());
+ NOR2X1 g35334(.A (n_7356), .B (n_1124), .Y (n_7395));
+ DFFX1 \u0_slt9_r_reg[0] (.CK (bit_clk_pad_i), .D (n_7231), .Q
+ (u0_slt9_r), .QN ());
+ INVX1 g35410(.A (\in_valid_s[0] ), .Y (n_7389));
+ INVX1 g35416(.A (n_7477), .Y (n_7388));
+ AOI21X1 g33284(.A0 (n_7157), .A1 (n_1230), .B0 (n_7434), .Y (n_7387));
+ AOI21X1 g35671(.A0 (i3_dout_588), .A1 (n_6700), .B0 (n_7106), .Y
+ (n_7386));
+ NAND2X1 g34704(.A (n_7385), .B (n_7383), .Y (n_7532));
+ OR2X1 g34705(.A (i3_status), .B (n_7383), .Y (n_7384));
+ NAND2X1 g34707(.A (n_7382), .B (n_7380), .Y (n_7529));
+ OR2X1 g34708(.A (i4_status), .B (n_7380), .Y (n_7381));
+ OR2X1 g34900(.A (n_7379), .B (n_11887), .Y (n_7448));
+ OR2X1 g34901(.A (n_7378), .B (n_11888), .Y (n_7446));
+ INVX8 g34941(.A (o7_we), .Y (n_7758));
+ BUFX3 g34969(.A (o7_we), .Y (n_7428));
+ CLKBUFX1 g35000(.A (o3_we), .Y (n_7424));
+ BUFX3 g35009(.A (o3_we), .Y (n_7423));
+ INVX2 g35032(.A (o3_we), .Y (n_8141));
+ INVX8 g35056(.A (o4_we), .Y (n_7984));
+ BUFX3 g35084(.A (o4_we), .Y (n_7414));
+ INVX8 g35108(.A (o6_we), .Y (n_7870));
+ CLKBUFX1 g35136(.A (o6_we), .Y (n_7408));
+ INVX8 g35160(.A (o8_we), .Y (n_7651));
+ BUFX3 g35188(.A (o8_we), .Y (n_7402));
+ INVX8 g35212(.A (o9_we), .Y (n_7976));
+ CLKBUFX1 g35240(.A (o9_we), .Y (n_7396));
+ NOR2X1 g35264(.A (n_7152), .B (n_11563), .Y (n_7377));
+ NOR2X1 g35265(.A (n_7150), .B (n_11597), .Y (n_7376));
+ NOR2X1 g35266(.A (n_7153), .B (n_11762), .Y (n_7375));
+ NOR2X1 g35267(.A (n_7147), .B (n_11563), .Y (n_7374));
+ NOR2X1 g35268(.A (n_7146), .B (n_11762), .Y (n_7373));
+ NOR2X1 g35269(.A (n_7145), .B (n_11597), .Y (n_7372));
+ NOR2X1 g35271(.A (n_7141), .B (n_11762), .Y (n_7371));
+ NOR2X1 g35275(.A (n_7143), .B (n_11563), .Y (n_7369));
+ NAND3X1 g35280(.A (n_6330), .B (n_7011), .C (n_1460), .Y (n_7367));
+ NOR2X1 g35283(.A (n_7142), .B (n_11597), .Y (n_7366));
+ NAND3X1 g35325(.A (n_7050), .B (n_5965), .C (n_2271), .Y (n_7365));
+ OR2X1 g35357(.A (n_7149), .B (ic0_int_set_719), .Y (n_7364));
+ OR2X1 g35358(.A (n_7148), .B (ic1_int_set_721), .Y (n_7363));
+ MX2X1 g35363(.A (u1_sr_121), .B (in_slt_423), .S0 (out_le_183), .Y
+ (n_7362));
+ MX2X1 g35364(.A (u1_sr_121), .B (in_slt_445), .S0 (out_le_184), .Y
+ (n_7361));
+ MX2X1 g35365(.A (u1_sr_121), .B (in_slt_831), .S0 (out_le_181), .Y
+ (n_7360));
+ MX2X1 g35366(.A (u1_sr_121), .B (in_slt_747), .S0 (out_le_180), .Y
+ (n_7359));
+ MX2X1 g35370(.A (u1_sr_121), .B (in_slt_401), .S0 (out_le_182), .Y
+ (n_7358));
+ DFFSRX1 valid_s_reg(.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (valid_s1), .Q (valid_s), .QN ());
+ DFFSRX1 \in_valid_s_reg[0] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (in_valid_s1), .Q (), .QN (\in_valid_s[0] ));
+ INVX1 g35412(.A (n_7356), .Y (n_7357));
+ INVX1 g35417(.A (\in_valid_s[2] ), .Y (n_7477));
+ AOI21X1 g35420(.A0 (n_6969), .A1 (n_4693), .B0 (n_7353), .Y (n_7354));
+ AOI21X1 g35421(.A0 (n_6974), .A1 (n_4742), .B0 (n_7353), .Y (n_7352));
+ AOI21X1 g35422(.A0 (n_6973), .A1 (n_4692), .B0 (n_7353), .Y (n_7351));
+ AOI21X1 g35423(.A0 (n_6960), .A1 (n_4737), .B0 (n_7353), .Y (n_7350));
+ AOI21X1 g35424(.A0 (n_6971), .A1 (n_4735), .B0 (n_7353), .Y (n_7349));
+ AOI21X1 g35425(.A0 (n_6958), .A1 (n_4689), .B0 (n_7353), .Y (n_7348));
+ AOI21X1 g35426(.A0 (n_6968), .A1 (n_4715), .B0 (n_7353), .Y (n_7347));
+ AOI21X1 g35427(.A0 (n_6967), .A1 (n_4712), .B0 (n_7353), .Y (n_7346));
+ AOI21X1 g35428(.A0 (n_6966), .A1 (n_4709), .B0 (n_7353), .Y (n_7345));
+ AOI21X1 g35429(.A0 (n_6965), .A1 (n_4704), .B0 (n_7353), .Y (n_7344));
+ AOI21X1 g35430(.A0 (n_6964), .A1 (n_4702), .B0 (n_7353), .Y (n_7343));
+ AOI21X1 g35431(.A0 (n_6963), .A1 (n_4698), .B0 (n_7353), .Y (n_7342));
+ AOI21X1 g35432(.A0 (n_6962), .A1 (n_4697), .B0 (n_7353), .Y (n_7341));
+ AOI21X1 g35433(.A0 (n_6961), .A1 (n_4695), .B0 (n_7353), .Y (n_7340));
+ AOI21X1 g35434(.A0 (n_6959), .A1 (n_4741), .B0 (n_7353), .Y (n_7339));
+ NAND2X1 g35443(.A (n_5276), .B (n_7113), .Y (n_7338));
+ DFFX1 \u1_slt2_reg[4] (.CK (bit_clk_pad_i), .D (n_7144), .Q
+ (in_slt_830), .QN ());
+ AOI21X1 g35467(.A0 (i4_dout_612), .A1 (n_7468), .B0 (n_7139), .Y
+ (n_7337));
+ AOI21X1 g35468(.A0 (i4_dout_613), .A1 (n_7468), .B0 (n_7138), .Y
+ (n_7336));
+ AOI21X1 g35470(.A0 (i3_dout_583), .A1 (n_6700), .B0 (n_7137), .Y
+ (n_7335));
+ AOI21X1 g35471(.A0 (i4_dout_615), .A1 (n_7468), .B0 (n_7136), .Y
+ (n_7333));
+ AOI21X1 g35472(.A0 (i4_dout_616), .A1 (n_7468), .B0 (n_7135), .Y
+ (n_7332));
+ AOI21X1 g35473(.A0 (i3_dout_579), .A1 (n_6700), .B0 (n_7134), .Y
+ (n_7331));
+ AOI21X1 g35479(.A0 (i4_dout_611), .A1 (n_7468), .B0 (n_7140), .Y
+ (n_7330));
+ AOI21X1 g35488(.A0 (i4_dout_617), .A1 (n_7468), .B0 (n_7133), .Y
+ (n_7329));
+ AOI21X1 g35565(.A0 (n_6818), .A1 (n_6135), .B0 (n_12145), .Y
+ (n_7328));
+ AOI21X1 g35573(.A0 (n_6825), .A1 (n_6123), .B0 (n_7324), .Y (n_7327));
+ AOI21X1 g35574(.A0 (n_6834), .A1 (n_6127), .B0 (n_7324), .Y (n_7326));
+ AOI21X1 g35575(.A0 (n_6833), .A1 (n_6126), .B0 (n_7324), .Y (n_7325));
+ AOI21X1 g35579(.A0 (n_11539), .A1 (n_11540), .B0 (n_12145), .Y
+ (n_7320));
+ AOI21X1 g35580(.A0 (n_11541), .A1 (n_11542), .B0 (n_12145), .Y
+ (n_7319));
+ AOI21X1 g35596(.A0 (n_6819), .A1 (n_6130), .B0 (n_12145), .Y
+ (n_7318));
+ AOI21X1 g35608(.A0 (n_6823), .A1 (n_6112), .B0 (n_7256), .Y (n_7316));
+ AOI21X1 g35609(.A0 (n_6826), .A1 (n_6111), .B0 (n_7256), .Y (n_7315));
+ AOI21X1 g35611(.A0 (n_6822), .A1 (n_6109), .B0 (n_7256), .Y (n_7314));
+ AOI21X1 g35617(.A0 (n_6766), .A1 (n_6307), .B0 (n_7256), .Y (n_7312));
+ AOI21X1 g35618(.A0 (n_5830), .A1 (n_6820), .B0 (n_7256), .Y (n_7311));
+ AOI21X1 g35619(.A0 (n_6782), .A1 (n_6305), .B0 (n_7256), .Y (n_7309));
+ AOI21X1 g35637(.A0 (n_5914), .A1 (n_6761), .B0 (n_12640), .Y
+ (n_7306));
+ AOI21X1 g35670(.A0 (i3_dout_587), .A1 (n_6700), .B0 (n_7110), .Y
+ (n_7303));
+ AOI21X1 g35716(.A0 (i4_dout_625), .A1 (n_7468), .B0 (n_7111), .Y
+ (n_7302));
+ AOI21X1 g35672(.A0 (i4_dout_620), .A1 (n_7468), .B0 (n_7045), .Y
+ (n_7301));
+ AOI21X1 g35673(.A0 (i4_dout_621), .A1 (n_7468), .B0 (n_7109), .Y
+ (n_7300));
+ AOI21X1 g35674(.A0 (i4_dout_622), .A1 (n_7468), .B0 (n_7108), .Y
+ (n_7299));
+ AOI21X1 g35715(.A0 (i4_dout_624), .A1 (n_7297), .B0 (n_7151), .Y
+ (n_7298));
+ AOI21X1 g35714(.A0 (i4_dout_623), .A1 (n_7468), .B0 (n_7107), .Y
+ (n_7296));
+ DFFX1 \u1_slt3_reg[4] (.CK (bit_clk_pad_i), .D (n_7156), .Q
+ (in_slt_400), .QN ());
+ DFFX1 \u1_slt4_reg[4] (.CK (bit_clk_pad_i), .D (n_7155), .Q
+ (in_slt_422), .QN ());
+ DFFX1 \u1_slt6_reg[4] (.CK (bit_clk_pad_i), .D (n_7154), .Q
+ (in_slt_444), .QN ());
+ AOI21X1 g33282(.A0 (n_7032), .A1 (n_1136), .B0 (n_7379), .Y (n_7295));
+ AOI21X1 g33283(.A0 (n_7031), .A1 (n_1105), .B0 (n_7378), .Y (n_7294));
+ OAI21X1 g35863(.A0 (n_5678), .A1 (n_11934), .B0 (n_6810), .Y
+ (n_7292));
+ NOR2X1 g34596(.A (o4_status_972), .B (n_458), .Y (n_9548));
+ NOR2X1 g34597(.A (o6_status_982), .B (n_447), .Y (n_9486));
+ NOR2X1 g34888(.A (o4_status), .B (o4_status_972), .Y (n_7289));
+ NOR2X1 g34889(.A (o6_status), .B (o6_status_982), .Y (n_7288));
+ DFFX1 u12_o7_we_reg(.CK (clk_i), .D (n_7020), .Q (o7_we), .QN ());
+ DFFX1 u12_o3_we_reg(.CK (clk_i), .D (n_7028), .Q (o3_we), .QN ());
+ DFFX1 u12_o4_we_reg(.CK (clk_i), .D (n_7027), .Q (o4_we), .QN ());
+ DFFX1 u12_o6_we_reg(.CK (clk_i), .D (n_7026), .Q (o6_we), .QN ());
+ DFFX1 u12_o8_we_reg(.CK (clk_i), .D (n_7024), .Q (o8_we), .QN ());
+ DFFX1 u12_o9_we_reg(.CK (clk_i), .D (n_7023), .Q (o9_we), .QN ());
+ NOR2X1 g35281(.A (n_838), .B (rf_we), .Y (n_7287));
+ NOR2X1 g35282(.A (rf_we), .B (n_1300), .Y (n_7444));
+ NOR2X1 g35284(.A (rf_we), .B (wb_addr_i[4]), .Y (n_7442));
+ NOR2X1 g35288(.A (n_2574), .B (rf_we), .Y (n_7286));
+ NAND3X1 g35289(.A (n_5944), .B (n_6757), .C (n_2298), .Y (n_7285));
+ AND2X1 g35324(.A (n_1230), .B (n_7030), .Y (n_11534));
+ NAND2X1 g35329(.A (n_7030), .B (n_11772), .Y (n_7439));
+ NAND3X1 g35330(.A (n_6843), .B (n_5637), .C (n_1798), .Y (n_12837));
+ NAND3X1 g35331(.A (n_6840), .B (n_6060), .C (n_2232), .Y (n_7282));
+ NAND3X1 g35335(.A (n_6837), .B (n_6040), .C (n_2300), .Y (n_7281));
+ OR2X1 g35359(.A (n_7018), .B (ic2_int_set_723), .Y (n_7280));
+ DFFX1 \u1_sr_reg[6] (.CK (bit_clk_pad_i), .D (u1_sr_121), .Q
+ (u1_sr_122), .QN ());
+ INVX1 g35414(.A (\in_valid_s[1] ), .Y (n_7356));
+ DFFSRX1 \in_valid_s_reg[2] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (in_valid_s_2), .Q (\in_valid_s[2] ), .QN ());
+ AOI21X1 g35564(.A0 (n_6312), .A1 (n_6137), .B0 (n_7324), .Y (n_7279));
+ AOI21X1 g35566(.A0 (n_6313), .A1 (n_6133), .B0 (n_7324), .Y (n_7278));
+ AOI21X1 g35567(.A0 (n_6309), .A1 (n_6136), .B0 (n_12145), .Y
+ (n_7277));
+ AOI21X1 g35568(.A0 (n_5897), .A1 (n_6324), .B0 (n_7120), .Y (n_7276));
+ AOI21X1 g35570(.A0 (n_6326), .A1 (n_6129), .B0 (n_7324), .Y (n_7275));
+ AOI21X1 g35581(.A0 (n_6323), .A1 (n_6125), .B0 (n_12145), .Y
+ (n_7273));
+ AOI21X1 g35583(.A0 (n_6304), .A1 (n_5757), .B0 (n_7214), .Y (n_7271));
+ AOI21X1 g35585(.A0 (n_6321), .A1 (n_6132), .B0 (n_7324), .Y (n_7270));
+ AOI21X1 g35588(.A0 (n_6320), .A1 (n_5776), .B0 (n_7267), .Y (n_7269));
+ AOI21X1 g35589(.A0 (n_6319), .A1 (n_5775), .B0 (n_7267), .Y (n_7268));
+ AOI21X1 g35591(.A0 (n_6315), .A1 (n_5773), .B0 (n_7267), .Y (n_7266));
+ AOI21X1 g35597(.A0 (n_6314), .A1 (n_6092), .B0 (n_7267), .Y (n_7265));
+ AOI21X1 g35598(.A0 (n_5932), .A1 (n_6121), .B0 (n_12604), .Y
+ (n_7264));
+ AOI21X1 g35602(.A0 (n_6140), .A1 (n_5929), .B0 (n_12604), .Y
+ (n_7262));
+ AOI21X1 g35603(.A0 (n_5904), .A1 (n_6117), .B0 (n_12604), .Y
+ (n_7260));
+ AOI21X1 g35604(.A0 (n_6271), .A1 (n_5764), .B0 (n_7267), .Y (n_7258));
+ AOI21X1 g35605(.A0 (n_5926), .A1 (n_6116), .B0 (n_7256), .Y (n_7257));
+ AOI21X1 g35606(.A0 (n_5925), .A1 (n_6114), .B0 (n_7256), .Y (n_7255));
+ AOI21X1 g35607(.A0 (n_5924), .A1 (n_6113), .B0 (n_7256), .Y (n_7254));
+ AOI21X1 g35610(.A0 (n_5923), .A1 (n_6138), .B0 (n_7256), .Y (n_7253));
+ AOI21X1 g35612(.A0 (n_5922), .A1 (n_6139), .B0 (n_7256), .Y (n_7251));
+ AOI21X1 g35613(.A0 (n_5941), .A1 (n_6108), .B0 (n_7256), .Y (n_7250));
+ AOI21X1 g35614(.A0 (n_5921), .A1 (n_6103), .B0 (n_7256), .Y (n_7249));
+ AOI21X1 g35615(.A0 (n_5920), .A1 (n_6223), .B0 (n_7256), .Y (n_7248));
+ AOI21X1 g35616(.A0 (n_5919), .A1 (n_6106), .B0 (n_12640), .Y
+ (n_7247));
+ AOI21X1 g35620(.A0 (n_5918), .A1 (n_6105), .B0 (n_7256), .Y (n_7246));
+ AOI21X1 g35621(.A0 (n_5917), .A1 (n_6104), .B0 (n_7256), .Y (n_7245));
+ AOI21X1 g35622(.A0 (n_6145), .A1 (n_6283), .B0 (n_7214), .Y (n_7244));
+ AOI21X1 g35626(.A0 (n_6300), .A1 (n_6094), .B0 (n_12640), .Y
+ (n_7241));
+ AOI21X1 g35627(.A0 (n_6298), .A1 (n_6122), .B0 (n_12640), .Y
+ (n_7239));
+ AOI21X1 g35629(.A0 (n_6297), .A1 (n_6119), .B0 (n_12640), .Y
+ (n_7236));
+ AOI21X1 g35630(.A0 (n_6296), .A1 (n_6099), .B0 (n_12640), .Y
+ (n_7235));
+ AOI21X1 g35631(.A0 (n_6295), .A1 (n_6097), .B0 (n_12640), .Y
+ (n_7233));
+ AOI21X1 g35632(.A0 (n_6308), .A1 (n_6096), .B0 (n_12640), .Y
+ (n_7232));
+ NOR2X1 g35633(.A (n_11395), .B (out_slt9), .Y (n_7231));
+ AOI21X1 g35636(.A0 (n_6293), .A1 (n_6095), .B0 (n_12640), .Y
+ (n_7230));
+ AOI21X1 g35639(.A0 (n_6325), .A1 (n_5756), .B0 (n_7214), .Y (n_7227));
+ AOI21X1 g35641(.A0 (n_6290), .A1 (n_5753), .B0 (n_7214), .Y (n_7225));
+ AOI21X1 g35645(.A0 (n_6288), .A1 (n_5796), .B0 (n_7214), .Y (n_7219));
+ AOI21X1 g35646(.A0 (n_5913), .A1 (n_6093), .B0 (n_7212), .Y (n_7218));
+ AOI21X1 g35648(.A0 (n_6287), .A1 (n_5749), .B0 (n_7214), .Y (n_7217));
+ AOI21X1 g35651(.A0 (n_6286), .A1 (n_5744), .B0 (n_7212), .Y (n_7216));
+ AOI21X1 g35653(.A0 (n_11986), .A1 (n_11987), .B0 (n_7214), .Y
+ (n_7215));
+ AOI21X1 g35655(.A0 (n_6292), .A1 (n_5743), .B0 (n_7212), .Y (n_7213));
+ AOI21X1 g35658(.A0 (n_6218), .A1 (n_6282), .B0 (n_7214), .Y (n_7211));
+ AOI21X1 g35659(.A0 (n_6166), .A1 (n_6280), .B0 (n_7214), .Y (n_7210));
+ AOI21X1 g35660(.A0 (n_6279), .A1 (n_5760), .B0 (n_7214), .Y (n_7209));
+ AOI21X1 g35662(.A0 (n_6278), .A1 (n_5767), .B0 (n_7214), .Y (n_7208));
+ AOI21X1 g35663(.A0 (n_5906), .A1 (n_6156), .B0 (n_7120), .Y (n_7207));
+ AOI21X1 g35664(.A0 (n_5890), .A1 (n_6277), .B0 (n_7120), .Y (n_7205));
+ AOI21X1 g35665(.A0 (n_6154), .A1 (n_6275), .B0 (n_7120), .Y (n_7204));
+ AOI21X1 g35668(.A0 (n_12046), .A1 (n_12047), .B0 (n_12640), .Y
+ (n_7203));
+ OAI21X1 g35859(.A0 (n_5728), .A1 (n_11934), .B0 (n_6815), .Y
+ (n_7202));
+ OAI21X1 g35860(.A0 (n_6073), .A1 (n_11934), .B0 (n_6812), .Y
+ (n_7201));
+ OAI21X1 g35861(.A0 (n_5727), .A1 (n_11934), .B0 (n_6778), .Y
+ (n_7199));
+ OAI21X1 g35864(.A0 (n_6081), .A1 (n_11934), .B0 (n_6809), .Y
+ (n_7196));
+ OAI21X1 g35868(.A0 (n_5724), .A1 (n_11934), .B0 (n_6793), .Y
+ (n_7194));
+ OAI21X1 g35889(.A0 (n_5554), .A1 (n_7187), .B0 (n_6789), .Y (n_7193));
+ DFFSRX1 \u2_to_cnt_reg[5] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_7033), .Q (\u2_to_cnt[5] ), .QN ());
+ OAI21X1 g35890(.A0 (n_6075), .A1 (n_7187), .B0 (n_5854), .Y (n_7192));
+ OAI21X1 g35891(.A0 (n_6076), .A1 (n_7187), .B0 (n_6787), .Y (n_7190));
+ OAI21X1 g35893(.A0 (n_6074), .A1 (n_7187), .B0 (n_5850), .Y (n_7189));
+ DFFX1 u13_int_reg(.CK (clk_i), .D (n_7021), .Q (int_o), .QN ());
+ OAI21X1 g35896(.A0 (n_5549), .A1 (n_7187), .B0 (n_6817), .Y (n_7188));
+ OAI21X1 g35898(.A0 (n_6085), .A1 (n_7187), .B0 (n_6785), .Y (n_7186));
+ OAI21X1 g35899(.A0 (n_6069), .A1 (n_7187), .B0 (n_5842), .Y (n_7184));
+ OAI21X1 g35904(.A0 (n_5553), .A1 (n_7187), .B0 (n_6780), .Y (n_7183));
+ OAI21X1 g35911(.A0 (n_5726), .A1 (n_7088), .B0 (n_6774), .Y (n_7182));
+ OAI21X1 g35912(.A0 (n_5688), .A1 (n_7088), .B0 (n_6772), .Y (n_7181));
+ OAI21X1 g35913(.A0 (n_6072), .A1 (n_7088), .B0 (n_6206), .Y (n_7179));
+ OAI21X1 g35914(.A0 (n_6070), .A1 (n_7088), .B0 (n_6771), .Y (n_7178));
+ OAI21X1 g35923(.A0 (n_6084), .A1 (n_11934), .B0 (n_6181), .Y
+ (n_7177));
+ OAI21X1 g35953(.A0 (n_6082), .A1 (n_11934), .B0 (n_6791), .Y
+ (n_7175));
+ DFFSRX1 \u13_ints_r_reg[21] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_6753), .Q (\u13_ints_r[21] ), .QN ());
+ DFFX1 \u1_slt3_reg[0] (.CK (bit_clk_pad_i), .D (n_6731), .Q
+ (in_slt3), .QN ());
+ DFFSRX1 \u13_ints_r_reg[0] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_6739), .Q (\u13_ints_r[0] ), .QN ());
+ DFFSRX1 \u13_ints_r_reg[27] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_6755), .Q (\u13_ints_r[27] ), .QN ());
+ DFFSRX1 \u13_ints_r_reg[15] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_6744), .Q (\u13_ints_r[15] ), .QN ());
+ OAI21X1 g35878(.A0 (n_5714), .A1 (n_7115), .B0 (n_6243), .Y (n_7173));
+ AOI21X1 g35666(.A0 (n_5938), .A1 (n_5793), .B0 (n_7212), .Y (n_7172));
+ NOR2X1 g34595(.A (o3_status_962), .B (n_459), .Y (n_9488));
+ NOR2X1 g34693(.A (o7_status_992), .B (n_422), .Y (n_9546));
+ NOR2X1 g34700(.A (o8_status_1002), .B (n_5825), .Y (n_9483));
+ NOR2X1 g34702(.A (o9_status_1012), .B (n_12585), .Y (n_9481));
+ NOR2X1 g34887(.A (o3_status), .B (o3_status_962), .Y (n_7166));
+ NOR2X1 g34890(.A (o7_status), .B (o7_status_992), .Y (n_7165));
+ NOR2X1 g34891(.A (o8_status), .B (o8_status_1002), .Y (n_7164));
+ NOR2X1 g34892(.A (o9_status), .B (o9_status_1012), .Y (n_7163));
+ OAI21X1 g35876(.A0 (n_5714), .A1 (n_6995), .B0 (n_5878), .Y (n_7162));
+ INVX1 g35250(.A (o4_status_972), .Y (n_7161));
+ INVX1 g35252(.A (o6_status_982), .Y (n_7160));
+ DFFSRX1 \u2_cnt_reg[7] (.RN (1'b1), .SN (rst_i), .CK (bit_clk_pad_i),
+ .D (n_6066), .Q (n_1212), .QN ());
+ AND2X1 g35322(.A (n_1136), .B (n_6718), .Y (n_11887));
+ AND2X1 g35323(.A (n_1105), .B (n_6720), .Y (n_11888));
+ NAND2X1 g35327(.A (n_6718), .B (n_11564), .Y (n_7383));
+ NAND2X1 g35328(.A (n_6720), .B (n_11600), .Y (n_7380));
+ NOR2X1 g35355(.A (i6_status), .B (i6_status_1042), .Y (n_7157));
+ MX2X1 g35361(.A (u1_sr_120), .B (in_slt_400), .S0 (out_le_182), .Y
+ (n_7156));
+ MX2X1 g35375(.A (u1_sr_120), .B (in_slt_422), .S0 (out_le_183), .Y
+ (n_7155));
+ MX2X1 g35380(.A (u1_sr_120), .B (in_slt_444), .S0 (out_le_184), .Y
+ (n_7154));
+ DFFSRX1 \in_valid_s_reg[1] (.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (in_valid_s_1), .Q (\in_valid_s[1] ), .QN ());
+ AOI21X1 g35465(.A0 (\u11_rp[1] ), .A1 (i6_re), .B0 (n_6750), .Y
+ (n_7153));
+ AOI21X1 g35469(.A0 (i3_re), .A1 (\u9_rp[1] ), .B0 (n_6708), .Y
+ (n_7152));
+ NOR2X1 g35852(.A (n_4662), .B (n_7353), .Y (n_7151));
+ AOI21X1 g35474(.A0 (\u10_rp[1] ), .A1 (i4_re), .B0 (n_6707), .Y
+ (n_7150));
+ NOR2X1 g35475(.A (i3_empty), .B (i3_re), .Y (n_7149));
+ NOR2X1 g35476(.A (i4_empty), .B (i4_re), .Y (n_7148));
+ AOI21X1 g35480(.A0 (i3_re), .A1 (\u9_rp[2] ), .B0 (n_6713), .Y
+ (n_7147));
+ AOI21X1 g35481(.A0 (\u11_rp[2] ), .A1 (i6_re), .B0 (n_6715), .Y
+ (n_7146));
+ AOI21X1 g35482(.A0 (\u10_rp[2] ), .A1 (i4_re), .B0 (n_6711), .Y
+ (n_7145));
+ MX2X1 g35490(.A (u1_sr_120), .B (in_slt_830), .S0 (out_le_181), .Y
+ (n_7144));
+ AOI21X1 g35497(.A0 (i3_re), .A1 (\u9_rp[0] ), .B0 (n_6714), .Y
+ (n_7143));
+ AOI21X1 g35498(.A0 (n_1206), .A1 (i4_re), .B0 (n_6712), .Y (n_7142));
+ AOI21X1 g35503(.A0 (\u11_rp[0] ), .A1 (i6_re), .B0 (n_6709), .Y
+ (n_7141));
+ DFFX1 valid_s1_reg(.CK (clk_i), .D (valid), .Q (valid_s1), .QN ());
+ DFFX1 \in_valid_s1_reg[0] (.CK (clk_i), .D (in_valid), .Q
+ (in_valid_s1), .QN ());
+ AOI21X1 g35553(.A0 (n_5592), .A1 (n_4731), .B0 (n_7353), .Y (n_7140));
+ AOI21X1 g35554(.A0 (n_5584), .A1 (n_4694), .B0 (n_7353), .Y (n_7139));
+ AOI21X1 g35555(.A0 (n_5590), .A1 (n_4686), .B0 (n_7353), .Y (n_7138));
+ AOI21X1 g35556(.A0 (n_5789), .A1 (n_4727), .B0 (n_7353), .Y (n_7137));
+ AOI21X1 g35557(.A0 (n_5589), .A1 (n_4724), .B0 (n_7353), .Y (n_7136));
+ AOI21X1 g35558(.A0 (n_5587), .A1 (n_4722), .B0 (n_7353), .Y (n_7135));
+ AOI21X1 g35559(.A0 (n_5585), .A1 (n_4721), .B0 (n_7353), .Y (n_7134));
+ AOI21X1 g35578(.A0 (n_5586), .A1 (n_3976), .B0 (n_7353), .Y (n_7133));
+ AOI21X1 g35590(.A0 (n_5937), .A1 (n_5774), .B0 (n_7267), .Y (n_7132));
+ AOI21X1 g35592(.A0 (n_5936), .A1 (n_5771), .B0 (n_12604), .Y
+ (n_7130));
+ AOI21X1 g35593(.A0 (n_5935), .A1 (n_5770), .B0 (n_12604), .Y
+ (n_7129));
+ AOI21X1 g35594(.A0 (n_5934), .A1 (n_5769), .B0 (n_7267), .Y (n_7128));
+ AOI21X1 g35595(.A0 (n_5933), .A1 (n_5768), .B0 (n_7267), .Y (n_7127));
+ AOI21X1 g35600(.A0 (n_5888), .A1 (n_5931), .B0 (n_12604), .Y
+ (n_7126));
+ AOI21X1 g35623(.A0 (n_5916), .A1 (n_5759), .B0 (n_7212), .Y (n_7124));
+ AOI21X1 g35647(.A0 (n_5910), .A1 (n_5745), .B0 (n_7120), .Y (n_7123));
+ AOI21X1 g35649(.A0 (n_5911), .A1 (n_5748), .B0 (n_7212), .Y (n_7122));
+ AOI21X1 g35650(.A0 (n_5905), .A1 (n_5747), .B0 (n_7120), .Y (n_7121));
+ AOI21X1 g35654(.A0 (n_5909), .A1 (n_5790), .B0 (n_7120), .Y (n_7119));
+ AOI21X1 g35657(.A0 (n_5908), .A1 (n_5758), .B0 (n_7212), .Y (n_7118));
+ AOI21X1 g35661(.A0 (n_5907), .A1 (n_5742), .B0 (n_7120), .Y (n_7117));
+ OAI21X1 g35887(.A0 (n_5717), .A1 (n_7115), .B0 (n_6240), .Y (n_7116));
+ AOI21X1 g35667(.A0 (n_5939), .A1 (n_5794), .B0 (n_7212), .Y (n_7114));
+ AOI22X1 g35709(.A0 (n_5892), .A1 (n_7443), .B0 (\u13_ints_r[1] ), .B1
+ (n_3985), .Y (n_7113));
+ AOI22X1 g35711(.A0 (n_6686), .A1 (i6_dout_645), .B0 (i4_dout_614),
+ .B1 (n_7297), .Y (n_7112));
+ DFFSRX1 \u2_to_cnt_reg[3] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_6065), .Q (\u2_to_cnt[3] ), .QN ());
+ NOR2X1 g35832(.A (n_7353), .B (n_5268), .Y (n_7111));
+ AOI21X1 g35846(.A0 (n_2586), .A1 (n_4719), .B0 (n_7353), .Y (n_7110));
+ AOI21X1 g35849(.A0 (n_2584), .A1 (n_5273), .B0 (n_7353), .Y (n_7109));
+ AOI21X1 g35850(.A0 (n_2583), .A1 (n_4716), .B0 (n_7353), .Y (n_7108));
+ NOR2X1 g35851(.A (n_4665), .B (n_7353), .Y (n_7107));
+ AOI21X1 g35847(.A0 (n_2613), .A1 (n_4718), .B0 (n_7353), .Y (n_7106));
+ OAI21X1 g35858(.A0 (n_5701), .A1 (n_11934), .B0 (n_6238), .Y
+ (n_7105));
+ DFFX1 \u2_res_cnt_reg[3] (.CK (clk_i), .D (n_6067), .Q
+ (\u2_res_cnt[3] ), .QN ());
+ OAI21X1 g35867(.A0 (n_5725), .A1 (n_11934), .B0 (n_6253), .Y
+ (n_7104));
+ OAI21X1 g35869(.A0 (n_5729), .A1 (n_11934), .B0 (n_6249), .Y
+ (n_7103));
+ OAI21X1 g35873(.A0 (n_5716), .A1 (n_7115), .B0 (n_5885), .Y (n_7102));
+ OAI21X1 g35880(.A0 (n_5711), .A1 (n_7115), .B0 (n_5873), .Y (n_7101));
+ OAI21X1 g35881(.A0 (n_5710), .A1 (n_6995), .B0 (n_5871), .Y (n_7100));
+ OAI21X1 g35883(.A0 (n_5708), .A1 (n_6995), .B0 (n_5861), .Y (n_7099));
+ OAI21X1 g35886(.A0 (n_5720), .A1 (n_7115), .B0 (n_5858), .Y (n_7096));
+ OAI21X1 g35888(.A0 (n_5705), .A1 (n_7077), .B0 (n_6236), .Y (n_7095));
+ DFFSRX1 \u2_to_cnt_reg[4] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_6733), .Q (n_4088), .QN ());
+ DFFX1 \u1_slt3_reg[2] (.CK (bit_clk_pad_i), .D (n_6730), .Q
+ (in_slt_398), .QN ());
+ DFFX1 \u1_slt3_reg[1] (.CK (bit_clk_pad_i), .D (n_6732), .Q
+ (in_slt_397), .QN ());
+ DFFX1 \u1_slt4_reg[1] (.CK (bit_clk_pad_i), .D (n_6727), .Q
+ (in_slt_419), .QN ());
+ DFFX1 \u1_slt6_reg[1] (.CK (bit_clk_pad_i), .D (n_6723), .Q
+ (in_slt_441), .QN ());
+ DFFX1 \u1_slt6_reg[2] (.CK (bit_clk_pad_i), .D (n_6722), .Q
+ (in_slt_442), .QN ());
+ DFFX1 u12_wb_ack_o_reg(.CK (clk_i), .D (n_6716), .Q (wb_ack_o), .QN
+ ());
+ DFFSRX1 \u13_ints_r_reg[10] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_6740), .Q (\u13_ints_r[10] ), .QN ());
+ DFFSRX1 \u13_ints_r_reg[12] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_6742), .Q (\u13_ints_r[12] ), .QN ());
+ DFFSRX1 \u13_ints_r_reg[13] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_6741), .Q (\u13_ints_r[13] ), .QN ());
+ OAI21X1 g35895(.A0 (n_5550), .A1 (n_7187), .B0 (n_6232), .Y (n_7094));
+ DFFSRX1 \u13_ints_r_reg[16] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_6746), .Q (\u13_ints_r[16] ), .QN ());
+ DFFSRX1 \u13_ints_r_reg[18] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_6672), .Q (\u13_ints_r[18] ), .QN ());
+ DFFSRX1 \u13_ints_r_reg[19] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_6747), .Q (\u13_ints_r[19] ), .QN ());
+ DFFSRX1 \u13_ints_r_reg[22] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_6749), .Q (\u13_ints_r[22] ), .QN ());
+ DFFSRX1 \u13_ints_r_reg[24] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_6751), .Q (\u13_ints_r[24] ), .QN ());
+ DFFSRX1 \u13_ints_r_reg[25] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_6754), .Q (\u13_ints_r[25] ), .QN ());
+ DFFSRX1 \u13_ints_r_reg[28] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_6758), .Q (\u13_ints_r[28] ), .QN ());
+ DFFSRX1 \u13_ints_r_reg[3] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_6759), .Q (\u13_ints_r[3] ), .QN ());
+ DFFSRX1 \u13_ints_r_reg[4] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_6671), .Q (\u13_ints_r[4] ), .QN ());
+ DFFSRX1 \u13_ints_r_reg[7] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_6063), .Q (\u13_ints_r[7] ), .QN ());
+ OAI21X1 g35897(.A0 (n_5704), .A1 (n_7187), .B0 (n_5846), .Y (n_7093));
+ OAI21X1 g35900(.A0 (n_5702), .A1 (n_7187), .B0 (n_5838), .Y (n_7092));
+ OAI21X1 g35905(.A0 (n_5693), .A1 (n_7088), .B0 (n_6217), .Y (n_7091));
+ OAI21X1 g35906(.A0 (n_5545), .A1 (n_7088), .B0 (n_6215), .Y (n_7090));
+ OAI21X1 g35907(.A0 (n_5692), .A1 (n_7088), .B0 (n_6212), .Y (n_7089));
+ OAI21X1 g35908(.A0 (n_5671), .A1 (n_7088), .B0 (n_6234), .Y (n_7087));
+ OAI21X1 g35909(.A0 (n_5691), .A1 (n_7088), .B0 (n_6210), .Y (n_7085));
+ OAI21X1 g35910(.A0 (n_5690), .A1 (n_7088), .B0 (n_6208), .Y (n_7084));
+ OAI21X1 g35917(.A0 (n_5696), .A1 (n_7080), .B0 (n_6199), .Y (n_7081));
+ OAI21X1 g35919(.A0 (n_5693), .A1 (n_7080), .B0 (n_6197), .Y (n_7079));
+ OAI21X1 g35922(.A0 (n_5685), .A1 (n_7077), .B0 (n_6193), .Y (n_7076));
+ OAI21X1 g35925(.A0 (n_5682), .A1 (n_7077), .B0 (n_6191), .Y (n_7073));
+ OAI21X1 g35928(.A0 (n_5675), .A1 (n_7063), .B0 (n_5816), .Y (n_7069));
+ OAI21X1 g35930(.A0 (n_5698), .A1 (n_7077), .B0 (n_6187), .Y (n_7067));
+ OAI21X1 g35932(.A0 (n_5677), .A1 (n_7077), .B0 (n_6263), .Y (n_7066));
+ OAI21X1 g35933(.A0 (n_5667), .A1 (n_7063), .B0 (n_5812), .Y (n_7065));
+ OAI21X1 g35934(.A0 (n_5533), .A1 (n_7063), .B0 (n_6183), .Y (n_7064));
+ OAI21X1 g35936(.A0 (n_5668), .A1 (n_7077), .B0 (n_6173), .Y (n_7062));
+ OAI21X1 g35939(.A0 (n_5531), .A1 (n_7063), .B0 (n_6169), .Y (n_7060));
+ OAI21X1 g35941(.A0 (n_5679), .A1 (n_7077), .B0 (n_6168), .Y (n_7059));
+ OAI21X1 g35942(.A0 (n_5673), .A1 (n_7077), .B0 (n_6164), .Y (n_7058));
+ OAI21X1 g35943(.A0 (n_5672), .A1 (n_7063), .B0 (n_6221), .Y (n_7057));
+ OAI21X1 g35945(.A0 (n_5700), .A1 (n_7077), .B0 (n_6162), .Y (n_7056));
+ OAI21X1 g35946(.A0 (n_5684), .A1 (n_7077), .B0 (n_6158), .Y (n_7055));
+ OAI21X1 g35947(.A0 (n_5669), .A1 (n_7063), .B0 (n_5801), .Y (n_7054));
+ OAI21X1 g35948(.A0 (n_5721), .A1 (n_7063), .B0 (n_5894), .Y (n_7053));
+ OAI21X1 g35952(.A0 (n_5572), .A1 (n_7063), .B0 (n_6261), .Y (n_7052));
+ OAI21X1 g36195(.A0 (n_7049), .A1 (n_7048), .B0 (n_6268), .Y (n_7050));
+ OAI21X1 g35885(.A0 (n_5708), .A1 (n_7115), .B0 (n_6143), .Y (n_7047));
+ OAI21X1 g35871(.A0 (n_5722), .A1 (n_11934), .B0 (n_6267), .Y
+ (n_7046));
+ DFFSRX1 \u13_ints_r_reg[6] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_6062), .Q (\u13_ints_r[6] ), .QN ());
+ DFFX1 \u1_slt6_reg[3] (.CK (bit_clk_pad_i), .D (n_6721), .Q
+ (in_slt_443), .QN ());
+ DFFX1 \u1_slt6_reg[0] (.CK (bit_clk_pad_i), .D (n_6724), .Q
+ (in_slt6), .QN ());
+ DFFX1 \u1_slt4_reg[3] (.CK (bit_clk_pad_i), .D (n_6725), .Q
+ (in_slt_421), .QN ());
+ DFFX1 \u1_slt3_reg[3] (.CK (bit_clk_pad_i), .D (n_6729), .Q
+ (in_slt_399), .QN ());
+ DFFSRX1 \u13_ints_r_reg[9] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_6064), .Q (\u13_ints_r[9] ), .QN ());
+ AOI21X1 g35848(.A0 (n_2585), .A1 (n_5274), .B0 (n_7353), .Y (n_7045));
+ DFFX1 \u1_slt4_reg[2] (.CK (bit_clk_pad_i), .D (n_6726), .Q
+ (in_slt_420), .QN ());
+ DFFX1 \u1_slt4_reg[0] (.CK (bit_clk_pad_i), .D (n_6728), .Q
+ (in_slt4), .QN ());
+ OR2X1 g35676(.A (n_1087), .B (n_7042), .Y (n_7043));
+ DFFSRX1 \u2_cnt_reg[1] (.RN (1'b1), .SN (rst_i), .CK (bit_clk_pad_i),
+ .D (n_5821), .Q (n_866), .QN ());
+ DFFSRX1 \u2_to_cnt_reg[2] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_6054), .Q (n_1819), .QN ());
+ INVX4 g35795(.A (n_7003), .Y (n_11319));
+ INVX1 g35248(.A (o3_status_962), .Y (n_7037));
+ DFFX1 \u4_status_reg[1] (.CK (clk_i), .D (n_6050), .Q
+ (o4_status_972), .QN ());
+ DFFX1 \u5_status_reg[1] (.CK (clk_i), .D (n_6048), .Q
+ (o6_status_982), .QN ());
+ INVX1 g35254(.A (o7_status_992), .Y (n_7036));
+ INVX1 g35256(.A (o8_status_1002), .Y (n_7035));
+ INVX1 g35258(.A (o9_status_1012), .Y (n_7034));
+ NOR2X1 g35337(.A (n_6046), .B (u2_bit_clk_e), .Y (n_7033));
+ NOR2X1 g35353(.A (i3_status), .B (i3_status_1022), .Y (n_7032));
+ NOR2X1 g35354(.A (i4_status), .B (i4_status_1032), .Y (n_7031));
+ DFFX1 u12_rf_we_reg(.CK (clk_i), .D (n_6041), .Q (), .QN (rf_we));
+ INVX1 g35384(.A (i6_status_1042), .Y (n_7030));
+ NOR2X1 g35438(.A (n_7025), .B (n_1133), .Y (n_7028));
+ NOR2X1 g35439(.A (n_7019), .B (n_7022), .Y (n_7027));
+ NOR2X1 g35440(.A (n_7025), .B (n_1271), .Y (n_7026));
+ NOR2X1 g35441(.A (n_7025), .B (n_1216), .Y (n_7024));
+ NOR2X1 g35442(.A (n_7022), .B (n_3431), .Y (n_7023));
+ NAND3X1 g35464(.A (n_6061), .B (n_729), .C (n_730), .Y (n_7021));
+ NOR2X1 g35466(.A (n_6043), .B (n_7019), .Y (n_7020));
+ DFFX1 \u2_res_cnt_reg[0] (.CK (clk_i), .D (n_6053), .Q
+ (\u2_res_cnt[0] ), .QN ());
+ NOR2X1 g35477(.A (i6_empty), .B (i6_re), .Y (n_7018));
+ DFFX1 \in_valid_s1_reg[2] (.CK (clk_i), .D (in_valid_9), .Q
+ (in_valid_s_2), .QN ());
+ DFFX1 \u1_sr_reg[5] (.CK (bit_clk_pad_i), .D (u1_sr_120), .Q
+ (u1_sr_121), .QN ());
+ OR2X1 g35584(.A (n_7042), .B (n_7017), .Y (n_11426));
+ OAI21X1 g35894(.A0 (n_5551), .A1 (n_7187), .B0 (n_5848), .Y (n_7016));
+ INVX4 g35762(.A (n_7038), .Y (n_11395));
+ AOI21X1 g35675(.A0 (n_440), .A1 (n_679), .B0 (n_7042), .Y (n_7013));
+ AOI22X1 g35713(.A0 (n_6686), .A1 (i6_dout_650), .B0 (i4_dout_619),
+ .B1 (n_7297), .Y (n_7012));
+ XOR2X1 g35721(.A (n_95), .B (n_7010), .Y (n_7011));
+ INVX8 g35740(.A (n_6999), .Y (n_11389));
+ OAI21X1 g35872(.A0 (n_5565), .A1 (n_7115), .B0 (n_5887), .Y (n_6998));
+ OAI21X1 g35875(.A0 (n_5563), .A1 (n_7115), .B0 (n_5880), .Y (n_6997));
+ OAI21X1 g35877(.A0 (n_5562), .A1 (n_6995), .B0 (n_5877), .Y (n_6996));
+ OAI21X1 g35879(.A0 (n_5562), .A1 (n_7115), .B0 (n_5875), .Y (n_6994));
+ OAI21X1 g35874(.A0 (n_5564), .A1 (n_7115), .B0 (n_5883), .Y (n_6993));
+ DFFSRX1 \u2_cnt_reg[4] (.RN (1'b1), .SN (rst_i), .CK (bit_clk_pad_i),
+ .D (n_6058), .Q (\u2_cnt[4] ), .QN ());
+ DFFSRX1 \u2_cnt_reg[3] (.RN (1'b1), .SN (rst_i), .CK (bit_clk_pad_i),
+ .D (n_6052), .Q (\u2_cnt[3] ), .QN ());
+ DFFSRX1 \u2_to_cnt_reg[0] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_5976), .Q (\u2_to_cnt[0] ), .QN ());
+ DFFSRX1 \u2_to_cnt_reg[1] (.RN (rst_i), .SN (1'b1), .CK (clk_i), .D
+ (n_6025), .Q (\u2_to_cnt[1] ), .QN ());
+ DFFSRX1 \u2_cnt_reg[5] (.RN (1'b1), .SN (rst_i), .CK (bit_clk_pad_i),
+ .D (n_5741), .Q (\u2_cnt[5] ), .QN ());
+ DFFSRX1 \u2_cnt_reg[6] (.RN (1'b1), .SN (rst_i), .CK (bit_clk_pad_i),
+ .D (n_5889), .Q (\u2_cnt[6] ), .QN ());
+ DFFSRX1 \u2_cnt_reg[0] (.RN (1'b1), .SN (rst_i), .CK (bit_clk_pad_i),
+ .D (n_5822), .Q (n_1773), .QN ());
+ DFFSRX1 \u2_cnt_reg[2] (.RN (1'b1), .SN (rst_i), .CK (bit_clk_pad_i),
+ .D (n_5820), .Q (\u2_cnt[2] ), .QN ());
+ OR2X1 g35453(.A (u2_sync_resume), .B (u2_sync_beat), .Y (sync_pad_o));
+ OAI21X1 g35901(.A0 (n_5548), .A1 (n_7187), .B0 (n_5836), .Y (n_6991));
+ OAI21X1 g35902(.A0 (n_5547), .A1 (n_7187), .B0 (n_5834), .Y (n_6990));
+ OAI21X1 g35903(.A0 (n_5555), .A1 (n_7187), .B0 (n_5856), .Y (n_6989));
+ OAI21X1 g35920(.A0 (n_5545), .A1 (n_7080), .B0 (n_5817), .Y (n_6987));
+ OAI21X1 g35931(.A0 (n_5534), .A1 (n_7063), .B0 (n_5814), .Y (n_6984));
+ OAI21X1 g35935(.A0 (n_5532), .A1 (n_6981), .B0 (n_5808), .Y (n_6983));
+ OAI21X1 g35937(.A0 (n_5530), .A1 (n_6981), .B0 (n_5810), .Y (n_6982));
+ OAI21X1 g35940(.A0 (n_5537), .A1 (n_7063), .B0 (n_5824), .Y (n_6979));
+ OAI21X1 g35944(.A0 (n_5546), .A1 (n_6981), .B0 (n_5806), .Y (n_6978));
+ OAI21X1 g35949(.A0 (n_5529), .A1 (n_7063), .B0 (n_5896), .Y (n_6977));
+ OAI21X1 g35950(.A0 (n_5528), .A1 (n_6981), .B0 (n_5799), .Y (n_6976));
+ OAI21X1 g35951(.A0 (n_5567), .A1 (n_7063), .B0 (n_5899), .Y (n_6975));
+ AOI21X1 g35954(.A0 (n_6972), .A1 (n_862), .B0 (n_5791), .Y (n_6974));
+ AOI21X1 g35955(.A0 (n_6972), .A1 (oc1_cfg_976), .B0 (n_5795), .Y
+ (n_6973));
+ AOI21X1 g35956(.A0 (n_6972), .A1 (n_8565), .B0 (n_5765), .Y (n_6971));
+ AOI21X1 g35957(.A0 (n_6972), .A1 (oc1_cfg_980), .B0 (n_5763), .Y
+ (n_6969));
+ AOI21X1 g35958(.A0 (n_6972), .A1 (oc0_cfg_965), .B0 (n_5787), .Y
+ (n_6968));
+ AOI21X1 g35959(.A0 (n_6972), .A1 (oc0_cfg_966), .B0 (n_5785), .Y
+ (n_6967));
+ AOI21X1 g35960(.A0 (n_6972), .A1 (n_8528), .B0 (n_5784), .Y (n_6966));
+ AOI21X1 g35961(.A0 (n_6972), .A1 (n_8526), .B0 (n_5783), .Y (n_6965));
+ AOI21X1 g35962(.A0 (n_6972), .A1 (oc0_cfg_969), .B0 (n_5782), .Y
+ (n_6964));
+ AOI21X1 g35963(.A0 (n_6972), .A1 (oc0_cfg_970), .B0 (n_5781), .Y
+ (n_6963));
+ AOI21X1 g35964(.A0 (n_6972), .A1 (n_991), .B0 (n_5780), .Y (n_6962));
+ AOI21X1 g35965(.A0 (n_6972), .A1 (oc1_cfg_974), .B0 (n_5779), .Y
+ (n_6961));
+ AOI21X1 g35966(.A0 (n_6972), .A1 (n_8567), .B0 (n_5761), .Y (n_6960));
+ AOI21X1 g35967(.A0 (n_6972), .A1 (oc1_cfg_975), .B0 (n_5786), .Y
+ (n_6959));
+ AOI21X1 g35968(.A0 (n_6972), .A1 (oc1_cfg_979), .B0 (n_5777), .Y
+ (n_6958));
+ MX2X1 g35982(.A (n_6956), .B (n_6925), .S0 (n_5730), .Y (n_6957));
+ MX2X1 g35985(.A (n_6954), .B (n_6952), .S0 (n_4783), .Y (n_6955));
+ MX2X1 g35987(.A (n_6952), .B (n_6951), .S0 (n_6908), .Y (n_6953));
+ MX2X1 g35988(.A (n_6949), .B (n_6920), .S0 (n_969), .Y (n_6950));
+ MX2X1 g35989(.A (n_6947), .B (n_6891), .S0 (n_4783), .Y (n_6948));
+ MX2X1 g35992(.A (n_6945), .B (n_6913), .S0 (n_5730), .Y (n_6946));
+ MX2X1 g35993(.A (n_6942), .B (n_6899), .S0 (n_5730), .Y (n_6943));
+ MX2X1 g35994(.A (n_6940), .B (n_6927), .S0 (n_4783), .Y (n_6941));
+ MX2X1 g35995(.A (n_6937), .B (n_6906), .S0 (n_4783), .Y (n_6938));
+ MX2X1 g36001(.A (n_6935), .B (n_6952), .S0 (n_6898), .Y (n_6936));
+ MX2X1 g36003(.A (n_6933), .B (n_6916), .S0 (n_930), .Y (n_6934));
+ MX2X1 g36005(.A (n_6931), .B (n_6896), .S0 (n_930), .Y (n_6932));
+ MX2X1 g36006(.A (n_6928), .B (n_6927), .S0 (n_6898), .Y (n_6929));
+ MX2X1 g36009(.A (n_6925), .B (n_6924), .S0 (n_5371), .Y (n_6926));
+ MX2X1 g36014(.A (n_6883), .B (n_6922), .S0 (n_6908), .Y (n_6923));
+ MX2X1 g36016(.A (n_6920), .B (n_6919), .S0 (n_6908), .Y (n_6921));
+ OAI21X1 g35892(.A0 (n_5552), .A1 (n_7187), .B0 (n_5852), .Y (n_6918));
+ MX2X1 g36020(.A (n_6916), .B (n_6915), .S0 (n_6908), .Y (n_6917));
+ MX2X1 g36022(.A (n_6913), .B (n_6912), .S0 (n_6908), .Y (n_6914));
+ MX2X1 g36023(.A (n_6927), .B (n_6909), .S0 (n_6908), .Y (n_6910));
+ MX2X1 g36024(.A (n_6906), .B (n_6905), .S0 (n_6908), .Y (n_6907));
+ MX2X1 g36029(.A (n_6902), .B (n_6913), .S0 (n_6898), .Y (n_6903));
+ MX2X1 g36035(.A (n_6900), .B (n_6899), .S0 (n_6898), .Y (n_6901));
+ MX2X1 g36047(.A (n_6896), .B (n_6895), .S0 (n_6908), .Y (n_6897));
+ MX2X1 g36048(.A (n_6893), .B (n_6906), .S0 (n_6898), .Y (n_6894));
+ MX2X1 g36051(.A (n_6891), .B (n_6890), .S0 (n_6908), .Y (n_6892));
+ MX2X1 g36052(.A (n_6888), .B (n_6891), .S0 (n_930), .Y (n_6889));
+ MX2X1 g36056(.A (n_6899), .B (n_6886), .S0 (n_6908), .Y (n_6887));
+ MX2X1 g36059(.A (n_6884), .B (n_6883), .S0 (n_4783), .Y (n_6885));
+ MX2X1 g36065(.A (n_6881), .B (n_6920), .S0 (n_6898), .Y (n_6882));
+ MX2X1 g36066(.A (n_6879), .B (n_6916), .S0 (n_4783), .Y (n_6880));
+ MX2X1 g36067(.A (n_6876), .B (n_6896), .S0 (n_969), .Y (n_6877));
+ MX2X1 g36070(.A (n_6873), .B (n_6883), .S0 (n_6898), .Y (n_6874));
+ MX2X1 g36111(.A (n_509), .B (n_6925), .S0 (n_6898), .Y (n_6871));
+ MX2X1 g36133(.A (n_6868), .B (n_6925), .S0 (n_6856), .Y (n_6869));
+ MX2X1 g36139(.A (n_6866), .B (n_6883), .S0 (n_6856), .Y (n_6867));
+ MX2X1 g36140(.A (n_6864), .B (n_6952), .S0 (n_6856), .Y (n_6865));
+ MX2X1 g36141(.A (n_6862), .B (n_6920), .S0 (n_6856), .Y (n_6863));
+ MX2X1 g36142(.A (n_6859), .B (n_6916), .S0 (n_6856), .Y (n_6860));
+ MX2X1 g36143(.A (n_6857), .B (n_6891), .S0 (n_6856), .Y (n_6858));
+ MX2X1 g36144(.A (n_6854), .B (n_6896), .S0 (n_6856), .Y (n_6855));
+ MX2X1 g36145(.A (n_6852), .B (n_6913), .S0 (n_6856), .Y (n_6853));
+ MX2X1 g36146(.A (n_6849), .B (n_6899), .S0 (n_6856), .Y (n_6850));
+ MX2X1 g36147(.A (n_6847), .B (n_6927), .S0 (n_6856), .Y (n_6848));
+ MX2X1 g36149(.A (n_6845), .B (n_6906), .S0 (n_6856), .Y (n_6846));
+ OAI21X1 g36196(.A0 (n_6842), .A1 (n_6841), .B0 (n_5902), .Y (n_6843));
+ OAI21X1 g36197(.A0 (n_6839), .A1 (n_6838), .B0 (n_5901), .Y (n_6840));
+ OAI21X1 g36198(.A0 (n_6836), .A1 (\u8_wp[1] ), .B0 (n_5903), .Y
+ (n_6837));
+ NAND2X1 g36315(.A (n_6777), .B (n_6824), .Y (n_6834));
+ NAND2X1 g36316(.A (n_12354), .B (n_6824), .Y (n_6833));
+ NAND2X1 g36319(.A (n_6807), .B (n_6824), .Y (n_11539));
+ NAND2X1 g36320(.A (n_6800), .B (n_145), .Y (n_11541));
+ NAND2X1 g36323(.A (n_6821), .B (n_12171), .Y (n_6826));
+ NAND2X1 g36347(.A (n_12746), .B (n_6824), .Y (n_6825));
+ NAND2X1 g36349(.A (n_6779), .B (n_6821), .Y (n_6823));
+ NAND2X1 g36351(.A (n_6786), .B (n_6821), .Y (n_6822));
+ NAND2X1 g36358(.A (n_6783), .B (n_6821), .Y (n_6820));
+ NAND2X1 g36366(.A (n_6814), .B (n_6824), .Y (n_6819));
+ NAND2X1 g36386(.A (n_11925), .B (n_6824), .Y (n_6818));
+ NAND2X1 g36411(.A (n_6765), .B (n_6816), .Y (n_6817));
+ NAND2X1 g36420(.A (n_6814), .B (n_12531), .Y (n_6815));
+ NAND2X1 g36422(.A (n_12746), .B (n_12531), .Y (n_6812));
+ NAND2X1 g36427(.A (n_6797), .B (n_12531), .Y (n_6810));
+ NAND2X1 g36429(.A (n_6794), .B (n_12531), .Y (n_6809));
+ NAND2X1 g36430(.A (n_6807), .B (n_12531), .Y (n_6808));
+ NAND2X1 g36431(.A (n_6805), .B (n_12357), .Y (n_6806));
+ NAND2X1 g36432(.A (n_6803), .B (n_12357), .Y (n_6804));
+ NAND2X1 g36433(.A (n_6800), .B (n_12531), .Y (n_6801));
+ NAND2X1 g36438(.A (n_6797), .B (\u4_rp[0] ), .Y (n_11540));
+ NAND2X1 g36441(.A (n_6794), .B (\u4_rp[0] ), .Y (n_11542));
+ NAND2X1 g36442(.A (n_11925), .B (n_12531), .Y (n_6793));
+ NAND2X1 g36494(.A (n_12531), .B (n_6790), .Y (n_6791));
+ NAND2X1 g36495(.A (n_12171), .B (n_6816), .Y (n_6789));
+ NAND2X1 g36498(.A (n_6786), .B (n_6816), .Y (n_6787));
+ NAND2X1 g36508(.A (n_6781), .B (n_6816), .Y (n_6785));
+ NAND2X1 g36510(.A (n_6783), .B (n_6816), .Y (n_6784));
+ NAND2X1 g36520(.A (n_6781), .B (n_784), .Y (n_6782));
+ NAND2X1 g36523(.A (n_6779), .B (n_6816), .Y (n_6780));
+ NAND2X1 g36530(.A (n_12531), .B (n_6777), .Y (n_6778));
+ NAND2X1 g36546(.A (n_6773), .B (n_6763), .Y (n_6774));
+ NAND2X1 g36547(.A (n_6773), .B (n_6769), .Y (n_6772));
+ NAND2X1 g36549(.A (n_12411), .B (n_6773), .Y (n_6771));
+ NAND2X1 g36567(.A (n_6765), .B (n_784), .Y (n_6766));
+ NAND2X1 g36694(.A (n_5699), .B (n_6118), .Y (n_6761));
+ NOR2X1 g35823(.A (n_617), .B (n_6752), .Y (n_6759));
+ NOR2X1 g35822(.A (n_750), .B (n_6752), .Y (n_6758));
+ XOR2X1 g35723(.A (n_116), .B (n_6756), .Y (n_6757));
+ NOR2X1 g35821(.A (n_513), .B (n_6752), .Y (n_6755));
+ NOR2X1 g35820(.A (n_609), .B (n_6752), .Y (n_6754));
+ NOR2X1 g35817(.A (n_453), .B (n_6752), .Y (n_6753));
+ NOR2X1 g35819(.A (n_495), .B (n_6752), .Y (n_6751));
+ DFFX1 \u2_res_cnt_reg[2] (.CK (clk_i), .D (n_5628), .Q
+ (\u2_res_cnt[2] ), .QN ());
+ AOI21X1 g35677(.A0 (n_1000), .A1 (n_1214), .B0 (i6_re), .Y (n_6750));
+ NOR2X1 g35818(.A (n_740), .B (n_6752), .Y (n_6749));
+ NOR2X1 g35816(.A (n_580), .B (n_5440), .Y (n_6747));
+ NOR2X1 g35814(.A (n_696), .B (n_6752), .Y (n_6746));
+ AOI22X1 g35706(.A0 (n_6686), .A1 (i6_dout_643), .B0 (i3_dout_581),
+ .B1 (n_6700), .Y (n_6745));
+ NOR2X1 g35813(.A (n_669), .B (n_6752), .Y (n_6744));
+ AOI22X1 g35694(.A0 (n_6686), .A1 (i6_dout_655), .B0 (i3_dout_593),
+ .B1 (n_6700), .Y (n_6743));
+ NOR2X1 g35811(.A (n_677), .B (n_5440), .Y (n_6742));
+ NOR2X1 g35812(.A (n_597), .B (n_5440), .Y (n_6741));
+ NOR2X1 g35810(.A (n_694), .B (n_6752), .Y (n_6740));
+ NOR2X1 g35809(.A (n_980), .B (n_6752), .Y (n_6739));
+ DFFX1 u2_valid_reg(.CK (bit_clk_pad_i), .D (n_5611), .Q (valid), .QN
+ ());
+ AOI22X1 g35693(.A0 (n_6686), .A1 (i6_dout_627), .B0 (i3_dout_565),
+ .B1 (n_6700), .Y (n_6738));
+ AOI22X1 g35692(.A0 (n_6686), .A1 (i6_dout_654), .B0 (i3_dout_592),
+ .B1 (n_6700), .Y (n_6737));
+ DFFX1 \u2_res_cnt_reg[1] (.CK (clk_i), .D (n_5627), .Q
+ (\u2_res_cnt[1] ), .QN ());
+ INVX1 g35782(.A (n_6734), .Y (n_7003));
+ INVX1 g35769(.A (n_7042), .Y (n_7038));
+ DFFX1 \u3_status_reg[1] (.CK (clk_i), .D (n_5621), .Q
+ (o3_status_962), .QN ());
+ DFFX1 \u6_status_reg[1] (.CK (clk_i), .D (n_5619), .Q
+ (o7_status_992), .QN ());
+ DFFX1 \u7_status_reg[1] (.CK (clk_i), .D (n_5617), .Q
+ (o8_status_1002), .QN ());
+ DFFX1 \u8_status_reg[1] (.CK (clk_i), .D (n_5623), .Q
+ (o9_status_1012), .QN ());
+ NOR2X1 g35336(.A (n_5614), .B (u2_bit_clk_e), .Y (n_6733));
+ MX2X1 g35362(.A (u1_sr_117), .B (in_slt_397), .S0 (out_le_182), .Y
+ (n_6732));
+ MX2X1 g35367(.A (u1_sr), .B (in_slt3), .S0 (out_le_182), .Y (n_6731));
+ MX2X1 g35368(.A (u1_sr_118), .B (in_slt_398), .S0 (out_le_182), .Y
+ (n_6730));
+ MX2X1 g35369(.A (u1_sr_119), .B (in_slt_399), .S0 (out_le_182), .Y
+ (n_6729));
+ MX2X1 g35371(.A (u1_sr), .B (in_slt4), .S0 (out_le_183), .Y (n_6728));
+ MX2X1 g35372(.A (u1_sr_117), .B (in_slt_419), .S0 (out_le_183), .Y
+ (n_6727));
+ MX2X1 g35373(.A (u1_sr_118), .B (in_slt_420), .S0 (out_le_183), .Y
+ (n_6726));
+ MX2X1 g35374(.A (u1_sr_119), .B (in_slt_421), .S0 (out_le_183), .Y
+ (n_6725));
+ MX2X1 g35376(.A (u1_sr), .B (in_slt6), .S0 (out_le_184), .Y (n_6724));
+ MX2X1 g35377(.A (u1_sr_117), .B (in_slt_441), .S0 (out_le_184), .Y
+ (n_6723));
+ MX2X1 g35378(.A (u1_sr_118), .B (in_slt_442), .S0 (out_le_184), .Y
+ (n_6722));
+ MX2X1 g35379(.A (u1_sr_119), .B (in_slt_443), .S0 (out_le_184), .Y
+ (n_6721));
+ DFFX1 \u11_status_reg[1] (.CK (clk_i), .D (n_5638), .Q
+ (i6_status_1042), .QN ());
+ INVX1 g35387(.A (i4_status_1032), .Y (n_6720));
+ INVX1 g35406(.A (i3_status_1022), .Y (n_6718));
+ INVX1 g35484(.A (n_6051), .Y (n_6716));
+ DFFX1 u10_empty_reg(.CK (clk_i), .D (n_5612), .Q (), .QN (i4_empty));
+ DFFX1 u9_empty_reg(.CK (clk_i), .D (n_5613), .Q (), .QN (i3_empty));
+ DFFX1 \in_valid_s1_reg[1] (.CK (clk_i), .D (in_valid_8), .Q
+ (in_valid_s_1), .QN ());
+ NOR2X1 g35569(.A (n_1080), .B (i6_re), .Y (n_6715));
+ NOR2X1 g35571(.A (i3_re), .B (\u9_rp[0] ), .Y (n_6714));
+ NOR2X1 g35572(.A (n_1085), .B (i3_re), .Y (n_6713));
+ NOR2X1 g35586(.A (n_1206), .B (i4_re), .Y (n_6712));
+ NOR2X1 g35587(.A (n_1039), .B (i4_re), .Y (n_6711));
+ NOR2X1 g35652(.A (\u11_rp[0] ), .B (i6_re), .Y (n_6709));
+ INVX2 g35744(.A (n_6710), .Y (n_6999));
+ AOI21X1 g35678(.A0 (n_877), .A1 (n_1221), .B0 (i3_re), .Y (n_6708));
+ AOI21X1 g35679(.A0 (n_1232), .A1 (n_2364), .B0 (i4_re), .Y (n_6707));
+ AOI22X1 g35681(.A0 (n_6686), .A1 (i6_dout), .B0 (i3_dout), .B1
+ (n_6700), .Y (n_6706));
+ AOI22X1 g35682(.A0 (n_6686), .A1 (i6_dout_636), .B0 (i3_dout_574),
+ .B1 (n_6700), .Y (n_6705));
+ AOI22X1 g35683(.A0 (n_6686), .A1 (i6_dout_639), .B0 (i3_dout_577),
+ .B1 (n_6700), .Y (n_6703));
+ AOI22X1 g35684(.A0 (n_6686), .A1 (i6_dout_640), .B0 (i3_dout_578),
+ .B1 (n_6700), .Y (n_6702));
+ AOI22X1 g35685(.A0 (n_6686), .A1 (i6_dout_644), .B0 (i3_dout_582),
+ .B1 (n_6700), .Y (n_6699));
+ AOI22X1 g35688(.A0 (n_6686), .A1 (i6_dout_648), .B0 (i3_dout_586),
+ .B1 (n_6700), .Y (n_6697));
+ AOI22X1 g35689(.A0 (n_6686), .A1 (i6_dout_651), .B0 (i3_dout_589),
+ .B1 (n_6700), .Y (n_6696));
+ AOI22X1 g35690(.A0 (n_6686), .A1 (i6_dout_652), .B0 (i3_dout_590),
+ .B1 (n_6700), .Y (n_6694));
+ AOI22X1 g35691(.A0 (n_6686), .A1 (i6_dout_653), .B0 (i3_dout_591),
+ .B1 (n_6700), .Y (n_6693));
+ AOI22X1 g35695(.A0 (n_6686), .A1 (i6_dout_656), .B0 (i3_dout_594),
+ .B1 (n_6700), .Y (n_6692));
+ AOI22X1 g35696(.A0 (n_6686), .A1 (i6_dout_628), .B0 (i3_dout_566),
+ .B1 (n_6700), .Y (n_6691));
+ AOI22X1 g35687(.A0 (n_6686), .A1 (i6_dout_647), .B0 (i3_dout_585),
+ .B1 (n_6700), .Y (n_6690));
+ AOI22X1 g35697(.A0 (n_6686), .A1 (i6_dout_629), .B0 (i3_dout_567),
+ .B1 (n_6700), .Y (n_6688));
+ AOI22X1 g35698(.A0 (n_6686), .A1 (i6_dout_630), .B0 (i3_dout_568),
+ .B1 (n_6700), .Y (n_6687));
+ AOI22X1 g35686(.A0 (n_6686), .A1 (i6_dout_646), .B0 (i3_dout_584),
+ .B1 (n_6700), .Y (n_6685));
+ AOI22X1 g35700(.A0 (n_6686), .A1 (i6_dout_632), .B0 (i3_dout_570),
+ .B1 (n_6700), .Y (n_6684));
+ AOI22X1 g35701(.A0 (n_6686), .A1 (i6_dout_633), .B0 (i3_dout_571),
+ .B1 (n_6700), .Y (n_6683));
+ AOI22X1 g35702(.A0 (n_6686), .A1 (i6_dout_634), .B0 (n_6700), .B1
+ (i3_dout_572), .Y (n_6681));
+ AOI22X1 g35703(.A0 (n_6686), .A1 (i6_dout_626), .B0 (i3_dout_564),
+ .B1 (n_6700), .Y (n_6680));
+ AOI22X1 g35704(.A0 (n_6686), .A1 (i6_dout_642), .B0 (i3_dout_580),
+ .B1 (n_6700), .Y (n_6678));
+ AOI22X1 g35705(.A0 (n_6686), .A1 (i6_dout_637), .B0 (i3_dout_575),
+ .B1 (n_6700), .Y (n_6677));
+ AOI22X1 g35707(.A0 (n_6686), .A1 (i6_dout_638), .B0 (i3_dout_576),
+ .B1 (n_6700), .Y (n_6676));
+ AOI22X1 g35708(.A0 (n_6686), .A1 (i6_dout_635), .B0 (i3_dout_573),
+ .B1 (n_6700), .Y (n_6675));
+ AOI22X1 g35710(.A0 (n_6686), .A1 (i6_dout_641), .B0 (i4_dout_610),
+ .B1 (n_7297), .Y (n_6674));
+ AOI22X1 g35712(.A0 (n_6686), .A1 (i6_dout_649), .B0 (i4_dout_618),
+ .B1 (n_7297), .Y (n_6673));
+ NOR2X1 g35815(.A (n_612), .B (n_6752), .Y (n_6672));
+ DFFX1 \u2_in_valid_reg[0] (.CK (bit_clk_pad_i), .D (n_5593), .Q
+ (in_valid), .QN ());
+ NOR2X1 g35824(.A (n_733), .B (n_6752), .Y (n_6671));
+ MX2X1 g35971(.A (n_461), .B (n_6510), .S0 (n_5409), .Y (n_6670));
+ MX2X1 g35972(.A (n_6668), .B (n_6599), .S0 (n_931), .Y (n_6669));
+ MX2X1 g35973(.A (n_6666), .B (n_6596), .S0 (n_5341), .Y (n_6667));
+ MX2X1 g35974(.A (n_6664), .B (n_6592), .S0 (n_5341), .Y (n_6665));
+ MX2X1 g35975(.A (n_6662), .B (n_6589), .S0 (n_5341), .Y (n_6663));
+ MX2X1 g35976(.A (n_6660), .B (n_6586), .S0 (n_5341), .Y (n_6661));
+ MX2X1 g35981(.A (n_6486), .B (n_6658), .S0 (n_6649), .Y (n_6659));
+ MX2X1 g35983(.A (n_6656), .B (n_6646), .S0 (n_4783), .Y (n_6657));
+ MX2X1 g35984(.A (n_6654), .B (n_6637), .S0 (n_4783), .Y (n_6655));
+ MX2X1 g35990(.A (n_6652), .B (n_6579), .S0 (n_5341), .Y (n_6653));
+ MX2X1 g35991(.A (n_6483), .B (n_6650), .S0 (n_6649), .Y (n_6651));
+ MX2X1 g35996(.A (n_6647), .B (n_6646), .S0 (n_930), .Y (n_6648));
+ MX2X1 g35997(.A (n_6644), .B (n_6618), .S0 (n_6898), .Y (n_6645));
+ MX2X1 g35998(.A (n_6641), .B (n_6533), .S0 (n_6898), .Y (n_6642));
+ MX2X1 g35999(.A (n_6638), .B (n_6637), .S0 (n_6898), .Y (n_6639));
+ MX2X1 g36000(.A (n_6635), .B (n_6582), .S0 (n_6898), .Y (n_6636));
+ MX2X1 g36002(.A (n_6633), .B (n_6576), .S0 (n_5341), .Y (n_6634));
+ MX2X1 g36004(.A (n_6630), .B (n_6573), .S0 (n_5341), .Y (n_6631));
+ MX2X1 g36007(.A (n_6628), .B (n_6560), .S0 (n_6898), .Y (n_6629));
+ MX2X1 g36008(.A (n_6547), .B (n_6625), .S0 (n_6649), .Y (n_6626));
+ MX2X1 g36010(.A (n_6623), .B (n_6570), .S0 (n_5341), .Y (n_6624));
+ MX2X1 g36011(.A (n_6646), .B (n_6620), .S0 (n_6908), .Y (n_6621));
+ MX2X1 g36012(.A (n_6618), .B (n_6617), .S0 (n_6908), .Y (n_6619));
+ MX2X1 g36013(.A (n_6539), .B (n_6614), .S0 (n_6908), .Y (n_6615));
+ MX2X1 g36015(.A (n_6612), .B (n_6566), .S0 (n_5341), .Y (n_6613));
+ MX2X1 g36017(.A (n_6610), .B (n_6602), .S0 (n_931), .Y (n_6611));
+ MX2X1 g36018(.A (n_6607), .B (n_6563), .S0 (n_5341), .Y (n_6608));
+ MX2X1 g36019(.A (n_6514), .B (n_6604), .S0 (n_6649), .Y (n_6605));
+ MX2X1 g36026(.A (n_6602), .B (n_6601), .S0 (n_6594), .Y (n_6603));
+ MX2X1 g36027(.A (n_6599), .B (n_6598), .S0 (n_6594), .Y (n_6600));
+ MX2X1 g36028(.A (n_6596), .B (n_6595), .S0 (n_6594), .Y (n_6597));
+ MX2X1 g36030(.A (n_6592), .B (n_6591), .S0 (n_6594), .Y (n_6593));
+ MX2X1 g36031(.A (n_6589), .B (n_6588), .S0 (n_6594), .Y (n_6590));
+ MX2X1 g36032(.A (n_6586), .B (n_6585), .S0 (n_6594), .Y (n_6587));
+ MX2X1 g36038(.A (n_6582), .B (n_6581), .S0 (n_6908), .Y (n_6583));
+ MX2X1 g36039(.A (n_6579), .B (n_6578), .S0 (n_6594), .Y (n_6580));
+ MX2X1 g36040(.A (n_6576), .B (n_6575), .S0 (n_6594), .Y (n_6577));
+ MX2X1 g36041(.A (n_6573), .B (n_6572), .S0 (n_6594), .Y (n_6574));
+ MX2X1 g36042(.A (n_6570), .B (n_6569), .S0 (n_6594), .Y (n_6571));
+ MX2X1 g36043(.A (n_6566), .B (n_6565), .S0 (n_6594), .Y (n_6567));
+ MX2X1 g36044(.A (n_6563), .B (n_6562), .S0 (n_6594), .Y (n_6564));
+ MX2X1 g36049(.A (n_6560), .B (n_6559), .S0 (n_5371), .Y (n_6561));
+ MX2X1 g36053(.A (n_6544), .B (n_6556), .S0 (n_6649), .Y (n_6557));
+ MX2X1 g36054(.A (n_6521), .B (n_6554), .S0 (n_6649), .Y (n_6555));
+ MX2X1 g36055(.A (n_6551), .B (n_6618), .S0 (n_4783), .Y (n_6552));
+ MX2X1 g36057(.A (n_6548), .B (n_6547), .S0 (n_995), .Y (n_6549));
+ MX2X1 g36058(.A (n_6545), .B (n_6544), .S0 (n_6502), .Y (n_6546));
+ MX2X1 g36060(.A (n_6542), .B (n_6573), .S0 (n_832), .Y (n_6543));
+ MX2X1 g36061(.A (n_6540), .B (n_6539), .S0 (n_4783), .Y (n_6541));
+ MX2X1 g36062(.A (n_6537), .B (n_6560), .S0 (n_5730), .Y (n_6538));
+ MX2X1 g36063(.A (n_508), .B (n_6589), .S0 (n_6475), .Y (n_6536));
+ MX2X1 g36064(.A (n_6534), .B (n_6533), .S0 (n_4783), .Y (n_6535));
+ MX2X1 g36068(.A (n_6530), .B (n_6547), .S0 (n_5312), .Y (n_6531));
+ MX2X1 g36069(.A (n_6528), .B (n_6582), .S0 (n_4783), .Y (n_6529));
+ MX2X1 g36071(.A (n_6526), .B (n_6579), .S0 (n_6475), .Y (n_6527));
+ MX2X1 g36072(.A (n_6497), .B (n_6524), .S0 (n_6649), .Y (n_6525));
+ MX2X1 g36073(.A (n_6522), .B (n_6521), .S0 (n_6502), .Y (n_6523));
+ MX2X1 g36074(.A (n_6519), .B (n_6478), .S0 (n_6502), .Y (n_6520));
+ MX2X1 g36075(.A (n_6517), .B (n_6473), .S0 (n_6502), .Y (n_6518));
+ MX2X1 g36076(.A (n_6515), .B (n_6514), .S0 (n_6502), .Y (n_6516));
+ MX2X1 g36077(.A (n_6511), .B (n_6510), .S0 (n_995), .Y (n_6512));
+ MX2X1 g36079(.A (n_6507), .B (n_6465), .S0 (n_995), .Y (n_6508));
+ MX2X1 g36081(.A (n_6637), .B (n_6505), .S0 (n_6908), .Y (n_6506));
+ MX2X1 g36082(.A (n_6503), .B (n_6459), .S0 (n_6502), .Y (n_6504));
+ MX2X1 g36086(.A (n_6500), .B (n_6453), .S0 (n_6502), .Y (n_6501));
+ MX2X1 g36087(.A (n_6498), .B (n_6497), .S0 (n_6502), .Y (n_6499));
+ MX2X1 g36088(.A (n_6495), .B (n_6539), .S0 (n_6898), .Y (n_6496));
+ MX2X1 g36089(.A (n_6492), .B (n_6444), .S0 (n_995), .Y (n_6493));
+ MX2X1 g36090(.A (n_6490), .B (n_6442), .S0 (n_6502), .Y (n_6491));
+ MX2X1 g36091(.A (n_6487), .B (n_6486), .S0 (n_6502), .Y (n_6488));
+ MX2X1 g36092(.A (n_6484), .B (n_6483), .S0 (n_6502), .Y (n_6485));
+ MX2X1 g36095(.A (n_419), .B (n_6521), .S0 (n_5312), .Y (n_6481));
+ MX2X1 g36096(.A (n_6479), .B (n_6478), .S0 (n_5312), .Y (n_6480));
+ MX2X1 g36097(.A (n_6476), .B (n_6602), .S0 (n_6475), .Y (n_6477));
+ MX2X1 g36098(.A (n_433), .B (n_6473), .S0 (n_5312), .Y (n_6474));
+ MX2X1 g36099(.A (n_6471), .B (n_6514), .S0 (n_5409), .Y (n_6472));
+ MX2X1 g36100(.A (n_6468), .B (n_6599), .S0 (n_5407), .Y (n_6469));
+ MX2X1 g36101(.A (n_6466), .B (n_6465), .S0 (n_5409), .Y (n_6467));
+ MX2X1 g36102(.A (n_6463), .B (n_6596), .S0 (n_5407), .Y (n_6464));
+ MX2X1 g36103(.A (n_6460), .B (n_6459), .S0 (n_5312), .Y (n_6461));
+ MX2X1 g36104(.A (n_6457), .B (n_6592), .S0 (n_6475), .Y (n_6458));
+ MX2X1 g36106(.A (n_6454), .B (n_6453), .S0 (n_932), .Y (n_6455));
+ MX2X1 g36107(.A (n_502), .B (n_6586), .S0 (n_6475), .Y (n_6452));
+ MX2X1 g36109(.A (n_6533), .B (n_6450), .S0 (n_6908), .Y (n_6451));
+ MX2X1 g36113(.A (n_6448), .B (n_6497), .S0 (n_932), .Y (n_6449));
+ MX2X1 g36114(.A (n_6445), .B (n_6444), .S0 (n_5312), .Y (n_6446));
+ MX2X1 g36115(.A (n_6442), .B (n_6441), .S0 (n_6649), .Y (n_6443));
+ MX2X1 g36116(.A (n_6439), .B (n_6442), .S0 (n_5409), .Y (n_6440));
+ MX2X1 g36117(.A (n_6437), .B (n_6544), .S0 (n_5312), .Y (n_6438));
+ MX2X1 g36118(.A (n_6434), .B (n_6486), .S0 (n_5312), .Y (n_6435));
+ MX2X1 g36119(.A (n_6431), .B (n_6483), .S0 (n_5312), .Y (n_6432));
+ MX2X1 g36121(.A (n_6478), .B (n_6428), .S0 (n_6649), .Y (n_6429));
+ MX2X1 g36122(.A (n_6473), .B (n_6426), .S0 (n_6649), .Y (n_6427));
+ MX2X1 g36123(.A (n_6510), .B (n_6424), .S0 (n_6649), .Y (n_6425));
+ MX2X1 g36124(.A (n_6465), .B (n_6421), .S0 (n_6649), .Y (n_6422));
+ MX2X1 g36125(.A (n_6459), .B (n_6419), .S0 (n_6649), .Y (n_6420));
+ MX2X1 g36126(.A (n_6444), .B (n_6417), .S0 (n_6649), .Y (n_6418));
+ MX2X1 g36127(.A (n_6415), .B (n_6576), .S0 (n_5407), .Y (n_6416));
+ MX2X1 g36128(.A (n_6453), .B (n_6413), .S0 (n_6649), .Y (n_6414));
+ MX2X1 g36129(.A (n_6411), .B (n_6570), .S0 (n_832), .Y (n_6412));
+ MX2X1 g36130(.A (n_6408), .B (n_6566), .S0 (n_6475), .Y (n_6409));
+ MX2X1 g36131(.A (n_6406), .B (n_6563), .S0 (n_6475), .Y (n_6407));
+ MX2X1 g36134(.A (n_6404), .B (n_6646), .S0 (n_6856), .Y (n_6405));
+ MX2X1 g36135(.A (n_6402), .B (n_6618), .S0 (n_6856), .Y (n_6403));
+ MX2X1 g36136(.A (n_6400), .B (n_6539), .S0 (n_6856), .Y (n_6401));
+ MX2X1 g36137(.A (n_6398), .B (n_6637), .S0 (n_6856), .Y (n_6399));
+ MX2X1 g36138(.A (n_6396), .B (n_6582), .S0 (n_6856), .Y (n_6397));
+ MX2X1 g36148(.A (n_6394), .B (n_6560), .S0 (n_6856), .Y (n_6395));
+ MX2X1 g36150(.A (n_6392), .B (n_6533), .S0 (n_6856), .Y (n_6393));
+ MX2X1 g36151(.A (n_6390), .B (n_6586), .S0 (n_6341), .Y (n_6391));
+ MX2X1 g36152(.A (n_6388), .B (n_6453), .S0 (n_6359), .Y (n_6389));
+ MX2X1 g36155(.A (n_6386), .B (n_6602), .S0 (n_6341), .Y (n_6387));
+ MX2X1 g36156(.A (n_6384), .B (n_6599), .S0 (n_6341), .Y (n_6385));
+ MX2X1 g36157(.A (n_6382), .B (n_6596), .S0 (n_6341), .Y (n_6383));
+ MX2X1 g36158(.A (n_6380), .B (n_6592), .S0 (n_6341), .Y (n_6381));
+ MX2X1 g36159(.A (n_6377), .B (n_6521), .S0 (n_6359), .Y (n_6378));
+ MX2X1 g36160(.A (n_6375), .B (n_6589), .S0 (n_6341), .Y (n_6376));
+ MX2X1 g36161(.A (n_6372), .B (n_6478), .S0 (n_6359), .Y (n_6373));
+ MX2X1 g36162(.A (n_6370), .B (n_6473), .S0 (n_6359), .Y (n_6371));
+ MX2X1 g36163(.A (n_6368), .B (n_6514), .S0 (n_6359), .Y (n_6369));
+ MX2X1 g36164(.A (n_6366), .B (n_6510), .S0 (n_6359), .Y (n_6367));
+ MX2X1 g36166(.A (n_6363), .B (n_6465), .S0 (n_6359), .Y (n_6364));
+ MX2X1 g36167(.A (n_6360), .B (n_6459), .S0 (n_6359), .Y (n_6361));
+ MX2X1 g36172(.A (n_6357), .B (n_6497), .S0 (n_6359), .Y (n_6358));
+ MX2X1 g36173(.A (n_6355), .B (n_6444), .S0 (n_6359), .Y (n_6356));
+ MX2X1 g36174(.A (n_6353), .B (n_6442), .S0 (n_6359), .Y (n_6354));
+ MX2X1 g36175(.A (n_6351), .B (n_6544), .S0 (n_6359), .Y (n_6352));
+ MX2X1 g36176(.A (n_6349), .B (n_6486), .S0 (n_6359), .Y (n_6350));
+ MX2X1 g36177(.A (n_6347), .B (n_6547), .S0 (n_6359), .Y (n_6348));
+ MX2X1 g36178(.A (n_6344), .B (n_6483), .S0 (n_6359), .Y (n_6345));
+ MX2X1 g36180(.A (n_6342), .B (n_6579), .S0 (n_6341), .Y (n_6343));
+ MX2X1 g36181(.A (n_6339), .B (n_6576), .S0 (n_6341), .Y (n_6340));
+ MX2X1 g36182(.A (n_6337), .B (n_6573), .S0 (n_6341), .Y (n_6338));
+ MX2X1 g36183(.A (n_6335), .B (n_6570), .S0 (n_6341), .Y (n_6336));
+ MX2X1 g36185(.A (n_6333), .B (n_6563), .S0 (n_6341), .Y (n_6334));
+ MX2X1 g36186(.A (n_6331), .B (n_6566), .S0 (n_6341), .Y (n_6332));
+ XOR2X1 g36193(.A (n_614), .B (n_6328), .Y (n_6330));
+ NAND2X1 g36309(.A (n_6266), .B (n_6824), .Y (n_6326));
+ NAND2X1 g36311(.A (n_6161), .B (n_6316), .Y (n_6325));
+ NAND2X1 g36314(.A (n_6244), .B (n_2567), .Y (n_6324));
+ NAND2X1 g36321(.A (n_12530), .B (n_6824), .Y (n_6323));
+ NAND2X1 g36322(.A (n_6254), .B (n_6824), .Y (n_6322));
+ NAND2X1 g36324(.A (n_6824), .B (n_6246), .Y (n_6321));
+ NAND2X1 g36325(.A (n_5719), .B (n_6318), .Y (n_6320));
+ NAND2X1 g36326(.A (n_6142), .B (n_6318), .Y (n_6319));
+ NAND2X1 g36327(.A (n_6194), .B (n_6316), .Y (n_6317));
+ NAND2X1 g36329(.A (n_6239), .B (n_6318), .Y (n_6315));
+ NAND2X1 g36334(.A (n_5713), .B (n_6318), .Y (n_6314));
+ NAND2X1 g36339(.A (n_6248), .B (n_6824), .Y (n_6313));
+ NAND2X1 g36340(.A (n_6252), .B (n_6824), .Y (n_6312));
+ NAND2X1 g36346(.A (n_6237), .B (n_6824), .Y (n_6309));
+ NAND2X1 g36353(.A (n_6207), .B (n_12634), .Y (n_6308));
+ NAND2X1 g36357(.A (n_6224), .B (n_6821), .Y (n_6307));
+ NAND2X1 g36359(.A (n_6226), .B (n_6821), .Y (n_6305));
+ NAND2X1 g36363(.A (n_6167), .B (n_6316), .Y (n_6304));
+ NAND2X1 g36369(.A (n_5694), .B (n_12634), .Y (n_6300));
+ NAND2X1 g36370(.A (n_6216), .B (n_12634), .Y (n_6298));
+ NAND2X1 g36371(.A (n_6211), .B (n_12634), .Y (n_6297));
+ NAND2X1 g36372(.A (n_6233), .B (n_12634), .Y (n_6296));
+ NAND2X1 g36373(.A (n_6209), .B (n_12634), .Y (n_6295));
+ NAND2X1 g36376(.A (n_12514), .B (n_12634), .Y (n_6293));
+ NAND2X1 g36378(.A (n_6182), .B (n_2567), .Y (n_6292));
+ NAND2X1 g36380(.A (n_6235), .B (n_6316), .Y (n_6290));
+ NAND2X1 g36381(.A (n_12618), .B (n_6316), .Y (n_6289));
+ NAND2X1 g36382(.A (n_12755), .B (n_6316), .Y (n_6288));
+ NAND2X1 g36384(.A (n_12116), .B (n_6316), .Y (n_6287));
+ NAND2X1 g36387(.A (n_6260), .B (n_2567), .Y (n_6286));
+ NAND2X1 g36389(.A (n_6150), .B (n_6316), .Y (n_11987));
+ NAND2X1 g36391(.A (n_6176), .B (n_6316), .Y (n_6283));
+ NAND2X1 g36393(.A (n_6184), .B (n_6316), .Y (n_6282));
+ NAND2X1 g36394(.A (n_11898), .B (n_6316), .Y (n_6280));
+ NAND2X1 g36395(.A (n_6172), .B (n_6316), .Y (n_6279));
+ NAND2X1 g36397(.A (n_11895), .B (n_6316), .Y (n_6278));
+ NAND2X1 g36399(.A (n_6250), .B (n_2567), .Y (n_6277));
+ NAND2X1 g36400(.A (n_6159), .B (n_2567), .Y (n_6275));
+ NAND2X1 g36401(.A (n_6204), .B (n_12634), .Y (n_12046));
+ NAND2X1 g36404(.A (n_5707), .B (n_6318), .Y (n_6271));
+ NAND2X1 g36408(.A (n_7049), .B (n_7048), .Y (n_6268));
+ NAND2X1 g36412(.A (n_6266), .B (n_12531), .Y (n_6267));
+ NAND2X1 g36413(.A (n_6264), .B (n_6201), .Y (n_6265));
+ NAND2X1 g36418(.A (n_6165), .B (n_12115), .Y (n_6263));
+ NAND2X1 g36419(.A (n_6260), .B (n_6259), .Y (n_6261));
+ NAND2X1 g36437(.A (n_6254), .B (n_12531), .Y (n_6255));
+ NAND2X1 g36439(.A (n_6252), .B (n_12531), .Y (n_6253));
+ NAND2X1 g36440(.A (n_6250), .B (n_1038), .Y (n_6251));
+ NAND2X1 g36443(.A (n_6248), .B (n_12531), .Y (n_6249));
+ NAND2X1 g36448(.A (n_6244), .B (n_6259), .Y (n_6245));
+ NAND2X1 g36457(.A (n_6241), .B (n_5881), .Y (n_6243));
+ NAND2X1 g36488(.A (n_6239), .B (n_6141), .Y (n_6240));
+ NAND2X1 g36490(.A (n_6237), .B (n_12531), .Y (n_6238));
+ NAND2X1 g36492(.A (n_6235), .B (n_12115), .Y (n_6236));
+ NAND2X1 g36497(.A (n_6233), .B (n_6773), .Y (n_6234));
+ NAND2X1 g36502(.A (n_12368), .B (n_6816), .Y (n_6232));
+ NAND2X1 g36511(.A (n_6228), .B (n_6773), .Y (n_6229));
+ NAND2X1 g36513(.A (n_6226), .B (n_6816), .Y (n_6227));
+ NAND2X1 g36514(.A (n_6224), .B (n_1297), .Y (n_6225));
+ NAND2X1 g36515(.A (n_12368), .B (n_784), .Y (n_6223));
+ NAND2X1 g36528(.A (n_6153), .B (n_6259), .Y (n_6221));
+ NAND2X1 g36529(.A (n_12111), .B (n_12115), .Y (n_6220));
+ NAND2X1 g36534(.A (n_6186), .B (n_634), .Y (n_6218));
+ NAND2X1 g36535(.A (n_6216), .B (n_6773), .Y (n_6217));
+ NAND2X1 g36537(.A (n_12481), .B (n_6773), .Y (n_6215));
+ NAND2X1 g36539(.A (n_6211), .B (n_6773), .Y (n_6212));
+ NAND2X1 g36541(.A (n_6209), .B (n_6773), .Y (n_6210));
+ NAND2X1 g36544(.A (n_6207), .B (n_6773), .Y (n_6208));
+ NAND2X1 g36548(.A (n_6147), .B (n_6773), .Y (n_6206));
+ NAND2X1 g36552(.A (n_6204), .B (n_6773), .Y (n_6205));
+ NAND2X1 g36554(.A (n_6202), .B (n_6201), .Y (n_6203));
+ NAND2X1 g36556(.A (n_6228), .B (n_6201), .Y (n_6200));
+ NAND2X1 g36557(.A (n_12514), .B (n_6201), .Y (n_6199));
+ NAND2X1 g36566(.A (n_11855), .B (n_6201), .Y (n_6197));
+ NAND2X1 g36569(.A (n_6194), .B (n_12115), .Y (n_6195));
+ NAND2X1 g36570(.A (n_12618), .B (n_12115), .Y (n_6193));
+ NAND2X1 g36571(.A (n_12755), .B (n_12115), .Y (n_6191));
+ NAND2X1 g36573(.A (n_6144), .B (n_12115), .Y (n_6188));
+ NAND2X1 g36575(.A (n_6186), .B (n_12115), .Y (n_6187));
+ NAND2X1 g36578(.A (n_6184), .B (n_12115), .Y (n_6185));
+ NAND2X1 g36579(.A (n_6182), .B (n_6259), .Y (n_6183));
+ NAND2X1 g36580(.A (n_6180), .B (n_12531), .Y (n_6181));
+ NAND2X1 g36581(.A (n_11898), .B (n_12115), .Y (n_6179));
+ NAND2X1 g36582(.A (n_6176), .B (n_12664), .Y (n_6177));
+ NAND2X1 g36583(.A (n_6174), .B (n_634), .Y (n_11986));
+ NAND2X1 g36584(.A (n_6172), .B (n_12115), .Y (n_6173));
+ NAND2X1 g36588(.A (n_6155), .B (n_6259), .Y (n_6169));
+ NAND2X1 g36589(.A (n_6167), .B (n_12115), .Y (n_6168));
+ NAND2X1 g36591(.A (n_6165), .B (n_634), .Y (n_6166));
+ NAND2X1 g36592(.A (n_6163), .B (n_12115), .Y (n_6164));
+ NAND2X1 g36594(.A (n_6161), .B (n_12115), .Y (n_6162));
+ NAND2X1 g36595(.A (n_6159), .B (n_6259), .Y (n_6160));
+ NAND2X1 g36597(.A (n_6157), .B (n_12115), .Y (n_6158));
+ NAND2X1 g36598(.A (n_6155), .B (n_6152), .Y (n_6156));
+ NAND2X1 g36600(.A (n_6153), .B (n_6152), .Y (n_6154));
+ NAND2X1 g36602(.A (n_6150), .B (n_12664), .Y (n_6151));
+ NAND2X1 g36604(.A (n_6147), .B (n_6118), .Y (n_12047));
+ NAND2X1 g36606(.A (n_12514), .B (n_6773), .Y (n_6146));
+ INVX4 g36607(.A (n_5797), .Y (n_7353));
+ NAND2X1 g36609(.A (n_6144), .B (n_634), .Y (n_6145));
+ NAND2X1 g36610(.A (n_6142), .B (n_6141), .Y (n_6143));
+ NAND2X1 g36611(.A (n_5709), .B (n_6091), .Y (n_6140));
+ OAI21X1 g36616(.A0 (n_4629), .A1 (n_5200), .B0 (n_784), .Y (n_6139));
+ OAI21X1 g36619(.A0 (n_4576), .A1 (n_5203), .B0 (n_784), .Y (n_6138));
+ OAI21X1 g36620(.A0 (n_4569), .A1 (n_5196), .B0 (n_6131), .Y (n_6137));
+ OAI21X1 g36621(.A0 (n_4632), .A1 (n_5454), .B0 (n_6134), .Y (n_6136));
+ OAI21X1 g36622(.A0 (n_4568), .A1 (n_5463), .B0 (n_6134), .Y (n_6135));
+ OAI21X1 g36624(.A0 (n_4586), .A1 (n_5212), .B0 (n_6134), .Y (n_6133));
+ OAI21X1 g36625(.A0 (n_4603), .A1 (n_5224), .B0 (n_6131), .Y (n_6132));
+ OAI21X1 g36629(.A0 (n_12849), .A1 (n_12850), .B0 (\u4_rp[0] ), .Y
+ (n_6130));
+ OAI21X1 g36630(.A0 (n_12851), .A1 (n_12852), .B0 (\u4_rp[0] ), .Y
+ (n_6129));
+ OAI21X1 g36633(.A0 (n_4609), .A1 (n_5222), .B0 (n_6134), .Y (n_6127));
+ OAI21X1 g36634(.A0 (n_4608), .A1 (n_5220), .B0 (n_6131), .Y (n_6126));
+ OAI21X1 g36639(.A0 (n_4607), .A1 (n_5219), .B0 (\u4_rp[0] ), .Y
+ (n_6125));
+ OAI21X1 g36640(.A0 (n_4606), .A1 (n_5218), .B0 (\u4_rp[0] ), .Y
+ (n_6124));
+ OAI21X1 g36646(.A0 (n_4595), .A1 (n_5213), .B0 (n_6131), .Y (n_6123));
+ OAI21X1 g36661(.A0 (n_5214), .A1 (n_5460), .B0 (n_6118), .Y (n_6122));
+ NAND2X1 g36665(.A (n_5538), .B (n_6091), .Y (n_6121));
+ OAI21X1 g36666(.A0 (n_5215), .A1 (n_5458), .B0 (n_6118), .Y (n_6119));
+ NAND2X1 g36667(.A (n_5557), .B (n_6091), .Y (n_6117));
+ OAI21X1 g36670(.A0 (n_12054), .A1 (n_12055), .B0 (n_784), .Y
+ (n_6116));
+ OAI21X1 g36671(.A0 (n_12056), .A1 (n_12057), .B0 (n_784), .Y
+ (n_6114));
+ OAI21X1 g36673(.A0 (n_12048), .A1 (n_12049), .B0 (n_784), .Y
+ (n_6113));
+ OAI21X1 g36674(.A0 (n_12050), .A1 (n_12051), .B0 (n_784), .Y
+ (n_6112));
+ OAI21X1 g36675(.A0 (n_12052), .A1 (n_12053), .B0 (n_784), .Y
+ (n_6111));
+ OAI21X1 g36676(.A0 (n_12058), .A1 (n_12059), .B0 (n_784), .Y
+ (n_6109));
+ OAI21X1 g36678(.A0 (n_4573), .A1 (n_5199), .B0 (n_784), .Y (n_6108));
+ OAI21X1 g36680(.A0 (n_5461), .A1 (n_5205), .B0 (n_6118), .Y (n_6106));
+ OAI21X1 g36681(.A0 (n_4610), .A1 (n_5197), .B0 (n_784), .Y (n_6105));
+ OAI21X1 g36682(.A0 (n_12060), .A1 (n_12061), .B0 (n_784), .Y
+ (n_6104));
+ OAI21X1 g36685(.A0 (n_4572), .A1 (n_5198), .B0 (n_784), .Y (n_6103));
+ OAI21X1 g36690(.A0 (n_5451), .A1 (n_5457), .B0 (n_6118), .Y (n_6099));
+ OAI21X1 g36691(.A0 (n_5455), .A1 (n_5456), .B0 (n_6118), .Y (n_6097));
+ OAI21X1 g36692(.A0 (n_5210), .A1 (n_5202), .B0 (n_6118), .Y (n_6096));
+ NAND2X1 g36693(.A (n_5539), .B (n_6118), .Y (n_6095));
+ OAI21X1 g36702(.A0 (n_5452), .A1 (n_5453), .B0 (n_6118), .Y (n_6094));
+ NAND2X1 g36704(.A (n_5535), .B (n_6152), .Y (n_6093));
+ NAND2X1 g36711(.A (n_5559), .B (n_6091), .Y (n_6092));
+ AOI21X1 g36724(.A0 (n_6089), .A1 (n_5371), .B0 (n_5570), .Y (n_6090));
+ AOI21X1 g36725(.A0 (n_6087), .A1 (n_5371), .B0 (n_5569), .Y (n_6088));
+ INVX1 g36781(.A (n_6765), .Y (n_6085));
+ INVX1 g36830(.A (n_6777), .Y (n_6084));
+ INVX1 g36835(.A (n_12354), .Y (n_6082));
+ INVX1 g36840(.A (n_6790), .Y (n_6081));
+ INVX1 g36844(.A (n_6803), .Y (n_6080));
+ INVX1 g36847(.A (n_6807), .Y (n_6079));
+ INVX1 g36849(.A (n_6800), .Y (n_6078));
+ INVX1 g36966(.A (n_6805), .Y (n_6077));
+ INVX1 g36973(.A (n_12171), .Y (n_6076));
+ INVX1 g36979(.A (n_6779), .Y (n_6075));
+ INVX1 g36983(.A (n_6786), .Y (n_6074));
+ INVX1 g37012(.A (n_6814), .Y (n_6073));
+ INVX1 g37043(.A (n_6763), .Y (n_6072));
+ INVX1 g37100(.A (n_6769), .Y (n_6070));
+ INVX1 g37126(.A (n_6783), .Y (n_6069));
+ AOI22X1 g35699(.A0 (n_6686), .A1 (i6_dout_631), .B0 (i3_dout_569),
+ .B1 (n_6700), .Y (n_6068));
+ AND2X1 g33261(.A (n_5633), .B (u2_sync_resume), .Y (n_6067));
+ NAND2X1 g33371(.A (n_5635), .B (n_6057), .Y (n_6066));
+ NOR2X1 g33382(.A (n_5631), .B (u2_bit_clk_e), .Y (n_6065));
+ NOR2X1 g35827(.A (n_666), .B (n_6752), .Y (n_6064));
+ NOR2X1 g35826(.A (n_550), .B (n_5440), .Y (n_6063));
+ NOR2X1 g35825(.A (n_541), .B (n_5440), .Y (n_6062));
+ DFFX1 u12_we1_reg(.CK (clk_i), .D (n_5361), .Q (u12_we1), .QN ());
+ NOR2X1 g35669(.A (n_5444), .B (n_1274), .Y (n_6061));
+ XOR2X1 g35722(.A (n_214), .B (n_6059), .Y (n_6060));
+ DFFX1 \u1_sr_reg[4] (.CK (bit_clk_pad_i), .D (u1_sr_119), .Q
+ (u1_sr_120), .QN ());
+ DFFX1 \u2_in_valid_reg[2] (.CK (bit_clk_pad_i), .D (n_5432), .Q
+ (in_valid_9), .QN ());
+ NAND2X1 g34715(.A (n_5448), .B (n_6057), .Y (n_6058));
+ BUFX3 g35776(.A (n_6734), .Y (n_7042));
+ AOI21X1 g34904(.A0 (n_4845), .A1 (n_2368), .B0 (n_4805), .Y (n_6056));
+ AOI21X1 g34905(.A0 (n_4844), .A1 (n_2302), .B0 (n_4035), .Y (n_6055));
+ NOR2X1 g35338(.A (n_5445), .B (u2_bit_clk_e), .Y (n_6054));
+ DFFX1 \u10_status_reg[1] (.CK (clk_i), .D (n_5431), .Q
+ (i4_status_1032), .QN ());
+ DFFX1 \u9_status_reg[1] (.CK (clk_i), .D (n_5449), .Q
+ (i3_status_1022), .QN ());
+ AND2X1 g35419(.A (n_5450), .B (u2_sync_resume), .Y (n_6053));
+ NAND2X1 g35463(.A (n_2629), .B (n_6057), .Y (n_6052));
+ NAND4X1 g35485(.A (n_5249), .B (wb_cyc_i), .C (n_303), .D (wb_stb_i),
+ .Y (n_6051));
+ XOR2X1 g35499(.A (n_6049), .B (n_4842), .Y (n_6050));
+ XOR2X1 g35500(.A (n_6047), .B (n_4841), .Y (n_6048));
+ AOI22X1 g35507(.A0 (n_5630), .A1 (\u2_to_cnt[5] ), .B0 (n_5629), .B1
+ (n_4853), .Y (n_6046));
+ DFFX1 u11_empty_reg(.CK (clk_i), .D (n_5383), .Q (), .QN (i6_empty));
+ DFFX1 u2_sync_beat_reg(.CK (bit_clk_pad_i), .D (n_5441), .Q
+ (u2_sync_beat), .QN ());
+ NAND2X1 g35560(.A (n_6045), .B (n_5225), .Y (n_7025));
+ NAND2X1 g35561(.A (n_6045), .B (n_6044), .Y (n_7022));
+ NAND2X1 g35562(.A (n_6045), .B (n_6042), .Y (n_6043));
+ AND2X1 g35563(.A (n_5226), .B (n_2608), .Y (n_6041));
+ BUFX3 g35760(.A (n_6734), .Y (n_6710));
+ XOR2X1 g35726(.A (n_1446), .B (n_12588), .Y (n_6040));
+ DFFSRX1 u11_full_reg(.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_5443), .Q (i6_full), .QN ());
+ MX2X1 g35969(.A (n_6037), .B (n_6017), .S0 (n_5407), .Y (n_6038));
+ MX2X1 g35970(.A (n_5983), .B (n_6035), .S0 (n_6649), .Y (n_6036));
+ MX2X1 g35977(.A (n_6033), .B (n_6014), .S0 (n_5341), .Y (n_6034));
+ MX2X1 g35978(.A (n_6030), .B (n_6011), .S0 (n_931), .Y (n_6031));
+ MX2X1 g35979(.A (n_6028), .B (n_6008), .S0 (n_931), .Y (n_6029));
+ MX2X1 g35980(.A (n_5987), .B (n_6026), .S0 (n_6649), .Y (n_6027));
+ NOR2X1 g35845(.A (n_5404), .B (u2_bit_clk_e), .Y (n_6025));
+ MX2X1 g35986(.A (n_5993), .B (n_6023), .S0 (n_6649), .Y (n_6024));
+ MX2X1 g36021(.A (n_6021), .B (n_6003), .S0 (n_5341), .Y (n_6022));
+ MX2X1 g36025(.A (n_6018), .B (n_6017), .S0 (n_5341), .Y (n_6019));
+ MX2X1 g36033(.A (n_6014), .B (n_6013), .S0 (n_6594), .Y (n_6015));
+ MX2X1 g36034(.A (n_6011), .B (n_6010), .S0 (n_6594), .Y (n_6012));
+ MX2X1 g36036(.A (n_6008), .B (n_6007), .S0 (n_6594), .Y (n_6009));
+ MX2X1 g36037(.A (n_5997), .B (n_6005), .S0 (n_6594), .Y (n_6006));
+ MX2X1 g36045(.A (n_6003), .B (n_6002), .S0 (n_6594), .Y (n_6004));
+ MX2X1 g36046(.A (n_6017), .B (n_6000), .S0 (n_6594), .Y (n_6001));
+ MX2X1 g36050(.A (n_5998), .B (n_5997), .S0 (n_5341), .Y (n_5999));
+ MX2X1 g36078(.A (n_5994), .B (n_5993), .S0 (n_5312), .Y (n_5995));
+ MX2X1 g36083(.A (n_5990), .B (n_5993), .S0 (n_6502), .Y (n_5991));
+ MX2X1 g36084(.A (n_5988), .B (n_5987), .S0 (n_6502), .Y (n_5989));
+ MX2X1 g36093(.A (n_5984), .B (n_5983), .S0 (n_6502), .Y (n_5985));
+ MX2X1 g36094(.A (n_5981), .B (n_5997), .S0 (n_6475), .Y (n_5982));
+ MX2X1 g36105(.A (n_5978), .B (n_5987), .S0 (n_5312), .Y (n_5979));
+ NOR2X1 g35844(.A (n_5403), .B (u2_bit_clk_e), .Y (n_5976));
+ MX2X1 g36108(.A (n_5974), .B (n_6014), .S0 (n_6475), .Y (n_5975));
+ MX2X1 g36110(.A (n_501), .B (n_6011), .S0 (n_6475), .Y (n_5973));
+ MX2X1 g36112(.A (n_406), .B (n_6008), .S0 (n_6475), .Y (n_5971));
+ MX2X1 g36120(.A (n_5969), .B (n_5983), .S0 (n_5312), .Y (n_5970));
+ MX2X1 g36132(.A (n_5966), .B (n_6003), .S0 (n_6475), .Y (n_5967));
+ XOR2X1 g35724(.A (n_1255), .B (n_5964), .Y (n_5965));
+ MX2X1 g36153(.A (n_5962), .B (n_6017), .S0 (n_6341), .Y (n_5963));
+ MX2X1 g36154(.A (n_5959), .B (n_5997), .S0 (n_6341), .Y (n_5960));
+ MX2X1 g36165(.A (n_5957), .B (n_6014), .S0 (n_6341), .Y (n_5958));
+ MX2X1 g36168(.A (n_5955), .B (n_6011), .S0 (n_6341), .Y (n_5956));
+ MX2X1 g36169(.A (n_5953), .B (n_5993), .S0 (n_6359), .Y (n_5954));
+ MX2X1 g36170(.A (n_5951), .B (n_5987), .S0 (n_6359), .Y (n_5952));
+ MX2X1 g36171(.A (n_5949), .B (n_6008), .S0 (n_6341), .Y (n_5950));
+ MX2X1 g36179(.A (n_5947), .B (n_5983), .S0 (n_6359), .Y (n_5948));
+ MX2X1 g36184(.A (n_5945), .B (n_6003), .S0 (n_6341), .Y (n_5946));
+ XOR2X1 g36194(.A (n_657), .B (n_5942), .Y (n_5944));
+ DFFX1 \u10_dout_reg[14] (.CK (clk_i), .D (n_5382), .Q (i4_dout_608),
+ .QN ());
+ DFFX1 \u10_dout_reg[15] (.CK (clk_i), .D (n_5393), .Q (i4_dout_609),
+ .QN ());
+ DFFX1 \u10_dout_reg[17] (.CK (clk_i), .D (n_5392), .Q (i4_dout_611),
+ .QN ());
+ DFFX1 \u10_dout_reg[18] (.CK (clk_i), .D (n_5391), .Q (i4_dout_612),
+ .QN ());
+ DFFX1 \u10_dout_reg[19] (.CK (clk_i), .D (n_5390), .Q (i4_dout_613),
+ .QN ());
+ DFFX1 \u10_dout_reg[1] (.CK (clk_i), .D (n_5389), .Q (i4_dout_595),
+ .QN ());
+ DFFX1 \u10_dout_reg[20] (.CK (clk_i), .D (n_5388), .Q (i4_dout_614),
+ .QN ());
+ DFFX1 \u10_dout_reg[21] (.CK (clk_i), .D (n_5387), .Q (i4_dout_615),
+ .QN ());
+ DFFX1 \u10_dout_reg[22] (.CK (clk_i), .D (n_5386), .Q (i4_dout_616),
+ .QN ());
+ DFFX1 \u10_dout_reg[23] (.CK (clk_i), .D (n_5385), .Q (i4_dout_617),
+ .QN ());
+ NAND2X1 g36307(.A (n_6821), .B (n_12626), .Y (n_5941));
+ NAND2X1 g36312(.A (n_5893), .B (n_2567), .Y (n_5939));
+ NAND2X1 g36313(.A (n_5800), .B (n_2567), .Y (n_5938));
+ NAND2X1 g36328(.A (n_5857), .B (n_6318), .Y (n_5937));
+ NAND2X1 g36330(.A (n_5886), .B (n_6318), .Y (n_5936));
+ NAND2X1 g36331(.A (n_5884), .B (n_6318), .Y (n_5935));
+ NAND2X1 g36332(.A (n_5882), .B (n_6318), .Y (n_5934));
+ NAND2X1 g36333(.A (n_5879), .B (n_6318), .Y (n_5933));
+ NAND2X1 g36335(.A (n_5561), .B (n_6318), .Y (n_5932));
+ NAND2X1 g36336(.A (n_5863), .B (n_6318), .Y (n_5931));
+ NAND2X1 g36338(.A (n_5865), .B (n_3559), .Y (n_5929));
+ NAND2X1 g36344(.A (n_5835), .B (n_6821), .Y (n_5926));
+ NAND2X1 g36345(.A (n_5833), .B (n_6821), .Y (n_5925));
+ NAND2X1 g36348(.A (n_5855), .B (n_6821), .Y (n_5924));
+ NAND2X1 g36350(.A (n_5853), .B (n_6821), .Y (n_5923));
+ NAND2X1 g36352(.A (n_6821), .B (n_5851), .Y (n_5922));
+ NAND2X1 g36355(.A (n_5847), .B (n_6821), .Y (n_5921));
+ NAND2X1 g36356(.A (n_6821), .B (n_5844), .Y (n_5920));
+ NAND2X1 g36360(.A (n_5544), .B (n_12634), .Y (n_5919));
+ NAND2X1 g36361(.A (n_5841), .B (n_6821), .Y (n_5918));
+ NAND2X1 g36362(.A (n_5837), .B (n_6821), .Y (n_5917));
+ NAND2X1 g36364(.A (n_5815), .B (n_2567), .Y (n_5916));
+ NAND2X1 g36377(.A (n_5541), .B (n_12634), .Y (n_5914));
+ NAND2X1 g36383(.A (n_5895), .B (n_2567), .Y (n_5913));
+ NAND2X1 g36385(.A (n_5798), .B (n_2567), .Y (n_5911));
+ NAND2X1 g36388(.A (n_5813), .B (n_2567), .Y (n_5910));
+ NAND2X1 g36390(.A (n_5811), .B (n_2567), .Y (n_5909));
+ NAND2X1 g36392(.A (n_5807), .B (n_2567), .Y (n_5908));
+ NAND2X1 g36396(.A (n_5809), .B (n_2567), .Y (n_5907));
+ NAND2X1 g36398(.A (n_5803), .B (n_2567), .Y (n_5906));
+ NAND2X1 g36403(.A (n_5898), .B (n_2567), .Y (n_5905));
+ NAND2X1 g36406(.A (n_5859), .B (n_3559), .Y (n_5904));
+ NAND2X1 g36407(.A (n_6836), .B (\u8_wp[1] ), .Y (n_5903));
+ NAND2X1 g36409(.A (n_6842), .B (n_6841), .Y (n_5902));
+ NAND2X1 g36410(.A (n_6839), .B (n_6838), .Y (n_5901));
+ NAND2X1 g36421(.A (n_5898), .B (n_6259), .Y (n_5899));
+ NAND2X1 g36423(.A (n_5805), .B (n_6152), .Y (n_5897));
+ NAND2X1 g36424(.A (n_5895), .B (n_6259), .Y (n_5896));
+ NAND2X1 g36425(.A (n_5893), .B (n_6259), .Y (n_5894));
+ NOR2X1 g36426(.A (n_838), .B (n_6057), .Y (n_5892));
+ NAND2X1 g36428(.A (n_5823), .B (n_6152), .Y (n_5890));
+ NAND2X1 g35840(.A (n_4825), .B (n_6057), .Y (n_5889));
+ NAND2X1 g36446(.A (n_5874), .B (n_6091), .Y (n_5888));
+ NAND2X1 g36451(.A (n_5886), .B (n_6141), .Y (n_5887));
+ NAND2X1 g36452(.A (n_5884), .B (n_6141), .Y (n_5885));
+ NAND2X1 g36453(.A (n_5882), .B (n_5881), .Y (n_5883));
+ NAND2X1 g36454(.A (n_5879), .B (n_5881), .Y (n_5880));
+ NAND2X1 g36455(.A (n_5882), .B (n_5876), .Y (n_5878));
+ NAND2X1 g36456(.A (n_5879), .B (n_5876), .Y (n_5877));
+ NAND2X1 g36458(.A (n_5874), .B (n_6141), .Y (n_5875));
+ NAND2X1 g36477(.A (n_12681), .B (n_6141), .Y (n_5873));
+ NAND2X1 g36478(.A (n_5874), .B (n_5876), .Y (n_5871));
+ NAND2X1 g36479(.A (n_5869), .B (n_1229), .Y (n_5870));
+ NAND2X1 g36480(.A (n_5867), .B (n_5876), .Y (n_5868));
+ NAND2X1 g36481(.A (n_5865), .B (n_1229), .Y (n_5866));
+ NAND2X1 g36482(.A (n_5863), .B (n_5876), .Y (n_5864));
+ NAND2X1 g36484(.A (n_5869), .B (n_5876), .Y (n_5862));
+ NAND2X1 g36485(.A (n_5865), .B (n_5876), .Y (n_5861));
+ NAND2X1 g36486(.A (n_5859), .B (n_5876), .Y (n_5860));
+ NAND2X1 g36487(.A (n_5857), .B (n_5881), .Y (n_5858));
+ NAND2X1 g36491(.A (n_6816), .B (n_5855), .Y (n_5856));
+ NAND2X1 g36496(.A (n_6816), .B (n_5853), .Y (n_5854));
+ NAND2X1 g36499(.A (n_6816), .B (n_5851), .Y (n_5852));
+ NAND2X1 g36500(.A (n_12626), .B (n_6816), .Y (n_5850));
+ NAND2X1 g36501(.A (n_6816), .B (n_5847), .Y (n_5848));
+ NAND2X1 g36506(.A (n_5829), .B (n_6816), .Y (n_5846));
+ NAND2X1 g36512(.A (n_5844), .B (n_1297), .Y (n_5845));
+ NAND2X1 g36516(.A (n_6816), .B (n_5841), .Y (n_5842));
+ NOR2X1 g36517(.A (o3_status), .B (n_5839), .Y (n_5840));
+ NAND2X1 g36518(.A (n_6816), .B (n_5837), .Y (n_5838));
+ NAND2X1 g36519(.A (n_6816), .B (n_5835), .Y (n_5836));
+ NAND2X1 g36521(.A (n_6816), .B (n_5833), .Y (n_5834));
+ NOR2X1 g36522(.A (o4_status), .B (n_5831), .Y (n_5832));
+ NAND2X1 g36527(.A (n_5829), .B (n_784), .Y (n_5830));
+ NOR2X1 g36531(.A (o7_status), .B (n_5827), .Y (n_5828));
+ NOR2X1 g36532(.A (o8_status), .B (n_5825), .Y (n_5826));
+ NAND2X1 g36533(.A (n_5823), .B (n_6259), .Y (n_5824));
+ NAND2X1 g36550(.A (n_1773), .B (n_6057), .Y (n_5822));
+ NAND2X1 g36551(.A (n_1928), .B (n_6057), .Y (n_5821));
+ NAND2X1 g36553(.A (n_1552), .B (n_6057), .Y (n_5820));
+ NAND2X1 g36559(.A (n_5818), .B (n_6773), .Y (n_5819));
+ NAND2X1 g36564(.A (n_5818), .B (n_6201), .Y (n_5817));
+ NAND2X1 g36574(.A (n_5815), .B (n_6259), .Y (n_5816));
+ NAND2X1 g36576(.A (n_5813), .B (n_6259), .Y (n_5814));
+ NAND2X1 g36577(.A (n_5811), .B (n_6259), .Y (n_5812));
+ NAND2X1 g36586(.A (n_5809), .B (n_6259), .Y (n_5810));
+ NAND2X1 g36590(.A (n_5807), .B (n_6259), .Y (n_5808));
+ NAND2X1 g36593(.A (n_5805), .B (n_6259), .Y (n_5806));
+ NAND2X1 g36596(.A (n_5803), .B (n_1038), .Y (n_5804));
+ NAND2X1 g36599(.A (n_5800), .B (n_6259), .Y (n_5801));
+ NAND2X1 g36601(.A (n_5798), .B (n_6259), .Y (n_5799));
+ AND2X1 g36608(.A (n_5384), .B (n_1779), .Y (n_5797));
+ OAI21X1 g36615(.A0 (n_5185), .A1 (n_5184), .B0 (n_634), .Y (n_5796));
+ NAND2X1 g36618(.A (n_5252), .B (n_3980), .Y (n_5795));
+ OAI21X1 g36623(.A0 (n_4611), .A1 (n_5163), .B0 (n_6152), .Y (n_5794));
+ OAI21X1 g36626(.A0 (n_5165), .A1 (n_5164), .B0 (n_6152), .Y (n_5793));
+ NAND2X1 g36627(.A (n_5278), .B (n_3982), .Y (n_5791));
+ OAI21X1 g36632(.A0 (n_4553), .A1 (n_5173), .B0 (n_6152), .Y (n_5790));
+ AOI21X1 g36635(.A0 (n_5591), .A1 (n_5788), .B0 (n_5275), .Y (n_5789));
+ NAND2X1 g36641(.A (n_5270), .B (n_3975), .Y (n_5787));
+ NAND2X1 g36643(.A (n_5279), .B (n_3981), .Y (n_5786));
+ NAND2X1 g36644(.A (n_5266), .B (n_3974), .Y (n_5785));
+ NAND2X1 g36645(.A (n_5265), .B (n_2582), .Y (n_5784));
+ NAND2X1 g36647(.A (n_5263), .B (n_3971), .Y (n_5783));
+ NAND2X1 g36648(.A (n_5261), .B (n_2580), .Y (n_5782));
+ NAND2X1 g36649(.A (n_5260), .B (n_2579), .Y (n_5781));
+ NAND2X1 g36650(.A (n_5258), .B (n_3970), .Y (n_5780));
+ NAND2X1 g36651(.A (n_5256), .B (n_3969), .Y (n_5779));
+ NAND2X1 g36653(.A (n_5251), .B (n_2614), .Y (n_5777));
+ OAI21X1 g36654(.A0 (n_3917), .A1 (n_4599), .B0 (n_6091), .Y (n_5776));
+ OAI21X1 g36655(.A0 (n_3916), .A1 (n_4598), .B0 (n_6091), .Y (n_5775));
+ OAI21X1 g36656(.A0 (n_4570), .A1 (n_4597), .B0 (n_5772), .Y (n_5774));
+ OAI21X1 g36657(.A0 (n_3915), .A1 (n_4596), .B0 (n_5772), .Y (n_5773));
+ OAI21X1 g36658(.A0 (n_3914), .A1 (n_4594), .B0 (n_5772), .Y (n_5771));
+ OAI21X1 g36659(.A0 (n_4593), .A1 (n_4592), .B0 (n_5772), .Y (n_5770));
+ OAI21X1 g36660(.A0 (n_4581), .A1 (n_4591), .B0 (n_5772), .Y (n_5769));
+ OAI21X1 g36662(.A0 (n_4590), .A1 (n_4589), .B0 (n_5772), .Y (n_5768));
+ OAI21X1 g36663(.A0 (n_5167), .A1 (n_5166), .B0 (n_634), .Y (n_5767));
+ NAND2X1 g36664(.A (n_5254), .B (n_3978), .Y (n_5765));
+ OAI21X1 g36668(.A0 (n_4587), .A1 (n_5183), .B0 (n_5772), .Y (n_5764));
+ NAND2X1 g36672(.A (n_5253), .B (n_2578), .Y (n_5763));
+ OAI21X1 g36677(.A0 (n_5188), .A1 (n_5162), .B0 (n_634), .Y (n_5762));
+ NAND2X1 g36679(.A (n_5255), .B (n_3966), .Y (n_5761));
+ OAI21X1 g36683(.A0 (n_5170), .A1 (n_5168), .B0 (n_634), .Y (n_5760));
+ OAI21X1 g36684(.A0 (n_5171), .A1 (n_4580), .B0 (n_6152), .Y (n_5759));
+ OAI21X1 g36688(.A0 (n_4574), .A1 (n_5172), .B0 (n_6152), .Y (n_5758));
+ OAI21X1 g36697(.A0 (n_5194), .A1 (n_5193), .B0 (n_634), .Y (n_5757));
+ OAI21X1 g36698(.A0 (n_4627), .A1 (n_5191), .B0 (n_634), .Y (n_5756));
+ OAI21X1 g36700(.A0 (n_5179), .A1 (n_5195), .B0 (n_634), .Y (n_5753));
+ OAI21X1 g36701(.A0 (n_5190), .A1 (n_5189), .B0 (n_634), .Y (n_5751));
+ OAI21X1 g36705(.A0 (n_5181), .A1 (n_5180), .B0 (n_634), .Y (n_5749));
+ OAI21X1 g36706(.A0 (n_4628), .A1 (n_5178), .B0 (n_6152), .Y (n_5748));
+ OAI21X1 g36707(.A0 (n_5177), .A1 (n_5176), .B0 (n_6152), .Y (n_5747));
+ OAI21X1 g36708(.A0 (n_5174), .A1 (n_5182), .B0 (n_6152), .Y (n_5745));
+ OAI21X1 g36709(.A0 (n_4552), .A1 (n_5175), .B0 (n_6152), .Y (n_5744));
+ OAI21X1 g36710(.A0 (n_4551), .A1 (n_5161), .B0 (n_6152), .Y (n_5743));
+ OAI21X1 g36712(.A0 (n_4571), .A1 (n_5169), .B0 (n_6152), .Y (n_5742));
+ NAND2X1 g35839(.A (n_4826), .B (n_6057), .Y (n_5741));
+ MX2X1 g36718(.A (\u9_mem[0]_167 ), .B (n_5736), .S0 (n_6856), .Y
+ (n_5740));
+ MX2X1 g36719(.A (\u9_mem[0]_168 ), .B (n_5733), .S0 (n_6856), .Y
+ (n_5738));
+ MX2X1 g36720(.A (\u9_mem[1]_136 ), .B (n_5736), .S0 (n_5730), .Y
+ (n_5737));
+ MX2X1 g36722(.A (\u9_mem[2]_105 ), .B (n_5736), .S0 (n_5732), .Y
+ (n_5735));
+ MX2X1 g36723(.A (\u9_mem[2]_106 ), .B (n_5733), .S0 (n_5732), .Y
+ (n_5734));
+ MX2X1 g36739(.A (\u9_mem[1]_137 ), .B (n_5733), .S0 (n_5730), .Y
+ (n_5731));
+ NAND4X1 g36782(.A (n_3509), .B (n_3056), .C (n_4249), .D (n_2420), .Y
+ (n_6765));
+ INVX1 g36816(.A (n_6252), .Y (n_5729));
+ INVX1 g36821(.A (n_6246), .Y (n_5728));
+ INVX1 g36826(.A (n_6266), .Y (n_5727));
+ NAND4X1 g36831(.A (n_11650), .B (n_11651), .C (n_2906), .D (n_11472),
+ .Y (n_6777));
+ INVX1 g36837(.A (n_6209), .Y (n_5726));
+ NAND4X1 g36841(.A (n_4243), .B (n_3517), .C (n_2350), .D (n_4261), .Y
+ (n_6790));
+ NAND4X1 g36842(.A (n_4144), .B (n_2972), .C (n_2260), .D (n_4252), .Y
+ (n_6797));
+ NAND4X1 g36843(.A (n_4147), .B (n_3393), .C (n_2348), .D (n_4260), .Y
+ (n_6794));
+ NAND4X1 g36845(.A (n_4195), .B (n_3108), .C (n_2277), .D (n_4259), .Y
+ (n_6803));
+ NAND4X1 g36848(.A (n_4192), .B (n_1491), .C (n_2314), .D (n_4257), .Y
+ (n_6807));
+ NAND4X1 g36850(.A (n_4208), .B (n_3388), .C (n_2304), .D (n_4256), .Y
+ (n_6800));
+ INVX1 g36851(.A (n_12530), .Y (n_5725));
+ INVX1 g36853(.A (n_6254), .Y (n_5724));
+ INVX1 g36865(.A (n_6157), .Y (n_5723));
+ INVX1 g36868(.A (n_6237), .Y (n_5722));
+ INVX1 g36872(.A (n_6244), .Y (n_5721));
+ INVX1 g36882(.A (n_5719), .Y (n_5720));
+ INVX1 g36890(.A (n_6142), .Y (n_5717));
+ INVX1 g36894(.A (n_6239), .Y (n_5716));
+ INVX1 g36899(.A (n_6264), .Y (n_5715));
+ INVX2 g36903(.A (n_5713), .Y (n_5714));
+ INVX1 g36910(.A (n_6241), .Y (n_5711));
+ INVX1 g36915(.A (n_5709), .Y (n_5710));
+ INVX1 g36924(.A (n_5707), .Y (n_5708));
+ INVX1 g36955(.A (n_6161), .Y (n_5705));
+ NAND4X1 g36967(.A (n_11670), .B (n_11671), .C (n_1786), .D (n_4250),
+ .Y (n_6805));
+ NAND4X1 g36980(.A (n_3091), .B (n_2925), .C (n_4246), .D (n_1861), .Y
+ (n_6779));
+ NAND4X1 g36984(.A (n_11697), .B (n_11698), .C (n_4164), .D (n_2452),
+ .Y (n_6786));
+ INVX1 g36995(.A (n_12368), .Y (n_5704));
+ NAND4X1 g36998(.A (n_12817), .B (n_12818), .C (n_4157), .D (n_2417),
+ .Y (n_6781));
+ INVX1 g36999(.A (n_6224), .Y (n_5703));
+ INVX1 g37001(.A (n_6226), .Y (n_5702));
+ INVX1 g37008(.A (n_6248), .Y (n_5701));
+ INVX1 g37010(.A (n_6167), .Y (n_5700));
+ NAND4X1 g37013(.A (n_11654), .B (n_11655), .C (n_1808), .D (n_11476),
+ .Y (n_6814));
+ NAND4X1 g37014(.A (n_1347), .B (n_2999), .C (n_4217), .D (n_3452), .Y
+ (n_5699));
+ INVX1 g37018(.A (n_6174), .Y (n_5698));
+ INVX1 g37020(.A (n_6202), .Y (n_5697));
+ INVX1 g37022(.A (n_11855), .Y (n_5696));
+ INVX2 g37025(.A (n_5694), .Y (n_5693));
+ INVX1 g37027(.A (n_6216), .Y (n_5692));
+ INVX1 g37033(.A (n_6211), .Y (n_5691));
+ INVX1 g37035(.A (n_6233), .Y (n_5690));
+ INVX1 g37040(.A (n_6207), .Y (n_5688));
+ NAND4X1 g37044(.A (n_4247), .B (n_2200), .C (n_4196), .D (n_1395), .Y
+ (n_6763));
+ INVX1 g37045(.A (n_6204), .Y (n_5687));
+ INVX1 g37058(.A (n_6150), .Y (n_5686));
+ INVX1 g37062(.A (n_6235), .Y (n_5685));
+ INVX1 g37064(.A (n_6163), .Y (n_5684));
+ INVX1 g37066(.A (n_6194), .Y (n_5683));
+ INVX1 g37070(.A (n_12618), .Y (n_5682));
+ INVX1 g37076(.A (n_12116), .Y (n_5680));
+ INVX1 g37078(.A (n_6172), .Y (n_5679));
+ INVX1 g37080(.A (n_6180), .Y (n_5678));
+ INVX1 g37082(.A (n_6144), .Y (n_5677));
+ INVX1 g37092(.A (n_6176), .Y (n_5676));
+ INVX1 g37096(.A (n_6182), .Y (n_5675));
+ NAND4X1 g37101(.A (n_4226), .B (n_2333), .C (n_4123), .D (n_1376), .Y
+ (n_6769));
+ INVX1 g37104(.A (n_11895), .Y (n_5673));
+ INVX1 g37106(.A (n_6155), .Y (n_5672));
+ INVX1 g37111(.A (n_12481), .Y (n_5671));
+ INVX1 g37113(.A (n_6250), .Y (n_5670));
+ INVX1 g37115(.A (n_6159), .Y (n_5669));
+ INVX1 g37121(.A (n_6184), .Y (n_5668));
+ NAND4X1 g37127(.A (n_11514), .B (n_3142), .C (n_11515), .D (n_2414),
+ .Y (n_6783));
+ INVX1 g37130(.A (n_6260), .Y (n_5667));
+ OAI21X1 g37377(.A0 (\u11_mem[0]_173 ), .A1 (n_6359), .B0 (n_5239), .Y
+ (n_5666));
+ OAI21X1 g37378(.A0 (\u10_mem[0]_169 ), .A1 (n_6341), .B0 (n_5240), .Y
+ (n_5665));
+ OAI21X1 g37390(.A0 (\u11_mem[0]_170 ), .A1 (n_6359), .B0 (n_5242), .Y
+ (n_5664));
+ OAI21X1 g37391(.A0 (\u10_mem[0]_171 ), .A1 (n_6341), .B0 (n_5235), .Y
+ (n_5662));
+ OAI21X1 g37392(.A0 (\u11_mem[0]_176 ), .A1 (n_6359), .B0 (n_5236), .Y
+ (n_5660));
+ OAI21X1 g37393(.A0 (\u11_mem[0]_180 ), .A1 (n_6359), .B0 (n_5244), .Y
+ (n_5659));
+ OAI21X1 g37394(.A0 (\u11_mem[0]_178 ), .A1 (n_5656), .B0 (n_5233), .Y
+ (n_5658));
+ OAI21X1 g37395(.A0 (\u11_mem[0]_169 ), .A1 (n_5656), .B0 (n_5245), .Y
+ (n_5657));
+ OAI21X1 g37396(.A0 (\u11_mem[0]_171 ), .A1 (n_6359), .B0 (n_5246), .Y
+ (n_5655));
+ OAI21X1 g37397(.A0 (\u11_mem[0]_172 ), .A1 (n_6359), .B0 (n_5241), .Y
+ (n_5653));
+ OAI21X1 g37398(.A0 (\u11_mem[0]_174 ), .A1 (n_6359), .B0 (n_5238), .Y
+ (n_5651));
+ OAI21X1 g37400(.A0 (\u11_mem[0]_175 ), .A1 (n_6359), .B0 (n_5237), .Y
+ (n_5650));
+ OAI21X1 g37401(.A0 (\u10_mem[0]_172 ), .A1 (n_6341), .B0 (n_5232), .Y
+ (n_5649));
+ OAI21X1 g37402(.A0 (\u11_mem[0]_179 ), .A1 (n_6359), .B0 (n_5243), .Y
+ (n_5648));
+ OAI21X1 g37403(.A0 (\u10_mem[0]_173 ), .A1 (n_5645), .B0 (n_5231), .Y
+ (n_5647));
+ OAI21X1 g37404(.A0 (\u10_mem[0]_174 ), .A1 (n_5645), .B0 (n_5230), .Y
+ (n_5646));
+ OAI21X1 g37408(.A0 (\u11_mem[0]_177 ), .A1 (n_6359), .B0 (n_5234), .Y
+ (n_5644));
+ OAI21X1 g37409(.A0 (\u10_mem[0]_179 ), .A1 (n_6341), .B0 (n_5227), .Y
+ (n_5643));
+ OAI21X1 g37410(.A0 (\u10_mem[0]_180 ), .A1 (n_6341), .B0 (n_5228), .Y
+ (n_5641));
+ OAI21X1 g37411(.A0 (\u10_mem[0]_178 ), .A1 (n_6341), .B0 (n_5229), .Y
+ (n_5639));
+ XOR2X1 g35717(.A (n_5442), .B (n_4072), .Y (n_5638));
+ XOR2X1 g35725(.A (n_1443), .B (n_5636), .Y (n_5637));
+ DFFX1 u12_i4_re_reg(.CK (clk_i), .D (n_4838), .Q (), .QN (i4_re));
+ DFFX1 u2_ld_reg(.CK (bit_clk_pad_i), .D (n_4833), .Q (), .QN
+ (n_6734));
+ XOR2X1 g34903(.A (n_1212), .B (n_4103), .Y (n_5635));
+ AOI21X1 g34906(.A0 (n_4102), .A1 (n_2513), .B0 (n_2606), .Y (n_5634));
+ MX2X1 g34907(.A (n_4101), .B (\u2_res_cnt[3] ), .S0 (n_5632), .Y
+ (n_5633));
+ AOI22X1 g34917(.A0 (n_5630), .A1 (\u2_to_cnt[3] ), .B0 (n_5629), .B1
+ (n_4100), .Y (n_5631));
+ AND2X1 g35270(.A (n_4846), .B (u2_sync_resume), .Y (n_5628));
+ AND2X1 g35452(.A (n_4843), .B (u2_sync_resume), .Y (n_5627));
+ MX2X1 g35493(.A (\u26_cnt[1] ), .B (n_794), .S0 (n_5624), .Y
+ (n_5626));
+ MX2X1 g35494(.A (\u26_cnt[2] ), .B (n_1821), .S0 (n_5624), .Y
+ (n_5625));
+ XOR2X1 g35495(.A (n_5622), .B (n_4093), .Y (n_5623));
+ XOR2X1 g35496(.A (n_5620), .B (n_4092), .Y (n_5621));
+ XOR2X1 g35501(.A (n_5618), .B (n_4091), .Y (n_5619));
+ XOR2X1 g35502(.A (n_5616), .B (n_4090), .Y (n_5617));
+ XOR2X1 g35504(.A (\u26_cnt[0] ), .B (n_5624), .Y (n_5615));
+ AOI22X1 g35506(.A0 (n_5630), .A1 (n_4088), .B0 (n_5629), .B1
+ (n_4089), .Y (n_5614));
+ DFFSRX1 u9_full_reg(.RN (1'b1), .SN (1'b1), .CK (clk_i), .D (n_4852),
+ .Q (i3_full), .QN ());
+ DFFSRX1 u12_i6_re_reg(.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_4828), .Q (), .QN (i6_re));
+ DFFX1 \u2_out_le_reg[1] (.CK (bit_clk_pad_i), .D (n_4831), .Q (), .QN
+ (out_le_180));
+ DFFSRX1 u12_i3_re_reg(.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_4839), .Q (), .QN (i3_re));
+ NOR2X1 g35830(.A (n_4830), .B (n_2622), .Y (n_5613));
+ NOR2X1 g35836(.A (n_4835), .B (n_2619), .Y (n_5612));
+ DFFX1 \u2_in_valid_reg[1] (.CK (bit_clk_pad_i), .D (n_4840), .Q
+ (in_valid_8), .QN ());
+ DFFX1 \u10_dout_reg[11] (.CK (clk_i), .D (n_4823), .Q (i4_dout_605),
+ .QN ());
+ DFFX1 \u10_dout_reg[0] (.CK (clk_i), .D (n_4824), .Q (i4_dout), .QN
+ ());
+ DFFX1 \u10_dout_reg[10] (.CK (clk_i), .D (n_4807), .Q (i4_dout_604),
+ .QN ());
+ DFFX1 \u10_dout_reg[12] (.CK (clk_i), .D (n_4822), .Q (i4_dout_606),
+ .QN ());
+ DFFX1 \u10_dout_reg[13] (.CK (clk_i), .D (n_4821), .Q (i4_dout_607),
+ .QN ());
+ DFFX1 \u10_dout_reg[25] (.CK (clk_i), .D (n_4818), .Q (i4_dout_619),
+ .QN ());
+ DFFX1 \u10_dout_reg[27] (.CK (clk_i), .D (n_4817), .Q (i4_dout_621),
+ .QN ());
+ DFFX1 \u10_dout_reg[28] (.CK (clk_i), .D (n_4816), .Q (i4_dout_622),
+ .QN ());
+ DFFX1 \u10_dout_reg[29] (.CK (clk_i), .D (n_4815), .Q (i4_dout_623),
+ .QN ());
+ DFFX1 \u10_dout_reg[2] (.CK (clk_i), .D (n_4806), .Q (i4_dout_596),
+ .QN ());
+ DFFX1 \u10_dout_reg[30] (.CK (clk_i), .D (n_4814), .Q (i4_dout_624),
+ .QN ());
+ DFFX1 \u10_dout_reg[3] (.CK (clk_i), .D (n_4813), .Q (i4_dout_597),
+ .QN ());
+ DFFX1 \u10_dout_reg[4] (.CK (clk_i), .D (n_4812), .Q (i4_dout_598),
+ .QN ());
+ DFFX1 \u10_dout_reg[6] (.CK (clk_i), .D (n_4811), .Q (i4_dout_600),
+ .QN ());
+ DFFX1 \u10_dout_reg[7] (.CK (clk_i), .D (n_4810), .Q (i4_dout_601),
+ .QN ());
+ DFFX1 \u10_dout_reg[8] (.CK (clk_i), .D (n_4809), .Q (i4_dout_602),
+ .QN ());
+ DFFX1 \u10_dout_reg[9] (.CK (clk_i), .D (n_4808), .Q (i4_dout_603),
+ .QN ());
+ NAND2X1 g36445(.A (n_3940), .B (n_5466), .Y (n_7010));
+ AOI21X1 g36449(.A0 (n_3965), .A1 (n_3995), .B0 (n_4079), .Y (n_5611));
+ INVX8 g36469(.A (n_11119), .Y (n_6752));
+ NOR2X1 g36503(.A (n_844), .B (i3_status), .Y (n_5597));
+ NOR2X1 g36507(.A (n_829), .B (i4_status), .Y (n_5596));
+ NOR2X1 g36538(.A (i3_status), .B (n_11563), .Y (n_5595));
+ NOR2X1 g36540(.A (i4_status), .B (n_11597), .Y (n_5594));
+ INVX1 g36613(.A (n_5430), .Y (n_5593));
+ AOI21X1 g36628(.A0 (n_5591), .A1 (ic2_cfg_1044), .B0 (n_4681), .Y
+ (n_5592));
+ AND2X1 g36631(.A (n_3968), .B (n_4707), .Y (n_5590));
+ AOI21X1 g36636(.A0 (n_5591), .A1 (n_5588), .B0 (n_4725), .Y (n_5589));
+ AOI21X1 g36637(.A0 (n_5591), .A1 (ic2_cfg_1049), .B0 (n_4723), .Y
+ (n_5587));
+ AOI21X1 g36638(.A0 (n_5591), .A1 (ic2_cfg_1050), .B0 (n_4720), .Y
+ (n_5586));
+ AND2X1 g36642(.A (n_3973), .B (n_4733), .Y (n_5585));
+ AND2X1 g36669(.A (n_3967), .B (n_4685), .Y (n_5584));
+ AOI21X1 g36714(.A0 (n_5582), .A1 (n_6649), .B0 (n_4803), .Y (n_5583));
+ AOI21X1 g36717(.A0 (n_5580), .A1 (n_6649), .B0 (n_4804), .Y (n_5581));
+ AOI21X1 g36727(.A0 (n_5577), .A1 (n_6594), .B0 (n_4820), .Y (n_5578));
+ AOI21X1 g36728(.A0 (n_5575), .A1 (n_6594), .B0 (n_4819), .Y (n_5576));
+ NAND4X1 g36817(.A (n_12829), .B (n_12830), .C (n_2849), .D (n_3534),
+ .Y (n_6252));
+ INVX1 g36818(.A (n_5798), .Y (n_5572));
+ NAND4X1 g36822(.A (n_11662), .B (n_11663), .C (n_2644), .D (n_3533),
+ .Y (n_6246));
+ INVX1 g36823(.A (n_5859), .Y (n_5571));
+ NAND4X1 g36827(.A (n_11656), .B (n_11657), .C (n_3248), .D (n_3547),
+ .Y (n_6266));
+ NOR2X1 g36828(.A (n_5736), .B (n_5371), .Y (n_5570));
+ NOR2X1 g36829(.A (n_5733), .B (n_5371), .Y (n_5569));
+ NAND4X1 g36838(.A (n_4113), .B (n_2489), .C (n_3112), .D (n_1524), .Y
+ (n_6209));
+ NAND4X1 g36854(.A (n_11666), .B (n_11667), .C (n_3376), .D (n_2634),
+ .Y (n_6254));
+ NAND4X1 g36866(.A (n_12004), .B (n_12005), .C (n_3336), .D (n_2450),
+ .Y (n_6157));
+ NAND4X1 g36869(.A (n_11646), .B (n_11647), .C (n_3166), .D (n_3532),
+ .Y (n_6237));
+ NAND4X1 g36873(.A (n_12038), .B (n_11503), .C (n_12039), .D (n_2453),
+ .Y (n_6244));
+ INVX1 g36874(.A (n_5895), .Y (n_5567));
+ INVX1 g36880(.A (n_5867), .Y (n_5566));
+ NAND4X1 g36884(.A (n_12807), .B (n_12808), .C (n_3268), .D (n_1327),
+ .Y (n_5719));
+ INVX1 g36885(.A (n_5857), .Y (n_5565));
+ INVX1 g36887(.A (n_5886), .Y (n_5564));
+ NAND4X1 g36891(.A (n_2322), .B (n_3258), .C (n_3256), .D (n_1548), .Y
+ (n_6142));
+ NAND4X1 g36895(.A (n_2337), .B (n_3254), .C (n_3194), .D (n_1326), .Y
+ (n_6239));
+ INVX1 g36896(.A (n_5884), .Y (n_5563));
+ NAND4X1 g36900(.A (n_4118), .B (n_2321), .C (n_3029), .D (n_1362), .Y
+ (n_6264));
+ NAND4X1 g36905(.A (n_12805), .B (n_12806), .C (n_3229), .D (n_1541),
+ .Y (n_5713));
+ INVX2 g36906(.A (n_5561), .Y (n_5562));
+ NAND4X1 g36911(.A (n_11966), .B (n_3218), .C (n_11967), .D (n_2406),
+ .Y (n_6241));
+ NAND4X1 g36913(.A (n_3542), .B (n_3212), .C (n_2857), .D (n_2231), .Y
+ (n_5559));
+ NAND4X1 g36916(.A (n_2243), .B (n_3206), .C (n_3205), .D (n_2439), .Y
+ (n_5709));
+ INVX1 g36917(.A (n_5863), .Y (n_5558));
+ NAND4X1 g36926(.A (n_12809), .B (n_12810), .C (n_2560), .D (n_1337),
+ .Y (n_5707));
+ NAND4X1 g36928(.A (n_3526), .B (n_3186), .C (n_3288), .D (n_1791), .Y
+ (n_5557));
+ NAND4X1 g36956(.A (n_2927), .B (n_2924), .C (n_3143), .D (n_2402), .Y
+ (n_6161));
+ INVX1 g36964(.A (n_5844), .Y (n_5556));
+ INVX1 g36968(.A (n_5835), .Y (n_5555));
+ INVX1 g36971(.A (n_5855), .Y (n_5554));
+ INVX1 g36975(.A (n_5833), .Y (n_5553));
+ NAND4X1 g36978(.A (n_3161), .B (n_2247), .C (n_3514), .D (n_1521), .Y
+ (n_6147));
+ INVX1 g36981(.A (n_5853), .Y (n_5552));
+ INVX1 g36987(.A (n_5851), .Y (n_5551));
+ INVX1 g36989(.A (n_12626), .Y (n_5550));
+ INVX1 g36992(.A (n_5847), .Y (n_5549));
+ NAND4X1 g37000(.A (n_12819), .B (n_1415), .C (n_12820), .D (n_2416),
+ .Y (n_6224));
+ NAND4X1 g37002(.A (n_12821), .B (n_2855), .C (n_12822), .D (n_2376),
+ .Y (n_6226));
+ INVX1 g37003(.A (n_5841), .Y (n_5548));
+ INVX1 g37005(.A (n_5837), .Y (n_5547));
+ NAND4X1 g37009(.A (n_11660), .B (n_11661), .C (n_2355), .D (n_3529),
+ .Y (n_6248));
+ NAND4X1 g37011(.A (n_3014), .B (n_3287), .C (n_2878), .D (n_1532), .Y
+ (n_6167));
+ INVX1 g37016(.A (n_5823), .Y (n_5546));
+ NAND4X1 g37019(.A (n_3181), .B (n_2859), .C (n_2996), .D (n_1348), .Y
+ (n_6174));
+ NAND4X1 g37021(.A (n_4199), .B (n_3378), .C (n_2971), .D (n_1354), .Y
+ (n_6202));
+ NAND4X1 g37026(.A (n_4190), .B (n_3171), .C (n_3848), .D (n_1529), .Y
+ (n_5694));
+ NAND4X1 g37028(.A (n_4131), .B (n_2281), .C (n_3188), .D (n_1545), .Y
+ (n_6216));
+ INVX1 g37030(.A (n_5544), .Y (n_5545));
+ NAND4X1 g37034(.A (n_4127), .B (n_2294), .C (n_3120), .D (n_1530), .Y
+ (n_6211));
+ NAND4X1 g37036(.A (n_4173), .B (n_2959), .C (n_3093), .D (n_1537), .Y
+ (n_6233));
+ NAND4X1 g37037(.A (n_3489), .B (n_3502), .C (n_2898), .D (n_2405), .Y
+ (n_6186));
+ NAND4X1 g37041(.A (n_4125), .B (n_2331), .C (n_2956), .D (n_1533), .Y
+ (n_6207));
+ NAND4X1 g37046(.A (n_4210), .B (n_1499), .C (n_3277), .D (n_1275), .Y
+ (n_6204));
+ NAND4X1 g37047(.A (n_4204), .B (n_2289), .C (n_2945), .D (n_1531), .Y
+ (n_6228));
+ INVX1 g37049(.A (n_5541), .Y (n_5542));
+ NAND4X1 g37054(.A (n_1516), .B (n_3523), .C (n_3483), .D (n_3553), .Y
+ (n_5539));
+ NAND4X1 g37055(.A (n_3540), .B (n_3210), .C (n_3043), .D (n_1624), .Y
+ (n_5538));
+ NAND4X1 g37059(.A (n_12831), .B (n_12832), .C (n_2893), .D (n_1514),
+ .Y (n_6150));
+ NAND4X1 g37063(.A (n_11719), .B (n_11720), .C (n_3208), .D (n_1513),
+ .Y (n_6235));
+ NAND4X1 g37065(.A (n_3278), .B (n_3000), .C (n_3289), .D (n_1323), .Y
+ (n_6163));
+ NAND4X1 g37067(.A (n_11721), .B (n_11722), .C (n_3341), .D (n_2397),
+ .Y (n_6194));
+ INVX1 g37068(.A (n_5809), .Y (n_5537));
+ NAND4X1 g37079(.A (n_11729), .B (n_11730), .C (n_2872), .D (n_1518),
+ .Y (n_6172));
+ NAND4X1 g37081(.A (n_12827), .B (n_12828), .C (n_2246), .D (n_3539),
+ .Y (n_6180));
+ NAND4X1 g37083(.A (n_3375), .B (n_3199), .C (n_3119), .D (n_1508), .Y
+ (n_6144));
+ INVX1 g37084(.A (n_5803), .Y (n_5536));
+ NAND4X1 g37086(.A (n_2445), .B (n_3398), .C (n_3404), .D (n_2244), .Y
+ (n_5535));
+ INVX1 g37087(.A (n_5898), .Y (n_5534));
+ INVX1 g37089(.A (n_5813), .Y (n_5533));
+ NAND4X1 g37091(.A (n_3469), .B (n_2894), .C (n_3227), .D (n_2392), .Y
+ (n_6165));
+ NAND4X1 g37093(.A (n_3183), .B (n_1487), .C (n_3126), .D (n_1512), .Y
+ (n_6176));
+ INVX1 g37094(.A (n_5811), .Y (n_5532));
+ NAND4X1 g37097(.A (n_11453), .B (n_11454), .C (n_3374), .D (n_2391),
+ .Y (n_6182));
+ INVX1 g37102(.A (n_5815), .Y (n_5531));
+ NAND4X1 g37107(.A (n_12036), .B (n_1493), .C (n_12037), .D (n_2399),
+ .Y (n_6155));
+ INVX1 g37108(.A (n_5807), .Y (n_5530));
+ NAND4X1 g37110(.A (n_2352), .B (n_3890), .C (n_2850), .D (n_1883), .Y
+ (n_6153));
+ NAND4X1 g37114(.A (n_11741), .B (n_11499), .C (n_11742), .D (n_2435),
+ .Y (n_6250));
+ NAND4X1 g37116(.A (n_12843), .B (n_11501), .C (n_12844), .D (n_2384),
+ .Y (n_6159));
+ INVX1 g37117(.A (n_5800), .Y (n_5529));
+ INVX1 g37119(.A (n_5893), .Y (n_5528));
+ NAND4X1 g37122(.A (n_3135), .B (n_2917), .C (n_3405), .D (n_1378), .Y
+ (n_6184));
+ NAND4X1 g37131(.A (n_11449), .B (n_11450), .C (n_2846), .D (n_2370),
+ .Y (n_6260));
+ NAND2X1 g37137(.A (n_4854), .B (n_1411), .Y (n_7049));
+ NAND2X1 g37140(.A (n_4621), .B (n_3351), .Y (n_6920));
+ NAND2X1 g37141(.A (n_4619), .B (n_3373), .Y (n_6916));
+ NAND2X1 g37143(.A (n_4625), .B (n_2259), .Y (n_6952));
+ NAND2X1 g37144(.A (n_4626), .B (n_2354), .Y (n_6883));
+ NAND2X1 g37150(.A (n_4613), .B (n_2255), .Y (n_6906));
+ NAND2X1 g37151(.A (n_4618), .B (n_3195), .Y (n_6891));
+ NAND2X1 g37155(.A (n_4615), .B (n_3347), .Y (n_6899));
+ NAND2X1 g37160(.A (n_4614), .B (n_3515), .Y (n_6927));
+ NAND2X1 g37162(.A (n_4584), .B (n_2940), .Y (n_6913));
+ NAND2X1 g37193(.A (n_4605), .B (n_3291), .Y (n_6925));
+ NAND2X1 g37194(.A (n_4617), .B (n_3416), .Y (n_6896));
+ AOI21X1 g37209(.A0 (n_5526), .A1 (n_6649), .B0 (n_4675), .Y (n_5527));
+ AOI21X1 g37210(.A0 (n_5524), .A1 (n_6649), .B0 (n_4636), .Y (n_5525));
+ AOI21X1 g37216(.A0 (n_5522), .A1 (n_6649), .B0 (n_4635), .Y (n_5523));
+ AOI21X1 g37242(.A0 (n_5520), .A1 (n_6594), .B0 (n_4666), .Y (n_5521));
+ AOI21X1 g37245(.A0 (n_5518), .A1 (n_6594), .B0 (n_4664), .Y (n_5519));
+ AOI21X1 g37246(.A0 (n_5516), .A1 (n_6594), .B0 (n_4663), .Y (n_5517));
+ AOI21X1 g37247(.A0 (n_5514), .A1 (n_6594), .B0 (n_4661), .Y (n_5515));
+ AOI21X1 g37248(.A0 (n_5512), .A1 (n_6594), .B0 (n_4660), .Y (n_5513));
+ AOI21X1 g37253(.A0 (n_5510), .A1 (n_6594), .B0 (n_4658), .Y (n_5511));
+ AOI21X1 g37254(.A0 (n_5508), .A1 (n_6649), .B0 (n_4643), .Y (n_5509));
+ AOI21X1 g37255(.A0 (n_5506), .A1 (n_6594), .B0 (n_4656), .Y (n_5507));
+ AOI21X1 g37256(.A0 (n_5504), .A1 (n_6594), .B0 (n_4655), .Y (n_5505));
+ AOI21X1 g37258(.A0 (n_5502), .A1 (n_6649), .B0 (n_4640), .Y (n_5503));
+ AOI21X1 g37259(.A0 (n_5500), .A1 (n_6649), .B0 (n_4652), .Y (n_5501));
+ AOI21X1 g37261(.A0 (n_5498), .A1 (n_6649), .B0 (n_4650), .Y (n_5499));
+ AOI21X1 g37262(.A0 (n_5496), .A1 (n_6649), .B0 (n_4637), .Y (n_5497));
+ AOI21X1 g37264(.A0 (n_5494), .A1 (n_6649), .B0 (n_4638), .Y (n_5495));
+ AOI21X1 g37265(.A0 (n_5492), .A1 (n_6649), .B0 (n_4649), .Y (n_5493));
+ AOI21X1 g37266(.A0 (n_5490), .A1 (n_6649), .B0 (n_4651), .Y (n_5491));
+ AOI21X1 g37275(.A0 (n_5488), .A1 (n_6649), .B0 (n_4654), .Y (n_5489));
+ OAI21X1 g37379(.A0 (\u9_mem[0]_180 ), .A1 (n_6856), .B0 (n_4647), .Y
+ (n_5487));
+ OAI21X1 g37380(.A0 (\u9_mem[0]_169 ), .A1 (n_6856), .B0 (n_4646), .Y
+ (n_5486));
+ OAI21X1 g37381(.A0 (\u9_mem[0]_170 ), .A1 (n_6856), .B0 (n_4673), .Y
+ (n_5484));
+ OAI21X1 g37382(.A0 (\u9_mem[0]_172 ), .A1 (n_6856), .B0 (n_4672), .Y
+ (n_5483));
+ OAI21X1 g37383(.A0 (\u9_mem[0]_173 ), .A1 (n_5480), .B0 (n_4677), .Y
+ (n_5482));
+ OAI21X1 g37384(.A0 (\u9_mem[0]_174 ), .A1 (n_5480), .B0 (n_4659), .Y
+ (n_5481));
+ OAI21X1 g37385(.A0 (\u9_mem[0]_175 ), .A1 (n_6856), .B0 (n_4671), .Y
+ (n_5479));
+ OAI21X1 g37386(.A0 (\u9_mem[0]_176 ), .A1 (n_6856), .B0 (n_4667), .Y
+ (n_5477));
+ OAI21X1 g37387(.A0 (\u9_mem[0]_177 ), .A1 (n_6856), .B0 (n_4669), .Y
+ (n_5475));
+ OAI21X1 g37388(.A0 (\u9_mem[0]_178 ), .A1 (n_6856), .B0 (n_4668), .Y
+ (n_5474));
+ OAI21X1 g37389(.A0 (\u9_mem[0]_179 ), .A1 (n_6856), .B0 (n_4670), .Y
+ (n_5473));
+ OAI21X1 g37399(.A0 (\u10_mem[0]_170 ), .A1 (n_6341), .B0 (n_4642), .Y
+ (n_5472));
+ OAI21X1 g37405(.A0 (\u10_mem[0]_175 ), .A1 (n_6341), .B0 (n_4641), .Y
+ (n_5471));
+ OAI21X1 g37406(.A0 (\u10_mem[0]_176 ), .A1 (n_6341), .B0 (n_4648), .Y
+ (n_5470));
+ OAI21X1 g37407(.A0 (\u10_mem[0]_177 ), .A1 (n_6341), .B0 (n_4653), .Y
+ (n_5469));
+ OAI21X1 g37412(.A0 (\u9_mem[0]_171 ), .A1 (n_6856), .B0 (n_4639), .Y
+ (n_5468));
+ OAI21X1 g37530(.A0 (n_1894), .A1 (\u4_rp[3] ), .B0 (n_5466), .Y
+ (n_5467));
+ NAND2X1 g37916(.A (n_4200), .B (n_3225), .Y (n_5463));
+ NAND2X1 g37920(.A (n_1547), .B (n_4126), .Y (n_5461));
+ NAND2X1 g37922(.A (n_4214), .B (n_3389), .Y (n_5460));
+ NAND2X1 g37924(.A (n_1535), .B (n_4142), .Y (n_5459));
+ NAND2X1 g37925(.A (n_4223), .B (n_2952), .Y (n_5458));
+ NAND2X1 g37927(.A (n_4124), .B (n_2479), .Y (n_5457));
+ NAND2X1 g37928(.A (n_4203), .B (n_3253), .Y (n_5456));
+ NAND2X1 g37930(.A (n_1522), .B (n_4116), .Y (n_5455));
+ NAND2X1 g37931(.A (n_4177), .B (n_3516), .Y (n_5454));
+ NAND2X1 g37936(.A (n_4222), .B (n_3044), .Y (n_5453));
+ NAND2X1 g37943(.A (n_1525), .B (n_4128), .Y (n_5452));
+ NAND2X1 g37980(.A (n_1386), .B (n_4148), .Y (n_5451));
+ OAI21X1 g35680(.A0 (n_5632), .A1 (\u2_res_cnt[0] ), .B0 (n_4094), .Y
+ (n_5450));
+ XOR2X1 g35718(.A (n_4851), .B (n_2611), .Y (n_5449));
+ DFFSRX1 u10_full_reg(.RN (1'b1), .SN (1'b1), .CK (clk_i), .D
+ (n_4105), .Q (i4_full), .QN ());
+ DFFSRX1 \u2_out_le_reg[2] (.RN (1'b1), .SN (1'b1), .CK
+ (bit_clk_pad_i), .D (n_4077), .Q (), .QN (out_le_181));
+ XOR2X1 g35381(.A (\u2_cnt[4] ), .B (n_2632), .Y (n_5448));
+ AOI21X1 g35436(.A0 (n_1560), .A1 (n_2302), .B0 (n_3941), .Y (n_5447));
+ AOI22X1 g35505(.A0 (n_5630), .A1 (n_1819), .B0 (n_5629), .B1
+ (n_1820), .Y (n_5445));
+ DFFSRX1 \u2_out_le_reg[4] (.RN (1'b1), .SN (1'b1), .CK
+ (bit_clk_pad_i), .D (n_4096), .Q (), .QN (out_le_183));
+ DFFSRX1 \u2_out_le_reg[5] (.RN (1'b1), .SN (1'b1), .CK
+ (bit_clk_pad_i), .D (n_4095), .Q (), .QN (out_le_184));
+ DFFSRX1 \u2_out_le_reg[3] (.RN (1'b1), .SN (1'b1), .CK
+ (bit_clk_pad_i), .D (n_4107), .Q (), .QN (out_le_182));
+ NAND3X1 g35834(.A (n_4084), .B (n_731), .C (n_577), .Y (n_5444));
+ NOR2X1 g35854(.A (n_4087), .B (n_5442), .Y (n_5443));
+ NAND2X1 g35843(.A (n_3996), .B (n_4832), .Y (n_5441));
+ DFFX1 \u2_out_le_reg[0] (.CK (bit_clk_pad_i), .D (n_3984), .Q
+ (out_le), .QN ());
+ DFFX1 \u9_dout_reg[11] (.CK (clk_i), .D (n_4065), .Q (i3_dout_574),
+ .QN ());
+ DFFX1 \u9_dout_reg[14] (.CK (clk_i), .D (n_4062), .Q (i3_dout_577),
+ .QN ());
+ DFFX1 \u9_dout_reg[18] (.CK (clk_i), .D (n_4058), .Q (i3_dout_581),
+ .QN ());
+ DFFX1 \u9_dout_reg[19] (.CK (clk_i), .D (n_4057), .Q (i3_dout_582),
+ .QN ());
+ DFFX1 \u9_dout_reg[20] (.CK (clk_i), .D (n_4055), .Q (i3_dout_583),
+ .QN ());
+ DFFX1 \u9_dout_reg[21] (.CK (clk_i), .D (n_4054), .Q (i3_dout_584),
+ .QN ());
+ DFFX1 \u9_dout_reg[22] (.CK (clk_i), .D (n_4053), .Q (i3_dout_585),
+ .QN ());
+ DFFX1 \u9_dout_reg[23] (.CK (clk_i), .D (n_4052), .Q (i3_dout_586),
+ .QN ());
+ DFFX1 \u9_dout_reg[24] (.CK (clk_i), .D (n_4051), .Q (i3_dout_587),
+ .QN ());
+ DFFX1 \u9_dout_reg[25] (.CK (clk_i), .D (n_4039), .Q (i3_dout_588),
+ .QN ());
+ DFFX1 \u9_dout_reg[16] (.CK (clk_i), .D (n_4060), .Q (i3_dout_579),
+ .QN ());
+ DFFX1 \u10_dout_reg[16] (.CK (clk_i), .D (n_4342), .Q (i4_dout_610),
+ .QN ());
+ DFFX1 \u9_dout_reg[26] (.CK (clk_i), .D (n_4050), .Q (i3_dout_589),
+ .QN ());
+ DFFX1 \u9_dout_reg[27] (.CK (clk_i), .D (n_4049), .Q (i3_dout_590),
+ .QN ());
+ DFFX1 \u9_dout_reg[28] (.CK (clk_i), .D (n_4048), .Q (i3_dout_591),
+ .QN ());
+ DFFX1 \u9_dout_reg[29] (.CK (clk_i), .D (n_4047), .Q (i3_dout_592),
+ .QN ());
+ DFFX1 \u9_dout_reg[2] (.CK (clk_i), .D (n_4046), .Q (i3_dout_565),
+ .QN ());
+ DFFX1 \u9_dout_reg[30] (.CK (clk_i), .D (n_4045), .Q (i3_dout_593),
+ .QN ());
+ DFFX1 \u9_dout_reg[31] (.CK (clk_i), .D (n_4044), .Q (i3_dout_594),
+ .QN ());
+ DFFX1 \u9_dout_reg[3] (.CK (clk_i), .D (n_4043), .Q (i3_dout_566),
+ .QN ());
+ DFFX1 \u1_sr_reg[3] (.CK (bit_clk_pad_i), .D (u1_sr_118), .Q
+ (u1_sr_119), .QN ());
+ DFFX1 \u9_dout_reg[4] (.CK (clk_i), .D (n_4033), .Q (i3_dout_567),
+ .QN ());
+ DFFX1 \u9_dout_reg[5] (.CK (clk_i), .D (n_4042), .Q (i3_dout_568),
+ .QN ());
+ DFFX1 \u9_dout_reg[6] (.CK (clk_i), .D (n_4041), .Q (i3_dout_569),
+ .QN ());
+ DFFX1 \u9_dout_reg[7] (.CK (clk_i), .D (n_4040), .Q (i3_dout_570),
+ .QN ());
+ DFFX1 \u9_dout_reg[8] (.CK (clk_i), .D (n_3999), .Q (i3_dout_571),
+ .QN ());
+ DFFX1 \u9_dout_reg[9] (.CK (clk_i), .D (n_3997), .Q (i3_dout_572),
+ .QN ());
+ DFFX1 \u10_dout_reg[24] (.CK (clk_i), .D (n_4037), .Q (i4_dout_618),
+ .QN ());
+ DFFX1 \u10_dout_reg[26] (.CK (clk_i), .D (n_4034), .Q (i4_dout_620),
+ .QN ());
+ DFFX1 \u9_dout_reg[13] (.CK (clk_i), .D (n_4063), .Q (i3_dout_576),
+ .QN ());
+ DFFX1 \u11_dout_reg[0] (.CK (clk_i), .D (n_4032), .Q (i6_dout), .QN
+ ());
+ DFFX1 \u11_dout_reg[10] (.CK (clk_i), .D (n_4031), .Q (i6_dout_635),
+ .QN ());
+ DFFX1 \u11_dout_reg[11] (.CK (clk_i), .D (n_4030), .Q (i6_dout_636),
+ .QN ());
+ DFFX1 \u11_dout_reg[12] (.CK (clk_i), .D (n_4029), .Q (i6_dout_637),
+ .QN ());
+ DFFX1 \u11_dout_reg[13] (.CK (clk_i), .D (n_4028), .Q (i6_dout_638),
+ .QN ());
+ DFFX1 \u10_dout_reg[31] (.CK (clk_i), .D (n_3998), .Q (i4_dout_625),
+ .QN ());
+ DFFX1 \u11_dout_reg[14] (.CK (clk_i), .D (n_4027), .Q (i6_dout_639),
+ .QN ());
+ DFFX1 \u11_dout_reg[15] (.CK (clk_i), .D (n_4026), .Q (i6_dout_640),
+ .QN ());
+ DFFX1 \u11_dout_reg[16] (.CK (clk_i), .D (n_4025), .Q (i6_dout_641),
+ .QN ());
+ DFFX1 \u11_dout_reg[17] (.CK (clk_i), .D (n_4024), .Q (i6_dout_642),
+ .QN ());
+ DFFX1 \u11_dout_reg[18] (.CK (clk_i), .D (n_4023), .Q (i6_dout_643),
+ .QN ());
+ DFFX1 \u11_dout_reg[19] (.CK (clk_i), .D (n_4021), .Q (i6_dout_644),
+ .QN ());
+ DFFX1 \u10_dout_reg[5] (.CK (clk_i), .D (n_4022), .Q (i4_dout_599),
+ .QN ());
+ DFFX1 \u11_dout_reg[1] (.CK (clk_i), .D (n_4020), .Q (i6_dout_626),
+ .QN ());
+ DFFX1 \u11_dout_reg[20] (.CK (clk_i), .D (n_4019), .Q (i6_dout_645),
+ .QN ());
+ DFFX1 \u11_dout_reg[21] (.CK (clk_i), .D (n_4018), .Q (i6_dout_646),
+ .QN ());
+ DFFX1 \u11_dout_reg[22] (.CK (clk_i), .D (n_4017), .Q (i6_dout_647),
+ .QN ());
+ DFFX1 \u11_dout_reg[23] (.CK (clk_i), .D (n_4016), .Q (i6_dout_648),
+ .QN ());
+ DFFX1 \u11_dout_reg[24] (.CK (clk_i), .D (n_4015), .Q (i6_dout_649),
+ .QN ());
+ DFFX1 \u11_dout_reg[25] (.CK (clk_i), .D (n_4014), .Q (i6_dout_650),
+ .QN ());
+ DFFX1 \u11_dout_reg[26] (.CK (clk_i), .D (n_4013), .Q (i6_dout_651),
+ .QN ());
+ DFFX1 \u11_dout_reg[27] (.CK (clk_i), .D (n_4012), .Q (i6_dout_652),
+ .QN ());
+ DFFX1 \u11_dout_reg[28] (.CK (clk_i), .D (n_4011), .Q (i6_dout_653),
+ .QN ());
+ DFFX1 \u11_dout_reg[29] (.CK (clk_i), .D (n_4010), .Q (i6_dout_654),
+ .QN ());
+ DFFX1 \u11_dout_reg[2] (.CK (clk_i), .D (n_4009), .Q (i6_dout_627),
+ .QN ());
+ DFFX1 \u11_dout_reg[30] (.CK (clk_i), .D (n_4008), .Q (i6_dout_655),
+ .QN ());
+ DFFX1 \u11_dout_reg[31] (.CK (clk_i), .D (n_4007), .Q (i6_dout_656),
+ .QN ());
+ DFFX1 \u11_dout_reg[3] (.CK (clk_i), .D (n_4006), .Q (i6_dout_628),
+ .QN ());
+ DFFX1 \u11_dout_reg[4] (.CK (clk_i), .D (n_4005), .Q (i6_dout_629),
+ .QN ());
+ DFFX1 \u11_dout_reg[5] (.CK (clk_i), .D (n_4004), .Q (i6_dout_630),
+ .QN ());
+ DFFX1 \u11_dout_reg[6] (.CK (clk_i), .D (n_4038), .Q (i6_dout_631),
+ .QN ());
+ DFFX1 \u11_dout_reg[7] (.CK (clk_i), .D (n_4003), .Q (i6_dout_632),
+ .QN ());
+ DFFX1 \u11_dout_reg[8] (.CK (clk_i), .D (n_4002), .Q (i6_dout_633),
+ .QN ());
+ DFFX1 \u11_dout_reg[9] (.CK (clk_i), .D (n_4001), .Q (i6_dout_634),
+ .QN ());
+ DFFX1 \u9_dout_reg[15] (.CK (clk_i), .D (n_4061), .Q (i3_dout_578),
+ .QN ());
+ DFFX1 \u9_dout_reg[17] (.CK (clk_i), .D (n_4059), .Q (i3_dout_580),
+ .QN ());
+ DFFX1 \u9_dout_reg[0] (.CK (clk_i), .D (n_4067), .Q (i3_dout), .QN
+ ());
+ DFFX1 \u9_dout_reg[12] (.CK (clk_i), .D (n_4064), .Q (i3_dout_575),
+ .QN ());
+ DFFX1 \u9_dout_reg[10] (.CK (clk_i), .D (n_4066), .Q (i3_dout_573),
+ .QN ());
+ DFFX1 \u9_dout_reg[1] (.CK (clk_i), .D (n_4056), .Q (i3_dout_564),
+ .QN ());
+ INVX2 g36475(.A (n_5440), .Y (n_11119));
+ NAND2X1 g36489(.A (n_3939), .B (n_4644), .Y (n_6756));
+ NOR2X1 g36509(.A (n_807), .B (i6_status), .Y (n_5439));
+ NOR2X1 g36525(.A (o6_status), .B (n_2485), .Y (n_5438));
+ NOR2X1 g36536(.A (o9_status), .B (n_12585), .Y (n_5436));
+ NOR2X1 g36542(.A (i6_status), .B (n_11762), .Y (n_5434));
+ AND2X1 g36560(.A (n_4000), .B (n_1212), .Y (n_5432));
+ XOR2X1 g35719(.A (n_2612), .B (n_4104), .Y (n_5431));
+ AOI21X1 g36614(.A0 (n_2572), .A1 (\u2_cnt[6] ), .B0 (n_1212), .Y
+ (n_5430));
+ MX2X1 g36713(.A (\u11_mem[0]_167 ), .B (n_5413), .S0 (n_6359), .Y
+ (n_5428));
+ MX2X1 g36715(.A (\u10_mem[2]_105 ), .B (n_5418), .S0 (n_5424), .Y
+ (n_5426));
+ MX2X1 g36716(.A (\u10_mem[2]_106 ), .B (n_5422), .S0 (n_5424), .Y
+ (n_5425));
+ MX2X1 g36721(.A (\u10_mem[1]_137 ), .B (n_5422), .S0 (n_5407), .Y
+ (n_5423));
+ OAI21X1 g36729(.A0 (n_2302), .A1 (n_5420), .B0 (n_4036), .Y (n_5421));
+ MX2X1 g36731(.A (\u10_mem[0]_167 ), .B (n_5418), .S0 (n_6341), .Y
+ (n_5419));
+ MX2X1 g36732(.A (\u11_mem[0]_168 ), .B (n_5410), .S0 (n_6359), .Y
+ (n_5416));
+ MX2X1 g36733(.A (\u10_mem[0]_168 ), .B (n_5422), .S0 (n_6341), .Y
+ (n_5415));
+ MX2X1 g36735(.A (\u11_mem[1]_136 ), .B (n_5413), .S0 (n_5405), .Y
+ (n_5414));
+ MX2X1 g36737(.A (\u11_mem[2]_105 ), .B (n_5413), .S0 (n_5409), .Y
+ (n_5412));
+ MX2X1 g36738(.A (\u11_mem[2]_106 ), .B (n_5410), .S0 (n_5409), .Y
+ (n_5411));
+ MX2X1 g36740(.A (\u10_mem[1]_136 ), .B (n_5418), .S0 (n_5407), .Y
+ (n_5408));
+ MX2X1 g36742(.A (\u11_mem[1]_137 ), .B (n_5410), .S0 (n_5405), .Y
+ (n_5406));
+ AOI22X1 g36749(.A0 (n_5630), .A1 (\u2_to_cnt[1] ), .B0 (n_5629), .B1
+ (n_795), .Y (n_5404));
+ XOR2X1 g36750(.A (\u2_to_cnt[0] ), .B (n_5630), .Y (n_5403));
+ INVX1 g36752(.A (suspended_o), .Y (n_6057));
+ NAND4X1 g36819(.A (n_11445), .B (n_11446), .C (n_2363), .D (n_2393),
+ .Y (n_5798));
+ NAND4X1 g36824(.A (n_12799), .B (n_3192), .C (n_12800), .D (n_1338),
+ .Y (n_5859));
+ NAND2X1 g36846(.A (n_1695), .B (n_3929), .Y (n_5393));
+ NAND2X1 g36857(.A (n_4078), .B (n_1249), .Y (n_5392));
+ NAND2X1 g36859(.A (n_1691), .B (n_3927), .Y (n_5391));
+ NAND2X1 g36860(.A (n_3925), .B (n_1248), .Y (n_5390));
+ NAND2X1 g36861(.A (n_1687), .B (n_3923), .Y (n_5389));
+ NAND2X1 g36863(.A (n_3922), .B (n_1247), .Y (n_5388));
+ NAND2X1 g36864(.A (n_3921), .B (n_1245), .Y (n_5387));
+ NAND2X1 g36867(.A (n_2559), .B (n_3919), .Y (n_5386));
+ NAND2X1 g36870(.A (n_3918), .B (n_1243), .Y (n_5385));
+ NAND4X1 g36875(.A (n_11465), .B (n_11466), .C (n_2509), .D (n_1881),
+ .Y (n_5895));
+ NAND4X1 g36881(.A (n_11494), .B (n_3270), .C (n_11495), .D (n_1324),
+ .Y (n_5867));
+ NAND4X1 g36886(.A (n_12034), .B (n_3261), .C (n_12035), .D (n_1544),
+ .Y (n_5857));
+ NAND4X1 g36888(.A (n_12030), .B (n_3260), .C (n_12031), .D (n_1340),
+ .Y (n_5886));
+ NAND4X1 g36897(.A (n_12032), .B (n_3251), .C (n_12033), .D (n_1542),
+ .Y (n_5884));
+ NAND4X1 g36898(.A (n_12853), .B (n_12854), .C (n_12796), .D (n_1325),
+ .Y (n_5882));
+ NAND4X1 g36902(.A (n_12855), .B (n_12856), .C (n_12798), .D (n_1534),
+ .Y (n_5879));
+ NAND4X1 g36908(.A (n_12841), .B (n_12842), .C (n_12041), .D (n_1333),
+ .Y (n_5561));
+ NAND4X1 g36912(.A (n_12857), .B (n_12858), .C (n_11482), .D (n_1336),
+ .Y (n_5874));
+ NAND4X1 g36918(.A (n_11441), .B (n_3202), .C (n_11442), .D (n_2408),
+ .Y (n_5863));
+ NAND4X1 g36920(.A (n_11443), .B (n_1319), .C (n_11444), .D (n_1335),
+ .Y (n_5869));
+ NAND4X1 g36921(.A (n_11439), .B (n_3193), .C (n_11440), .D (n_2438),
+ .Y (n_5865));
+ NOR2X1 g36942(.A (n_7297), .B (n_4676), .Y (n_5384));
+ NOR2X1 g35837(.A (n_4082), .B (n_2618), .Y (n_5383));
+ NAND2X1 g36958(.A (n_2563), .B (n_3930), .Y (n_5382));
+ NAND4X1 g36965(.A (n_11751), .B (n_11752), .C (n_2229), .D (n_2431),
+ .Y (n_5844));
+ NAND4X1 g36969(.A (n_11713), .B (n_11714), .C (n_2482), .D (n_1869),
+ .Y (n_5835));
+ NAND4X1 g36972(.A (n_11717), .B (n_11718), .C (n_2286), .D (n_2428),
+ .Y (n_5855));
+ NAND4X1 g36976(.A (n_11715), .B (n_11716), .C (n_1810), .D (n_2426),
+ .Y (n_5833));
+ NAND4X1 g36982(.A (n_11707), .B (n_11708), .C (n_2282), .D (n_2447),
+ .Y (n_5853));
+ NAND4X1 g36985(.A (n_3078), .B (n_2868), .C (n_2287), .D (n_2433), .Y
+ (n_5805));
+ NAND4X1 g36988(.A (n_11699), .B (n_11700), .C (n_2278), .D (n_2424),
+ .Y (n_5851));
+ NAND4X1 g36993(.A (n_11703), .B (n_11704), .C (n_2264), .D (n_2423),
+ .Y (n_5847));
+ NAND4X1 g36997(.A (n_4158), .B (n_3125), .C (n_2274), .D (n_2407), .Y
+ (n_5829));
+ NAND4X1 g37004(.A (n_11709), .B (n_11710), .C (n_2276), .D (n_2413),
+ .Y (n_5841));
+ NAND4X1 g37006(.A (n_11711), .B (n_11712), .C (n_2272), .D (n_2398),
+ .Y (n_5837));
+ NAND4X1 g37017(.A (n_11668), .B (n_11669), .C (n_2253), .D (n_2386),
+ .Y (n_5823));
+ NAND4X1 g37029(.A (n_2969), .B (n_3124), .C (n_1809), .D (n_1528), .Y
+ (n_5818));
+ NAND4X1 g37032(.A (n_2966), .B (n_3105), .C (n_2493), .D (n_1526), .Y
+ (n_5544));
+ NAND4X1 g37051(.A (n_3106), .B (n_2943), .C (n_2473), .D (n_1506), .Y
+ (n_5541));
+ NAND4X1 g37069(.A (n_11461), .B (n_11462), .C (n_2237), .D (n_2387),
+ .Y (n_5809));
+ DFFSRX1 u12_re2_reg(.RN (1'b1), .SN (1'b1), .CK (clk_i), .D (n_4086),
+ .Q (u12_re2), .QN ());
+ NAND4X1 g37085(.A (n_11467), .B (n_11468), .C (n_2245), .D (n_2395),
+ .Y (n_5803));
+ NAND4X1 g37088(.A (n_11447), .B (n_11448), .C (n_2338), .D (n_2455),
+ .Y (n_5898));
+ NAND4X1 g37090(.A (n_11451), .B (n_11452), .C (n_2353), .D (n_2457),
+ .Y (n_5813));
+ NAND4X1 g37095(.A (n_11459), .B (n_11460), .C (n_2299), .D (n_2373),
+ .Y (n_5811));
+ NAND4X1 g37103(.A (n_11457), .B (n_11458), .C (n_2128), .D (n_1892),
+ .Y (n_5815));
+ NAND4X1 g37109(.A (n_11455), .B (n_11456), .C (n_1867), .D (n_2390),
+ .Y (n_5807));
+ NAND4X1 g37118(.A (n_11463), .B (n_11464), .C (n_1927), .D (n_2382),
+ .Y (n_5800));
+ NAND4X1 g37120(.A (n_3355), .B (n_2861), .C (n_2295), .D (n_2381), .Y
+ (n_5893));
+ NAND2X1 g37132(.A (n_4269), .B (n_1549), .Y (n_6836));
+ NAND2X1 g37133(.A (n_4267), .B (n_2488), .Y (n_6839));
+ NOR2X1 g37134(.A (n_4268), .B (n_12079), .Y (n_6328));
+ NAND2X1 g37136(.A (n_4266), .B (n_12656), .Y (n_6842));
+ NAND2X1 g37138(.A (n_4558), .B (n_1901), .Y (n_6576));
+ NAND2X1 g37142(.A (n_3932), .B (n_1925), .Y (n_6560));
+ NAND2X1 g37145(.A (n_3933), .B (n_3466), .Y (n_6582));
+ NAND2X1 g37146(.A (n_3934), .B (n_3081), .Y (n_6637));
+ NAND2X1 g37147(.A (n_3937), .B (n_3223), .Y (n_6533));
+ NAND2X1 g37148(.A (n_3938), .B (n_3348), .Y (n_6539));
+ NAND2X1 g37149(.A (n_2561), .B (n_4220), .Y (n_6618));
+ NAND2X1 g37152(.A (n_2633), .B (n_4239), .Y (n_6646));
+ NAND2X1 g37153(.A (n_3905), .B (n_2279), .Y (n_6473));
+ NAND2X1 g37157(.A (n_3920), .B (n_2280), .Y (n_6602));
+ NAND2X1 g37158(.A (n_3913), .B (n_2357), .Y (n_6599));
+ NAND2X1 g37159(.A (n_3910), .B (n_2241), .Y (n_6596));
+ NAND2X1 g37161(.A (n_3909), .B (n_1795), .Y (n_6592));
+ NAND2X1 g37163(.A (n_3908), .B (n_2273), .Y (n_6521));
+ NAND2X1 g37164(.A (n_3907), .B (n_2269), .Y (n_6478));
+ NAND2X1 g37165(.A (n_3904), .B (n_2345), .Y (n_6586));
+ NAND2X1 g37166(.A (n_3903), .B (n_1564), .Y (n_6514));
+ NAND2X1 g37167(.A (n_3902), .B (n_2227), .Y (n_6510));
+ NAND2X1 g37169(.A (n_3900), .B (n_2288), .Y (n_6465));
+ NAND2X1 g37172(.A (n_3898), .B (n_2326), .Y (n_6453));
+ NAND2X1 g37174(.A (n_3899), .B (n_2270), .Y (n_6589));
+ NAND2X1 g37175(.A (n_3896), .B (n_2472), .Y (n_6497));
+ NAND2X1 g37177(.A (n_3895), .B (n_2329), .Y (n_6444));
+ NAND2X1 g37178(.A (n_4567), .B (n_2251), .Y (n_6442));
+ NAND2X1 g37179(.A (n_4566), .B (n_2296), .Y (n_6544));
+ NAND2X1 g37180(.A (n_4565), .B (n_2252), .Y (n_6486));
+ NAND2X1 g37181(.A (n_4563), .B (n_2249), .Y (n_6547));
+ NAND2X1 g37182(.A (n_4561), .B (n_2248), .Y (n_6483));
+ NAND2X1 g37184(.A (n_4559), .B (n_2290), .Y (n_6579));
+ NAND2X1 g37185(.A (n_4557), .B (n_2240), .Y (n_6573));
+ NAND2X1 g37187(.A (n_3928), .B (n_2305), .Y (n_6570));
+ NAND2X1 g37188(.A (n_4550), .B (n_2334), .Y (n_6566));
+ NAND2X1 g37190(.A (n_4555), .B (n_1796), .Y (n_6563));
+ NAND2X1 g37192(.A (n_4098), .B (n_2238), .Y (n_6459));
+ MX2X1 g37208(.A (\u11_mem[1]_149 ), .B (n_5300), .S0 (n_6502), .Y
+ (n_5381));
+ MX2X1 g37211(.A (\u10_mem[2]_107 ), .B (n_5292), .S0 (n_5341), .Y
+ (n_5380));
+ MX2X1 g37213(.A (\u10_mem[2]_109 ), .B (n_5290), .S0 (n_5424), .Y
+ (n_5379));
+ MX2X1 g37214(.A (\u10_mem[2]_111 ), .B (n_5287), .S0 (n_5341), .Y
+ (n_5378));
+ MX2X1 g37215(.A (\u10_mem[2]_112 ), .B (n_5355), .S0 (n_5341), .Y
+ (n_5377));
+ AOI21X1 g37236(.A0 (n_5374), .A1 (n_5371), .B0 (n_4085), .Y (n_5375));
+ AOI21X1 g37237(.A0 (n_5372), .A1 (n_5371), .B0 (n_3949), .Y (n_5373));
+ AOI21X1 g37238(.A0 (n_5369), .A1 (n_5371), .B0 (n_3963), .Y (n_5370));
+ AOI21X1 g37239(.A0 (n_5367), .A1 (n_5371), .B0 (n_3952), .Y (n_5368));
+ AOI21X1 g37240(.A0 (n_5365), .A1 (n_5371), .B0 (n_3955), .Y (n_5366));
+ AOI21X1 g37243(.A0 (n_5363), .A1 (n_6594), .B0 (n_3959), .Y (n_5364));
+ MX2X1 g37244(.A (\u10_mem[1]_148 ), .B (n_5330), .S0 (n_6475), .Y
+ (n_5362));
+ AND2X1 g35833(.A (n_1285), .B (n_5248), .Y (n_5361));
+ AOI21X1 g37249(.A0 (n_5359), .A1 (n_6594), .B0 (n_3958), .Y (n_5360));
+ AOI21X1 g37250(.A0 (n_5357), .A1 (n_6594), .B0 (n_3957), .Y (n_5358));
+ MX2X1 g37251(.A (\u10_mem[1]_143 ), .B (n_5355), .S0 (n_6475), .Y
+ (n_5356));
+ AOI21X1 g37252(.A0 (n_5352), .A1 (n_6594), .B0 (n_3956), .Y (n_5353));
+ AOI21X1 g37257(.A0 (n_5350), .A1 (n_5371), .B0 (n_3953), .Y (n_5351));
+ MX2X1 g37263(.A (\u10_mem[1]_149 ), .B (n_5339), .S0 (n_6475), .Y
+ (n_5349));
+ AOI21X1 g37270(.A0 (n_5347), .A1 (n_5371), .B0 (n_3961), .Y (n_5348));
+ AOI21X1 g37272(.A0 (n_5345), .A1 (n_5371), .B0 (n_3960), .Y (n_5346));
+ AOI21X1 g37273(.A0 (n_5343), .A1 (n_5371), .B0 (n_3950), .Y (n_5344));
+ MX2X1 g37277(.A (\u10_mem[2]_116 ), .B (n_5284), .S0 (n_5341), .Y
+ (n_5342));
+ MX2X1 g37278(.A (\u10_mem[2]_118 ), .B (n_5339), .S0 (n_5424), .Y
+ (n_5340));
+ MX2X1 g37280(.A (\u11_mem[1]_148 ), .B (n_5296), .S0 (n_5405), .Y
+ (n_5338));
+ MX2X1 g37281(.A (\u11_mem[2]_107 ), .B (n_5335), .S0 (n_5312), .Y
+ (n_5337));
+ MX2X1 g37282(.A (\u11_mem[1]_138 ), .B (n_5335), .S0 (n_5405), .Y
+ (n_5336));
+ AOI21X1 g37283(.A0 (n_5333), .A1 (n_5371), .B0 (n_3948), .Y (n_5334));
+ MX2X1 g37284(.A (\u11_mem[1]_140 ), .B (n_5315), .S0 (n_6502), .Y
+ (n_5332));
+ MX2X1 g37285(.A (\u10_mem[2]_117 ), .B (n_5330), .S0 (n_5341), .Y
+ (n_5331));
+ MX2X1 g37286(.A (\u11_mem[1]_141 ), .B (n_5313), .S0 (n_6502), .Y
+ (n_5329));
+ MX2X1 g37287(.A (\u11_mem[1]_142 ), .B (n_5321), .S0 (n_6502), .Y
+ (n_5327));
+ MX2X1 g37288(.A (\u11_mem[1]_144 ), .B (n_5309), .S0 (n_6502), .Y
+ (n_5326));
+ MX2X1 g37289(.A (\u11_mem[1]_147 ), .B (n_5298), .S0 (n_6502), .Y
+ (n_5325));
+ MX2X1 g37290(.A (\u11_mem[1]_139 ), .B (n_5317), .S0 (n_6502), .Y
+ (n_5323));
+ MX2X1 g37291(.A (\u11_mem[2]_111 ), .B (n_5321), .S0 (n_5409), .Y
+ (n_5322));
+ MX2X1 g37292(.A (\u11_mem[1]_146 ), .B (n_5304), .S0 (n_5405), .Y
+ (n_5320));
+ MX2X1 g37293(.A (\u11_mem[1]_145 ), .B (n_5307), .S0 (n_6502), .Y
+ (n_5319));
+ MX2X1 g37294(.A (\u11_mem[2]_108 ), .B (n_5317), .S0 (n_5409), .Y
+ (n_5318));
+ MX2X1 g37295(.A (\u11_mem[2]_109 ), .B (n_5315), .S0 (n_5312), .Y
+ (n_5316));
+ MX2X1 g37296(.A (\u11_mem[2]_110 ), .B (n_5313), .S0 (n_5312), .Y
+ (n_5314));
+ MX2X1 g37297(.A (\u11_mem[2]_112 ), .B (n_5280), .S0 (n_5312), .Y
+ (n_5311));
+ MX2X1 g37298(.A (\u11_mem[2]_113 ), .B (n_5309), .S0 (n_5312), .Y
+ (n_5310));
+ MX2X1 g37299(.A (\u11_mem[2]_114 ), .B (n_5307), .S0 (n_5312), .Y
+ (n_5308));
+ MX2X1 g37300(.A (\u11_mem[2]_115 ), .B (n_5304), .S0 (n_5312), .Y
+ (n_5305));
+ AOI21X1 g37301(.A0 (n_5302), .A1 (n_5371), .B0 (n_3947), .Y (n_5303));
+ MX2X1 g37302(.A (\u11_mem[2]_118 ), .B (n_5300), .S0 (n_5409), .Y
+ (n_5301));
+ MX2X1 g37303(.A (\u11_mem[2]_116 ), .B (n_5298), .S0 (n_5312), .Y
+ (n_5299));
+ MX2X1 g37304(.A (\u11_mem[2]_117 ), .B (n_5296), .S0 (n_5409), .Y
+ (n_5297));
+ AOI21X1 g37305(.A0 (n_5294), .A1 (n_5371), .B0 (n_3946), .Y (n_5295));
+ MX2X1 g37306(.A (\u10_mem[1]_138 ), .B (n_5292), .S0 (n_6475), .Y
+ (n_5293));
+ MX2X1 g37308(.A (\u10_mem[1]_140 ), .B (n_5290), .S0 (n_6475), .Y
+ (n_5291));
+ MX2X1 g37309(.A (\u10_mem[1]_141 ), .B (n_5282), .S0 (n_5407), .Y
+ (n_5289));
+ MX2X1 g37310(.A (\u10_mem[1]_142 ), .B (n_5287), .S0 (n_6475), .Y
+ (n_5288));
+ MX2X1 g37311(.A (\u10_mem[1]_147 ), .B (n_5284), .S0 (n_5407), .Y
+ (n_5285));
+ MX2X1 g37312(.A (\u10_mem[2]_110 ), .B (n_5282), .S0 (n_5424), .Y
+ (n_5283));
+ MX2X1 g37314(.A (\u11_mem[1]_143 ), .B (n_5280), .S0 (n_5405), .Y
+ (n_5281));
+ AOI22X1 g37316(.A0 (n_5272), .A1 (\u13_intm_r[10] ), .B0 (n_5277),
+ .B1 (crac_din_701), .Y (n_5279));
+ AOI22X1 g37320(.A0 (n_5272), .A1 (\u13_intm_r[0] ), .B0 (n_5277), .B1
+ (crac_din), .Y (n_5278));
+ AOI22X1 g37323(.A0 (n_5272), .A1 (\u13_intm_r[1] ), .B0 (n_5277), .B1
+ (crac_din_692), .Y (n_5276));
+ INVX1 g37325(.A (n_4728), .Y (n_5275));
+ AOI22X1 g37339(.A0 (n_5272), .A1 (\u13_intm_r[26] ), .B0 (n_6972),
+ .B1 (oc3_cfg_995), .Y (n_5274));
+ AOI22X1 g37340(.A0 (n_5272), .A1 (\u13_intm_r[27] ), .B0 (n_6972),
+ .B1 (oc3_cfg_996), .Y (n_5273));
+ AOI22X1 g37342(.A0 (n_5272), .A1 (\u13_intm_r[2] ), .B0 (n_5277), .B1
+ (crac_din_693), .Y (n_5270));
+ AOI22X1 g37344(.A0 (n_5277), .A1 (crac_out_876), .B0 (n_6972), .B1
+ (oc3_cfg_1000), .Y (n_5268));
+ AOI22X1 g37345(.A0 (n_5272), .A1 (\u13_intm_r[3] ), .B0 (n_5277), .B1
+ (crac_din_694), .Y (n_5266));
+ AOI22X1 g37347(.A0 (n_5272), .A1 (\u13_intm_r[4] ), .B0 (n_5277), .B1
+ (crac_din_695), .Y (n_5265));
+ AOI22X1 g37350(.A0 (n_5272), .A1 (\u13_intm_r[5] ), .B0 (n_5277), .B1
+ (crac_din_696), .Y (n_5263));
+ AOI22X1 g37352(.A0 (n_5272), .A1 (\u13_intm_r[6] ), .B0 (n_5277), .B1
+ (crac_din_697), .Y (n_5261));
+ AOI22X1 g37354(.A0 (n_5272), .A1 (\u13_intm_r[7] ), .B0 (n_5277), .B1
+ (crac_din_698), .Y (n_5260));
+ AOI22X1 g37356(.A0 (n_5272), .A1 (\u13_intm_r[8] ), .B0 (n_5277), .B1
+ (crac_din_699), .Y (n_5258));
+ AOI22X1 g37358(.A0 (n_5272), .A1 (\u13_intm_r[9] ), .B0 (n_5277), .B1
+ (crac_din_700), .Y (n_5256));
+ AOI22X1 g37361(.A0 (n_5272), .A1 (\u13_intm_r[12] ), .B0 (n_5277),
+ .B1 (crac_din_703), .Y (n_5255));
+ AOI22X1 g37364(.A0 (n_5272), .A1 (\u13_intm_r[13] ), .B0 (n_5277),
+ .B1 (crac_din_704), .Y (n_5254));
+ AOI22X1 g37365(.A0 (n_5272), .A1 (\u13_intm_r[15] ), .B0 (n_5277),
+ .B1 (crac_din_706), .Y (n_5253));
+ AOI22X1 g37367(.A0 (n_5272), .A1 (\u13_intm_r[11] ), .B0 (n_5277),
+ .B1 (crac_din_702), .Y (n_5252));
+ AOI22X1 g37376(.A0 (n_5272), .A1 (\u13_intm_r[14] ), .B0 (n_5277),
+ .B1 (crac_din_705), .Y (n_5251));
+ INVX1 g37415(.A (n_4634), .Y (n_5250));
+ NAND2X1 g35831(.A (n_1815), .B (n_5248), .Y (n_5249));
+ NAND2X1 g37483(.A (n_5656), .B (n_4232), .Y (n_5246));
+ NAND2X1 g37514(.A (n_5656), .B (n_4238), .Y (n_5245));
+ NAND2X1 g37533(.A (n_5656), .B (n_4161), .Y (n_5244));
+ NAND2X1 g37534(.A (n_5656), .B (n_4193), .Y (n_5243));
+ NAND2X1 g37554(.A (n_5656), .B (n_4205), .Y (n_5242));
+ NAND2X1 g37555(.A (n_5656), .B (n_4237), .Y (n_5241));
+ NAND2X1 g37556(.A (n_5645), .B (n_4111), .Y (n_5240));
+ NAND2X1 g37557(.A (n_5656), .B (n_4138), .Y (n_5239));
+ NAND2X1 g37558(.A (n_5656), .B (n_4202), .Y (n_5238));
+ NAND2X1 g37560(.A (n_5656), .B (n_4207), .Y (n_5237));
+ NAND2X1 g37561(.A (n_5656), .B (n_4106), .Y (n_5236));
+ NAND2X1 g37562(.A (n_5645), .B (n_4178), .Y (n_5235));
+ NAND2X1 g37563(.A (n_5656), .B (n_4133), .Y (n_5234));
+ NAND2X1 g37564(.A (n_5656), .B (n_4209), .Y (n_5233));
+ NAND2X1 g37565(.A (n_5645), .B (n_4231), .Y (n_5232));
+ NAND2X1 g37566(.A (n_5645), .B (n_4230), .Y (n_5231));
+ NAND2X1 g37567(.A (n_5645), .B (n_4135), .Y (n_5230));
+ NAND2X1 g37571(.A (n_5645), .B (n_4153), .Y (n_5229));
+ NAND2X1 g37573(.A (n_5645), .B (n_4206), .Y (n_5228));
+ NAND2X1 g37581(.A (n_5645), .B (n_4108), .Y (n_5227));
+ NOR2X1 g35829(.A (n_5248), .B (n_5225), .Y (n_5226));
+ NAND2X1 g37678(.A (n_4109), .B (n_3134), .Y (n_5224));
+ NAND2X1 g37680(.A (n_4112), .B (n_3490), .Y (n_12849));
+ NAND2X1 g37684(.A (n_4218), .B (n_2950), .Y (n_5222));
+ NAND2X1 g37687(.A (n_4155), .B (n_2926), .Y (n_12851));
+ NAND2X1 g37688(.A (n_4136), .B (n_3036), .Y (n_5220));
+ NAND2X1 g37694(.A (n_4140), .B (n_3366), .Y (n_5219));
+ NAND2X1 g37697(.A (n_4219), .B (n_3362), .Y (n_5218));
+ NOR2X1 g35828(.A (n_5248), .B (wb_addr_i[6]), .Y (n_6045));
+ NAND2X1 g37718(.A (n_4154), .B (n_3037), .Y (n_12060));
+ NAND2X1 g37730(.A (n_1523), .B (n_4234), .Y (n_5215));
+ NAND2X1 g37743(.A (n_1328), .B (n_4171), .Y (n_5214));
+ NAND2X1 g37794(.A (n_4134), .B (n_3422), .Y (n_5213));
+ NAND2X1 g37803(.A (n_4183), .B (n_2700), .Y (n_5212));
+ NAND2X1 g37810(.A (n_4198), .B (n_3173), .Y (n_5211));
+ NAND2X1 g37813(.A (n_1536), .B (n_4121), .Y (n_5210));
+ NAND2X1 g37845(.A (n_4182), .B (n_3162), .Y (n_12054));
+ NAND2X1 g37850(.A (n_4167), .B (n_3290), .Y (n_12056));
+ NAND2X1 g37854(.A (n_4165), .B (n_3086), .Y (n_12048));
+ NAND2X1 g37856(.A (n_4224), .B (n_3437), .Y (n_12050));
+ NAND2X1 g37858(.A (n_4117), .B (n_1565), .Y (n_5205));
+ NAND2X1 g37860(.A (n_4163), .B (n_3073), .Y (n_12052));
+ NAND2X1 g37865(.A (n_4229), .B (n_3070), .Y (n_5203));
+ NAND2X1 g37867(.A (n_4120), .B (n_2970), .Y (n_5202));
+ NAND2X1 g37870(.A (n_4150), .B (n_3472), .Y (n_12058));
+ NAND2X1 g37873(.A (n_4244), .B (n_3057), .Y (n_5200));
+ NAND2X1 g37876(.A (n_4159), .B (n_3519), .Y (n_5199));
+ NAND2X1 g37878(.A (n_4212), .B (n_2995), .Y (n_5198));
+ NAND2X1 g37882(.A (n_4143), .B (n_3041), .Y (n_5197));
+ NAND2X1 g37896(.A (n_4236), .B (n_3104), .Y (n_5196));
+ NAND2X1 g37935(.A (n_2911), .B (n_2856), .Y (n_5195));
+ NAND2X1 g37940(.A (n_2432), .B (n_3315), .Y (n_5194));
+ NAND2X1 g37941(.A (n_3354), .B (n_2957), .Y (n_5193));
+ NAND2X1 g37944(.A (n_3548), .B (n_3399), .Y (n_12852));
+ NAND2X1 g37945(.A (n_3499), .B (n_3482), .Y (n_5191));
+ NAND2X1 g37948(.A (n_2437), .B (n_3331), .Y (n_5190));
+ NAND2X1 g37950(.A (n_2938), .B (n_3406), .Y (n_5189));
+ NAND2X1 g37951(.A (n_2379), .B (n_3184), .Y (n_5188));
+ NAND2X1 g37953(.A (n_1811), .B (n_3495), .Y (n_5187));
+ NAND2X1 g37954(.A (n_3045), .B (n_3004), .Y (n_5186));
+ NAND2X1 g37955(.A (n_2464), .B (n_3122), .Y (n_5185));
+ NAND2X1 g37956(.A (n_2871), .B (n_2984), .Y (n_5184));
+ NAND2X1 g37957(.A (n_2888), .B (n_3840), .Y (n_5183));
+ NAND2X1 g37958(.A (n_3862), .B (n_3136), .Y (n_5182));
+ NAND2X1 g37959(.A (n_2456), .B (n_2896), .Y (n_5181));
+ NAND2X1 g37960(.A (n_3003), .B (n_3429), .Y (n_5180));
+ NAND2X1 g37961(.A (n_2396), .B (n_2844), .Y (n_5179));
+ NAND2X1 g37963(.A (n_3419), .B (n_3460), .Y (n_5178));
+ NAND2X1 g37964(.A (n_2375), .B (n_2891), .Y (n_5177));
+ NAND2X1 g37966(.A (n_2852), .B (n_3160), .Y (n_5176));
+ NAND2X1 g37968(.A (n_2867), .B (n_2876), .Y (n_5175));
+ NAND2X1 g37969(.A (n_1812), .B (n_3442), .Y (n_5174));
+ NAND2X1 g37970(.A (n_2915), .B (n_2935), .Y (n_5173));
+ NAND2X1 g37972(.A (n_3158), .B (n_2974), .Y (n_5172));
+ NAND2X1 g37974(.A (n_2469), .B (n_3866), .Y (n_5171));
+ NAND2X1 g37975(.A (n_2409), .B (n_2870), .Y (n_5170));
+ NAND2X1 g37976(.A (n_3074), .B (n_3066), .Y (n_5169));
+ NAND2X1 g37977(.A (n_3411), .B (n_3082), .Y (n_5168));
+ NAND2X1 g37978(.A (n_2441), .B (n_2913), .Y (n_5167));
+ NAND2X1 g37979(.A (n_3213), .B (n_3524), .Y (n_5166));
+ NAND2X1 g37981(.A (n_2380), .B (n_3052), .Y (n_5165));
+ NAND2X1 g37982(.A (n_3191), .B (n_3030), .Y (n_5164));
+ NAND2X1 g37983(.A (n_3282), .B (n_3473), .Y (n_5163));
+ NAND2X1 g37985(.A (n_3107), .B (n_2903), .Y (n_5162));
+ NAND2X1 g37989(.A (n_2841), .B (n_2997), .Y (n_5161));
+ AOI21X1 g37990(.A0 (\u3_mem[1]_87 ), .A1 (n_5157), .B0 (n_2769), .Y
+ (n_5160));
+ AOI21X1 g37992(.A0 (\u7_mem[3]_141 ), .A1 (n_5145), .B0 (n_3407), .Y
+ (n_5159));
+ AOI21X1 g37993(.A0 (\u3_mem[1]_61 ), .A1 (n_5157), .B0 (n_2662), .Y
+ (n_5158));
+ AOI21X1 g37996(.A0 (\u3_mem[1]_89 ), .A1 (n_5157), .B0 (n_2655), .Y
+ (n_5156));
+ AOI21X1 g37998(.A0 (\u3_mem[1]_90 ), .A1 (n_5157), .B0 (n_2728), .Y
+ (n_5155));
+ AOI21X1 g38001(.A0 (\u3_mem[1]_62 ), .A1 (n_5157), .B0 (n_2789), .Y
+ (n_5154));
+ AOI21X1 g38002(.A0 (\u3_mem[1]_63 ), .A1 (n_5157), .B0 (n_2718), .Y
+ (n_5153));
+ AOI21X1 g38004(.A0 (\u3_mem[1]_64 ), .A1 (n_5148), .B0 (n_2679), .Y
+ (n_5152));
+ AOI21X1 g38006(.A0 (\u3_mem[1]_65 ), .A1 (n_5157), .B0 (n_2776), .Y
+ (n_5151));
+ AOI21X1 g38008(.A0 (\u3_mem[1]_66 ), .A1 (n_5157), .B0 (n_2651), .Y
+ (n_5150));
+ AOI21X1 g38009(.A0 (\u3_mem[1]_67 ), .A1 (n_5148), .B0 (n_2781), .Y
+ (n_5149));
+ AOI21X1 g38011(.A0 (\u3_mem[1]_68 ), .A1 (n_5148), .B0 (n_2678), .Y
+ (n_5147));
+ AOI21X1 g38022(.A0 (\u7_mem[3]_129 ), .A1 (n_5145), .B0 (n_3065), .Y
+ (n_5146));
+ AOI21X1 g38026(.A0 (\u3_mem[1]_71 ), .A1 (n_5148), .B0 (n_2830), .Y
+ (n_5144));
+ AOI21X1 g38029(.A0 (\u3_mem[1]_72 ), .A1 (n_5148), .B0 (n_2677), .Y
+ (n_5143));
+ AOI21X1 g38031(.A0 (\u3_mem[3]_149 ), .A1 (n_5138), .B0 (n_3358), .Y
+ (n_5142));
+ AOI21X1 g38034(.A0 (\u5_mem[1]_67 ), .A1 (n_5048), .B0 (n_2774), .Y
+ (n_5141));
+ AOI21X1 g38042(.A0 (\u6_mem[1]_89 ), .A1 (n_5112), .B0 (n_2762), .Y
+ (n_5140));
+ AOI21X1 g38049(.A0 (\u3_mem[3]_134 ), .A1 (n_5138), .B0 (n_2985), .Y
+ (n_5139));
+ AOI21X1 g38051(.A0 (\u3_mem[3]_136 ), .A1 (n_5133), .B0 (n_2851), .Y
+ (n_5137));
+ AOI21X1 g38052(.A0 (\u3_mem[3]_137 ), .A1 (n_5138), .B0 (n_2883), .Y
+ (n_5136));
+ AOI21X1 g38053(.A0 (\u3_mem[3]_138 ), .A1 (n_5138), .B0 (n_3151), .Y
+ (n_5135));
+ AOI21X1 g38055(.A0 (\u3_mem[3]_140 ), .A1 (n_5133), .B0 (n_2905), .Y
+ (n_5134));
+ AOI21X1 g38056(.A0 (\u3_mem[3]_122 ), .A1 (n_5138), .B0 (n_3425), .Y
+ (n_5132));
+ AOI21X1 g38057(.A0 (\u3_mem[3]_144 ), .A1 (n_5138), .B0 (n_3400), .Y
+ (n_5131));
+ AOI21X1 g38059(.A0 (\u3_mem[3]_151 ), .A1 (n_5138), .B0 (n_3392), .Y
+ (n_5130));
+ AOI21X1 g38061(.A0 (\u3_mem[3]_147 ), .A1 (n_5133), .B0 (n_3465), .Y
+ (n_5129));
+ AOI21X1 g38064(.A0 (\u7_mem[1]_77 ), .A1 (n_5118), .B0 (n_2722), .Y
+ (n_5128));
+ AOI21X1 g38065(.A0 (\u3_mem[3]_123 ), .A1 (n_5133), .B0 (n_3067), .Y
+ (n_5127));
+ AOI21X1 g38069(.A0 (\u3_mem[3]_130 ), .A1 (n_5133), .B0 (n_3512), .Y
+ (n_5126));
+ AOI21X1 g38071(.A0 (\u3_mem[3]_126 ), .A1 (n_5133), .B0 (n_2880), .Y
+ (n_5125));
+ AOI21X1 g38075(.A0 (\u3_mem[3]_128 ), .A1 (n_5138), .B0 (n_3370), .Y
+ (n_5124));
+ AOI21X1 g38077(.A0 (\u3_mem[3]_148 ), .A1 (n_5133), .B0 (n_3865), .Y
+ (n_5123));
+ AOI21X1 g38078(.A0 (\u3_mem[1]_88 ), .A1 (n_5148), .B0 (n_2803), .Y
+ (n_5122));
+ AOI21X1 g38080(.A0 (\u3_mem[3]_127 ), .A1 (n_5138), .B0 (n_3426), .Y
+ (n_5121));
+ AOI21X1 g38096(.A0 (\u3_mem[3]_145 ), .A1 (n_5138), .B0 (n_2947), .Y
+ (n_5120));
+ AOI21X1 g38119(.A0 (\u7_mem[1]_61 ), .A1 (n_5118), .B0 (n_2783), .Y
+ (n_5119));
+ AOI21X1 g38123(.A0 (\u7_mem[1]_63 ), .A1 (n_5118), .B0 (n_2738), .Y
+ (n_5117));
+ AOI21X1 g38129(.A0 (\u3_mem[1]_84 ), .A1 (n_5157), .B0 (n_2833), .Y
+ (n_5116));
+ AOI21X1 g38132(.A0 (\u3_mem[3]_124 ), .A1 (n_5138), .B0 (n_2873), .Y
+ (n_5115));
+ AOI21X1 g38151(.A0 (\u4_mem[3] ), .A1 (n_5106), .B0 (n_3313), .Y
+ (n_5114));
+ AOI21X1 g38153(.A0 (\u6_mem[1]_66 ), .A1 (n_5112), .B0 (n_2690), .Y
+ (n_5113));
+ AOI21X1 g38157(.A0 (\u6_mem[1]_67 ), .A1 (n_5019), .B0 (n_2657), .Y
+ (n_5111));
+ AOI21X1 g38165(.A0 (\u7_mem[1]_74 ), .A1 (n_5118), .B0 (n_2670), .Y
+ (n_5110));
+ AOI21X1 g38168(.A0 (\u6_mem[3]_124 ), .A1 (n_5100), .B0 (n_3507), .Y
+ (n_5109));
+ AOI21X1 g38183(.A0 (\u6_mem[1]_64 ), .A1 (n_5112), .B0 (n_2753), .Y
+ (n_5108));
+ AOI21X1 g38185(.A0 (\u4_mem[3]_131 ), .A1 (n_5106), .B0 (n_2882), .Y
+ (n_5107));
+ AOI21X1 g38186(.A0 (\u4_mem[3]_132 ), .A1 (n_5102), .B0 (n_3343), .Y
+ (n_5105));
+ AOI21X1 g38188(.A0 (\u4_mem[3]_133 ), .A1 (n_5106), .B0 (n_3309), .Y
+ (n_5104));
+ AOI21X1 g38189(.A0 (\u4_mem[3]_134 ), .A1 (n_5102), .B0 (n_3307), .Y
+ (n_5103));
+ AOI21X1 g38190(.A0 (\u6_mem[3]_126 ), .A1 (n_5100), .B0 (n_3505), .Y
+ (n_5101));
+ AOI21X1 g38191(.A0 (\u4_mem[3]_135 ), .A1 (n_5102), .B0 (n_3844), .Y
+ (n_5099));
+ AOI21X1 g38193(.A0 (\u4_mem[3]_136 ), .A1 (n_5106), .B0 (n_3305), .Y
+ (n_5098));
+ AOI21X1 g38194(.A0 (\u6_mem[1]_63 ), .A1 (n_5112), .B0 (n_2796), .Y
+ (n_5097));
+ AOI21X1 g38195(.A0 (\u4_mem[3]_137 ), .A1 (n_5106), .B0 (n_3434), .Y
+ (n_5096));
+ AOI21X1 g38196(.A0 (\u4_mem[3]_138 ), .A1 (n_5102), .B0 (n_3302), .Y
+ (n_5095));
+ AOI21X1 g38198(.A0 (\u4_mem[3]_139 ), .A1 (n_5102), .B0 (n_3299), .Y
+ (n_5094));
+ AOI21X1 g38199(.A0 (\u3_mem[3]_143 ), .A1 (n_5138), .B0 (n_2954), .Y
+ (n_5093));
+ AOI21X1 g38200(.A0 (\u4_mem[3]_140 ), .A1 (n_5106), .B0 (n_3487), .Y
+ (n_5092));
+ AOI21X1 g38201(.A0 (\u4_mem[3]_122 ), .A1 (n_5102), .B0 (n_2941), .Y
+ (n_5091));
+ AOI21X1 g38202(.A0 (\u4_mem[3]_141 ), .A1 (n_5106), .B0 (n_3511), .Y
+ (n_5090));
+ AOI21X1 g38203(.A0 (\u4_mem[3]_142 ), .A1 (n_5106), .B0 (n_3295), .Y
+ (n_5089));
+ AOI21X1 g38204(.A0 (\u4_mem[3]_143 ), .A1 (n_5102), .B0 (n_2951), .Y
+ (n_5088));
+ AOI21X1 g38206(.A0 (\u4_mem[3]_144 ), .A1 (n_5102), .B0 (n_3293), .Y
+ (n_5087));
+ AOI21X1 g38207(.A0 (\u4_mem[3]_145 ), .A1 (n_5102), .B0 (n_3185), .Y
+ (n_5086));
+ AOI21X1 g38208(.A0 (\u4_mem[3]_146 ), .A1 (n_5102), .B0 (n_3292), .Y
+ (n_5085));
+ AOI21X1 g38211(.A0 (\u4_mem[3]_147 ), .A1 (n_5102), .B0 (n_2928), .Y
+ (n_5084));
+ AOI21X1 g38212(.A0 (\u7_mem[1]_60 ), .A1 (n_5069), .B0 (n_2673), .Y
+ (n_5083));
+ AOI21X1 g38213(.A0 (\u4_mem[3]_148 ), .A1 (n_5106), .B0 (n_3246), .Y
+ (n_5082));
+ AOI21X1 g38214(.A0 (\u4_mem[3]_149 ), .A1 (n_5106), .B0 (n_2958), .Y
+ (n_5081));
+ AOI21X1 g38215(.A0 (\u4_mem[3]_150 ), .A1 (n_5106), .B0 (n_3506), .Y
+ (n_5080));
+ AOI21X1 g38216(.A0 (\u7_mem[1]_67 ), .A1 (n_5118), .B0 (n_2807), .Y
+ (n_5079));
+ AOI21X1 g38217(.A0 (\u4_mem[3]_123 ), .A1 (n_5102), .B0 (n_2877), .Y
+ (n_5078));
+ AOI21X1 g38219(.A0 (\u4_mem[3]_151 ), .A1 (n_5106), .B0 (n_2865), .Y
+ (n_5077));
+ AOI21X1 g38220(.A0 (\u7_mem[3]_138 ), .A1 (n_4961), .B0 (n_3163), .Y
+ (n_5076));
+ AOI21X1 g38221(.A0 (\u4_mem[3]_152 ), .A1 (n_5106), .B0 (n_3279), .Y
+ (n_5075));
+ AOI21X1 g38222(.A0 (\u4_mem[3]_124 ), .A1 (n_5106), .B0 (n_3280), .Y
+ (n_5074));
+ AOI21X1 g38223(.A0 (\u7_mem[3]_143 ), .A1 (n_5145), .B0 (n_3535), .Y
+ (n_5073));
+ AOI21X1 g38224(.A0 (\u4_mem[3]_125 ), .A1 (n_5106), .B0 (n_2953), .Y
+ (n_5072));
+ AOI21X1 g38225(.A0 (\u4_mem[3]_126 ), .A1 (n_5106), .B0 (n_3525), .Y
+ (n_5071));
+ AOI21X1 g38226(.A0 (\u7_mem[1]_65 ), .A1 (n_5069), .B0 (n_2766), .Y
+ (n_5070));
+ AOI21X1 g38227(.A0 (\u4_mem[3]_127 ), .A1 (n_5102), .B0 (n_2962), .Y
+ (n_5068));
+ AOI21X1 g38228(.A0 (\u4_mem[3]_128 ), .A1 (n_5102), .B0 (n_2810), .Y
+ (n_5067));
+ AOI21X1 g38229(.A0 (\u3_mem[3]_150 ), .A1 (n_5133), .B0 (n_3463), .Y
+ (n_5066));
+ AOI21X1 g38232(.A0 (\u4_mem[3]_129 ), .A1 (n_5102), .B0 (n_2992), .Y
+ (n_5065));
+ AOI21X1 g38234(.A0 (\u4_mem[3]_130 ), .A1 (n_5102), .B0 (n_3007), .Y
+ (n_5064));
+ AOI21X1 g38236(.A0 (\u6_mem[3]_152 ), .A1 (n_5059), .B0 (n_3022), .Y
+ (n_5063));
+ AOI21X1 g38238(.A0 (\u6_mem[3]_151 ), .A1 (n_5100), .B0 (n_2998), .Y
+ (n_5062));
+ AOI21X1 g38244(.A0 (\u6_mem[3]_150 ), .A1 (n_5100), .B0 (n_3235), .Y
+ (n_5061));
+ AOI21X1 g38247(.A0 (\u6_mem[3]_148 ), .A1 (n_5059), .B0 (n_3220), .Y
+ (n_5060));
+ AOI21X1 g38249(.A0 (\u6_mem[3]_130 ), .A1 (n_5100), .B0 (n_2777), .Y
+ (n_5058));
+ AOI21X1 g38251(.A0 (\u7_mem[3]_140 ), .A1 (n_5145), .B0 (n_3242), .Y
+ (n_5057));
+ AOI21X1 g38252(.A0 (\u6_mem[3]_146 ), .A1 (n_5100), .B0 (n_3180), .Y
+ (n_5056));
+ AOI21X1 g38255(.A0 (\u6_mem[3]_145 ), .A1 (n_5059), .B0 (n_3175), .Y
+ (n_5055));
+ AOI21X1 g38256(.A0 (\u6_mem[1]_88 ), .A1 (n_5112), .B0 (n_2697), .Y
+ (n_5054));
+ AOI21X1 g38258(.A0 (\u6_mem[3]_144 ), .A1 (n_5059), .B0 (n_3228), .Y
+ (n_5053));
+ AOI21X1 g38259(.A0 (\u6_mem[3]_143 ), .A1 (n_5059), .B0 (n_3169), .Y
+ (n_5052));
+ AOI21X1 g38260(.A0 (\u6_mem[3]_141 ), .A1 (n_5059), .B0 (n_3177), .Y
+ (n_5051));
+ AOI21X1 g38261(.A0 (\u6_mem[3]_122 ), .A1 (n_5100), .B0 (n_3164), .Y
+ (n_5050));
+ AOI21X1 g38262(.A0 (\u5_mem[1] ), .A1 (n_5048), .B0 (n_2802), .Y
+ (n_5049));
+ AOI21X1 g38263(.A0 (\u5_mem[1]_69 ), .A1 (n_5048), .B0 (n_2760), .Y
+ (n_5047));
+ AOI21X1 g38264(.A0 (\u5_mem[1]_70 ), .A1 (n_5048), .B0 (n_2717), .Y
+ (n_5046));
+ AOI21X1 g38265(.A0 (\u3_mem[3]_141 ), .A1 (n_5133), .B0 (n_3428), .Y
+ (n_5045));
+ AOI21X1 g38266(.A0 (\u6_mem[3]_139 ), .A1 (n_5059), .B0 (n_3344), .Y
+ (n_5044));
+ AOI21X1 g38268(.A0 (\u5_mem[1]_72 ), .A1 (n_5048), .B0 (n_2725), .Y
+ (n_5043));
+ AOI21X1 g38269(.A0 (\u5_mem[1]_73 ), .A1 (n_5048), .B0 (n_2785), .Y
+ (n_5042));
+ AOI21X1 g38270(.A0 (\u6_mem[3]_138 ), .A1 (n_5100), .B0 (n_3357), .Y
+ (n_5041));
+ AOI21X1 g38271(.A0 (\u5_mem[1]_74 ), .A1 (n_5037), .B0 (n_2747), .Y
+ (n_5040));
+ AOI21X1 g38272(.A0 (\u5_mem[1]_75 ), .A1 (n_5048), .B0 (n_2779), .Y
+ (n_5039));
+ AOI21X1 g38273(.A0 (\u5_mem[1]_76 ), .A1 (n_5037), .B0 (n_2726), .Y
+ (n_5038));
+ AOI21X1 g38274(.A0 (\u6_mem[3]_137 ), .A1 (n_5100), .B0 (n_3296), .Y
+ (n_5036));
+ AOI21X1 g38275(.A0 (\u5_mem[1]_77 ), .A1 (n_5037), .B0 (n_2666), .Y
+ (n_5035));
+ AOI21X1 g38276(.A0 (\u5_mem[1]_78 ), .A1 (n_5037), .B0 (n_2799), .Y
+ (n_5034));
+ AOI21X1 g38277(.A0 (\u5_mem[1]_60 ), .A1 (n_5037), .B0 (n_2661), .Y
+ (n_5033));
+ AOI21X1 g38279(.A0 (\u5_mem[1]_79 ), .A1 (n_5037), .B0 (n_2778), .Y
+ (n_5032));
+ AOI21X1 g38280(.A0 (\u5_mem[1]_80 ), .A1 (n_5037), .B0 (n_2754), .Y
+ (n_5031));
+ AOI21X1 g38281(.A0 (\u5_mem[1]_81 ), .A1 (n_5048), .B0 (n_2667), .Y
+ (n_5030));
+ AOI21X1 g38283(.A0 (\u5_mem[1]_82 ), .A1 (n_5048), .B0 (n_2685), .Y
+ (n_5029));
+ AOI21X1 g38284(.A0 (\u5_mem[1]_83 ), .A1 (n_5048), .B0 (n_2669), .Y
+ (n_5028));
+ AOI21X1 g38285(.A0 (\u5_mem[1]_84 ), .A1 (n_5037), .B0 (n_2771), .Y
+ (n_5027));
+ AOI21X1 g38286(.A0 (\u5_mem[1]_85 ), .A1 (n_5048), .B0 (n_2665), .Y
+ (n_5026));
+ AOI21X1 g38287(.A0 (\u5_mem[1]_86 ), .A1 (n_5037), .B0 (n_2823), .Y
+ (n_5025));
+ AOI21X1 g38288(.A0 (\u5_mem[1]_87 ), .A1 (n_5037), .B0 (n_2808), .Y
+ (n_5024));
+ AOI21X1 g38289(.A0 (\u5_mem[1]_71 ), .A1 (n_5048), .B0 (n_2816), .Y
+ (n_5023));
+ AOI21X1 g38290(.A0 (\u5_mem[1]_88 ), .A1 (n_5048), .B0 (n_2806), .Y
+ (n_5022));
+ AOI21X1 g38291(.A0 (\u5_mem[1]_61 ), .A1 (n_5037), .B0 (n_2672), .Y
+ (n_5021));
+ AOI21X1 g38292(.A0 (\u6_mem[1]_86 ), .A1 (n_5019), .B0 (n_2764), .Y
+ (n_5020));
+ AOI21X1 g38293(.A0 (\u5_mem[1]_89 ), .A1 (n_5037), .B0 (n_2828), .Y
+ (n_5018));
+ AOI21X1 g38294(.A0 (\u5_mem[1]_90 ), .A1 (n_5037), .B0 (n_2719), .Y
+ (n_5017));
+ AOI21X1 g38295(.A0 (\u5_mem[1]_62 ), .A1 (n_5037), .B0 (n_2800), .Y
+ (n_5016));
+ AOI21X1 g38296(.A0 (\u5_mem[1]_63 ), .A1 (n_5037), .B0 (n_2967), .Y
+ (n_5015));
+ AOI21X1 g38297(.A0 (\u5_mem[1]_64 ), .A1 (n_5037), .B0 (n_2746), .Y
+ (n_5014));
+ AOI21X1 g38298(.A0 (\u5_mem[1]_65 ), .A1 (n_5048), .B0 (n_2758), .Y
+ (n_5013));
+ AOI21X1 g38299(.A0 (\u5_mem[1]_66 ), .A1 (n_5037), .B0 (n_2835), .Y
+ (n_5012));
+ AOI21X1 g38300(.A0 (\u6_mem[1]_85 ), .A1 (n_5112), .B0 (n_2668), .Y
+ (n_5011));
+ AOI21X1 g38301(.A0 (\u5_mem[1]_68 ), .A1 (n_5048), .B0 (n_2731), .Y
+ (n_5010));
+ AOI21X1 g38304(.A0 (\u7_mem[1]_90 ), .A1 (n_5118), .B0 (n_2837), .Y
+ (n_5009));
+ AOI21X1 g38308(.A0 (\u6_mem[1]_84 ), .A1 (n_5019), .B0 (n_2820), .Y
+ (n_5008));
+ AOI21X1 g38319(.A0 (\u6_mem[1]_82 ), .A1 (n_5112), .B0 (n_2650), .Y
+ (n_5007));
+ AOI21X1 g38328(.A0 (\u6_mem[3]_149 ), .A1 (n_5100), .B0 (n_3234), .Y
+ (n_5006));
+ AOI21X1 g38330(.A0 (\u7_mem[1]_87 ), .A1 (n_5069), .B0 (n_2740), .Y
+ (n_5005));
+ AOI21X1 g38331(.A0 (\u6_mem[1]_81 ), .A1 (n_5019), .B0 (n_2797), .Y
+ (n_5004));
+ AOI21X1 g38335(.A0 (\u6_mem[1]_80 ), .A1 (n_5019), .B0 (n_2660), .Y
+ (n_5003));
+ AOI21X1 g38337(.A0 (\u5_mem[3] ), .A1 (n_5000), .B0 (n_3023), .Y
+ (n_5002));
+ AOI21X1 g38338(.A0 (\u5_mem[3]_131 ), .A1 (n_5000), .B0 (n_3063), .Y
+ (n_5001));
+ AOI21X1 g38339(.A0 (\u5_mem[3]_132 ), .A1 (n_4996), .B0 (n_2929), .Y
+ (n_4999));
+ AOI21X1 g38340(.A0 (\u5_mem[3]_133 ), .A1 (n_5000), .B0 (n_2739), .Y
+ (n_4998));
+ AOI21X1 g38341(.A0 (\u5_mem[3]_134 ), .A1 (n_4996), .B0 (n_2932), .Y
+ (n_4997));
+ AOI21X1 g38342(.A0 (\u5_mem[3]_135 ), .A1 (n_4996), .B0 (n_2934), .Y
+ (n_4995));
+ AOI21X1 g38343(.A0 (\u5_mem[3]_136 ), .A1 (n_5000), .B0 (n_2939), .Y
+ (n_4994));
+ AOI21X1 g38344(.A0 (\u5_mem[3]_137 ), .A1 (n_5000), .B0 (n_3154), .Y
+ (n_4993));
+ AOI21X1 g38345(.A0 (\u5_mem[3]_138 ), .A1 (n_4996), .B0 (n_3133), .Y
+ (n_4992));
+ AOI21X1 g38346(.A0 (\u7_mem[1]_79 ), .A1 (n_5118), .B0 (n_2734), .Y
+ (n_4991));
+ AOI21X1 g38347(.A0 (\u5_mem[3]_139 ), .A1 (n_4996), .B0 (n_2965), .Y
+ (n_4990));
+ AOI21X1 g38348(.A0 (\u5_mem[3]_140 ), .A1 (n_5000), .B0 (n_3132), .Y
+ (n_4989));
+ AOI21X1 g38349(.A0 (\u6_mem[3]_133 ), .A1 (n_5100), .B0 (n_2987), .Y
+ (n_4988));
+ AOI21X1 g38350(.A0 (\u5_mem[3]_122 ), .A1 (n_4996), .B0 (n_2975), .Y
+ (n_4987));
+ AOI21X1 g38351(.A0 (\u5_mem[3]_141 ), .A1 (n_5000), .B0 (n_3449), .Y
+ (n_4986));
+ AOI21X1 g38352(.A0 (\u5_mem[3]_142 ), .A1 (n_5000), .B0 (n_3053), .Y
+ (n_4985));
+ AOI21X1 g38353(.A0 (\u5_mem[3]_143 ), .A1 (n_4996), .B0 (n_3131), .Y
+ (n_4984));
+ AOI21X1 g38354(.A0 (\u5_mem[3]_144 ), .A1 (n_4996), .B0 (n_3129), .Y
+ (n_4983));
+ AOI21X1 g38355(.A0 (\u5_mem[3]_145 ), .A1 (n_4996), .B0 (n_3128), .Y
+ (n_4982));
+ AOI21X1 g38356(.A0 (\u5_mem[3]_146 ), .A1 (n_4996), .B0 (n_3001), .Y
+ (n_4981));
+ AOI21X1 g38357(.A0 (\u5_mem[3]_147 ), .A1 (n_4996), .B0 (n_3006), .Y
+ (n_4980));
+ AOI21X1 g38358(.A0 (\u5_mem[3]_148 ), .A1 (n_5000), .B0 (n_3346), .Y
+ (n_4979));
+ AOI21X1 g38359(.A0 (\u5_mem[3]_149 ), .A1 (n_5000), .B0 (n_3123), .Y
+ (n_4978));
+ AOI21X1 g38360(.A0 (\u5_mem[3]_150 ), .A1 (n_5000), .B0 (n_3010), .Y
+ (n_4977));
+ AOI21X1 g38361(.A0 (\u5_mem[3]_123 ), .A1 (n_4996), .B0 (n_3353), .Y
+ (n_4976));
+ AOI21X1 g38362(.A0 (\u7_mem[1]_83 ), .A1 (n_5118), .B0 (n_2791), .Y
+ (n_4975));
+ AOI21X1 g38363(.A0 (\u5_mem[3]_151 ), .A1 (n_5000), .B0 (n_3018), .Y
+ (n_4974));
+ AOI21X1 g38364(.A0 (\u6_mem[1]_78 ), .A1 (n_5019), .B0 (n_2821), .Y
+ (n_4973));
+ AOI21X1 g38365(.A0 (\u5_mem[3]_152 ), .A1 (n_5000), .B0 (n_3118), .Y
+ (n_4972));
+ AOI21X1 g38366(.A0 (\u5_mem[3]_124 ), .A1 (n_5000), .B0 (n_3116), .Y
+ (n_4971));
+ AOI21X1 g38367(.A0 (\u5_mem[3]_125 ), .A1 (n_5000), .B0 (n_3114), .Y
+ (n_4970));
+ AOI21X1 g38368(.A0 (\u5_mem[3]_126 ), .A1 (n_5000), .B0 (n_3027), .Y
+ (n_4969));
+ AOI21X1 g38369(.A0 (\u5_mem[3]_128 ), .A1 (n_4996), .B0 (n_3111), .Y
+ (n_4968));
+ AOI21X1 g38370(.A0 (\u6_mem[1]_77 ), .A1 (n_5019), .B0 (n_2809), .Y
+ (n_4967));
+ AOI21X1 g38371(.A0 (\u5_mem[3]_129 ), .A1 (n_4996), .B0 (n_2854), .Y
+ (n_4966));
+ AOI21X1 g38372(.A0 (\u5_mem[3]_130 ), .A1 (n_4996), .B0 (n_3058), .Y
+ (n_4965));
+ AOI21X1 g38376(.A0 (\u7_mem[1]_81 ), .A1 (n_5069), .B0 (n_2793), .Y
+ (n_4964));
+ AOI21X1 g38377(.A0 (\u3_mem[1]_77 ), .A1 (n_5157), .B0 (n_2653), .Y
+ (n_4963));
+ AOI21X1 g38379(.A0 (\u7_mem[3]_137 ), .A1 (n_4961), .B0 (n_3455), .Y
+ (n_4962));
+ AOI21X1 g38380(.A0 (\u3_mem[3]_146 ), .A1 (n_5133), .B0 (n_3467), .Y
+ (n_4960));
+ AOI21X1 g38381(.A0 (\u7_mem[3]_148 ), .A1 (n_5145), .B0 (n_2879), .Y
+ (n_4959));
+ AOI21X1 g38386(.A0 (\u3_mem[3]_142 ), .A1 (n_5133), .B0 (n_2961), .Y
+ (n_4958));
+ AOI21X1 g38387(.A0 (\u7_mem[3]_125 ), .A1 (n_5145), .B0 (n_2907), .Y
+ (n_4957));
+ AOI21X1 g38388(.A0 (\u7_mem[3]_128 ), .A1 (n_5145), .B0 (n_3454), .Y
+ (n_4956));
+ AOI21X1 g38390(.A0 (\u3_mem[3]_135 ), .A1 (n_5138), .B0 (n_3478), .Y
+ (n_4955));
+ AOI21X1 g38393(.A0 (\u3_mem[3]_125 ), .A1 (n_5133), .B0 (n_3391), .Y
+ (n_4954));
+ AOI21X1 g38396(.A0 (\u3_mem[3]_152 ), .A1 (n_5133), .B0 (n_3338), .Y
+ (n_4953));
+ AOI21X1 g38397(.A0 (\u7_mem[3]_139 ), .A1 (n_4961), .B0 (n_3198), .Y
+ (n_4952));
+ AOI21X1 g38399(.A0 (\u7_mem[1]_64 ), .A1 (n_5069), .B0 (n_2694), .Y
+ (n_4951));
+ AOI21X1 g38401(.A0 (\u5_mem[3]_127 ), .A1 (n_4996), .B0 (n_3031), .Y
+ (n_4950));
+ AOI21X1 g38403(.A0 (\u7_mem[3]_136 ), .A1 (n_4961), .B0 (n_3501), .Y
+ (n_4949));
+ AOI21X1 g38404(.A0 (\u6_mem[1] ), .A1 (n_5112), .B0 (n_2675), .Y
+ (n_4948));
+ AOI21X1 g38405(.A0 (\u6_mem[1]_70 ), .A1 (n_5112), .B0 (n_2652), .Y
+ (n_4947));
+ AOI21X1 g38407(.A0 (\u6_mem[1]_72 ), .A1 (n_5019), .B0 (n_2682), .Y
+ (n_4946));
+ AOI21X1 g38408(.A0 (\u6_mem[1]_76 ), .A1 (n_5112), .B0 (n_2737), .Y
+ (n_4945));
+ AOI21X1 g38409(.A0 (\u6_mem[1]_75 ), .A1 (n_5112), .B0 (n_2787), .Y
+ (n_4944));
+ AOI21X1 g38410(.A0 (\u6_mem[1]_60 ), .A1 (n_5019), .B0 (n_2709), .Y
+ (n_4943));
+ AOI21X1 g38411(.A0 (\u6_mem[1]_79 ), .A1 (n_5019), .B0 (n_2664), .Y
+ (n_4942));
+ AOI21X1 g38412(.A0 (\u6_mem[1]_74 ), .A1 (n_5112), .B0 (n_2683), .Y
+ (n_4941));
+ AOI21X1 g38414(.A0 (\u6_mem[1]_83 ), .A1 (n_5112), .B0 (n_2817), .Y
+ (n_4940));
+ AOI21X1 g38415(.A0 (\u6_mem[1]_87 ), .A1 (n_5019), .B0 (n_2826), .Y
+ (n_4939));
+ AOI21X1 g38416(.A0 (\u6_mem[1]_73 ), .A1 (n_5019), .B0 (n_2680), .Y
+ (n_4938));
+ AOI21X1 g38417(.A0 (\u6_mem[1]_61 ), .A1 (n_5019), .B0 (n_2824), .Y
+ (n_4937));
+ AOI21X1 g38418(.A0 (\u6_mem[1]_90 ), .A1 (n_5019), .B0 (n_2750), .Y
+ (n_4936));
+ AOI21X1 g38419(.A0 (\u6_mem[1]_62 ), .A1 (n_5019), .B0 (n_2811), .Y
+ (n_4935));
+ AOI21X1 g38420(.A0 (\u6_mem[1]_65 ), .A1 (n_5019), .B0 (n_2727), .Y
+ (n_4934));
+ AOI21X1 g38421(.A0 (\u6_mem[1]_71 ), .A1 (n_5112), .B0 (n_2838), .Y
+ (n_4933));
+ AOI21X1 g38423(.A0 (\u7_mem[1]_78 ), .A1 (n_5069), .B0 (n_2693), .Y
+ (n_4932));
+ AOI21X1 g38427(.A0 (\u7_mem[3]_126 ), .A1 (n_4961), .B0 (n_3032), .Y
+ (n_4931));
+ AOI21X1 g38429(.A0 (\u6_mem[1]_69 ), .A1 (n_5019), .B0 (n_2676), .Y
+ (n_4930));
+ AOI21X1 g38430(.A0 (\u7_mem[1]_72 ), .A1 (n_5118), .B0 (n_2812), .Y
+ (n_4929));
+ AOI21X1 g38437(.A0 (\u6_mem[3] ), .A1 (n_5059), .B0 (n_2964), .Y
+ (n_4928));
+ AOI21X1 g38438(.A0 (\u6_mem[3]_131 ), .A1 (n_5059), .B0 (n_2916), .Y
+ (n_4927));
+ AOI21X1 g38439(.A0 (\u6_mem[3]_132 ), .A1 (n_5059), .B0 (n_3040), .Y
+ (n_4926));
+ AOI21X1 g38440(.A0 (\u6_mem[3]_134 ), .A1 (n_5059), .B0 (n_2946), .Y
+ (n_4925));
+ AOI21X1 g38442(.A0 (\u6_mem[3]_135 ), .A1 (n_5100), .B0 (n_3333), .Y
+ (n_4924));
+ AOI21X1 g38443(.A0 (\u6_mem[3]_136 ), .A1 (n_5059), .B0 (n_3410), .Y
+ (n_4923));
+ AOI21X1 g38445(.A0 (\u6_mem[3]_140 ), .A1 (n_5059), .B0 (n_3121), .Y
+ (n_4922));
+ AOI21X1 g38446(.A0 (\u6_mem[3]_142 ), .A1 (n_5100), .B0 (n_3167), .Y
+ (n_4921));
+ AOI21X1 g38447(.A0 (\u6_mem[3]_147 ), .A1 (n_5100), .B0 (n_3350), .Y
+ (n_4920));
+ AOI21X1 g38448(.A0 (\u6_mem[3]_123 ), .A1 (n_5059), .B0 (n_3285), .Y
+ (n_4919));
+ AOI21X1 g38450(.A0 (\u7_mem[1]_70 ), .A1 (n_5118), .B0 (n_2752), .Y
+ (n_4918));
+ AOI21X1 g38451(.A0 (\u6_mem[3]_125 ), .A1 (n_5059), .B0 (n_3204), .Y
+ (n_4917));
+ AOI21X1 g38452(.A0 (\u6_mem[3]_127 ), .A1 (n_5059), .B0 (n_3318), .Y
+ (n_4916));
+ AOI21X1 g38453(.A0 (\u6_mem[3]_128 ), .A1 (n_5100), .B0 (n_2977), .Y
+ (n_4915));
+ AOI21X1 g38454(.A0 (\u6_mem[3]_129 ), .A1 (n_5100), .B0 (n_3035), .Y
+ (n_4914));
+ AOI21X1 g38455(.A0 (\u3_mem[1]_74 ), .A1 (n_5157), .B0 (n_2743), .Y
+ (n_4913));
+ AOI21X1 g38457(.A0 (\u7_mem[3]_152 ), .A1 (n_4961), .B0 (n_3321), .Y
+ (n_4912));
+ AOI21X1 g38461(.A0 (\u7_mem[1]_85 ), .A1 (n_5069), .B0 (n_2711), .Y
+ (n_4911));
+ AOI21X1 g38464(.A0 (\u3_mem[3]_139 ), .A1 (n_5138), .B0 (n_2902), .Y
+ (n_4910));
+ AOI21X1 g38465(.A0 (\u7_mem[3]_123 ), .A1 (n_5145), .B0 (n_3364), .Y
+ (n_4909));
+ AOI21X1 g38467(.A0 (\u3_mem[3]_129 ), .A1 (n_5133), .B0 (n_3329), .Y
+ (n_4908));
+ AOI21X1 g38471(.A0 (\u7_mem[3]_132 ), .A1 (n_4961), .B0 (n_3079), .Y
+ (n_4907));
+ AOI21X1 g38472(.A0 (\u6_mem[1]_68 ), .A1 (n_5112), .B0 (n_2659), .Y
+ (n_4906));
+ AOI21X1 g38474(.A0 (\u7_mem[1]_69 ), .A1 (n_5118), .B0 (n_2692), .Y
+ (n_4905));
+ AOI21X1 g38476(.A0 (\u7_mem[1]_71 ), .A1 (n_5118), .B0 (n_2701), .Y
+ (n_4904));
+ AOI21X1 g38477(.A0 (\u7_mem[1]_73 ), .A1 (n_5069), .B0 (n_2699), .Y
+ (n_4903));
+ AOI21X1 g38478(.A0 (\u7_mem[1] ), .A1 (n_5069), .B0 (n_2671), .Y
+ (n_4902));
+ AOI21X1 g38479(.A0 (\u7_mem[1]_75 ), .A1 (n_5069), .B0 (n_2674), .Y
+ (n_4901));
+ AOI21X1 g38480(.A0 (\u7_mem[1]_76 ), .A1 (n_5118), .B0 (n_2706), .Y
+ (n_4900));
+ AOI21X1 g38481(.A0 (\u7_mem[1]_80 ), .A1 (n_5069), .B0 (n_2730), .Y
+ (n_4899));
+ AOI21X1 g38482(.A0 (\u7_mem[3]_145 ), .A1 (n_5145), .B0 (n_3103), .Y
+ (n_4898));
+ AOI21X1 g38483(.A0 (\u7_mem[1]_82 ), .A1 (n_5069), .B0 (n_2649), .Y
+ (n_4897));
+ AOI21X1 g38484(.A0 (\u7_mem[1]_84 ), .A1 (n_5069), .B0 (n_2840), .Y
+ (n_4896));
+ AOI21X1 g38485(.A0 (\u7_mem[1]_86 ), .A1 (n_5069), .B0 (n_2656), .Y
+ (n_4895));
+ AOI21X1 g38486(.A0 (\u7_mem[1]_88 ), .A1 (n_5069), .B0 (n_2715), .Y
+ (n_4894));
+ AOI21X1 g38487(.A0 (\u7_mem[1]_89 ), .A1 (n_5069), .B0 (n_2647), .Y
+ (n_4893));
+ AOI21X1 g38488(.A0 (\u7_mem[1]_62 ), .A1 (n_5118), .B0 (n_2805), .Y
+ (n_4892));
+ AOI21X1 g38489(.A0 (\u7_mem[1]_66 ), .A1 (n_5069), .B0 (n_2714), .Y
+ (n_4891));
+ AOI21X1 g38491(.A0 (\u7_mem[1]_68 ), .A1 (n_5118), .B0 (n_2688), .Y
+ (n_4890));
+ AOI21X1 g38495(.A0 (\u3_mem[3]_133 ), .A1 (n_5133), .B0 (n_2912), .Y
+ (n_4889));
+ AOI21X1 g38508(.A0 (\u7_mem[3]_131 ), .A1 (n_5145), .B0 (n_3311), .Y
+ (n_4888));
+ AOI21X1 g38510(.A0 (\u7_mem[3]_133 ), .A1 (n_5145), .B0 (n_3520), .Y
+ (n_4887));
+ AOI21X1 g38511(.A0 (\u7_mem[3]_135 ), .A1 (n_5145), .B0 (n_2930), .Y
+ (n_4886));
+ AOI21X1 g38514(.A0 (\u7_mem[3]_127 ), .A1 (n_4961), .B0 (n_3381), .Y
+ (n_4885));
+ AOI21X1 g38515(.A0 (\u7_mem[3]_122 ), .A1 (n_4961), .B0 (n_3409), .Y
+ (n_4884));
+ AOI21X1 g38516(.A0 (\u7_mem[3]_142 ), .A1 (n_4961), .B0 (n_3076), .Y
+ (n_4883));
+ AOI21X1 g38517(.A0 (\u7_mem[3]_144 ), .A1 (n_4961), .B0 (n_3324), .Y
+ (n_4882));
+ AOI21X1 g38518(.A0 (\u7_mem[3]_146 ), .A1 (n_5145), .B0 (n_2976), .Y
+ (n_4881));
+ AOI21X1 g38521(.A0 (\u7_mem[3]_150 ), .A1 (n_4961), .B0 (n_3417), .Y
+ (n_4880));
+ AOI21X1 g38522(.A0 (\u7_mem[3]_151 ), .A1 (n_4961), .B0 (n_3015), .Y
+ (n_4879));
+ AOI21X1 g38523(.A0 (\u7_mem[3]_124 ), .A1 (n_5145), .B0 (n_3444), .Y
+ (n_4878));
+ AOI21X1 g38524(.A0 (\u7_mem[3]_130 ), .A1 (n_4961), .B0 (n_3446), .Y
+ (n_4877));
+ AOI21X1 g38525(.A0 (\u7_mem[3]_149 ), .A1 (n_4961), .B0 (n_3172), .Y
+ (n_4876));
+ AOI21X1 g38528(.A0 (\u7_mem[3] ), .A1 (n_4961), .B0 (n_2890), .Y
+ (n_4875));
+ AOI21X1 g38530(.A0 (\u7_mem[3]_134 ), .A1 (n_5145), .B0 (n_3342), .Y
+ (n_4874));
+ AOI21X1 g38535(.A0 (\u3_mem[3]_131 ), .A1 (n_5133), .B0 (n_3090), .Y
+ (n_4873));
+ AOI21X1 g38537(.A0 (\u3_mem[1]_80 ), .A1 (n_5148), .B0 (n_2695), .Y
+ (n_4872));
+ AOI21X1 g38538(.A0 (\u3_mem[3]_132 ), .A1 (n_5138), .B0 (n_2858), .Y
+ (n_4871));
+ AOI21X1 g38554(.A0 (\u3_mem[1] ), .A1 (n_5148), .B0 (n_3412), .Y
+ (n_4870));
+ AOI21X1 g38555(.A0 (\u3_mem[1]_69 ), .A1 (n_5148), .B0 (n_2648), .Y
+ (n_4869));
+ AOI21X1 g38557(.A0 (\u3_mem[1]_70 ), .A1 (n_5148), .B0 (n_2698), .Y
+ (n_4868));
+ AOI21X1 g38561(.A0 (\u3_mem[1]_73 ), .A1 (n_5157), .B0 (n_2757), .Y
+ (n_4867));
+ AOI21X1 g38564(.A0 (\u3_mem[1]_75 ), .A1 (n_5157), .B0 (n_2839), .Y
+ (n_4866));
+ AOI21X1 g38566(.A0 (\u3_mem[1]_76 ), .A1 (n_5148), .B0 (n_2813), .Y
+ (n_4865));
+ AOI21X1 g38567(.A0 (\u3_mem[1]_78 ), .A1 (n_5148), .B0 (n_2819), .Y
+ (n_4864));
+ AOI21X1 g38568(.A0 (\u3_mem[1]_60 ), .A1 (n_5148), .B0 (n_2814), .Y
+ (n_4863));
+ AOI21X1 g38570(.A0 (\u7_mem[3]_147 ), .A1 (n_5145), .B0 (n_2909), .Y
+ (n_4862));
+ AOI21X1 g38571(.A0 (\u3_mem[1]_79 ), .A1 (n_5148), .B0 (n_2815), .Y
+ (n_4861));
+ AOI21X1 g38572(.A0 (\u3_mem[1]_81 ), .A1 (n_5148), .B0 (n_2658), .Y
+ (n_4860));
+ AOI21X1 g38573(.A0 (\u3_mem[3] ), .A1 (n_5138), .B0 (n_2937), .Y
+ (n_4859));
+ AOI21X1 g38574(.A0 (\u3_mem[1]_82 ), .A1 (n_5148), .B0 (n_2822), .Y
+ (n_4858));
+ AOI21X1 g38576(.A0 (\u3_mem[1]_83 ), .A1 (n_5157), .B0 (n_2663), .Y
+ (n_4857));
+ AOI21X1 g38578(.A0 (\u3_mem[1]_85 ), .A1 (n_5148), .B0 (n_2704), .Y
+ (n_4856));
+ AOI21X1 g38579(.A0 (\u3_mem[1]_86 ), .A1 (n_5157), .B0 (n_2723), .Y
+ (n_4855));
+ AOI22X1 g38786(.A0 (n_4253), .A1 (n_784), .B0 (n_6821), .B1 (n_762),
+ .Y (n_4854));
+ NAND2X1 g39219(.A (n_12747), .B (\u4_rp[3] ), .Y (n_5466));
+ OAI21X1 g35857(.A0 (n_2615), .A1 (\u2_to_cnt[5] ), .B0 (n_2616), .Y
+ (n_4853));
+ NOR2X1 g35856(.A (n_2625), .B (n_4851), .Y (n_4852));
+ AOI21X1 g35435(.A0 (n_1558), .A1 (n_2368), .B0 (n_2570), .Y (n_4850));
+ AOI21X1 g35437(.A0 (n_1234), .A1 (n_2513), .B0 (n_2569), .Y (n_4848));
+ MX2X1 g35483(.A (n_1818), .B (\u2_res_cnt[2] ), .S0 (n_5632), .Y
+ (n_4846));
+ XOR2X1 g35487(.A (\u9_wp[3] ), .B (n_1557), .Y (n_4845));
+ XOR2X1 g35489(.A (\u10_wp[3] ), .B (n_1559), .Y (n_4844));
+ MX2X1 g35727(.A (n_796), .B (\u2_res_cnt[1] ), .S0 (n_5632), .Y
+ (n_4843));
+ DFFX1 u12_re1_reg(.CK (clk_i), .D (n_2600), .Q (u12_re1), .QN ());
+ XOR2X1 g36189(.A (n_1420), .B (n_1790), .Y (n_4842));
+ XOR2X1 g36190(.A (n_799), .B (n_1788), .Y (n_4841));
+ DFFX1 u2_bit_clk_e_reg(.CK (clk_i), .D (n_2589), .Q (u2_bit_clk_e),
+ .QN ());
+ OR2X1 g36306(.A (n_2597), .B (n_1212), .Y (n_4840));
+ NOR2X1 g36414(.A (n_2577), .B (n_4837), .Y (n_4839));
+ NOR2X1 g36415(.A (n_3432), .B (n_4837), .Y (n_4838));
+ NAND2X1 g36447(.A (n_2565), .B (n_4836), .Y (n_6059));
+ NOR2X1 g36476(.A (n_2609), .B (n_1776), .Y (n_5440));
+ NAND2X1 g36493(.A (n_3561), .B (n_1291), .Y (n_4835));
+ NAND2X1 g36524(.A (n_2566), .B (n_4834), .Y (n_5964));
+ INVX1 g36561(.A (n_4832), .Y (n_4833));
+ NOR2X1 g36563(.A (n_1114), .B (n_2604), .Y (n_4831));
+ NAND2X1 g36585(.A (n_3563), .B (n_2624), .Y (n_4830));
+ NOR2X1 g36612(.A (n_2610), .B (n_2587), .Y (n_4828));
+ XOR2X1 g36734(.A (\u26_ps_cnt[5] ), .B (n_1775), .Y (n_4827));
+ XOR2X1 g36736(.A (\u2_cnt[5] ), .B (n_1774), .Y (n_4826));
+ AOI21X1 g36741(.A0 (n_2602), .A1 (\u2_cnt[6] ), .B0 (n_2603), .Y
+ (n_4825));
+ DFFX1 u2_suspended_reg(.CK (clk_i), .D (n_5630), .Q (suspended_o),
+ .QN ());
+ INVX1 g36757(.A (o7_status), .Y (n_5400));
+ DFFX1 \u10_status_reg[0] (.CK (clk_i), .D (n_3561), .Q (i4_status),
+ .QN ());
+ INVX1 g36761(.A (o3_status), .Y (n_5398));
+ INVX1 g36764(.A (o4_status), .Y (n_5396));
+ INVX1 g36770(.A (o8_status), .Y (n_5394));
+ DFFX1 \u9_status_reg[0] (.CK (clk_i), .D (n_3563), .Q (i3_status),
+ .QN ());
+ NAND2X1 g36814(.A (n_1862), .B (n_3893), .Y (n_4824));
+ NAND2X1 g36820(.A (n_1840), .B (n_2555), .Y (n_4823));
+ NAND2X1 g36825(.A (n_1657), .B (n_2554), .Y (n_4822));
+ NAND2X1 g36832(.A (n_2527), .B (n_3892), .Y (n_4821));
+ NOR2X1 g36856(.A (n_5418), .B (n_6594), .Y (n_4820));
+ NOR2X1 g36858(.A (n_5422), .B (n_6594), .Y (n_4819));
+ NAND2X1 g36877(.A (n_2551), .B (n_2548), .Y (n_4818));
+ NAND2X1 g36892(.A (n_2628), .B (n_1241), .Y (n_4817));
+ NAND2X1 g36901(.A (n_2547), .B (n_1239), .Y (n_4816));
+ NAND2X1 g36909(.A (n_2540), .B (n_2537), .Y (n_4815));
+ NAND2X1 g36922(.A (n_2543), .B (n_1252), .Y (n_4814));
+ NAND2X1 g36933(.A (n_2532), .B (n_1237), .Y (n_4813));
+ NAND2X1 g36936(.A (n_2531), .B (n_1236), .Y (n_4812));
+ NAND2X1 g36943(.A (n_2529), .B (n_1317), .Y (n_4811));
+ NAND2X1 g36946(.A (n_2528), .B (n_1235), .Y (n_4810));
+ NAND2X1 g36949(.A (n_2526), .B (n_1612), .Y (n_4809));
+ NAND2X1 g36952(.A (n_2525), .B (n_2523), .Y (n_4808));
+ NAND2X1 g37015(.A (n_2535), .B (n_2510), .Y (n_4807));
+ NAND2X1 g37057(.A (n_2536), .B (n_1295), .Y (n_4806));
+ NOR2X1 g37072(.A (n_3875), .B (n_2368), .Y (n_4805));
+ NOR2X1 g37124(.A (n_5413), .B (n_6649), .Y (n_4804));
+ NOR2X1 g37125(.A (n_5410), .B (n_6649), .Y (n_4803));
+ NOR2X1 g37135(.A (n_3560), .B (n_12823), .Y (n_5942));
+ NAND2X1 g37154(.A (n_2552), .B (n_2303), .Y (n_6017));
+ NAND2X1 g37156(.A (n_2521), .B (n_2236), .Y (n_5997));
+ NAND2X1 g37168(.A (n_2520), .B (n_2268), .Y (n_6014));
+ NAND2X1 g37170(.A (n_2519), .B (n_2261), .Y (n_6011));
+ NAND2X1 g37171(.A (n_2516), .B (n_2197), .Y (n_5987));
+ NAND2X1 g37173(.A (n_2511), .B (n_2359), .Y (n_6008));
+ NAND2X1 g37176(.A (n_2517), .B (n_2922), .Y (n_5993));
+ NAND2X1 g37183(.A (n_3894), .B (n_3020), .Y (n_5983));
+ NAND2X1 g37191(.A (n_2533), .B (n_2239), .Y (n_6003));
+ XOR2X1 g37197(.A (n_1419), .B (n_4801), .Y (n_4802));
+ XOR2X1 g37201(.A (n_1417), .B (n_4799), .Y (n_4800));
+ XOR2X1 g37203(.A (n_1421), .B (n_4797), .Y (n_12838));
+ XOR2X1 g37205(.A (n_1424), .B (n_4795), .Y (n_4796));
+ MX2X1 g37207(.A (\u9_mem[1]_140 ), .B (n_4776), .S0 (n_4783), .Y
+ (n_4794));
+ MX2X1 g37212(.A (\u10_mem[2]_108 ), .B (n_4745), .S0 (n_5424), .Y
+ (n_4793));
+ MX2X1 g37217(.A (\u10_mem[1]_146 ), .B (n_4751), .S0 (n_6475), .Y
+ (n_4792));
+ MX2X1 g37218(.A (\u9_mem[1]_138 ), .B (n_4778), .S0 (n_5730), .Y
+ (n_4791));
+ MX2X1 g37219(.A (\u9_mem[1]_139 ), .B (n_4747), .S0 (n_5730), .Y
+ (n_4790));
+ MX2X1 g37220(.A (\u9_mem[1]_141 ), .B (n_4757), .S0 (n_4783), .Y
+ (n_4789));
+ MX2X1 g37221(.A (\u9_mem[1]_143 ), .B (n_4755), .S0 (n_4783), .Y
+ (n_4788));
+ MX2X1 g37222(.A (\u9_mem[1]_145 ), .B (n_4743), .S0 (n_4783), .Y
+ (n_4786));
+ MX2X1 g37223(.A (\u9_mem[1]_146 ), .B (n_4772), .S0 (n_4783), .Y
+ (n_4785));
+ MX2X1 g37224(.A (\u9_mem[1]_147 ), .B (n_4769), .S0 (n_4783), .Y
+ (n_4784));
+ MX2X1 g37225(.A (\u9_mem[1]_148 ), .B (n_4764), .S0 (n_4783), .Y
+ (n_4782));
+ MX2X1 g37226(.A (\u9_mem[1]_149 ), .B (n_4767), .S0 (n_5730), .Y
+ (n_4781));
+ MX2X1 g37227(.A (\u10_mem[1]_145 ), .B (n_4759), .S0 (n_5407), .Y
+ (n_4780));
+ MX2X1 g37228(.A (\u9_mem[2]_107 ), .B (n_4778), .S0 (n_6898), .Y
+ (n_4779));
+ MX2X1 g37229(.A (\u9_mem[2]_109 ), .B (n_4776), .S0 (n_5732), .Y
+ (n_4777));
+ MX2X1 g37230(.A (\u9_mem[2]_111 ), .B (n_4753), .S0 (n_5732), .Y
+ (n_4775));
+ MX2X1 g37231(.A (\u9_mem[2]_113 ), .B (n_4749), .S0 (n_6898), .Y
+ (n_4774));
+ MX2X1 g37232(.A (\u9_mem[2]_115 ), .B (n_4772), .S0 (n_6898), .Y
+ (n_4773));
+ MX2X1 g37233(.A (\u9_mem[2]_116 ), .B (n_4769), .S0 (n_6898), .Y
+ (n_4770));
+ MX2X1 g37234(.A (\u9_mem[2]_118 ), .B (n_4767), .S0 (n_6898), .Y
+ (n_4768));
+ MX2X1 g37235(.A (\u10_mem[1]_144 ), .B (n_4761), .S0 (n_5407), .Y
+ (n_4766));
+ MX2X1 g37241(.A (\u9_mem[2]_117 ), .B (n_4764), .S0 (n_6898), .Y
+ (n_4765));
+ MX2X1 g37260(.A (\u10_mem[2]_113 ), .B (n_4761), .S0 (n_5341), .Y
+ (n_4762));
+ MX2X1 g37267(.A (\u10_mem[2]_114 ), .B (n_4759), .S0 (n_5341), .Y
+ (n_4760));
+ MX2X1 g37268(.A (\u9_mem[2]_110 ), .B (n_4757), .S0 (n_6898), .Y
+ (n_4758));
+ MX2X1 g37269(.A (\u9_mem[2]_112 ), .B (n_4755), .S0 (n_5732), .Y
+ (n_4756));
+ MX2X1 g37271(.A (\u9_mem[1]_142 ), .B (n_4753), .S0 (n_4783), .Y
+ (n_4754));
+ MX2X1 g37274(.A (\u10_mem[2]_115 ), .B (n_4751), .S0 (n_5341), .Y
+ (n_4752));
+ MX2X1 g37276(.A (\u9_mem[1]_144 ), .B (n_4749), .S0 (n_5730), .Y
+ (n_4750));
+ MX2X1 g37279(.A (\u9_mem[2]_108 ), .B (n_4747), .S0 (n_6898), .Y
+ (n_4748));
+ MX2X1 g37307(.A (\u10_mem[1]_139 ), .B (n_4745), .S0 (n_6475), .Y
+ (n_4746));
+ MX2X1 g37313(.A (\u9_mem[2]_114 ), .B (n_4743), .S0 (n_5732), .Y
+ (n_4744));
+ AOI22X1 g37315(.A0 (n_4729), .A1 (n_1481), .B0 (n_5591), .B1
+ (n_11564), .Y (n_4742));
+ AOI22X1 g37317(.A0 (n_4729), .A1 (oc5_cfg_1015), .B0 (n_5591), .B1
+ (n_4738), .Y (n_4741));
+ AOI22X1 g37318(.A0 (n_4729), .A1 (n_8199), .B0 (n_5591), .B1
+ (n_4736), .Y (n_4737));
+ AOI22X1 g37319(.A0 (n_4729), .A1 (n_8197), .B0 (n_5591), .B1
+ (n_4734), .Y (n_4735));
+ AOI22X1 g37321(.A0 (n_5591), .A1 (n_11772), .B0 (n_6972), .B1
+ (n_997), .Y (n_4733));
+ AOI22X1 g37322(.A0 (n_5272), .A1 (\u13_intm_r[17] ), .B0
+ (\u13_ints_r[17] ), .B1 (n_4726), .Y (n_4731));
+ AOI22X1 g37324(.A0 (n_4729), .A1 (oc4_cfg_1004), .B0 (n_5591), .B1
+ (ic0_cfg_1024), .Y (n_4730));
+ AOI22X1 g37326(.A0 (n_5277), .A1 (crac_out_865), .B0 (n_6972), .B1
+ (oc2_cfg_987), .Y (n_4728));
+ AOI22X1 g37327(.A0 (n_5272), .A1 (\u13_intm_r[20] ), .B0
+ (\u13_ints_r[20] ), .B1 (n_4726), .Y (n_4727));
+ INVX1 g37328(.A (n_3991), .Y (n_4725));
+ AOI22X1 g37330(.A0 (n_5272), .A1 (\u13_intm_r[21] ), .B0
+ (\u13_ints_r[21] ), .B1 (n_4726), .Y (n_4724));
+ INVX1 g37331(.A (n_3989), .Y (n_4723));
+ AOI22X1 g37333(.A0 (n_5272), .A1 (\u13_intm_r[22] ), .B0
+ (\u13_ints_r[22] ), .B1 (n_4726), .Y (n_4722));
+ AOI22X1 g37334(.A0 (n_5272), .A1 (\u13_intm_r[16] ), .B0
+ (\u13_ints_r[16] ), .B1 (n_4726), .Y (n_4721));
+ INVX1 g37335(.A (n_3986), .Y (n_4720));
+ AOI22X1 g37337(.A0 (n_5272), .A1 (\u13_intm_r[24] ), .B0 (n_6972),
+ .B1 (n_1873), .Y (n_4719));
+ AOI22X1 g37338(.A0 (n_5272), .A1 (\u13_intm_r[25] ), .B0 (n_6972),
+ .B1 (oc3_cfg_994), .Y (n_4718));
+ AOI22X1 g37341(.A0 (n_5272), .A1 (\u13_intm_r[28] ), .B0 (n_6972),
+ .B1 (oc3_cfg_997), .Y (n_4716));
+ AOI22X1 g37343(.A0 (n_4729), .A1 (n_4714), .B0 (n_5591), .B1
+ (n_4713), .Y (n_4715));
+ AOI22X1 g37346(.A0 (n_4729), .A1 (n_4711), .B0 (n_5591), .B1
+ (n_4710), .Y (n_4712));
+ AOI22X1 g37348(.A0 (n_4729), .A1 (n_8190), .B0 (n_5591), .B1
+ (n_4708), .Y (n_4709));
+ AOI22X1 g37349(.A0 (n_5591), .A1 (n_4706), .B0 (n_6972), .B1
+ (oc2_cfg_986), .Y (n_4707));
+ AOI22X1 g37351(.A0 (n_4729), .A1 (n_8188), .B0 (n_5591), .B1
+ (n_4703), .Y (n_4704));
+ AOI22X1 g37353(.A0 (n_4729), .A1 (n_4701), .B0 (n_5591), .B1
+ (n_4699), .Y (n_4702));
+ AOI22X1 g37355(.A0 (n_4729), .A1 (oc4_cfg_1010), .B0 (n_5591), .B1
+ (ic0_cfg_1030), .Y (n_4698));
+ AOI22X1 g37357(.A0 (n_4729), .A1 (n_8182), .B0 (n_5591), .B1
+ (n_11600), .Y (n_4697));
+ AOI22X1 g37359(.A0 (n_4729), .A1 (oc5_cfg_1014), .B0 (n_5591), .B1
+ (ic1_cfg_1034), .Y (n_4695));
+ AOI22X1 g37362(.A0 (n_5272), .A1 (\u13_intm_r[18] ), .B0
+ (\u13_ints_r[18] ), .B1 (n_4726), .Y (n_4694));
+ AOI22X1 g37363(.A0 (n_4729), .A1 (oc5_cfg_1020), .B0 (n_5591), .B1
+ (ic1_cfg_1040), .Y (n_4693));
+ AOI22X1 g37366(.A0 (n_4729), .A1 (oc5_cfg_1016), .B0 (n_5591), .B1
+ (n_4690), .Y (n_4692));
+ AOI22X1 g37369(.A0 (n_4729), .A1 (n_4688), .B0 (n_5591), .B1
+ (n_4687), .Y (n_4689));
+ AOI22X1 g37370(.A0 (n_5272), .A1 (\u13_intm_r[19] ), .B0
+ (\u13_ints_r[19] ), .B1 (n_4726), .Y (n_4686));
+ AOI22X1 g37373(.A0 (n_5591), .A1 (n_4683), .B0 (n_6972), .B1
+ (oc2_cfg_985), .Y (n_4685));
+ INVX1 g37374(.A (n_3983), .Y (n_4681));
+ INVX1 g37414(.A (n_3943), .Y (n_4680));
+ INVX1 g37416(.A (n_3945), .Y (n_4679));
+ BUFX3 g37441(.A (n_7297), .Y (n_7468));
+ NAND2X1 g37475(.A (n_5480), .B (n_2918), .Y (n_4677));
+ CLKBUFX3 g37480(.A (n_4676), .Y (n_6700));
+ NOR2X1 g37484(.A (n_5317), .B (n_6649), .Y (n_4675));
+ NAND2X1 g37486(.A (n_5480), .B (n_2895), .Y (n_4673));
+ NAND2X1 g37487(.A (n_5480), .B (n_3470), .Y (n_4672));
+ NAND2X1 g37488(.A (n_5480), .B (n_3420), .Y (n_4671));
+ NAND2X1 g37489(.A (n_5480), .B (n_3363), .Y (n_4670));
+ NAND2X1 g37490(.A (n_5480), .B (n_3138), .Y (n_4669));
+ NAND2X1 g37491(.A (n_5480), .B (n_3275), .Y (n_4668));
+ NAND2X1 g37493(.A (n_5480), .B (n_3137), .Y (n_4667));
+ NOR2X1 g37497(.A (n_5292), .B (n_6594), .Y (n_4666));
+ NAND2X1 g37499(.A (n_6972), .B (n_8536), .Y (n_4665));
+ NOR2X1 g37500(.A (n_5290), .B (n_6594), .Y (n_4664));
+ NOR2X1 g37501(.A (n_5282), .B (n_6594), .Y (n_4663));
+ NAND2X1 g37502(.A (n_6972), .B (oc3_cfg_999), .Y (n_4662));
+ NOR2X1 g37503(.A (n_5287), .B (n_6594), .Y (n_4661));
+ NOR2X1 g37504(.A (n_5355), .B (n_6594), .Y (n_4660));
+ NAND2X1 g37507(.A (n_5480), .B (n_3092), .Y (n_4659));
+ NOR2X1 g37509(.A (n_5284), .B (n_6594), .Y (n_4658));
+ NOR2X1 g37510(.A (n_5330), .B (n_6594), .Y (n_4656));
+ NOR2X1 g37511(.A (n_5339), .B (n_6594), .Y (n_4655));
+ NOR2X1 g37515(.A (n_5315), .B (n_6649), .Y (n_4654));
+ NAND2X1 g37528(.A (n_5645), .B (n_2968), .Y (n_4653));
+ NOR2X1 g37529(.A (n_5309), .B (n_6649), .Y (n_4652));
+ NOR2X1 g37531(.A (n_5313), .B (n_6649), .Y (n_4651));
+ NOR2X1 g37532(.A (n_5304), .B (n_6649), .Y (n_4650));
+ NOR2X1 g37535(.A (n_5280), .B (n_6649), .Y (n_4649));
+ NAND2X1 g37537(.A (n_5645), .B (n_3061), .Y (n_4648));
+ NAND2X1 g37538(.A (n_5480), .B (n_2989), .Y (n_4647));
+ NAND2X1 g37546(.A (n_5480), .B (n_3492), .Y (n_4646));
+ OAI21X1 g37550(.A0 (n_1205), .A1 (\u5_rp[3] ), .B0 (n_4644), .Y
+ (n_4645));
+ NOR2X1 g37552(.A (n_5296), .B (n_6649), .Y (n_4643));
+ NAND2X1 g37559(.A (n_5645), .B (n_2994), .Y (n_4642));
+ NAND2X1 g37568(.A (n_5645), .B (n_2886), .Y (n_4641));
+ NOR2X1 g37570(.A (n_5307), .B (n_6649), .Y (n_4640));
+ NAND2X1 g37579(.A (n_5480), .B (n_3060), .Y (n_4639));
+ NOR2X1 g37583(.A (n_5335), .B (n_6649), .Y (n_4638));
+ NOR2X1 g37584(.A (n_5321), .B (n_6649), .Y (n_4637));
+ NOR2X1 g37585(.A (n_5298), .B (n_6649), .Y (n_4636));
+ NOR2X1 g37586(.A (n_5300), .B (n_6649), .Y (n_4635));
+ AND2X1 g37592(.A (n_3549), .B (n_4633), .Y (n_4634));
+ NAND2X1 g37606(.A (n_3554), .B (n_3497), .Y (n_4632));
+ NAND2X1 g37607(.A (n_3521), .B (n_3436), .Y (n_4631));
+ NAND2X1 g37608(.A (n_2461), .B (n_2640), .Y (n_4630));
+ AOI22X1 g37609(.A0 (in_slt_414), .A1 (n_4623), .B0 (in_slt_402), .B1
+ (n_2368), .Y (n_5736));
+ NAND2X1 g37611(.A (n_2421), .B (n_3494), .Y (n_4629));
+ NAND2X1 g37619(.A (n_2460), .B (n_2638), .Y (n_4628));
+ NAND2X1 g37654(.A (n_2459), .B (n_2636), .Y (n_4627));
+ AOI22X1 g37660(.A0 (in_slt_412), .A1 (n_1406), .B0 (in_slt_414), .B1
+ (n_4624), .Y (n_4626));
+ AOI22X1 g37661(.A0 (in_slt_413), .A1 (n_1406), .B0 (in_slt_415), .B1
+ (n_4624), .Y (n_4625));
+ AOI22X1 g37662(.A0 (in_slt_415), .A1 (n_4623), .B0 (in_slt_403), .B1
+ (n_2368), .Y (n_5733));
+ AOI22X1 g37663(.A0 (in_slt_397), .A1 (n_1406), .B0 (u9_din_tmp_42),
+ .B1 (n_2368), .Y (n_4621));
+ AOI22X1 g37666(.A0 (in_slt_398), .A1 (n_1406), .B0 (u9_din_tmp_43),
+ .B1 (n_2368), .Y (n_4619));
+ AOI22X1 g37667(.A0 (in_slt_399), .A1 (n_4623), .B0 (u9_din_tmp_44),
+ .B1 (n_4616), .Y (n_4618));
+ AOI22X1 g37668(.A0 (in_slt_400), .A1 (n_1406), .B0 (u9_din_tmp_45),
+ .B1 (n_4616), .Y (n_4617));
+ AOI22X1 g37670(.A0 (in_slt_402), .A1 (n_1406), .B0 (u9_din_tmp_47),
+ .B1 (n_4616), .Y (n_4615));
+ AOI22X1 g37672(.A0 (in_slt_403), .A1 (n_1406), .B0 (u9_din_tmp_48),
+ .B1 (n_4616), .Y (n_4614));
+ AOI22X1 g37674(.A0 (n_3415), .A1 (in_slt_407), .B0 (n_1406), .B1
+ (in_slt_405), .Y (n_4613));
+ NAND2X1 g37677(.A (n_2374), .B (n_2863), .Y (n_4611));
+ NAND2X1 g37681(.A (n_2411), .B (n_3283), .Y (n_4610));
+ NAND2X1 g37683(.A (n_2607), .B (n_3401), .Y (n_4609));
+ NAND2X1 g37686(.A (n_3552), .B (n_3394), .Y (n_4608));
+ NAND2X1 g37693(.A (n_3531), .B (n_3367), .Y (n_4607));
+ NAND2X1 g37696(.A (n_3551), .B (n_3297), .Y (n_4606));
+ AOI22X1 g37706(.A0 (in_slt3), .A1 (n_1406), .B0 (u9_din_tmp1), .B1
+ (n_2368), .Y (n_4605));
+ NAND2X1 g37709(.A (n_3550), .B (n_3328), .Y (n_4603));
+ NAND2X1 g37710(.A (n_2425), .B (n_3080), .Y (n_12051));
+ NAND2X1 g37722(.A (n_2923), .B (n_3159), .Y (n_4601));
+ NAND2X1 g37724(.A (n_2446), .B (n_2981), .Y (n_4600));
+ NAND2X1 g37737(.A (n_3264), .B (n_2889), .Y (n_4599));
+ NAND2X1 g37740(.A (n_3069), .B (n_3846), .Y (n_4598));
+ NAND2X1 g37744(.A (n_3176), .B (n_3071), .Y (n_4597));
+ NAND2X1 g37746(.A (n_3240), .B (n_3238), .Y (n_4596));
+ NAND2X1 g37748(.A (n_3555), .B (n_3493), .Y (n_4595));
+ NAND2X1 g37750(.A (n_3130), .B (n_3231), .Y (n_4594));
+ NAND2X1 g37752(.A (n_3528), .B (n_2235), .Y (n_4593));
+ NAND2X1 g37753(.A (n_3087), .B (n_3224), .Y (n_4592));
+ NAND2X1 g37757(.A (n_3274), .B (n_2982), .Y (n_4591));
+ NAND2X1 g37758(.A (n_3536), .B (n_2312), .Y (n_4590));
+ NAND2X1 g37759(.A (n_3215), .B (n_3214), .Y (n_4589));
+ NAND2X1 g37764(.A (n_3538), .B (n_2983), .Y (n_12850));
+ NAND2X1 g37770(.A (n_3527), .B (n_2297), .Y (n_4587));
+ NAND2X1 g37778(.A (n_3537), .B (n_2897), .Y (n_4586));
+ NAND2X1 g37782(.A (n_2403), .B (n_3038), .Y (n_12061));
+ AOI22X1 g37783(.A0 (in_slt_401), .A1 (n_1406), .B0 (u9_din_tmp_46),
+ .B1 (n_2368), .Y (n_4584));
+ NAND2X1 g37797(.A (n_2429), .B (n_2908), .Y (n_12055));
+ NAND2X1 g37806(.A (n_3544), .B (n_2258), .Y (n_4581));
+ NAND2X1 g37835(.A (n_3016), .B (n_3360), .Y (n_4580));
+ NAND2X1 g37849(.A (n_2466), .B (n_3094), .Y (n_12057));
+ NAND2X1 g37853(.A (n_2448), .B (n_2955), .Y (n_12049));
+ NAND2X1 g37859(.A (n_2440), .B (n_3424), .Y (n_12053));
+ NAND2X1 g37863(.A (n_2388), .B (n_3480), .Y (n_4576));
+ NAND2X1 g37868(.A (n_2458), .B (n_3468), .Y (n_12059));
+ NAND2X1 g37872(.A (n_2400), .B (n_3084), .Y (n_4574));
+ NAND2X1 g37875(.A (n_2462), .B (n_3054), .Y (n_4573));
+ NAND2X1 g37877(.A (n_2418), .B (n_3051), .Y (n_4572));
+ NAND2X1 g37883(.A (n_2385), .B (n_3144), .Y (n_4571));
+ NAND2X1 g37887(.A (n_3545), .B (n_2496), .Y (n_4570));
+ NAND2X1 g37903(.A (n_3557), .B (n_3013), .Y (n_4569));
+ NAND2X1 g37907(.A (n_3530), .B (n_3109), .Y (n_4568));
+ AOI22X1 g37910(.A0 (u11_din_tmp_45), .A1 (n_4560), .B0 (n_4097), .B1
+ (in_slt_446), .Y (n_4567));
+ AOI22X1 g37911(.A0 (u11_din_tmp_46), .A1 (n_4560), .B0 (n_4097), .B1
+ (in_slt_447), .Y (n_4566));
+ AOI22X1 g37913(.A0 (u11_din_tmp_47), .A1 (n_4560), .B0 (n_4097), .B1
+ (in_slt_448), .Y (n_4565));
+ AOI22X1 g37917(.A0 (u11_din_tmp_48), .A1 (n_4560), .B0 (n_4097), .B1
+ (in_slt_449), .Y (n_4563));
+ AOI22X1 g37919(.A0 (u11_din_tmp_49), .A1 (n_4560), .B0 (n_4097), .B1
+ (in_slt_450), .Y (n_4561));
+ AOI22X1 g37923(.A0 (u10_din_tmp_43), .A1 (n_3339), .B0 (n_3911), .B1
+ (in_slt_422), .Y (n_4559));
+ AOI22X1 g37929(.A0 (u10_din_tmp_44), .A1 (n_3339), .B0 (n_3911), .B1
+ (in_slt_423), .Y (n_4558));
+ AOI22X1 g37933(.A0 (u10_din_tmp_45), .A1 (n_3339), .B0 (n_3911), .B1
+ (in_slt_424), .Y (n_4557));
+ AOI22X1 g37938(.A0 (u10_din_tmp_48), .A1 (n_3339), .B0 (n_3911), .B1
+ (in_slt_427), .Y (n_4555));
+ NAND2X1 g37949(.A (n_2389), .B (n_2367), .Y (n_4553));
+ NAND2X1 g37967(.A (n_2383), .B (n_2301), .Y (n_4552));
+ NAND2X1 g37971(.A (n_2410), .B (n_1915), .Y (n_4551));
+ AOI22X1 g37986(.A0 (u10_din_tmp_47), .A1 (n_3339), .B0 (n_3911), .B1
+ (in_slt_426), .Y (n_4550));
+ AOI21X1 g37991(.A0 (\u6_mem[2]_56 ), .A1 (n_4544), .B0 (n_2223), .Y
+ (n_4547));
+ AOI21X1 g37994(.A0 (\u7_mem[2]_31 ), .A1 (n_4540), .B0 (n_2021), .Y
+ (n_4546));
+ AOI21X1 g37995(.A0 (\u6_mem[2]_55 ), .A1 (n_4544), .B0 (n_2082), .Y
+ (n_4545));
+ AOI21X1 g37997(.A0 (\u6_mem[2]_54 ), .A1 (n_4504), .B0 (n_2162), .Y
+ (n_4543));
+ AOI21X1 g37999(.A0 (\u5_mem[2]_41 ), .A1 (n_4378), .B0 (n_1838), .Y
+ (n_4542));
+ AOI21X1 g38003(.A0 (\u7_mem[2]_58 ), .A1 (n_4540), .B0 (n_2222), .Y
+ (n_4541));
+ AOI21X1 g38005(.A0 (\u6_mem[2]_52 ), .A1 (n_4544), .B0 (n_2219), .Y
+ (n_4539));
+ AOI21X1 g38010(.A0 (\u7_mem[2]_30 ), .A1 (n_4540), .B0 (n_2203), .Y
+ (n_4538));
+ AOI21X1 g38012(.A0 (\u3_mem[2] ), .A1 (n_4533), .B0 (n_2105), .Y
+ (n_4537));
+ AOI21X1 g38013(.A0 (\u7_mem[2]_57 ), .A1 (n_4540), .B0 (n_2217), .Y
+ (n_4536));
+ AOI21X1 g38015(.A0 (\u6_mem[2]_50 ), .A1 (n_4544), .B0 (n_2186), .Y
+ (n_4535));
+ AOI21X1 g38016(.A0 (\u3_mem[2]_39 ), .A1 (n_4533), .B0 (n_2075), .Y
+ (n_4534));
+ AOI21X1 g38017(.A0 (\u3_mem[2]_40 ), .A1 (n_4533), .B0 (n_2127), .Y
+ (n_4532));
+ AOI21X1 g38018(.A0 (\u6_mem[2]_49 ), .A1 (n_4544), .B0 (n_1800), .Y
+ (n_4531));
+ AOI21X1 g38019(.A0 (\u8_mem[2]_37 ), .A1 (n_4499), .B0 (n_2196), .Y
+ (n_4530));
+ AOI21X1 g38020(.A0 (\u7_mem[2]_55 ), .A1 (n_4509), .B0 (n_1948), .Y
+ (n_4529));
+ AOI21X1 g38021(.A0 (\u3_mem[2]_43 ), .A1 (n_4533), .B0 (n_2079), .Y
+ (n_4528));
+ AOI21X1 g38024(.A0 (\u8_mem[1]_90 ), .A1 (n_4502), .B0 (n_2351), .Y
+ (n_4527));
+ AOI21X1 g38025(.A0 (\u3_mem[2]_29 ), .A1 (n_4533), .B0 (n_1963), .Y
+ (n_4526));
+ AOI21X1 g38027(.A0 (\u6_mem[2]_48 ), .A1 (n_4544), .B0 (n_2211), .Y
+ (n_4525));
+ AOI21X1 g38030(.A0 (\u3_mem[2]_50 ), .A1 (n_4533), .B0 (n_2147), .Y
+ (n_4524));
+ AOI21X1 g38032(.A0 (\u6_mem[2]_47 ), .A1 (n_4544), .B0 (n_2319), .Y
+ (n_4523));
+ AOI21X1 g38033(.A0 (\u3_mem[2]_54 ), .A1 (n_4519), .B0 (n_1971), .Y
+ (n_4522));
+ AOI21X1 g38035(.A0 (\u3_mem[2]_56 ), .A1 (n_4533), .B0 (n_2195), .Y
+ (n_4521));
+ AOI21X1 g38036(.A0 (\u3_mem[2]_57 ), .A1 (n_4519), .B0 (n_2263), .Y
+ (n_4520));
+ AOI21X1 g38037(.A0 (\u3_mem[2]_30 ), .A1 (n_4519), .B0 (n_2130), .Y
+ (n_4518));
+ AOI21X1 g38038(.A0 (\u3_mem[2]_36 ), .A1 (n_4519), .B0 (n_2032), .Y
+ (n_4517));
+ AOI21X1 g38039(.A0 (\u7_mem[2]_51 ), .A1 (n_4540), .B0 (n_1967), .Y
+ (n_4516));
+ AOI21X1 g38040(.A0 (\u6_mem[2]_46 ), .A1 (n_4544), .B0 (n_2234), .Y
+ (n_4515));
+ AOI21X1 g38041(.A0 (\u4_mem[1]_88 ), .A1 (n_4507), .B0 (n_1999), .Y
+ (n_4514));
+ AOI21X1 g38043(.A0 (\u3_mem[2]_34 ), .A1 (n_4519), .B0 (n_2141), .Y
+ (n_4513));
+ AOI21X1 g38044(.A0 (\u3_mem[2]_35 ), .A1 (n_4519), .B0 (n_1941), .Y
+ (n_4512));
+ AOI21X1 g38045(.A0 (\u3_mem[2]_37 ), .A1 (n_4519), .B0 (n_2027), .Y
+ (n_4511));
+ AOI21X1 g38047(.A0 (\u7_mem[2]_49 ), .A1 (n_4509), .B0 (n_1945), .Y
+ (n_4510));
+ AOI21X1 g38048(.A0 (\u4_mem[1]_87 ), .A1 (n_4507), .B0 (n_2146), .Y
+ (n_4508));
+ AOI21X1 g38050(.A0 (\u7_mem[2]_50 ), .A1 (n_4540), .B0 (n_2199), .Y
+ (n_4506));
+ AOI21X1 g38054(.A0 (\u6_mem[2]_45 ), .A1 (n_4504), .B0 (n_2030), .Y
+ (n_4505));
+ AOI21X1 g38058(.A0 (\u8_mem[1]_64 ), .A1 (n_4502), .B0 (n_1920), .Y
+ (n_4503));
+ AOI21X1 g38060(.A0 (\u7_mem[2]_48 ), .A1 (n_4509), .B0 (n_1964), .Y
+ (n_4501));
+ AOI21X1 g38062(.A0 (\u8_mem[2]_45 ), .A1 (n_4499), .B0 (n_2004), .Y
+ (n_4500));
+ AOI21X1 g38063(.A0 (\u6_mem[2]_43 ), .A1 (n_4504), .B0 (n_1984), .Y
+ (n_4498));
+ AOI21X1 g38067(.A0 (\u8_mem[2]_44 ), .A1 (n_4499), .B0 (n_2153), .Y
+ (n_4497));
+ AOI21X1 g38068(.A0 (\u7_mem[2]_29 ), .A1 (n_4540), .B0 (n_2001), .Y
+ (n_4496));
+ AOI21X1 g38070(.A0 (\u8_mem[2]_43 ), .A1 (n_4491), .B0 (n_1884), .Y
+ (n_4495));
+ AOI21X1 g38073(.A0 (\u8_mem[2]_42 ), .A1 (n_4499), .B0 (n_1993), .Y
+ (n_4494));
+ AOI21X1 g38074(.A0 (\u8_mem[2]_36 ), .A1 (n_4499), .B0 (n_2183), .Y
+ (n_4493));
+ AOI21X1 g38076(.A0 (\u8_mem[2]_35 ), .A1 (n_4491), .B0 (n_2160), .Y
+ (n_4492));
+ AOI21X1 g38079(.A0 (\u8_mem[2]_34 ), .A1 (n_4499), .B0 (n_2174), .Y
+ (n_4490));
+ AOI21X1 g38083(.A0 (\u8_mem[2]_33 ), .A1 (n_4491), .B0 (n_1805), .Y
+ (n_4489));
+ AOI21X1 g38085(.A0 (\u6_mem[2]_41 ), .A1 (n_4504), .B0 (n_1952), .Y
+ (n_4488));
+ AOI21X1 g38086(.A0 (\u8_mem[2]_32 ), .A1 (n_4499), .B0 (n_2165), .Y
+ (n_4487));
+ AOI21X1 g38087(.A0 (\u3_mem[2]_46 ), .A1 (n_4533), .B0 (n_1983), .Y
+ (n_4486));
+ AOI21X1 g38089(.A0 (\u8_mem[2]_31 ), .A1 (n_4491), .B0 (n_2149), .Y
+ (n_4485));
+ AOI21X1 g38090(.A0 (\u3_mem[2]_45 ), .A1 (n_4533), .B0 (n_2072), .Y
+ (n_4484));
+ AOI21X1 g38094(.A0 (\u8_mem[2]_59 ), .A1 (n_4499), .B0 (n_1982), .Y
+ (n_4483));
+ AOI21X1 g38095(.A0 (\u8_mem[2]_58 ), .A1 (n_4491), .B0 (n_2159), .Y
+ (n_4482));
+ AOI21X1 g38097(.A0 (\u7_mem[2]_52 ), .A1 (n_4540), .B0 (n_2104), .Y
+ (n_4481));
+ AOI21X1 g38098(.A0 (\u3_mem[2]_59 ), .A1 (n_4533), .B0 (n_2108), .Y
+ (n_4480));
+ AOI21X1 g38099(.A0 (\u8_mem[2]_30 ), .A1 (n_4491), .B0 (n_2002), .Y
+ (n_4479));
+ AOI21X1 g38100(.A0 (\u4_mem[1]_83 ), .A1 (n_4471), .B0 (n_2115), .Y
+ (n_4478));
+ AOI21X1 g38101(.A0 (\u8_mem[2]_57 ), .A1 (n_4499), .B0 (n_2138), .Y
+ (n_4477));
+ AOI21X1 g38102(.A0 (\u6_mem[2]_40 ), .A1 (n_4504), .B0 (n_2136), .Y
+ (n_4476));
+ AOI21X1 g38103(.A0 (\u4_mem[1]_75 ), .A1 (n_4507), .B0 (n_2065), .Y
+ (n_4475));
+ AOI21X1 g38105(.A0 (\u3_mem[2]_49 ), .A1 (n_4519), .B0 (n_2191), .Y
+ (n_4474));
+ AOI21X1 g38108(.A0 (\u4_mem[1] ), .A1 (n_4507), .B0 (n_2116), .Y
+ (n_4473));
+ AOI21X1 g38109(.A0 (\u4_mem[1]_70 ), .A1 (n_4471), .B0 (n_2207), .Y
+ (n_4472));
+ AOI21X1 g38110(.A0 (\u4_mem[1]_71 ), .A1 (n_4507), .B0 (n_1792), .Y
+ (n_4470));
+ AOI21X1 g38111(.A0 (\u4_mem[1]_72 ), .A1 (n_4507), .B0 (n_2180), .Y
+ (n_4469));
+ AOI21X1 g38112(.A0 (\u6_mem[2]_39 ), .A1 (n_4504), .B0 (n_2173), .Y
+ (n_4468));
+ AOI21X1 g38113(.A0 (\u4_mem[1]_73 ), .A1 (n_4471), .B0 (n_1961), .Y
+ (n_4467));
+ AOI21X1 g38114(.A0 (\u7_mem[2]_46 ), .A1 (n_4509), .B0 (n_2000), .Y
+ (n_4466));
+ AOI21X1 g38115(.A0 (\u4_mem[1]_74 ), .A1 (n_4507), .B0 (n_1957), .Y
+ (n_4465));
+ AOI21X1 g38116(.A0 (\u4_mem[1]_76 ), .A1 (n_4507), .B0 (n_1943), .Y
+ (n_4464));
+ AOI21X1 g38117(.A0 (\u4_mem[1]_77 ), .A1 (n_4471), .B0 (n_2151), .Y
+ (n_4463));
+ AOI21X1 g38118(.A0 (\u4_mem[1]_78 ), .A1 (n_4471), .B0 (n_2161), .Y
+ (n_4462));
+ AOI21X1 g38120(.A0 (\u4_mem[1]_60 ), .A1 (n_4507), .B0 (n_2184), .Y
+ (n_4461));
+ AOI21X1 g38121(.A0 (\u4_mem[1]_79 ), .A1 (n_4471), .B0 (n_2208), .Y
+ (n_4460));
+ AOI21X1 g38122(.A0 (\u4_mem[1]_80 ), .A1 (n_4507), .B0 (n_2063), .Y
+ (n_4459));
+ AOI21X1 g38124(.A0 (\u4_mem[1]_81 ), .A1 (n_4471), .B0 (n_2061), .Y
+ (n_4458));
+ AOI21X1 g38125(.A0 (\u6_mem[2]_32 ), .A1 (n_4544), .B0 (n_2094), .Y
+ (n_4457));
+ AOI21X1 g38126(.A0 (\u4_mem[1]_82 ), .A1 (n_4471), .B0 (n_2070), .Y
+ (n_4456));
+ AOI21X1 g38127(.A0 (\u4_mem[1]_84 ), .A1 (n_4471), .B0 (n_2113), .Y
+ (n_4455));
+ AOI21X1 g38128(.A0 (\u4_mem[1]_85 ), .A1 (n_4507), .B0 (n_1962), .Y
+ (n_4454));
+ AOI21X1 g38130(.A0 (\u4_mem[1]_86 ), .A1 (n_4507), .B0 (n_2074), .Y
+ (n_4453));
+ AOI21X1 g38131(.A0 (\u7_mem[2]_44 ), .A1 (n_4509), .B0 (n_2168), .Y
+ (n_4452));
+ AOI21X1 g38133(.A0 (\u4_mem[1]_61 ), .A1 (n_4507), .B0 (n_2140), .Y
+ (n_4451));
+ AOI21X1 g38135(.A0 (\u4_mem[1]_89 ), .A1 (n_4507), .B0 (n_2471), .Y
+ (n_4450));
+ AOI21X1 g38136(.A0 (\u4_mem[1]_90 ), .A1 (n_4471), .B0 (n_2123), .Y
+ (n_4449));
+ AOI21X1 g38137(.A0 (\u4_mem[1]_62 ), .A1 (n_4471), .B0 (n_2483), .Y
+ (n_4448));
+ AOI21X1 g38138(.A0 (\u4_mem[1]_63 ), .A1 (n_4471), .B0 (n_2109), .Y
+ (n_4447));
+ AOI21X1 g38139(.A0 (\u4_mem[1]_64 ), .A1 (n_4471), .B0 (n_2047), .Y
+ (n_4446));
+ AOI21X1 g38140(.A0 (\u4_mem[1]_66 ), .A1 (n_4471), .B0 (n_1940), .Y
+ (n_4445));
+ AOI21X1 g38141(.A0 (\u4_mem[1]_67 ), .A1 (n_4471), .B0 (n_1958), .Y
+ (n_4444));
+ AOI21X1 g38142(.A0 (\u6_mem[2] ), .A1 (n_4544), .B0 (n_2023), .Y
+ (n_4443));
+ AOI21X1 g38143(.A0 (\u4_mem[2] ), .A1 (n_4439), .B0 (n_2106), .Y
+ (n_4442));
+ AOI21X1 g38144(.A0 (\u4_mem[2]_38 ), .A1 (n_4439), .B0 (n_1947), .Y
+ (n_4441));
+ AOI21X1 g38145(.A0 (\u4_mem[2]_39 ), .A1 (n_4439), .B0 (n_2129), .Y
+ (n_4440));
+ AOI21X1 g38146(.A0 (\u4_mem[2]_41 ), .A1 (n_4439), .B0 (n_2170), .Y
+ (n_4438));
+ AOI21X1 g38147(.A0 (\u4_mem[2]_42 ), .A1 (n_4439), .B0 (n_2111), .Y
+ (n_4436));
+ AOI21X1 g38148(.A0 (\u4_mem[2]_43 ), .A1 (n_4439), .B0 (n_2188), .Y
+ (n_4435));
+ AOI21X1 g38149(.A0 (\u8_mem[2]_56 ), .A1 (n_4491), .B0 (n_1989), .Y
+ (n_4434));
+ AOI21X1 g38150(.A0 (\u4_mem[2]_44 ), .A1 (n_4439), .B0 (n_2110), .Y
+ (n_4433));
+ AOI21X1 g38152(.A0 (\u4_mem[2]_45 ), .A1 (n_4439), .B0 (n_1976), .Y
+ (n_4432));
+ AOI21X1 g38154(.A0 (\u4_mem[2]_46 ), .A1 (n_4439), .B0 (n_2102), .Y
+ (n_4431));
+ AOI21X1 g38155(.A0 (\u4_mem[2]_47 ), .A1 (n_4439), .B0 (n_1969), .Y
+ (n_4430));
+ AOI21X1 g38156(.A0 (\u4_mem[2]_29 ), .A1 (n_4439), .B0 (n_1827), .Y
+ (n_4429));
+ AOI21X1 g38158(.A0 (\u4_mem[2]_49 ), .A1 (n_4439), .B0 (n_1992), .Y
+ (n_4428));
+ AOI21X1 g38159(.A0 (\u4_mem[2]_50 ), .A1 (n_4439), .B0 (n_1991), .Y
+ (n_4427));
+ AOI21X1 g38160(.A0 (\u4_mem[2]_51 ), .A1 (n_4439), .B0 (n_2100), .Y
+ (n_4426));
+ AOI21X1 g38161(.A0 (\u4_mem[2]_52 ), .A1 (n_4439), .B0 (n_1998), .Y
+ (n_4425));
+ AOI21X1 g38162(.A0 (\u7_mem[2]_40 ), .A1 (n_4509), .B0 (n_1994), .Y
+ (n_4424));
+ AOI21X1 g38163(.A0 (\u4_mem[2]_53 ), .A1 (n_4439), .B0 (n_2484), .Y
+ (n_4423));
+ AOI21X1 g38164(.A0 (\u4_mem[2]_54 ), .A1 (n_4439), .B0 (n_2048), .Y
+ (n_4422));
+ AOI21X1 g38166(.A0 (\u4_mem[2]_55 ), .A1 (n_4439), .B0 (n_1970), .Y
+ (n_4421));
+ AOI21X1 g38167(.A0 (\u4_mem[2]_56 ), .A1 (n_4439), .B0 (n_2012), .Y
+ (n_4420));
+ AOI21X1 g38169(.A0 (\u4_mem[2]_57 ), .A1 (n_4439), .B0 (n_2024), .Y
+ (n_4419));
+ AOI21X1 g38170(.A0 (\u4_mem[2]_30 ), .A1 (n_4439), .B0 (n_2205), .Y
+ (n_4418));
+ AOI21X1 g38171(.A0 (\u4_mem[2]_58 ), .A1 (n_4439), .B0 (n_2478), .Y
+ (n_4417));
+ AOI21X1 g38172(.A0 (\u4_mem[2]_59 ), .A1 (n_4439), .B0 (n_1632), .Y
+ (n_4416));
+ AOI21X1 g38173(.A0 (\u6_mem[2]_36 ), .A1 (n_4544), .B0 (n_2089), .Y
+ (n_4415));
+ AOI21X1 g38174(.A0 (\u4_mem[2]_31 ), .A1 (n_4439), .B0 (n_2206), .Y
+ (n_4414));
+ AOI21X1 g38175(.A0 (\u4_mem[2]_32 ), .A1 (n_4439), .B0 (n_2066), .Y
+ (n_4413));
+ AOI21X1 g38176(.A0 (\u8_mem[1] ), .A1 (n_4502), .B0 (n_2091), .Y
+ (n_4412));
+ AOI21X1 g38177(.A0 (\u4_mem[2]_33 ), .A1 (n_4439), .B0 (n_2077), .Y
+ (n_4411));
+ AOI21X1 g38178(.A0 (\u4_mem[2]_34 ), .A1 (n_4439), .B0 (n_2372), .Y
+ (n_4410));
+ AOI21X1 g38179(.A0 (\u4_mem[2]_35 ), .A1 (n_4439), .B0 (n_2095), .Y
+ (n_4409));
+ AOI21X1 g38180(.A0 (\u4_mem[2]_36 ), .A1 (n_4439), .B0 (n_1973), .Y
+ (n_4408));
+ AOI21X1 g38182(.A0 (\u4_mem[2]_37 ), .A1 (n_4439), .B0 (n_2209), .Y
+ (n_4407));
+ AOI21X1 g38184(.A0 (\u7_mem[2]_38 ), .A1 (n_4540), .B0 (n_2087), .Y
+ (n_4406));
+ AOI21X1 g38187(.A0 (\u6_mem[2]_35 ), .A1 (n_4504), .B0 (n_1673), .Y
+ (n_4405));
+ AOI21X1 g38192(.A0 (\u7_mem[2] ), .A1 (n_4540), .B0 (n_2044), .Y
+ (n_4404));
+ AOI21X1 g38197(.A0 (\u6_mem[2]_34 ), .A1 (n_4504), .B0 (n_2037), .Y
+ (n_4403));
+ AOI21X1 g38205(.A0 (\u8_mem[1]_62 ), .A1 (n_4502), .B0 (n_2058), .Y
+ (n_4402));
+ AOI21X1 g38209(.A0 (\u6_mem[2]_33 ), .A1 (n_4504), .B0 (n_2212), .Y
+ (n_4401));
+ AOI21X1 g38210(.A0 (\u8_mem[1]_84 ), .A1 (n_4502), .B0 (n_2156), .Y
+ (n_4400));
+ AOI21X1 g38233(.A0 (\u6_mem[2]_58 ), .A1 (n_4504), .B0 (n_1990), .Y
+ (n_4399));
+ AOI21X1 g38235(.A0 (\u6_mem[2]_59 ), .A1 (n_4544), .B0 (n_2145), .Y
+ (n_4398));
+ AOI21X1 g38237(.A0 (\u8_mem[1]_82 ), .A1 (n_4502), .B0 (n_2053), .Y
+ (n_4397));
+ AOI21X1 g38239(.A0 (\u8_mem[2]_49 ), .A1 (n_4491), .B0 (n_2164), .Y
+ (n_4396));
+ XOR2X1 g38240(.A (n_95), .B (n_5102), .Y (n_4395));
+ AOI21X1 g38241(.A0 (\u3_mem[2]_31 ), .A1 (n_4533), .B0 (n_2131), .Y
+ (n_4394));
+ AOI21X1 g38242(.A0 (\u8_mem[1]_89 ), .A1 (n_4387), .B0 (n_2005), .Y
+ (n_4393));
+ AOI21X1 g38243(.A0 (\u3_mem[2]_58 ), .A1 (n_4519), .B0 (n_2086), .Y
+ (n_4392));
+ AOI21X1 g38245(.A0 (\u8_mem[1]_73 ), .A1 (n_4502), .B0 (n_1988), .Y
+ (n_4391));
+ AOI21X1 g38246(.A0 (\u8_mem[2]_51 ), .A1 (n_4499), .B0 (n_2062), .Y
+ (n_4390));
+ XOR2X1 g38248(.A (n_1255), .B (n_5059), .Y (n_4389));
+ AOI21X1 g38250(.A0 (\u8_mem[1]_71 ), .A1 (n_4387), .B0 (n_2028), .Y
+ (n_4388));
+ AOI21X1 g38253(.A0 (\u8_mem[1]_80 ), .A1 (n_4387), .B0 (n_2073), .Y
+ (n_4386));
+ AOI21X1 g38254(.A0 (\u8_mem[1]_60 ), .A1 (n_4387), .B0 (n_1981), .Y
+ (n_4385));
+ AOI21X1 g38257(.A0 (\u3_mem[2]_42 ), .A1 (n_4519), .B0 (n_2014), .Y
+ (n_4384));
+ AOI21X1 g38267(.A0 (\u8_mem[1]_77 ), .A1 (n_4387), .B0 (n_2051), .Y
+ (n_4383));
+ AOI21X1 g38278(.A0 (\u8_mem[1]_86 ), .A1 (n_4387), .B0 (n_2214), .Y
+ (n_4382));
+ AOI21X1 g38282(.A0 (\u4_mem[1]_69 ), .A1 (n_4471), .B0 (n_2142), .Y
+ (n_4381));
+ AOI21X1 g38302(.A0 (\u8_mem[1]_75 ), .A1 (n_4387), .B0 (n_2215), .Y
+ (n_4380));
+ AOI21X1 g38303(.A0 (\u5_mem[2] ), .A1 (n_4378), .B0 (n_2210), .Y
+ (n_4379));
+ AOI21X1 g38305(.A0 (\u5_mem[2]_38 ), .A1 (n_4378), .B0 (n_1935), .Y
+ (n_4377));
+ AOI21X1 g38306(.A0 (\u5_mem[2]_39 ), .A1 (n_4378), .B0 (n_2007), .Y
+ (n_4376));
+ AOI21X1 g38307(.A0 (\u5_mem[2]_40 ), .A1 (n_4378), .B0 (n_2221), .Y
+ (n_4375));
+ AOI21X1 g38309(.A0 (\u5_mem[2]_42 ), .A1 (n_4378), .B0 (n_1933), .Y
+ (n_4374));
+ AOI21X1 g38310(.A0 (\u5_mem[2]_43 ), .A1 (n_4370), .B0 (n_2198), .Y
+ (n_4373));
+ AOI21X1 g38311(.A0 (\u5_mem[2]_44 ), .A1 (n_4378), .B0 (n_2167), .Y
+ (n_4372));
+ AOI21X1 g38312(.A0 (\u5_mem[2]_45 ), .A1 (n_4370), .B0 (n_2042), .Y
+ (n_4371));
+ AOI21X1 g38313(.A0 (\u5_mem[2]_46 ), .A1 (n_4370), .B0 (n_2226), .Y
+ (n_4369));
+ AOI21X1 g38314(.A0 (\u5_mem[2]_29 ), .A1 (n_4370), .B0 (n_2114), .Y
+ (n_4368));
+ AOI21X1 g38315(.A0 (\u5_mem[2]_48 ), .A1 (n_4370), .B0 (n_2055), .Y
+ (n_4367));
+ AOI21X1 g38316(.A0 (\u7_mem[2]_33 ), .A1 (n_4509), .B0 (n_2035), .Y
+ (n_4366));
+ AOI21X1 g38317(.A0 (\u5_mem[2]_49 ), .A1 (n_4370), .B0 (n_1954), .Y
+ (n_4365));
+ AOI21X1 g38318(.A0 (\u5_mem[2]_50 ), .A1 (n_4370), .B0 (n_2040), .Y
+ (n_4364));
+ AOI21X1 g38320(.A0 (\u5_mem[2]_52 ), .A1 (n_4378), .B0 (n_2049), .Y
+ (n_4363));
+ AOI21X1 g38321(.A0 (\u5_mem[2]_53 ), .A1 (n_4378), .B0 (n_1939), .Y
+ (n_4362));
+ AOI21X1 g38322(.A0 (\u5_mem[2]_54 ), .A1 (n_4378), .B0 (n_2011), .Y
+ (n_4361));
+ AOI21X1 g38323(.A0 (\u5_mem[2]_55 ), .A1 (n_4370), .B0 (n_1949), .Y
+ (n_4360));
+ AOI21X1 g38324(.A0 (\u5_mem[2]_56 ), .A1 (n_4378), .B0 (n_1951), .Y
+ (n_4359));
+ AOI21X1 g38325(.A0 (\u6_mem[2]_57 ), .A1 (n_4544), .B0 (n_1953), .Y
+ (n_4358));
+ AOI21X1 g38326(.A0 (\u5_mem[2]_57 ), .A1 (n_4370), .B0 (n_2126), .Y
+ (n_4357));
+ AOI21X1 g38327(.A0 (\u5_mem[2]_59 ), .A1 (n_4370), .B0 (n_2225), .Y
+ (n_4356));
+ AOI21X1 g38329(.A0 (\u5_mem[2]_31 ), .A1 (n_4378), .B0 (n_2152), .Y
+ (n_4355));
+ AOI21X1 g38332(.A0 (\u5_mem[2]_34 ), .A1 (n_4378), .B0 (n_2013), .Y
+ (n_4354));
+ AOI21X1 g38333(.A0 (\u5_mem[2]_35 ), .A1 (n_4370), .B0 (n_2015), .Y
+ (n_4353));
+ AOI21X1 g38334(.A0 (\u5_mem[2]_36 ), .A1 (n_4370), .B0 (n_2476), .Y
+ (n_4352));
+ AOI21X1 g38336(.A0 (\u5_mem[2]_37 ), .A1 (n_4370), .B0 (n_1980), .Y
+ (n_4351));
+ AOI21X1 g38374(.A0 (\u8_mem[2]_41 ), .A1 (n_4499), .B0 (n_2292), .Y
+ (n_4350));
+ XOR2X1 g38375(.A (n_116), .B (n_4996), .Y (n_4349));
+ AOI21X1 g38382(.A0 (\u3_mem[2]_38 ), .A1 (n_4533), .B0 (n_2010), .Y
+ (n_4348));
+ AOI21X1 g38383(.A0 (\u3_mem[2]_47 ), .A1 (n_4533), .B0 (n_1938), .Y
+ (n_4347));
+ AOI21X1 g38385(.A0 (\u3_mem[2]_48 ), .A1 (n_4519), .B0 (n_2224), .Y
+ (n_4346));
+ AOI21X1 g38391(.A0 (\u3_mem[2]_51 ), .A1 (n_4519), .B0 (n_2003), .Y
+ (n_4345));
+ AOI21X1 g38394(.A0 (\u8_mem[2]_40 ), .A1 (n_4499), .B0 (n_1966), .Y
+ (n_4344));
+ AOI21X1 g38395(.A0 (\u3_mem[2]_53 ), .A1 (n_4519), .B0 (n_2084), .Y
+ (n_4343));
+ NAND2X1 g36855(.A (n_2504), .B (n_1692), .Y (n_4342));
+ AOI21X1 g38398(.A0 (\u3_mem[2]_55 ), .A1 (n_4519), .B0 (n_1955), .Y
+ (n_4341));
+ AOI21X1 g38400(.A0 (\u8_mem[1]_65 ), .A1 (n_4502), .B0 (n_2193), .Y
+ (n_4340));
+ AOI21X1 g38406(.A0 (\u7_mem[2]_32 ), .A1 (n_4540), .B0 (n_2204), .Y
+ (n_4339));
+ AOI21X1 g38422(.A0 (\u6_mem[2]_38 ), .A1 (n_4504), .B0 (n_2080), .Y
+ (n_4338));
+ AOI21X1 g38425(.A0 (\u6_mem[2]_42 ), .A1 (n_4504), .B0 (n_1968), .Y
+ (n_4337));
+ AOI21X1 g38426(.A0 (\u6_mem[2]_44 ), .A1 (n_4504), .B0 (n_2190), .Y
+ (n_4336));
+ AOI21X1 g38428(.A0 (\u6_mem[2]_29 ), .A1 (n_4504), .B0 (n_1996), .Y
+ (n_4335));
+ AOI21X1 g38431(.A0 (\u6_mem[2]_51 ), .A1 (n_4504), .B0 (n_2155), .Y
+ (n_4334));
+ XOR2X1 g38432(.A (n_214), .B (n_5138), .Y (n_4333));
+ AOI21X1 g38433(.A0 (\u6_mem[2]_53 ), .A1 (n_4504), .B0 (n_1959), .Y
+ (n_4332));
+ AOI21X1 g38434(.A0 (\u8_mem[2]_39 ), .A1 (n_4499), .B0 (n_2143), .Y
+ (n_4331));
+ AOI21X1 g38435(.A0 (\u6_mem[2]_31 ), .A1 (n_4544), .B0 (n_1937), .Y
+ (n_4330));
+ AOI21X1 g38436(.A0 (\u8_mem[1]_69 ), .A1 (n_4502), .B0 (n_2124), .Y
+ (n_4329));
+ AOI21X1 g38441(.A0 (\u8_mem[2] ), .A1 (n_4499), .B0 (n_2092), .Y
+ (n_4328));
+ AOI21X1 g38444(.A0 (\u4_mem[2]_48 ), .A1 (n_935), .B0 (n_1975), .Y
+ (n_4327));
+ AOI21X1 g38449(.A0 (\u8_mem[2]_54 ), .A1 (n_4491), .B0 (n_1986), .Y
+ (n_4326));
+ AOI21X1 g38458(.A0 (\u3_mem[2]_41 ), .A1 (n_4519), .B0 (n_2097), .Y
+ (n_4325));
+ AOI21X1 g38462(.A0 (\u3_mem[2]_33 ), .A1 (n_4519), .B0 (n_2029), .Y
+ (n_4324));
+ AOI21X1 g38463(.A0 (\u6_mem[2]_30 ), .A1 (n_4504), .B0 (n_1974), .Y
+ (n_4323));
+ AOI21X1 g38466(.A0 (\u6_mem[2]_37 ), .A1 (n_4544), .B0 (n_2178), .Y
+ (n_4322));
+ AOI21X1 g38468(.A0 (\u8_mem[2]_55 ), .A1 (n_4499), .B0 (n_1871), .Y
+ (n_4321));
+ AOI21X1 g38473(.A0 (\u3_mem[2]_52 ), .A1 (n_4533), .B0 (n_2121), .Y
+ (n_4320));
+ AOI21X1 g38490(.A0 (\u8_mem[1]_87 ), .A1 (n_4502), .B0 (n_1946), .Y
+ (n_4319));
+ AOI21X1 g38492(.A0 (\u7_mem[2]_39 ), .A1 (n_4540), .B0 (n_2064), .Y
+ (n_4318));
+ AOI21X1 g38493(.A0 (\u7_mem[2]_41 ), .A1 (n_4509), .B0 (n_1995), .Y
+ (n_4317));
+ AOI21X1 g38494(.A0 (\u7_mem[2]_42 ), .A1 (n_4509), .B0 (n_2060), .Y
+ (n_4316));
+ AOI21X1 g38496(.A0 (\u7_mem[2]_43 ), .A1 (n_4509), .B0 (n_2185), .Y
+ (n_4315));
+ AOI21X1 g38497(.A0 (\u7_mem[2]_45 ), .A1 (n_4540), .B0 (n_2117), .Y
+ (n_4314));
+ AOI21X1 g38498(.A0 (\u7_mem[2]_47 ), .A1 (n_4509), .B0 (n_1944), .Y
+ (n_4313));
+ AOI21X1 g38499(.A0 (\u7_mem[2]_53 ), .A1 (n_4509), .B0 (n_2034), .Y
+ (n_4312));
+ AOI21X1 g38500(.A0 (\u7_mem[2]_54 ), .A1 (n_4509), .B0 (n_1977), .Y
+ (n_4311));
+ AOI21X1 g38501(.A0 (\u4_mem[2]_40 ), .A1 (n_4439), .B0 (n_2016), .Y
+ (n_4310));
+ AOI21X1 g38502(.A0 (\u7_mem[2]_56 ), .A1 (n_4509), .B0 (n_2039), .Y
+ (n_4309));
+ AOI21X1 g38503(.A0 (\u5_mem[2]_33 ), .A1 (n_4370), .B0 (n_2132), .Y
+ (n_4308));
+ AOI21X1 g38504(.A0 (\u7_mem[2]_59 ), .A1 (n_4509), .B0 (n_2026), .Y
+ (n_4307));
+ AOI21X1 g38505(.A0 (\u5_mem[2]_32 ), .A1 (n_4370), .B0 (n_2134), .Y
+ (n_4306));
+ AOI21X1 g38506(.A0 (\u7_mem[2]_34 ), .A1 (n_4509), .B0 (n_1997), .Y
+ (n_4305));
+ AOI21X1 g38507(.A0 (\u8_mem[2]_52 ), .A1 (n_4491), .B0 (n_1826), .Y
+ (n_4304));
+ AOI21X1 g38509(.A0 (\u7_mem[2]_37 ), .A1 (n_4540), .B0 (n_2019), .Y
+ (n_4303));
+ AOI21X1 g38513(.A0 (\u8_mem[1]_66 ), .A1 (n_4387), .B0 (n_2046), .Y
+ (n_4302));
+ AOI21X1 g38519(.A0 (\u5_mem[2]_58 ), .A1 (n_4370), .B0 (n_2172), .Y
+ (n_4301));
+ AOI21X1 g38520(.A0 (\u5_mem[2]_30 ), .A1 (n_4378), .B0 (n_2177), .Y
+ (n_4300));
+ XOR2X1 g38526(.A (n_1443), .B (n_4961), .Y (n_4299));
+ AOI21X1 g38527(.A0 (\u8_mem[2]_50 ), .A1 (n_4491), .B0 (n_1931), .Y
+ (n_4298));
+ AOI21X1 g38529(.A0 (\u4_mem[1]_65 ), .A1 (n_2470), .B0 (n_2481), .Y
+ (n_4297));
+ AOI21X1 g38531(.A0 (\u3_mem[2]_44 ), .A1 (n_4519), .B0 (n_1934), .Y
+ (n_4296));
+ AOI21X1 g38532(.A0 (\u4_mem[1]_68 ), .A1 (n_4507), .B0 (n_2107), .Y
+ (n_4295));
+ AOI21X1 g38533(.A0 (\u7_mem[2]_36 ), .A1 (n_4509), .B0 (n_2181), .Y
+ (n_4294));
+ AOI21X1 g38534(.A0 (\u3_mem[2]_32 ), .A1 (n_4533), .B0 (n_1950), .Y
+ (n_4293));
+ AOI21X1 g38536(.A0 (\u7_mem[2]_35 ), .A1 (n_4540), .B0 (n_1942), .Y
+ (n_4292));
+ AOI21X1 g38540(.A0 (\u8_mem[2]_48 ), .A1 (n_4491), .B0 (n_2176), .Y
+ (n_4291));
+ AOI21X1 g38541(.A0 (\u8_mem[1]_88 ), .A1 (n_4502), .B0 (n_1987), .Y
+ (n_4290));
+ AOI21X1 g38542(.A0 (\u5_mem[2]_51 ), .A1 (n_4370), .B0 (n_1936), .Y
+ (n_4289));
+ AOI21X1 g38544(.A0 (\u8_mem[1]_70 ), .A1 (n_4387), .B0 (n_1965), .Y
+ (n_4288));
+ AOI21X1 g38545(.A0 (\u8_mem[1]_72 ), .A1 (n_4387), .B0 (n_2119), .Y
+ (n_4287));
+ AOI21X1 g38546(.A0 (\u8_mem[1]_74 ), .A1 (n_4502), .B0 (n_1960), .Y
+ (n_4286));
+ AOI21X1 g38547(.A0 (\u8_mem[1]_76 ), .A1 (n_4502), .B0 (n_2202), .Y
+ (n_4285));
+ AOI21X1 g38548(.A0 (\u8_mem[1]_78 ), .A1 (n_4387), .B0 (n_2122), .Y
+ (n_4284));
+ AOI21X1 g38549(.A0 (\u8_mem[1]_79 ), .A1 (n_4387), .B0 (n_1956), .Y
+ (n_4283));
+ AOI21X1 g38551(.A0 (\u8_mem[1]_81 ), .A1 (n_4387), .B0 (n_2036), .Y
+ (n_4282));
+ AOI21X1 g38552(.A0 (\u8_mem[1]_83 ), .A1 (n_4387), .B0 (n_2098), .Y
+ (n_4281));
+ AOI21X1 g38553(.A0 (\u8_mem[1]_85 ), .A1 (n_4387), .B0 (n_2009), .Y
+ (n_4280));
+ AOI21X1 g38556(.A0 (\u8_mem[1]_61 ), .A1 (n_4387), .B0 (n_2022), .Y
+ (n_4279));
+ AOI21X1 g38558(.A0 (\u8_mem[2]_29 ), .A1 (n_4491), .B0 (n_2018), .Y
+ (n_4278));
+ AOI21X1 g38559(.A0 (\u8_mem[1]_63 ), .A1 (n_4502), .B0 (n_1930), .Y
+ (n_4277));
+ AOI21X1 g38560(.A0 (\u5_mem[2]_47 ), .A1 (n_4378), .B0 (n_1932), .Y
+ (n_4276));
+ AOI21X1 g38562(.A0 (\u8_mem[1]_68 ), .A1 (n_4387), .B0 (n_2069), .Y
+ (n_4275));
+ AOI21X1 g38563(.A0 (\u8_mem[1]_67 ), .A1 (n_4502), .B0 (n_2068), .Y
+ (n_4274));
+ AOI21X1 g38565(.A0 (\u8_mem[2]_38 ), .A1 (n_4491), .B0 (n_1979), .Y
+ (n_4273));
+ AOI21X1 g38569(.A0 (\u8_mem[2]_46 ), .A1 (n_4491), .B0 (n_2056), .Y
+ (n_4272));
+ AOI21X1 g38575(.A0 (\u8_mem[2]_53 ), .A1 (n_4491), .B0 (n_2192), .Y
+ (n_4271));
+ AOI21X1 g38577(.A0 (\u8_mem[2]_47 ), .A1 (n_4491), .B0 (n_2201), .Y
+ (n_4270));
+ AOI22X1 g38781(.A0 (n_12291), .A1 (n_6152), .B0 (n_2567), .B1
+ (n_12278), .Y (n_4269));
+ OAI21X1 g38782(.A0 (n_12262), .A1 (n_145), .B0 (n_929), .Y (n_4268));
+ AOI22X1 g38783(.A0 (n_3316), .A1 (n_634), .B0 (n_6316), .B1 (n_763),
+ .Y (n_4267));
+ AOI22X1 g38785(.A0 (n_3522), .A1 (\u7_rp[0] ), .B0 (n_12634), .B1
+ (n_754), .Y (n_4266));
+ NAND2X1 g38796(.A (\u4_mem[3]_135 ), .B (n_12744), .Y (n_11651));
+ NAND2X1 g38812(.A (\u4_mem[3]_138 ), .B (n_4258), .Y (n_4261));
+ NAND2X1 g38816(.A (\u4_mem[3]_140 ), .B (n_12744), .Y (n_4260));
+ NAND2X1 g38817(.A (\u4_mem[3]_122 ), .B (n_4258), .Y (n_4259));
+ NAND2X1 g38819(.A (\u4_mem[3]_123 ), .B (n_4258), .Y (n_4257));
+ NAND2X1 g38820(.A (\u4_mem[3]_124 ), .B (n_4258), .Y (n_4256));
+ NAND2X1 g38880(.A (\u4_mem[3]_132 ), .B (n_12744), .Y (n_11655));
+ NAND2X1 g39238(.A (\u6_mem[1]_72 ), .B (n_4253), .Y (n_11700));
+ NAND2X1 g38945(.A (\u4_mem[3]_139 ), .B (n_12744), .Y (n_4252));
+ NAND2X1 g38957(.A (\u4_mem[3]_128 ), .B (n_12744), .Y (n_4251));
+ NAND2X1 g38961(.A (\u4_mem[3] ), .B (n_12744), .Y (n_4250));
+ NAND2X1 g39008(.A (\u6_mem[1]_76 ), .B (n_12169), .Y (n_4249));
+ NAND2X1 g39012(.A (\u7_mem[1]_75 ), .B (n_4225), .Y (n_4247));
+ NAND2X1 g39027(.A (\u6_mem[1]_68 ), .B (n_12169), .Y (n_4246));
+ NAND2X1 g39028(.A (\u6_mem[1]_88 ), .B (n_4253), .Y (n_4244));
+ NAND2X1 g39031(.A (\u4_mem[1]_76 ), .B (n_12259), .Y (n_4243));
+ NAND2X1 g39034(.A (\u4_mem[1]_67 ), .B (n_12272), .Y (n_11661));
+ NAND2X1 g39039(.A (\u7_mem[1]_82 ), .B (n_4130), .Y (n_4240));
+ NAND2X1 g39040(.A (in_slt_406), .B (n_4623), .Y (n_4239));
+ INVX1 g39044(.A (n_5335), .Y (n_4238));
+ INVX1 g39046(.A (n_5313), .Y (n_4237));
+ NAND2X1 g39051(.A (\u4_mem[1]_81 ), .B (n_12270), .Y (n_4236));
+ NAND2X1 g39062(.A (\u7_mem[2]_56 ), .B (n_12650), .Y (n_4234));
+ NAND2X1 g39075(.A (\u6_mem[1] ), .B (n_12169), .Y (n_11752));
+ INVX1 g39086(.A (n_5315), .Y (n_4232));
+ INVX1 g39114(.A (n_5282), .Y (n_4231));
+ INVX1 g39117(.A (n_5287), .Y (n_4230));
+ NAND2X1 g39120(.A (\u6_mem[1]_86 ), .B (n_12169), .Y (n_4229));
+ NAND2X1 g39138(.A (\u4_mem[1]_73 ), .B (n_12261), .Y (n_11472));
+ NAND2X1 g39146(.A (\u7_mem[1]_76 ), .B (n_4225), .Y (n_4226));
+ NAND2X1 g39147(.A (\u6_mem[1]_84 ), .B (n_4253), .Y (n_4224));
+ NAND2X1 g39150(.A (\u7_mem[1]_87 ), .B (n_4225), .Y (n_4223));
+ NAND2X1 g39164(.A (\u7_mem[1]_83 ), .B (n_4130), .Y (n_4222));
+ NAND2X1 g39165(.A (in_slt_407), .B (n_4623), .Y (n_4220));
+ NAND2X1 g39174(.A (\u4_mem[1]_80 ), .B (n_12265), .Y (n_4219));
+ NAND2X1 g39178(.A (\u4_mem[1]_89 ), .B (n_12267), .Y (n_4218));
+ NAND2X1 g39188(.A (\u7_mem[1]_80 ), .B (n_11856), .Y (n_4217));
+ NAND2X1 g39204(.A (\u4_mem[1]_64 ), .B (n_12252), .Y (n_11667));
+ NAND2X1 g39223(.A (\u7_mem[1]_85 ), .B (n_4225), .Y (n_4214));
+ NAND2X1 g39225(.A (\u6_mem[1]_90 ), .B (n_12169), .Y (n_4212));
+ NAND2X1 g39240(.A (\u7_mem[1]_61 ), .B (n_4225), .Y (n_4210));
+ INVX1 g39242(.A (n_5298), .Y (n_4209));
+ NAND2X1 g39249(.A (\u4_mem[1]_62 ), .B (n_12259), .Y (n_4208));
+ INVX1 g39256(.A (n_5309), .Y (n_4207));
+ INVX1 g39261(.A (n_5339), .Y (n_4206));
+ INVX1 g39265(.A (n_5317), .Y (n_4205));
+ NAND2X1 g39295(.A (\u7_mem[1]_62 ), .B (n_4225), .Y (n_4204));
+ NAND2X1 g39309(.A (\u7_mem[1]_89 ), .B (n_4130), .Y (n_4203));
+ INVX1 g39318(.A (n_5280), .Y (n_4202));
+ NAND2X1 g39324(.A (\u6_mem[1]_66 ), .B (n_4253), .Y (n_11716));
+ NAND2X1 g39331(.A (\u4_mem[1]_82 ), .B (n_12267), .Y (n_4200));
+ NAND2X1 g39367(.A (\u7_mem[1] ), .B (n_4130), .Y (n_4199));
+ NAND2X1 g39376(.A (\u7_mem[1]_86 ), .B (n_4130), .Y (n_4198));
+ NAND2X1 g39396(.A (n_12261), .B (\u4_mem[1]_70 ), .Y (n_11476));
+ NAND2X1 g39400(.A (\u7_mem[2]_44 ), .B (n_12641), .Y (n_4196));
+ NAND2X1 g39420(.A (\u4_mem[1]_60 ), .B (n_12272), .Y (n_4195));
+ INVX1 g39422(.A (n_5296), .Y (n_4193));
+ NAND2X1 g39433(.A (\u4_mem[1]_61 ), .B (n_12259), .Y (n_4192));
+ NAND2X1 g39445(.A (\u7_mem[1]_81 ), .B (n_4130), .Y (n_4191));
+ NAND2X1 g39446(.A (\u7_mem[1]_67 ), .B (n_4130), .Y (n_4190));
+ NAND2X1 g39457(.A (\u6_mem[1]_67 ), .B (n_4253), .Y (n_11718));
+ NAND2X1 g39461(.A (\u4_mem[1]_71 ), .B (n_12250), .Y (n_11657));
+ NAND2X1 g39474(.A (\u6_mem[1]_65 ), .B (n_12169), .Y (n_11714));
+ NAND2X1 g39479(.A (\u6_mem[1]_64 ), .B (n_4253), .Y (n_11712));
+ NAND2X1 g39486(.A (\u4_mem[1]_83 ), .B (n_12265), .Y (n_4183));
+ NAND2X1 g39494(.A (\u6_mem[1]_81 ), .B (n_4253), .Y (n_4182));
+ NAND2X1 g39499(.A (\u6_mem[1]_61 ), .B (n_12169), .Y (n_11514));
+ INVX1 g39513(.A (n_5290), .Y (n_4178));
+ NAND2X1 g39527(.A (\u4_mem[1]_85 ), .B (n_12270), .Y (n_4177));
+ NAND2X1 g39530(.A (\u7_mem[2]_50 ), .B (n_12645), .Y (n_4175));
+ NAND2X1 g39548(.A (\u7_mem[1]_72 ), .B (n_11856), .Y (n_4173));
+ NAND2X1 g39550(.A (\u7_mem[2]_54 ), .B (n_12650), .Y (n_4171));
+ NAND2X1 g39555(.A (\u6_mem[1]_74 ), .B (n_4253), .Y (n_11704));
+ NAND2X1 g39562(.A (\u6_mem[1]_82 ), .B (n_4253), .Y (n_4167));
+ NAND2X1 g39573(.A (\u6_mem[1]_70 ), .B (n_12169), .Y (n_11708));
+ NAND2X1 g39578(.A (\u6_mem[1]_83 ), .B (n_4253), .Y (n_4165));
+ NAND2X1 g39582(.A (\u6_mem[1]_71 ), .B (n_12169), .Y (n_4164));
+ NAND2X1 g39594(.A (\u6_mem[1]_85 ), .B (n_4253), .Y (n_4163));
+ INVX1 g39615(.A (n_5300), .Y (n_4161));
+ NAND2X1 g39743(.A (\u6_mem[1]_60 ), .B (n_12169), .Y (n_12820));
+ NAND2X1 g39622(.A (\u6_mem[1]_89 ), .B (n_12169), .Y (n_4159));
+ NAND2X1 g39624(.A (\u6_mem[1]_77 ), .B (n_4253), .Y (n_4158));
+ NAND2X1 g39629(.A (\u6_mem[1]_78 ), .B (n_12169), .Y (n_4157));
+ NAND2X1 g39632(.A (\u6_mem[1]_62 ), .B (n_12169), .Y (n_12822));
+ NAND2X1 g39635(.A (\u4_mem[1]_87 ), .B (n_12267), .Y (n_4155));
+ NAND2X1 g39644(.A (\u6_mem[1]_80 ), .B (n_4253), .Y (n_4154));
+ INVX1 g39647(.A (n_5284), .Y (n_4153));
+ NAND2X1 g39650(.A (\u4_mem[1]_68 ), .B (n_12252), .Y (n_11663));
+ NAND2X1 g39658(.A (\u6_mem[1]_87 ), .B (n_4253), .Y (n_4150));
+ NAND2X1 g39674(.A (\u7_mem[2]_57 ), .B (n_12650), .Y (n_4148));
+ NAND2X1 g39675(.A (\u4_mem[1]_78 ), .B (n_12259), .Y (n_4147));
+ NAND2X1 g39678(.A (\u4_mem[1]_75 ), .B (n_12250), .Y (n_12828));
+ NAND2X1 g39684(.A (\u4_mem[1]_77 ), .B (n_12250), .Y (n_4144));
+ NAND2X1 g39691(.A (\u6_mem[1]_79 ), .B (n_12169), .Y (n_4143));
+ NAND2X1 g39695(.A (\u7_mem[2]_55 ), .B (n_12650), .Y (n_4142));
+ NAND2X1 g39700(.A (\u4_mem[1]_69 ), .B (n_12250), .Y (n_11647));
+ NAND2X1 g39704(.A (\u4_mem[1]_79 ), .B (n_12265), .Y (n_4140));
+ NAND2X1 g39718(.A (\u4_mem[1]_65 ), .B (n_12265), .Y (n_12830));
+ INVX1 g39722(.A (n_5321), .Y (n_4138));
+ NAND2X1 g39739(.A (\u4_mem[1]_66 ), .B (n_12273), .Y (n_11659));
+ NAND2X1 g39749(.A (\u4_mem[1]_90 ), .B (n_12270), .Y (n_4136));
+ INVX1 g39750(.A (n_5355), .Y (n_4135));
+ NAND2X1 g39761(.A (\u4_mem[1]_88 ), .B (n_12267), .Y (n_4134));
+ INVX1 g39762(.A (n_5304), .Y (n_4133));
+ NAND2X1 g39768(.A (\u7_mem[1]_69 ), .B (n_4130), .Y (n_4131));
+ NAND2X1 g39774(.A (\u7_mem[2]_51 ), .B (n_12645), .Y (n_4129));
+ NAND2X1 g39779(.A (\u7_mem[2]_52 ), .B (n_12650), .Y (n_4128));
+ NAND2X1 g39781(.A (\u7_mem[1]_71 ), .B (n_4130), .Y (n_4127));
+ NAND2X1 g39784(.A (\u7_mem[2]_53 ), .B (n_12650), .Y (n_4126));
+ NAND2X1 g39790(.A (\u7_mem[1]_74 ), .B (n_4130), .Y (n_4125));
+ NAND2X1 g39800(.A (\u7_mem[1]_88 ), .B (n_4130), .Y (n_4124));
+ NAND2X1 g39801(.A (\u7_mem[2]_45 ), .B (n_12641), .Y (n_4123));
+ NAND2X1 g39803(.A (\u7_mem[2]_59 ), .B (n_12650), .Y (n_4121));
+ NAND2X1 g39805(.A (\u7_mem[1]_90 ), .B (n_4225), .Y (n_4120));
+ NAND2X1 g39807(.A (\u7_mem[1]_60 ), .B (n_4130), .Y (n_4118));
+ NAND2X1 g39808(.A (\u7_mem[1]_84 ), .B (n_4225), .Y (n_4117));
+ NAND2X1 g39818(.A (\u7_mem[2]_58 ), .B (n_12650), .Y (n_4116));
+ NAND2X1 g39829(.A (\u4_mem[1] ), .B (n_12252), .Y (n_11671));
+ NAND2X1 g39833(.A (\u7_mem[1]_70 ), .B (n_4130), .Y (n_4114));
+ NAND2X1 g39860(.A (\u7_mem[1]_73 ), .B (n_11856), .Y (n_4113));
+ NAND2X1 g39872(.A (\u4_mem[1]_86 ), .B (n_12270), .Y (n_4112));
+ INVX1 g39907(.A (n_5292), .Y (n_4111));
+ NAND2X1 g39921(.A (\u6_mem[1]_63 ), .B (n_4253), .Y (n_11710));
+ NAND2X1 g39932(.A (\u4_mem[1]_84 ), .B (n_12250), .Y (n_4109));
+ INVX1 g39934(.A (n_5330), .Y (n_4108));
+ NOR2X1 g35855(.A (n_1823), .B (n_1469), .Y (n_4107));
+ INVX1 g39619(.A (n_5307), .Y (n_4106));
+ NOR2X1 g35853(.A (n_1292), .B (n_4104), .Y (n_4105));
+ NAND4X1 g35478(.A (n_2631), .B (\u2_cnt[5] ), .C (\u2_cnt[6] ), .D
+ (\u2_cnt[4] ), .Y (n_4103));
+ XOR2X1 g35486(.A (\u11_wp[3] ), .B (n_1233), .Y (n_4102));
+ XOR2X1 g35491(.A (\u2_res_cnt[3] ), .B (n_1278), .Y (n_4101));
+ XOR2X1 g35492(.A (\u2_to_cnt[3] ), .B (n_1276), .Y (n_4100));
+ AOI22X1 g37942(.A0 (u11_din_tmp_56), .A1 (n_4560), .B0 (n_4097), .B1
+ (in_slt_457), .Y (n_4098));
+ NOR2X1 g35835(.A (n_1825), .B (n_1486), .Y (n_4096));
+ NOR3X1 g35841(.A (n_1213), .B (n_4076), .C (\u2_cnt[5] ), .Y
+ (n_4095));
+ NAND2X1 g35842(.A (n_5632), .B (\u2_res_cnt[0] ), .Y (n_4094));
+ XOR2X1 g36187(.A (n_920), .B (n_1294), .Y (n_4093));
+ XOR2X1 g36188(.A (n_1425), .B (n_1269), .Y (n_4092));
+ XOR2X1 g36191(.A (n_1418), .B (n_1267), .Y (n_4091));
+ XOR2X1 g36192(.A (n_1422), .B (n_1265), .Y (n_4090));
+ XOR2X1 g36202(.A (n_4088), .B (n_1282), .Y (n_4089));
+ NAND2X1 g36305(.A (n_4081), .B (n_2617), .Y (n_4087));
+ NOR2X1 g36416(.A (n_2599), .B (n_1814), .Y (n_4086));
+ NOR2X1 g37492(.A (n_4747), .B (n_5371), .Y (n_4085));
+ NOR2X1 g36450(.A (n_1794), .B (n_1793), .Y (n_4084));
+ NAND2X1 g36504(.A (n_1804), .B (n_4081), .Y (n_4082));
+ NAND2X1 g36526(.A (n_1772), .B (n_4080), .Y (n_5636));
+ NAND4X1 g36562(.A (n_2378), .B (n_698), .C (n_2596), .D (n_4079), .Y
+ (n_4832));
+ NAND3X1 g36617(.A (n_1284), .B (u12_we2), .C (u12_we1), .Y (n_5248));
+ AOI21X1 g37698(.A0 (n_6030), .A1 (n_2553), .B0 (n_2365), .Y (n_4078));
+ NOR2X1 g36695(.A (n_1785), .B (n_4076), .Y (n_4077));
+ OAI21X1 g36726(.A0 (n_2368), .A1 (n_4074), .B0 (n_1813), .Y (n_4075));
+ OAI21X1 g36730(.A0 (n_2513), .A1 (\u11_wp[1] ), .B0 (n_1816), .Y
+ (n_4073));
+ NOR2X1 g35838(.A (n_5632), .B (n_1777), .Y (n_5624));
+ XOR2X1 g36746(.A (n_1157), .B (n_1438), .Y (n_4072));
+ DFFX1 \u11_status_reg[0] (.CK (clk_i), .D (n_1804), .Q (i6_status),
+ .QN ());
+ DFFX1 \u6_status_reg[0] (.CK (clk_i), .D (n_5618), .Q (o7_status),
+ .QN ());
+ DFFX1 \u3_status_reg[0] (.CK (clk_i), .D (n_5620), .Q (o3_status),
+ .QN ());
+ DFFX1 \u4_status_reg[0] (.CK (clk_i), .D (n_6049), .Q (o4_status),
+ .QN ());
+ DFFX1 \u7_status_reg[0] (.CK (clk_i), .D (n_5616), .Q (o8_status),
+ .QN ());
+ DFFX1 \u1_sr_reg[2] (.CK (bit_clk_pad_i), .D (u1_sr_117), .Q
+ (u1_sr_118), .QN ());
+ NAND2X1 g36784(.A (n_1770), .B (n_1768), .Y (n_4067));
+ NAND2X1 g36785(.A (n_1697), .B (n_1848), .Y (n_4066));
+ NAND2X1 g36786(.A (n_1765), .B (n_1764), .Y (n_4065));
+ NAND2X1 g36787(.A (n_1568), .B (n_1763), .Y (n_4064));
+ NAND2X1 g36788(.A (n_1860), .B (n_1761), .Y (n_4063));
+ NAND2X1 g36789(.A (n_1639), .B (n_1698), .Y (n_4062));
+ NAND2X1 g36790(.A (n_1759), .B (n_1758), .Y (n_4061));
+ NAND2X1 g36791(.A (n_1836), .B (n_1753), .Y (n_4060));
+ NAND2X1 g36792(.A (n_1752), .B (n_1751), .Y (n_4059));
+ NAND2X1 g36793(.A (n_1767), .B (n_1750), .Y (n_4058));
+ NAND2X1 g36794(.A (n_1749), .B (n_1834), .Y (n_4057));
+ NAND2X1 g36796(.A (n_1747), .B (n_1746), .Y (n_4056));
+ NAND2X1 g36797(.A (n_1745), .B (n_1743), .Y (n_4055));
+ NAND2X1 g36798(.A (n_1681), .B (n_1741), .Y (n_4054));
+ NAND2X1 g36799(.A (n_1739), .B (n_1736), .Y (n_4053));
+ NAND2X1 g36800(.A (n_1563), .B (n_1735), .Y (n_4052));
+ NAND2X1 g36801(.A (n_1734), .B (n_1699), .Y (n_4051));
+ NAND2X1 g36802(.A (n_1705), .B (n_1730), .Y (n_4050));
+ NAND2X1 g36803(.A (n_1729), .B (n_1726), .Y (n_4049));
+ NAND2X1 g36804(.A (n_1725), .B (n_1722), .Y (n_4048));
+ NAND2X1 g36805(.A (n_2501), .B (n_1720), .Y (n_4047));
+ NAND2X1 g36806(.A (n_1719), .B (n_1718), .Y (n_4046));
+ NAND2X1 g36807(.A (n_2508), .B (n_1858), .Y (n_4045));
+ NAND2X1 g36808(.A (n_1717), .B (n_2505), .Y (n_4044));
+ NAND2X1 g36809(.A (n_1714), .B (n_1712), .Y (n_4043));
+ NAND2X1 g36810(.A (n_1674), .B (n_1710), .Y (n_4042));
+ NAND2X1 g36811(.A (n_1694), .B (n_1693), .Y (n_4041));
+ NAND2X1 g36813(.A (n_1709), .B (n_1696), .Y (n_4040));
+ NAND2X1 g36815(.A (n_1702), .B (n_1731), .Y (n_4039));
+ NAND2X1 g36862(.A (n_1572), .B (n_1571), .Y (n_4038));
+ NAND2X1 g36871(.A (n_1686), .B (n_1683), .Y (n_4037));
+ NAND2X1 g36876(.A (n_1189), .B (n_2302), .Y (n_4036));
+ NOR2X1 g36878(.A (n_2486), .B (n_2302), .Y (n_4035));
+ NAND2X1 g36879(.A (n_1678), .B (n_1675), .Y (n_4034));
+ NAND2X1 g36893(.A (n_1669), .B (n_1711), .Y (n_4033));
+ NAND2X1 g36914(.A (n_1672), .B (n_1671), .Y (n_4032));
+ NAND2X1 g36919(.A (n_1837), .B (n_1653), .Y (n_4031));
+ NAND2X1 g36923(.A (n_1668), .B (n_1667), .Y (n_4030));
+ NAND2X1 g36927(.A (n_1666), .B (n_1891), .Y (n_4029));
+ NAND2X1 g36930(.A (n_1665), .B (n_1664), .Y (n_4028));
+ NAND2X1 g36931(.A (n_1662), .B (n_1661), .Y (n_4027));
+ NAND2X1 g36934(.A (n_1660), .B (n_1658), .Y (n_4026));
+ NAND2X1 g36935(.A (n_1656), .B (n_1655), .Y (n_4025));
+ NAND2X1 g36937(.A (n_1654), .B (n_1652), .Y (n_4024));
+ NAND2X1 g36938(.A (n_1651), .B (n_1648), .Y (n_4023));
+ NAND2X1 g36939(.A (n_1646), .B (n_1640), .Y (n_4022));
+ NAND2X1 g36940(.A (n_1645), .B (n_1642), .Y (n_4021));
+ NAND2X1 g36941(.A (n_1638), .B (n_1637), .Y (n_4020));
+ NAND2X1 g36944(.A (n_1635), .B (n_1631), .Y (n_4019));
+ NAND2X1 g36945(.A (n_1629), .B (n_1625), .Y (n_4018));
+ NAND2X1 g36947(.A (n_1757), .B (n_1623), .Y (n_4017));
+ NAND2X1 g36948(.A (n_1621), .B (n_1617), .Y (n_4016));
+ NAND2X1 g36950(.A (n_1616), .B (n_1613), .Y (n_4015));
+ NAND2X1 g36951(.A (n_1611), .B (n_1607), .Y (n_4014));
+ NAND2X1 g36953(.A (n_1606), .B (n_1603), .Y (n_4013));
+ NAND2X1 g36954(.A (n_1601), .B (n_1855), .Y (n_4012));
+ NAND2X1 g36957(.A (n_1597), .B (n_1594), .Y (n_4011));
+ NAND2X1 g36959(.A (n_1593), .B (n_1590), .Y (n_4010));
+ NAND2X1 g36960(.A (n_1588), .B (n_1587), .Y (n_4009));
+ NAND2X1 g36961(.A (n_1586), .B (n_1583), .Y (n_4008));
+ NAND2X1 g36962(.A (n_1582), .B (n_1602), .Y (n_4007));
+ NAND2X1 g36963(.A (n_1579), .B (n_1578), .Y (n_4006));
+ NAND2X1 g36970(.A (n_1577), .B (n_1576), .Y (n_4005));
+ NAND2X1 g36977(.A (n_1574), .B (n_1573), .Y (n_4004));
+ NAND2X1 g36986(.A (n_1570), .B (n_1689), .Y (n_4003));
+ NAND2X1 g36991(.A (n_1569), .B (n_1832), .Y (n_4002));
+ NAND2X1 g36994(.A (n_1567), .B (n_1566), .Y (n_4001));
+ NAND4X1 g37053(.A (n_3964), .B (n_701), .C (n_711), .D (n_2596), .Y
+ (n_4000));
+ NAND2X1 g37073(.A (n_2503), .B (n_1707), .Y (n_3999));
+ NAND2X1 g37128(.A (n_1865), .B (n_1663), .Y (n_3998));
+ NAND2X1 g37129(.A (n_2498), .B (n_1706), .Y (n_3997));
+ NAND3X1 g37196(.A (n_1782), .B (n_2571), .C (n_3995), .Y (n_3996));
+ XOR2X1 g37202(.A (\u26_ps_cnt[4] ), .B (n_1449), .Y (n_3994));
+ XOR2X1 g37206(.A (\u8_wp[0] ), .B (n_3992), .Y (n_3993));
+ AOI22X1 g37329(.A0 (n_5277), .A1 (crac_out_866), .B0 (n_6972), .B1
+ (n_8550), .Y (n_3991));
+ AOI22X1 g37332(.A0 (n_5277), .A1 (crac_out_867), .B0 (n_6972), .B1
+ (n_3987), .Y (n_3989));
+ AOI22X1 g37336(.A0 (n_6972), .A1 (oc2_cfg_990), .B0 (\u13_ints_r[23]
+ ), .B1 (n_3985), .Y (n_3986));
+ INVX1 g37371(.A (n_2593), .Y (n_3984));
+ AOI22X1 g37375(.A0 (n_5277), .A1 (crac_out_862), .B0 (n_6972), .B1
+ (oc2_cfg_984), .Y (n_3983));
+ INVX2 g37444(.A (n_2588), .Y (n_7297));
+ NAND2X1 g37447(.A (\u13_ints_r[0] ), .B (n_3979), .Y (n_3982));
+ NAND2X1 g37448(.A (\u13_ints_r[10] ), .B (n_3979), .Y (n_3981));
+ NAND2X1 g37449(.A (\u13_ints_r[11] ), .B (n_3979), .Y (n_3980));
+ NAND2X1 g37450(.A (\u13_ints_r[13] ), .B (n_3979), .Y (n_3978));
+ NAND2X1 g37451(.A (n_5272), .B (\u13_intm_r[23] ), .Y (n_3976));
+ NAND2X1 g37456(.A (\u13_ints_r[2] ), .B (n_3979), .Y (n_3975));
+ NAND2X1 g37457(.A (\u13_ints_r[3] ), .B (n_3979), .Y (n_3974));
+ NAND2X1 g37458(.A (n_5277), .B (crac_out_861), .Y (n_3973));
+ NAND2X1 g37460(.A (\u13_ints_r[5] ), .B (n_3979), .Y (n_3971));
+ NAND2X1 g37463(.A (\u13_ints_r[8] ), .B (n_3979), .Y (n_3970));
+ NAND2X1 g37464(.A (n_3979), .B (\u13_ints_r[9] ), .Y (n_3969));
+ NAND2X1 g37466(.A (n_5277), .B (crac_out_864), .Y (n_3968));
+ NAND2X1 g37468(.A (n_5277), .B (crac_out_863), .Y (n_3967));
+ NAND2X1 g37469(.A (\u13_ints_r[12] ), .B (n_3979), .Y (n_3966));
+ OR2X1 g37473(.A (n_3964), .B (n_2596), .Y (n_3965));
+ INVX1 g37481(.A (n_2576), .Y (n_4676));
+ NOR2X1 g37494(.A (n_4749), .B (n_5371), .Y (n_3963));
+ NOR2X1 g37495(.A (n_4772), .B (n_5371), .Y (n_3961));
+ NOR2X1 g37496(.A (n_4769), .B (n_5371), .Y (n_3960));
+ NOR2X1 g37498(.A (n_4745), .B (n_6594), .Y (n_3959));
+ NOR2X1 g37505(.A (n_4761), .B (n_6594), .Y (n_3958));
+ NOR2X1 g37506(.A (n_4759), .B (n_6594), .Y (n_3957));
+ NOR2X1 g37508(.A (n_4751), .B (n_6594), .Y (n_3956));
+ NOR2X1 g37512(.A (n_4764), .B (n_5371), .Y (n_3955));
+ NOR2X1 g37536(.A (n_4767), .B (n_5371), .Y (n_3953));
+ NOR2X1 g37542(.A (n_4743), .B (n_5371), .Y (n_3952));
+ NOR2X1 g37551(.A (n_4776), .B (n_5371), .Y (n_3950));
+ NOR2X1 g37553(.A (n_4757), .B (n_5371), .Y (n_3949));
+ NOR2X1 g37569(.A (n_4753), .B (n_5371), .Y (n_3948));
+ NOR2X1 g37577(.A (n_4755), .B (n_5371), .Y (n_3947));
+ NOR2X1 g37580(.A (n_4778), .B (n_5371), .Y (n_3946));
+ AND2X1 g37587(.A (n_2412), .B (n_3944), .Y (n_3945));
+ AND2X1 g37595(.A (n_2371), .B (n_3942), .Y (n_3943));
+ NOR2X1 g37596(.A (n_1199), .B (n_2302), .Y (n_3941));
+ AOI22X1 g37601(.A0 (n_712), .A1 (n_3556), .B0 (n_145), .B1 (\u4_rp[3]
+ ), .Y (n_3940));
+ AOI22X1 g37605(.A0 (n_785), .A1 (n_3543), .B0 (n_3559), .B1
+ (\u5_rp[3] ), .Y (n_3939));
+ AOI22X1 g37656(.A0 (u9_din_tmp_53), .A1 (n_2368), .B0 (in_slt_408),
+ .B1 (n_3935), .Y (n_3938));
+ AOI22X1 g37657(.A0 (u9_din_tmp_54), .A1 (n_2368), .B0 (in_slt_409),
+ .B1 (n_3935), .Y (n_3937));
+ AOI22X1 g37658(.A0 (u9_din_tmp_55), .A1 (n_2368), .B0 (in_slt_410),
+ .B1 (n_3935), .Y (n_3934));
+ AOI22X1 g37659(.A0 (u9_din_tmp_56), .A1 (n_2368), .B0 (in_slt_411),
+ .B1 (n_3935), .Y (n_3933));
+ AOI22X1 g37673(.A0 (in_slt_406), .A1 (n_3415), .B0 (in_slt_404), .B1
+ (n_3935), .Y (n_3932));
+ AOI21X1 g37685(.A0 (n_2558), .A1 (n_6375), .B0 (n_2257), .Y (n_3930));
+ AOI21X1 g37691(.A0 (n_6585), .A1 (n_1316), .B0 (n_2346), .Y (n_3929));
+ AOI22X1 g37701(.A0 (u10_din_tmp_46), .A1 (n_3339), .B0 (n_3911), .B1
+ (in_slt_425), .Y (n_3928));
+ AOI21X1 g37702(.A0 (n_5577), .A1 (n_1316), .B0 (n_2341), .Y (n_3927));
+ AOI21X1 g37704(.A0 (n_256), .A1 (n_2553), .B0 (n_2307), .Y (n_3925));
+ AOI21X1 g37708(.A0 (n_6007), .A1 (n_1316), .B0 (n_2336), .Y (n_3923));
+ AOI21X1 g37711(.A0 (n_98), .A1 (n_2553), .B0 (n_2335), .Y (n_3922));
+ AOI21X1 g37714(.A0 (n_39), .A1 (n_2553), .B0 (n_2475), .Y (n_3921));
+ AOI22X1 g37717(.A0 (u10_din_tmp_51), .A1 (n_3339), .B0 (n_3911), .B1
+ (in_slt_430), .Y (n_3920));
+ AOI21X1 g37720(.A0 (n_5518), .A1 (n_1316), .B0 (n_2332), .Y (n_3919));
+ AOI21X1 g37721(.A0 (n_366), .A1 (n_2553), .B0 (n_2327), .Y (n_3918));
+ NAND2X1 g37735(.A (n_2404), .B (n_2250), .Y (n_3917));
+ NAND2X1 g37739(.A (n_2444), .B (n_2320), .Y (n_3916));
+ NAND2X1 g37745(.A (n_2401), .B (n_2317), .Y (n_3915));
+ NAND2X1 g37749(.A (n_2443), .B (n_2316), .Y (n_3914));
+ AOI22X1 g37861(.A0 (u10_din_tmp_52), .A1 (n_3339), .B0 (n_3911), .B1
+ (in_slt_431), .Y (n_3913));
+ AOI22X1 g37874(.A0 (u10_din_tmp_53), .A1 (n_3339), .B0 (n_3911), .B1
+ (in_slt_432), .Y (n_3910));
+ AOI22X1 g37879(.A0 (u10_din_tmp_54), .A1 (n_3339), .B0 (n_3911), .B1
+ (in_slt_433), .Y (n_3909));
+ AOI22X1 g37880(.A0 (u11_din_tmp1), .A1 (n_4560), .B0 (n_4097), .B1
+ (in_slt_442), .Y (n_3908));
+ AOI22X1 g37881(.A0 (u11_din_tmp_51), .A1 (n_4560), .B0 (n_4097), .B1
+ (in_slt_452), .Y (n_3907));
+ AOI22X1 g37884(.A0 (u11_din_tmp_52), .A1 (n_4560), .B0 (n_4097), .B1
+ (in_slt_453), .Y (n_3905));
+ AOI22X1 g37885(.A0 (u10_din_tmp_56), .A1 (n_3339), .B0 (n_3911), .B1
+ (in_slt_435), .Y (n_3904));
+ AOI22X1 g37886(.A0 (u11_din_tmp_53), .A1 (n_4560), .B0 (n_4097), .B1
+ (in_slt_454), .Y (n_3903));
+ AOI22X1 g37889(.A0 (u11_din_tmp_54), .A1 (n_4560), .B0 (n_4097), .B1
+ (in_slt_455), .Y (n_3902));
+ AOI22X1 g37892(.A0 (u11_din_tmp_55), .A1 (n_4560), .B0 (n_4097), .B1
+ (in_slt_456), .Y (n_3900));
+ AOI22X1 g37893(.A0 (u10_din_tmp_55), .A1 (n_3339), .B0 (n_3911), .B1
+ (in_slt_434), .Y (n_3899));
+ AOI22X1 g37901(.A0 (u11_din_tmp_42), .A1 (n_4560), .B0 (n_4097), .B1
+ (in_slt_443), .Y (n_3898));
+ AOI22X1 g37906(.A0 (u11_din_tmp_43), .A1 (n_4560), .B0 (n_4097), .B1
+ (in_slt_444), .Y (n_3896));
+ AOI22X1 g37908(.A0 (u11_din_tmp_44), .A1 (n_4560), .B0 (n_4097), .B1
+ (in_slt_445), .Y (n_3895));
+ AOI22X1 g37921(.A0 (n_4097), .A1 (in_slt_451), .B0 (n_2325), .B1
+ (in_slt_449), .Y (n_3894));
+ AOI22X1 g37947(.A0 (n_5981), .A1 (n_940), .B0 (n_6005), .B1 (n_1316),
+ .Y (n_3893));
+ AOI22X1 g37962(.A0 (n_6457), .A1 (n_940), .B0 (n_6591), .B1 (n_1316),
+ .Y (n_3892));
+ NAND2X1 g39960(.A (n_11804), .B (\u8_mem[0]_108 ), .Y (n_3890));
+ AOI21X1 g38000(.A0 (\u8_mem[3]_134 ), .A1 (n_3879), .B0 (n_1458), .Y
+ (n_3889));
+ AOI21X1 g38007(.A0 (\u8_mem[3]_141 ), .A1 (n_3879), .B0 (n_1504), .Y
+ (n_3888));
+ AOI21X1 g38014(.A0 (\u8_mem[3]_149 ), .A1 (n_3879), .B0 (n_1283), .Y
+ (n_3887));
+ AOI21X1 g38023(.A0 (\u8_mem[3] ), .A1 (n_3879), .B0 (n_1503), .Y
+ (n_3886));
+ AOI21X1 g38046(.A0 (\u8_mem[3]_148 ), .A1 (n_3879), .B0 (n_1476), .Y
+ (n_3885));
+ AOI21X1 g38066(.A0 (\u8_mem[3]_136 ), .A1 (n_3879), .B0 (n_1423), .Y
+ (n_3884));
+ AOI21X1 g38072(.A0 (\u8_mem[3]_146 ), .A1 (n_3879), .B0 (n_1498), .Y
+ (n_3883));
+ AOI21X1 g38081(.A0 (\u8_mem[3]_145 ), .A1 (n_3879), .B0 (n_1496), .Y
+ (n_3881));
+ AOI21X1 g38082(.A0 (\u8_mem[3]_144 ), .A1 (n_3879), .B0 (n_1462), .Y
+ (n_3880));
+ AOI21X1 g38084(.A0 (\u8_mem[3]_143 ), .A1 (n_3879), .B0 (n_1479), .Y
+ (n_3878));
+ NAND2X2 g39945(.A (n_1367), .B (\u8_rp[3] ), .Y (n_4829));
+ AOI21X1 g38088(.A0 (\u8_mem[3]_130 ), .A1 (n_3879), .B0 (n_1470), .Y
+ (n_3877));
+ XOR2X1 g38091(.A (\u9_wp[3] ), .B (n_1063), .Y (n_3875));
+ AOI21X1 g38092(.A0 (\u8_mem[3]_142 ), .A1 (n_3879), .B0 (n_1492), .Y
+ (n_3874));
+ AOI21X1 g38104(.A0 (\u8_mem[3]_147 ), .A1 (n_3879), .B0 (n_1555), .Y
+ (n_3872));
+ AOI21X1 g38106(.A0 (\u8_mem[3]_139 ), .A1 (n_3879), .B0 (n_1272), .Y
+ (n_3871));
+ AOI21X1 g38107(.A0 (\u8_mem[3]_122 ), .A1 (n_3879), .B0 (n_1490), .Y
+ (n_3869));
+ AOI21X1 g38134(.A0 (\u8_mem[3]_140 ), .A1 (n_3879), .B0 (n_1483), .Y
+ (n_3867));
+ NAND2X1 g39922(.A (\u8_mem[2]_58 ), .B (n_3441), .Y (n_3866));
+ NOR2X1 g39336(.A (n_3089), .B (n_2763), .Y (n_3865));
+ AOI21X1 g38230(.A0 (\u8_mem[3]_124 ), .A1 (n_3879), .B0 (n_1478), .Y
+ (n_3864));
+ NAND2X1 g39901(.A (\u8_mem[1]_85 ), .B (n_12291), .Y (n_3862));
+ AOI21X1 g38373(.A0 (\u8_mem[3]_128 ), .A1 (n_3879), .B0 (n_1480), .Y
+ (n_3861));
+ AOI21X1 g38378(.A0 (\u8_mem[3]_151 ), .A1 (n_3879), .B0 (n_1472), .Y
+ (n_3860));
+ AOI21X1 g38384(.A0 (\u8_mem[3]_127 ), .A1 (n_3879), .B0 (n_1489), .Y
+ (n_3858));
+ AOI21X1 g38389(.A0 (\u8_mem[3]_129 ), .A1 (n_3879), .B0 (n_1477), .Y
+ (n_3857));
+ AOI21X1 g38392(.A0 (\u8_mem[3]_126 ), .A1 (n_3879), .B0 (n_1502), .Y
+ (n_3856));
+ AOI21X1 g38413(.A0 (\u8_mem[3]_123 ), .A1 (n_3879), .B0 (n_1495), .Y
+ (n_3855));
+ AOI21X1 g38424(.A0 (\u8_mem[3]_150 ), .A1 (n_3879), .B0 (n_1273), .Y
+ (n_3854));
+ AOI21X1 g38456(.A0 (\u8_mem[3]_125 ), .A1 (n_3879), .B0 (n_1414), .Y
+ (n_3852));
+ AOI21X1 g38459(.A0 (\u8_mem[3]_131 ), .A1 (n_3879), .B0 (n_1501), .Y
+ (n_3851));
+ AOI21X1 g38460(.A0 (\u8_mem[3]_152 ), .A1 (n_3879), .B0 (n_1466), .Y
+ (n_3850));
+ AOI21X1 g38470(.A0 (n_1484), .A1 (n_760), .B0 (n_1485), .Y (n_3849));
+ NAND2X1 g39884(.A (\u7_mem[2]_36 ), .B (n_12645), .Y (n_3848));
+ AOI21X1 g38475(.A0 (\u8_mem[3]_137 ), .A1 (n_3879), .B0 (n_1322), .Y
+ (n_3847));
+ NAND2X1 g39879(.A (n_12679), .B (\u5_mem[0]_113 ), .Y (n_3846));
+ AOI21X1 g38512(.A0 (\u8_mem[3]_135 ), .A1 (n_3879), .B0 (n_1464), .Y
+ (n_3845));
+ NOR2X1 g39296(.A (n_3486), .B (n_2755), .Y (n_3844));
+ AOI21X1 g38539(.A0 (\u8_mem[3]_138 ), .A1 (n_3879), .B0 (n_1457), .Y
+ (n_3843));
+ AOI21X1 g38543(.A0 (\u8_mem[3]_133 ), .A1 (n_3879), .B0 (n_1556), .Y
+ (n_3842));
+ AOI21X1 g38550(.A0 (\u8_mem[3]_132 ), .A1 (n_3879), .B0 (n_1435), .Y
+ (n_3841));
+ NAND2X1 g39873(.A (n_12679), .B (\u5_mem[0]_111 ), .Y (n_3840));
+ MX2X1 g38580(.A (\u8_mem[0]_114 ), .B (wb_din_684), .S0 (n_3826), .Y
+ (n_3838));
+ MX2X1 g38581(.A (\u8_mem[0]_94 ), .B (wb_din_664), .S0 (n_3826), .Y
+ (n_3837));
+ MX2X1 g38582(.A (\u8_mem[0]_113 ), .B (wb_din_683), .S0 (n_3826), .Y
+ (n_3836));
+ MX2X1 g38583(.A (\u3_mem[0]_112 ), .B (wb_din_682), .S0 (n_858), .Y
+ (n_3834));
+ MX2X1 g38584(.A (\u8_mem[0]_112 ), .B (wb_din_682), .S0 (n_3826), .Y
+ (n_3833));
+ MX2X1 g38585(.A (\u8_mem[0]_93 ), .B (wb_din_663), .S0 (n_3826), .Y
+ (n_3832));
+ MX2X1 g38586(.A (\u8_mem[0]_111 ), .B (wb_din_681), .S0 (n_3826), .Y
+ (n_3831));
+ MX2X1 g38587(.A (\u8_mem[0]_110 ), .B (wb_din_680), .S0 (n_3826), .Y
+ (n_3830));
+ MX2X1 g38588(.A (\u8_mem[0]_91 ), .B (wb_din_661), .S0 (n_3826), .Y
+ (n_3828));
+ MX2X1 g38589(.A (\u8_mem[0]_109 ), .B (wb_din_679), .S0 (n_3826), .Y
+ (n_3827));
+ MX2X1 g38590(.A (\u8_mem[0]_107 ), .B (wb_din_677), .S0 (n_3826), .Y
+ (n_3825));
+ MX2X1 g38591(.A (\u8_mem[0]_105 ), .B (wb_din_675), .S0 (n_3826), .Y
+ (n_3824));
+ MX2X1 g38592(.A (\u3_mem[0]_109 ), .B (wb_din_679), .S0 (n_3807), .Y
+ (n_3823));
+ MX2X1 g38593(.A (\u8_mem[0]_121 ), .B (wb_din_691), .S0 (n_3826), .Y
+ (n_3822));
+ MX2X1 g38594(.A (\u3_mem[0]_92 ), .B (wb_din_662), .S0 (n_858), .Y
+ (n_3820));
+ MX2X1 g38595(.A (\u8_mem[0]_103 ), .B (wb_din_673), .S0 (n_3826), .Y
+ (n_3818));
+ MX2X1 g38596(.A (\u8_mem[0]_102 ), .B (wb_din_672), .S0 (n_3826), .Y
+ (n_3817));
+ MX2X1 g38597(.A (\u3_mem[0]_107 ), .B (wb_din_677), .S0 (n_3807), .Y
+ (n_3815));
+ MX2X1 g38598(.A (\u8_mem[0]_101 ), .B (wb_din_671), .S0 (n_3826), .Y
+ (n_3814));
+ MX2X1 g38599(.A (\u3_mem[0]_104 ), .B (wb_din_674), .S0 (n_3807), .Y
+ (n_3813));
+ MX2X1 g38600(.A (\u3_mem[0]_102 ), .B (wb_din_672), .S0 (n_3807), .Y
+ (n_3812));
+ MX2X1 g38601(.A (\u3_mem[0]_101 ), .B (wb_din_671), .S0 (n_3807), .Y
+ (n_3811));
+ MX2X1 g38602(.A (\u3_mem[0]_100 ), .B (wb_din_670), .S0 (n_3807), .Y
+ (n_3809));
+ MX2X1 g38603(.A (\u3_mem[0] ), .B (wb_din), .S0 (n_3807), .Y
+ (n_3808));
+ MX2X1 g38604(.A (\u7_mem[0]_101 ), .B (wb_din_671), .S0 (n_913), .Y
+ (n_3806));
+ MX2X1 g38605(.A (\u3_mem[0]_105 ), .B (wb_din_675), .S0 (n_3807), .Y
+ (n_3804));
+ MX2X1 g38606(.A (\u4_mem[0] ), .B (wb_din), .S0 (n_3765), .Y
+ (n_3803));
+ MX2X1 g38607(.A (\u4_mem[0]_100 ), .B (wb_din_670), .S0 (n_3765), .Y
+ (n_3802));
+ MX2X1 g38608(.A (\u4_mem[0]_101 ), .B (wb_din_671), .S0 (n_3765), .Y
+ (n_3801));
+ MX2X1 g38609(.A (\u4_mem[0]_102 ), .B (wb_din_672), .S0 (n_3765), .Y
+ (n_3799));
+ MX2X1 g38610(.A (\u4_mem[0]_103 ), .B (wb_din_673), .S0 (n_3765), .Y
+ (n_3797));
+ MX2X1 g38611(.A (\u4_mem[0]_104 ), .B (wb_din_674), .S0 (n_3765), .Y
+ (n_3796));
+ MX2X1 g38612(.A (\u4_mem[0]_105 ), .B (wb_din_675), .S0 (n_3765), .Y
+ (n_3795));
+ MX2X1 g38613(.A (\u7_mem[0]_106 ), .B (wb_din_676), .S0 (n_3622), .Y
+ (n_3792));
+ MX2X1 g38614(.A (\u4_mem[0]_106 ), .B (wb_din_676), .S0 (n_3765), .Y
+ (n_3791));
+ MX2X1 g38615(.A (\u4_mem[0]_95 ), .B (wb_din_665), .S0 (n_3765), .Y
+ (n_3790));
+ MX2X1 g38616(.A (\u4_mem[0]_107 ), .B (wb_din_677), .S0 (n_835), .Y
+ (n_3788));
+ MX2X1 g38617(.A (\u7_mem[0]_102 ), .B (wb_din_672), .S0 (n_913), .Y
+ (n_3786));
+ MX2X1 g38618(.A (\u4_mem[0]_108 ), .B (wb_din_678), .S0 (n_3765), .Y
+ (n_3784));
+ MX2X1 g38619(.A (\u4_mem[0]_109 ), .B (wb_din_679), .S0 (n_3765), .Y
+ (n_3783));
+ MX2X1 g38620(.A (\u4_mem[0]_91 ), .B (wb_din_661), .S0 (n_3765), .Y
+ (n_3780));
+ MX2X1 g38621(.A (\u4_mem[0]_110 ), .B (wb_din_680), .S0 (n_3765), .Y
+ (n_3779));
+ MX2X1 g38622(.A (\u4_mem[0]_111 ), .B (wb_din_681), .S0 (n_3765), .Y
+ (n_3777));
+ MX2X1 g38623(.A (\u4_mem[0]_112 ), .B (wb_din_682), .S0 (n_3765), .Y
+ (n_3776));
+ MX2X1 g38624(.A (\u4_mem[0]_113 ), .B (wb_din_683), .S0 (n_3765), .Y
+ (n_3773));
+ MX2X1 g38674(.A (\u3_mem[0]_95 ), .B (wb_din_665), .S0 (n_3807), .Y
+ (n_3771));
+ MX2X1 g38625(.A (\u4_mem[0]_114 ), .B (wb_din_684), .S0 (n_3765), .Y
+ (n_3770));
+ MX2X1 g38626(.A (\u4_mem[0]_115 ), .B (wb_din_685), .S0 (n_835), .Y
+ (n_3768));
+ MX2X1 g38627(.A (\u4_mem[0]_116 ), .B (wb_din_686), .S0 (n_3765), .Y
+ (n_3766));
+ MX2X1 g38628(.A (\u4_mem[0]_117 ), .B (wb_din_687), .S0 (n_3765), .Y
+ (n_3764));
+ MX2X1 g38629(.A (\u4_mem[0]_118 ), .B (wb_din_688), .S0 (n_835), .Y
+ (n_3763));
+ MX2X1 g38630(.A (\u4_mem[0]_119 ), .B (wb_din_689), .S0 (n_3765), .Y
+ (n_3762));
+ MX2X1 g38631(.A (\u4_mem[0]_92 ), .B (wb_din_662), .S0 (n_835), .Y
+ (n_3761));
+ MX2X1 g38632(.A (\u4_mem[0]_120 ), .B (wb_din_690), .S0 (n_3765), .Y
+ (n_3760));
+ MX2X1 g38633(.A (\u4_mem[0]_121 ), .B (wb_din_691), .S0 (n_3765), .Y
+ (n_3759));
+ MX2X1 g38634(.A (\u4_mem[0]_93 ), .B (wb_din_663), .S0 (n_3765), .Y
+ (n_3757));
+ MX2X1 g38635(.A (\u4_mem[0]_94 ), .B (wb_din_664), .S0 (n_3765), .Y
+ (n_3756));
+ MX2X1 g38636(.A (\u4_mem[0]_96 ), .B (wb_din_666), .S0 (n_3765), .Y
+ (n_3755));
+ MX2X1 g38637(.A (\u4_mem[0]_97 ), .B (wb_din_667), .S0 (n_3765), .Y
+ (n_3754));
+ MX2X1 g38638(.A (\u4_mem[0]_98 ), .B (wb_din_668), .S0 (n_3765), .Y
+ (n_3753));
+ MX2X1 g38639(.A (\u4_mem[0]_99 ), .B (wb_din_669), .S0 (n_3765), .Y
+ (n_3752));
+ MX2X1 g38640(.A (\u3_mem[0]_99 ), .B (wb_din_669), .S0 (n_858), .Y
+ (n_3751));
+ MX2X1 g38641(.A (\u8_mem[0]_96 ), .B (wb_din_666), .S0 (n_3826), .Y
+ (n_3750));
+ MX2X1 g38642(.A (\u8_mem[0]_120 ), .B (wb_din_690), .S0 (n_3826), .Y
+ (n_3748));
+ MX2X1 g38643(.A (\u8_mem[0]_92 ), .B (wb_din_662), .S0 (n_3826), .Y
+ (n_3746));
+ MX2X1 g38644(.A (\u3_mem[0]_93 ), .B (wb_din_663), .S0 (n_3807), .Y
+ (n_3744));
+ MX2X1 g38645(.A (\u5_mem[0] ), .B (wb_din), .S0 (n_3720), .Y
+ (n_3741));
+ MX2X1 g38646(.A (\u5_mem[0]_100 ), .B (wb_din_670), .S0 (n_3720), .Y
+ (n_3739));
+ MX2X1 g38647(.A (\u5_mem[0]_101 ), .B (wb_din_671), .S0 (n_3720), .Y
+ (n_3737));
+ MX2X1 g38648(.A (\u5_mem[0]_102 ), .B (wb_din_672), .S0 (n_3720), .Y
+ (n_3735));
+ MX2X1 g38649(.A (\u5_mem[0]_104 ), .B (wb_din_674), .S0 (n_3720), .Y
+ (n_3734));
+ MX2X1 g38650(.A (\u5_mem[0]_105 ), .B (wb_din_675), .S0 (n_3720), .Y
+ (n_3732));
+ MX2X1 g38651(.A (\u5_mem[0]_106 ), .B (wb_din_676), .S0 (n_3720), .Y
+ (n_3731));
+ MX2X1 g38652(.A (\u5_mem[0]_107 ), .B (wb_din_677), .S0 (n_3720), .Y
+ (n_3728));
+ MX2X1 g38653(.A (\u5_mem[0]_108 ), .B (wb_din_678), .S0 (n_3720), .Y
+ (n_3727));
+ MX2X1 g38654(.A (\u5_mem[0]_109 ), .B (wb_din_679), .S0 (n_3720), .Y
+ (n_3725));
+ MX2X1 g38655(.A (\u5_mem[0]_91 ), .B (wb_din_661), .S0 (n_3720), .Y
+ (n_3724));
+ MX2X1 g38656(.A (\u5_mem[0]_110 ), .B (wb_din_680), .S0 (n_3720), .Y
+ (n_3722));
+ MX2X1 g38657(.A (\u5_mem[0]_111 ), .B (wb_din_681), .S0 (n_3720), .Y
+ (n_3719));
+ MX2X1 g38658(.A (\u5_mem[0]_112 ), .B (wb_din_682), .S0 (n_3720), .Y
+ (n_3717));
+ MX2X1 g38659(.A (\u5_mem[0]_113 ), .B (wb_din_683), .S0 (n_3720), .Y
+ (n_3715));
+ MX2X1 g38660(.A (\u5_mem[0]_114 ), .B (wb_din_684), .S0 (n_3720), .Y
+ (n_3714));
+ MX2X1 g38661(.A (\u5_mem[0]_115 ), .B (wb_din_685), .S0 (n_841), .Y
+ (n_3712));
+ MX2X1 g38662(.A (\u7_mem[0] ), .B (wb_din), .S0 (n_3622), .Y
+ (n_3710));
+ MX2X1 g38663(.A (\u5_mem[0]_116 ), .B (wb_din_686), .S0 (n_3720), .Y
+ (n_3709));
+ MX2X1 g38664(.A (\u5_mem[0]_118 ), .B (wb_din_688), .S0 (n_3720), .Y
+ (n_3707));
+ MX2X1 g38665(.A (\u5_mem[0]_119 ), .B (wb_din_689), .S0 (n_841), .Y
+ (n_3704));
+ NAND2X1 g39251(.A (\u3_mem[1]_62 ), .B (n_3316), .Y (n_3701));
+ MX2X1 g38666(.A (\u5_mem[0]_92 ), .B (wb_din_662), .S0 (n_841), .Y
+ (n_3700));
+ MX2X1 g38667(.A (\u5_mem[0]_120 ), .B (wb_din_690), .S0 (n_3720), .Y
+ (n_3699));
+ MX2X1 g38668(.A (\u5_mem[0]_121 ), .B (wb_din_691), .S0 (n_3720), .Y
+ (n_3698));
+ MX2X1 g38669(.A (\u5_mem[0]_93 ), .B (wb_din_663), .S0 (n_3720), .Y
+ (n_3697));
+ MX2X1 g38670(.A (\u5_mem[0]_94 ), .B (wb_din_664), .S0 (n_3720), .Y
+ (n_3696));
+ MX2X1 g38671(.A (\u5_mem[0]_97 ), .B (wb_din_667), .S0 (n_3720), .Y
+ (n_3694));
+ MX2X1 g38672(.A (\u5_mem[0]_98 ), .B (wb_din_668), .S0 (n_841), .Y
+ (n_3693));
+ MX2X1 g38673(.A (\u5_mem[0]_99 ), .B (wb_din_669), .S0 (n_3720), .Y
+ (n_3692));
+ MX2X1 g38675(.A (\u5_mem[0]_95 ), .B (wb_din_665), .S0 (n_3720), .Y
+ (n_3690));
+ MX2X1 g38676(.A (\u5_mem[0]_96 ), .B (wb_din_666), .S0 (n_3720), .Y
+ (n_3688));
+ MX2X1 g38677(.A (\u8_mem[0]_118 ), .B (wb_din_688), .S0 (n_3826), .Y
+ (n_3686));
+ MX2X1 g38678(.A (\u7_mem[0]_104 ), .B (wb_din_674), .S0 (n_3622), .Y
+ (n_3684));
+ MX2X1 g38679(.A (\u6_mem[0] ), .B (wb_din), .S0 (n_3632), .Y
+ (n_3683));
+ MX2X1 g38680(.A (\u6_mem[0]_100 ), .B (wb_din_670), .S0 (n_813), .Y
+ (n_3682));
+ MX2X1 g38681(.A (\u6_mem[0]_102 ), .B (wb_din_672), .S0 (n_3632), .Y
+ (n_3681));
+ MX2X1 g38682(.A (\u6_mem[0]_103 ), .B (wb_din_673), .S0 (n_3632), .Y
+ (n_3679));
+ MX2X1 g38683(.A (\u7_mem[0]_100 ), .B (wb_din_670), .S0 (n_3622), .Y
+ (n_3677));
+ MX2X1 g38684(.A (\u6_mem[0]_105 ), .B (wb_din_675), .S0 (n_3632), .Y
+ (n_3676));
+ MX2X1 g38685(.A (\u6_mem[0]_106 ), .B (wb_din_676), .S0 (n_3632), .Y
+ (n_3675));
+ MX2X1 g38686(.A (\u6_mem[0]_108 ), .B (wb_din_678), .S0 (n_3632), .Y
+ (n_3674));
+ MX2X1 g38687(.A (\u6_mem[0]_113 ), .B (wb_din_683), .S0 (n_3632), .Y
+ (n_3671));
+ MX2X1 g38688(.A (\u6_mem[0]_116 ), .B (wb_din_686), .S0 (n_3632), .Y
+ (n_3670));
+ NAND2X1 g39266(.A (n_4560), .B (in_slt_449), .Y (n_5317));
+ MX2X1 g38689(.A (\u6_mem[0]_92 ), .B (wb_din_662), .S0 (n_3632), .Y
+ (n_3668));
+ MX2X1 g38690(.A (\u6_mem[0]_120 ), .B (wb_din_690), .S0 (n_3632), .Y
+ (n_3667));
+ MX2X1 g38691(.A (\u6_mem[0]_121 ), .B (wb_din_691), .S0 (n_3632), .Y
+ (n_3666));
+ MX2X1 g38692(.A (\u6_mem[0]_95 ), .B (wb_din_665), .S0 (n_3632), .Y
+ (n_3664));
+ MX2X1 g38693(.A (\u6_mem[0]_97 ), .B (wb_din_667), .S0 (n_813), .Y
+ (n_3663));
+ MX2X1 g38694(.A (\u6_mem[0]_98 ), .B (wb_din_668), .S0 (n_813), .Y
+ (n_3660));
+ MX2X1 g38695(.A (\u6_mem[0]_99 ), .B (wb_din_669), .S0 (n_3632), .Y
+ (n_3658));
+ MX2X1 g38696(.A (\u5_mem[0]_117 ), .B (wb_din_687), .S0 (n_3720), .Y
+ (n_3656));
+ MX2X1 g38697(.A (\u3_mem[0]_97 ), .B (wb_din_667), .S0 (n_3807), .Y
+ (n_3654));
+ MX2X1 g38698(.A (\u6_mem[0]_96 ), .B (wb_din_666), .S0 (n_3632), .Y
+ (n_3652));
+ MX2X1 g38699(.A (\u8_mem[0]_117 ), .B (wb_din_687), .S0 (n_3826), .Y
+ (n_3651));
+ MX2X1 g38700(.A (\u8_mem[0]_98 ), .B (wb_din_668), .S0 (n_3826), .Y
+ (n_3649));
+ MX2X1 g38701(.A (\u6_mem[0]_94 ), .B (wb_din_664), .S0 (n_3632), .Y
+ (n_3648));
+ MX2X1 g38702(.A (\u7_mem[0]_103 ), .B (wb_din_673), .S0 (n_3622), .Y
+ (n_3647));
+ MX2X1 g38703(.A (\u7_mem[0]_105 ), .B (wb_din_675), .S0 (n_3622), .Y
+ (n_3645));
+ MX2X1 g38704(.A (\u7_mem[0]_107 ), .B (wb_din_677), .S0 (n_3622), .Y
+ (n_3644));
+ MX2X1 g38706(.A (\u7_mem[0]_110 ), .B (wb_din_680), .S0 (n_913), .Y
+ (n_3642));
+ MX2X1 g38707(.A (\u7_mem[0]_112 ), .B (wb_din_682), .S0 (n_3622), .Y
+ (n_3641));
+ MX2X1 g38708(.A (\u7_mem[0]_114 ), .B (wb_din_684), .S0 (n_3622), .Y
+ (n_3639));
+ MX2X1 g38709(.A (\u7_mem[0]_116 ), .B (wb_din_686), .S0 (n_3622), .Y
+ (n_3638));
+ MX2X1 g38710(.A (\u7_mem[0]_118 ), .B (wb_din_688), .S0 (n_3622), .Y
+ (n_3636));
+ MX2X1 g38711(.A (\u7_mem[0]_121 ), .B (wb_din_691), .S0 (n_3622), .Y
+ (n_3635));
+ MX2X1 g38712(.A (\u6_mem[0]_119 ), .B (wb_din_689), .S0 (n_3632), .Y
+ (n_3633));
+ MX2X1 g38713(.A (\u7_mem[0]_96 ), .B (wb_din_666), .S0 (n_3622), .Y
+ (n_3631));
+ MX2X1 g38714(.A (\u6_mem[0]_91 ), .B (wb_din_661), .S0 (n_3632), .Y
+ (n_3630));
+ MX2X1 g38715(.A (\u6_mem[0]_93 ), .B (wb_din_663), .S0 (n_3632), .Y
+ (n_3628));
+ MX2X1 g38716(.A (\u3_mem[0]_114 ), .B (wb_din_684), .S0 (n_3807), .Y
+ (n_3627));
+ MX2X1 g38717(.A (\u7_mem[0]_99 ), .B (wb_din_669), .S0 (n_3622), .Y
+ (n_3626));
+ MX2X1 g38718(.A (\u7_mem[0]_98 ), .B (wb_din_668), .S0 (n_3622), .Y
+ (n_3625));
+ MX2X1 g38719(.A (\u5_mem[0]_103 ), .B (wb_din_673), .S0 (n_3720), .Y
+ (n_3624));
+ MX2X1 g38720(.A (\u7_mem[0]_97 ), .B (wb_din_667), .S0 (n_3622), .Y
+ (n_3623));
+ MX2X1 g38721(.A (\u6_mem[0]_118 ), .B (wb_din_688), .S0 (n_3632), .Y
+ (n_3621));
+ MX2X1 g38722(.A (\u7_mem[0]_95 ), .B (wb_din_665), .S0 (n_3622), .Y
+ (n_3620));
+ MX2X1 g38723(.A (\u7_mem[0]_94 ), .B (wb_din_664), .S0 (n_3622), .Y
+ (n_3619));
+ MX2X1 g38724(.A (\u6_mem[0]_117 ), .B (wb_din_687), .S0 (n_3632), .Y
+ (n_3617));
+ MX2X1 g38725(.A (\u7_mem[0]_93 ), .B (wb_din_663), .S0 (n_3622), .Y
+ (n_3616));
+ MX2X1 g38726(.A (\u6_mem[0]_115 ), .B (wb_din_685), .S0 (n_3632), .Y
+ (n_3615));
+ MX2X1 g38727(.A (\u6_mem[0]_114 ), .B (wb_din_684), .S0 (n_3632), .Y
+ (n_3614));
+ MX2X1 g38728(.A (\u7_mem[0]_120 ), .B (wb_din_690), .S0 (n_3622), .Y
+ (n_3613));
+ MX2X1 g38729(.A (\u7_mem[0]_92 ), .B (wb_din_662), .S0 (n_3622), .Y
+ (n_3612));
+ MX2X1 g38730(.A (\u6_mem[0]_112 ), .B (wb_din_682), .S0 (n_3632), .Y
+ (n_3611));
+ MX2X1 g38731(.A (\u7_mem[0]_119 ), .B (wb_din_689), .S0 (n_3622), .Y
+ (n_3610));
+ MX2X1 g38732(.A (\u6_mem[0]_110 ), .B (wb_din_680), .S0 (n_3632), .Y
+ (n_3609));
+ MX2X1 g38733(.A (\u6_mem[0]_111 ), .B (wb_din_681), .S0 (n_3632), .Y
+ (n_3608));
+ MX2X1 g38734(.A (\u7_mem[0]_117 ), .B (wb_din_687), .S0 (n_3622), .Y
+ (n_3607));
+ MX2X1 g38735(.A (\u3_mem[0]_103 ), .B (wb_din_673), .S0 (n_3807), .Y
+ (n_3606));
+ MX2X1 g38736(.A (\u8_mem[0] ), .B (wb_din), .S0 (n_3826), .Y
+ (n_3604));
+ MX2X1 g38737(.A (\u3_mem[0]_106 ), .B (wb_din_676), .S0 (n_3807), .Y
+ (n_3603));
+ MX2X1 g38738(.A (\u8_mem[0]_100 ), .B (wb_din_670), .S0 (n_3826), .Y
+ (n_3602));
+ MX2X1 g38739(.A (\u3_mem[0]_108 ), .B (wb_din_678), .S0 (n_3807), .Y
+ (n_3601));
+ MX2X1 g38740(.A (\u8_mem[0]_104 ), .B (wb_din_674), .S0 (n_3826), .Y
+ (n_3600));
+ MX2X1 g38741(.A (\u8_mem[0]_106 ), .B (wb_din_676), .S0 (n_3826), .Y
+ (n_3599));
+ MX2X1 g38742(.A (\u3_mem[0]_91 ), .B (wb_din_661), .S0 (n_3807), .Y
+ (n_3598));
+ MX2X1 g38743(.A (\u8_mem[0]_108 ), .B (wb_din_678), .S0 (n_3826), .Y
+ (n_3596));
+ MX2X1 g38744(.A (\u3_mem[0]_110 ), .B (wb_din_680), .S0 (n_3807), .Y
+ (n_3595));
+ MX2X1 g38745(.A (\u3_mem[0]_111 ), .B (wb_din_681), .S0 (n_3807), .Y
+ (n_3593));
+ MX2X1 g38746(.A (\u3_mem[0]_113 ), .B (wb_din_683), .S0 (n_3807), .Y
+ (n_3592));
+ NAND2X1 g39254(.A (n_12204), .B (\u6_mem[0]_103 ), .Y (n_11699));
+ MX2X1 g38747(.A (\u8_mem[0]_115 ), .B (wb_din_685), .S0 (n_3826), .Y
+ (n_3590));
+ MX2X1 g38748(.A (\u8_mem[0]_116 ), .B (wb_din_686), .S0 (n_3826), .Y
+ (n_3589));
+ MX2X1 g38749(.A (\u3_mem[0]_115 ), .B (wb_din_685), .S0 (n_3807), .Y
+ (n_3588));
+ MX2X1 g38750(.A (\u8_mem[0]_119 ), .B (wb_din_689), .S0 (n_3826), .Y
+ (n_3587));
+ MX2X1 g38751(.A (\u3_mem[0]_116 ), .B (wb_din_686), .S0 (n_3807), .Y
+ (n_3586));
+ MX2X1 g38752(.A (\u3_mem[0]_117 ), .B (wb_din_687), .S0 (n_3807), .Y
+ (n_3585));
+ MX2X1 g38753(.A (\u3_mem[0]_118 ), .B (wb_din_688), .S0 (n_3807), .Y
+ (n_3584));
+ MX2X1 g38754(.A (\u8_mem[0]_95 ), .B (wb_din_665), .S0 (n_3826), .Y
+ (n_3583));
+ MX2X1 g38755(.A (\u3_mem[0]_119 ), .B (wb_din_689), .S0 (n_3807), .Y
+ (n_3582));
+ MX2X1 g38756(.A (\u8_mem[0]_99 ), .B (wb_din_669), .S0 (n_3826), .Y
+ (n_3580));
+ MX2X1 g38757(.A (\u3_mem[0]_120 ), .B (wb_din_690), .S0 (n_3807), .Y
+ (n_3579));
+ MX2X1 g38758(.A (\u3_mem[0]_121 ), .B (wb_din_691), .S0 (n_3807), .Y
+ (n_3577));
+ MX2X1 g38759(.A (\u6_mem[0]_107 ), .B (wb_din_677), .S0 (n_3632), .Y
+ (n_3576));
+ MX2X1 g38760(.A (\u3_mem[0]_94 ), .B (wb_din_664), .S0 (n_3807), .Y
+ (n_3575));
+ MX2X1 g38761(.A (\u3_mem[0]_96 ), .B (wb_din_666), .S0 (n_858), .Y
+ (n_3574));
+ MX2X1 g38762(.A (\u6_mem[0]_109 ), .B (wb_din_679), .S0 (n_3632), .Y
+ (n_3573));
+ MX2X1 g38763(.A (\u3_mem[0]_98 ), .B (wb_din_668), .S0 (n_3807), .Y
+ (n_3572));
+ MX2X1 g38764(.A (\u7_mem[0]_115 ), .B (wb_din_685), .S0 (n_3622), .Y
+ (n_3571));
+ MX2X1 g38765(.A (\u8_mem[0]_97 ), .B (wb_din_667), .S0 (n_3826), .Y
+ (n_3570));
+ MX2X1 g38766(.A (\u7_mem[0]_113 ), .B (wb_din_683), .S0 (n_3622), .Y
+ (n_3569));
+ MX2X1 g38767(.A (\u7_mem[0]_111 ), .B (wb_din_681), .S0 (n_3622), .Y
+ (n_3568));
+ MX2X1 g38768(.A (\u6_mem[0]_104 ), .B (wb_din_674), .S0 (n_3632), .Y
+ (n_3567));
+ MX2X1 g38769(.A (\u7_mem[0]_91 ), .B (wb_din_661), .S0 (n_913), .Y
+ (n_3566));
+ MX2X1 g38770(.A (\u6_mem[0]_101 ), .B (wb_din_671), .S0 (n_813), .Y
+ (n_3565));
+ MX2X1 g38771(.A (\u7_mem[0]_108 ), .B (wb_din_678), .S0 (n_3622), .Y
+ (n_3564));
+ INVX1 g38776(.A (n_4851), .Y (n_3563));
+ INVX1 g38780(.A (n_4104), .Y (n_3561));
+ OAI21X1 g38784(.A0 (n_1412), .A1 (n_3559), .B0 (n_923), .Y (n_3560));
+ NAND2X1 g38802(.A (\u4_mem[3]_143 ), .B (n_3556), .Y (n_3557));
+ NAND2X1 g38810(.A (\u4_mem[3]_150 ), .B (n_3556), .Y (n_3555));
+ NAND2X1 g38811(.A (\u4_mem[3]_147 ), .B (n_3556), .Y (n_3554));
+ NAND2X1 g39830(.A (\u7_mem[2]_48 ), .B (n_12654), .Y (n_3553));
+ NAND2X1 g38815(.A (\u4_mem[3]_152 ), .B (n_3556), .Y (n_3552));
+ NAND2X1 g38823(.A (\u4_mem[3]_142 ), .B (n_3556), .Y (n_3551));
+ NAND2X1 g38825(.A (\u4_mem[3]_146 ), .B (n_3556), .Y (n_3550));
+ NAND2X1 g38833(.A (n_9641), .B (n_1889), .Y (n_3549));
+ NAND2X1 g38835(.A (\u4_mem[3]_149 ), .B (n_3556), .Y (n_3548));
+ NAND2X1 g38841(.A (\u4_mem[3]_133 ), .B (n_3546), .Y (n_3547));
+ NAND2X1 g38847(.A (\u5_mem[3]_145 ), .B (n_3543), .Y (n_3545));
+ NAND2X1 g38854(.A (\u5_mem[3]_149 ), .B (n_3543), .Y (n_3544));
+ NAND2X1 g38856(.A (\u5_mem[3]_151 ), .B (n_3543), .Y (n_3542));
+ NAND2X1 g38858(.A (\u5_mem[3]_152 ), .B (n_3543), .Y (n_3540));
+ NAND2X1 g38867(.A (\u4_mem[3]_137 ), .B (n_3546), .Y (n_3539));
+ NAND2X1 g38868(.A (\u4_mem[3]_148 ), .B (n_3556), .Y (n_3538));
+ NAND2X1 g38870(.A (\u4_mem[3]_145 ), .B (n_3556), .Y (n_3537));
+ NAND2X1 g38883(.A (\u5_mem[3]_150 ), .B (n_3543), .Y (n_3536));
+ NOR2X1 g39239(.A (n_4961), .B (n_2792), .Y (n_3535));
+ NAND2X1 g38912(.A (\u4_mem[3]_127 ), .B (n_3546), .Y (n_3534));
+ NAND2X1 g38914(.A (\u4_mem[3]_130 ), .B (n_3546), .Y (n_3533));
+ NAND2X1 g38922(.A (\u4_mem[3]_131 ), .B (n_3546), .Y (n_3532));
+ NAND2X1 g38923(.A (\u4_mem[3]_141 ), .B (n_3556), .Y (n_3531));
+ NAND2X1 g38939(.A (\u4_mem[3]_144 ), .B (n_3556), .Y (n_3530));
+ NAND2X1 g38940(.A (\u4_mem[3]_129 ), .B (n_3546), .Y (n_3529));
+ NAND2X1 g38941(.A (\u5_mem[3]_148 ), .B (n_3543), .Y (n_3528));
+ NAND2X1 g38984(.A (\u5_mem[3]_142 ), .B (n_3543), .Y (n_3527));
+ NAND2X1 g38997(.A (\u5_mem[3]_141 ), .B (n_3543), .Y (n_3526));
+ NOR2X1 g39000(.A (n_3486), .B (n_2744), .Y (n_3525));
+ NAND2X1 g39001(.A (n_12825), .B (\u3_mem[0]_111 ), .Y (n_3524));
+ NAND2X1 g39002(.A (\u7_mem[1]_79 ), .B (n_3522), .Y (n_3523));
+ NAND2X1 g39004(.A (\u3_mem[1]_84 ), .B (n_3316), .Y (n_3521));
+ NOR2X1 g39005(.A (n_3453), .B (n_2829), .Y (n_3520));
+ NAND2X1 g39006(.A (n_12369), .B (\u6_mem[0]_120 ), .Y (n_3519));
+ NAND2X1 g39007(.A (n_12826), .B (\u3_mem[0]_100 ), .Y (n_11719));
+ NAND2X1 g39009(.A (n_12839), .B (\u4_mem[0]_107 ), .Y (n_3517));
+ NAND2X1 g39014(.A (n_12389), .B (\u4_mem[0]_116 ), .Y (n_3516));
+ NAND2X1 g39015(.A (n_3415), .B (in_slt_405), .Y (n_3515));
+ NAND2X1 g39017(.A (\u7_mem[2]_46 ), .B (n_12645), .Y (n_3514));
+ NOR2X1 g39018(.A (n_3089), .B (n_2686), .Y (n_3512));
+ NOR2X1 g39019(.A (n_3486), .B (n_2732), .Y (n_3511));
+ NAND2X1 g39020(.A (\u6_mem[2]_45 ), .B (n_3474), .Y (n_3509));
+ NOR2X1 g39022(.A (n_3332), .B (n_2804), .Y (n_3507));
+ NOR2X1 g39023(.A (n_3486), .B (n_3008), .Y (n_3506));
+ NOR2X1 g39024(.A (n_3332), .B (n_2744), .Y (n_3505));
+ NAND2X1 g39025(.A (n_12826), .B (\u3_mem[0]_108 ), .Y (n_3502));
+ NOR2X1 g39026(.A (n_3453), .B (n_2741), .Y (n_3501));
+ NAND2X1 g39029(.A (\u3_mem[1]_83 ), .B (n_3316), .Y (n_3499));
+ NAND2X1 g39030(.A (\u4_mem[2]_54 ), .B (n_12079), .Y (n_3497));
+ NAND2X1 g39032(.A (\u3_mem[2]_57 ), .B (n_3330), .Y (n_3495));
+ NAND2X1 g39033(.A (\u6_mem[2]_57 ), .B (n_3423), .Y (n_3494));
+ NAND2X1 g39035(.A (\u4_mem[2]_57 ), .B (n_12091), .Y (n_3493));
+ INVX1 g39036(.A (n_4778), .Y (n_3492));
+ NAND2X1 g39045(.A (n_4560), .B (in_slt_448), .Y (n_5335));
+ NAND2X1 g39047(.A (n_4560), .B (in_slt_451), .Y (n_5313));
+ NAND2X1 g39048(.A (n_12839), .B (\u4_mem[0]_117 ), .Y (n_3490));
+ NAND2X1 g39049(.A (\u3_mem[1]_77 ), .B (n_3316), .Y (n_3489));
+ NOR2X1 g39050(.A (n_3486), .B (n_2818), .Y (n_3487));
+ NAND2X1 g39058(.A (\u3_mem[1]_68 ), .B (n_3316), .Y (n_12004));
+ NAND2X1 g39060(.A (n_3252), .B (\u7_mem[0]_110 ), .Y (n_3483));
+ NAND2X1 g39061(.A (n_12825), .B (\u3_mem[0]_114 ), .Y (n_3482));
+ NAND2X1 g39065(.A (\u6_mem[2]_55 ), .B (n_3423), .Y (n_3480));
+ NOR2X1 g39069(.A (n_3089), .B (n_2755), .Y (n_3478));
+ NAND2X1 g39070(.A (\u6_mem[2]_47 ), .B (n_3474), .Y (n_12818));
+ NAND2X1 g39071(.A (n_11804), .B (\u8_mem[0]_111 ), .Y (n_3473));
+ NAND2X1 g39072(.A (n_12369), .B (\u6_mem[0]_118 ), .Y (n_3472));
+ INVX1 g39073(.A (n_4757), .Y (n_3470));
+ NAND2X1 g39077(.A (\u3_mem[1]_78 ), .B (n_3316), .Y (n_3469));
+ NAND2X1 g39078(.A (\u6_mem[2]_56 ), .B (n_3423), .Y (n_3468));
+ NOR2X1 g39079(.A (n_5138), .B (n_2831), .Y (n_3467));
+ NAND2X1 g39080(.A (in_slt_413), .B (n_3415), .Y (n_3466));
+ NOR2X1 g39081(.A (n_5138), .B (n_2702), .Y (n_3465));
+ NOR2X1 g39082(.A (n_3089), .B (n_3008), .Y (n_3463));
+ NAND2X1 g39085(.A (n_11804), .B (\u8_mem[0]_113 ), .Y (n_3460));
+ NAND2X1 g39087(.A (n_4560), .B (in_slt_450), .Y (n_5315));
+ NAND2X1 g39088(.A (n_11798), .B (\u8_mem[0]_100 ), .Y (n_11451));
+ NAND2X1 g39089(.A (\u8_mem[1]_69 ), .B (n_12295), .Y (n_11452));
+ NOR2X1 g39092(.A (n_3453), .B (n_2786), .Y (n_3455));
+ NOR2X1 g39094(.A (n_3453), .B (n_2712), .Y (n_3454));
+ NAND2X1 g39095(.A (\u7_mem[2]_49 ), .B (n_12654), .Y (n_3452));
+ NAND2X1 g39096(.A (n_12204), .B (\u6_mem[0]_105 ), .Y (n_11703));
+ NOR2X1 g39097(.A (n_3117), .B (n_2732), .Y (n_3449));
+ NOR2X1 g39108(.A (n_4961), .B (n_2686), .Y (n_3446));
+ NOR2X1 g39109(.A (n_3453), .B (n_2804), .Y (n_3444));
+ NAND2X1 g39110(.A (\u8_mem[2]_54 ), .B (n_3441), .Y (n_3442));
+ NAND2X1 g39112(.A (n_11798), .B (\u8_mem[0]_97 ), .Y (n_11445));
+ NAND2X1 g39113(.A (\u8_mem[1]_66 ), .B (n_12295), .Y (n_11446));
+ NAND2X1 g39116(.A (n_12369), .B (\u6_mem[0]_115 ), .Y (n_3437));
+ NAND2X1 g39118(.A (n_3339), .B (in_slt_430), .Y (n_5287));
+ NAND2X1 g39119(.A (n_12826), .B (\u3_mem[0]_115 ), .Y (n_3436));
+ NOR2X1 g39124(.A (n_3486), .B (n_2786), .Y (n_3434));
+ OR2X1 g39126(.A (n_3431), .B (n_1200), .Y (n_3432));
+ NAND2X1 g39129(.A (n_12825), .B (\u3_mem[0]_121 ), .Y (n_3429));
+ NAND2X1 g39132(.A (n_1377), .B (\u6_rp[3] ), .Y (n_4834));
+ NOR2X1 g39133(.A (n_3089), .B (n_2732), .Y (n_3428));
+ NOR2X1 g39136(.A (n_5138), .B (n_2765), .Y (n_3426));
+ NOR2X1 g39140(.A (n_3089), .B (n_2707), .Y (n_3425));
+ NAND2X1 g39141(.A (\u6_mem[2]_54 ), .B (n_3423), .Y (n_3424));
+ NAND2X1 g39142(.A (n_12389), .B (\u4_mem[0]_119 ), .Y (n_3422));
+ INVX1 g39143(.A (n_4749), .Y (n_3420));
+ NAND2X1 g39145(.A (\u8_mem[1]_82 ), .B (n_12291), .Y (n_3419));
+ NOR2X1 g39148(.A (n_3453), .B (n_3008), .Y (n_3417));
+ NAND2X1 g39149(.A (in_slt_402), .B (n_3415), .Y (n_3416));
+ INVX4 g39157(.A (n_1453), .Y (n_6972));
+ NOR2X1 g40205(.A (n_2780), .B (n_2801), .Y (n_3412));
+ NAND2X1 g39161(.A (\u3_mem[1]_79 ), .B (n_3316), .Y (n_3411));
+ NOR2X1 g39163(.A (n_3332), .B (n_2741), .Y (n_3410));
+ NOR2X1 g39167(.A (n_3453), .B (n_2707), .Y (n_3409));
+ NOR2X1 g39168(.A (n_3453), .B (n_2732), .Y (n_3407));
+ NAND2X1 g39169(.A (n_12826), .B (\u3_mem[0]_117 ), .Y (n_3406));
+ NAND2X1 g39170(.A (\u3_mem[2]_30 ), .B (n_12619), .Y (n_3405));
+ NAND2X1 g39173(.A (\u8_mem[1]_81 ), .B (n_12291), .Y (n_3404));
+ NAND2X1 g39175(.A (\u3_mem[1] ), .B (n_3316), .Y (n_12831));
+ NAND2X1 g39176(.A (\u4_mem[2]_58 ), .B (n_12079), .Y (n_3401));
+ NOR2X1 g39177(.A (n_3089), .B (n_2684), .Y (n_3400));
+ NAND2X1 g39182(.A (\u4_mem[2]_56 ), .B (n_12091), .Y (n_3399));
+ NAND2X1 g39183(.A (n_11804), .B (\u8_mem[0]_112 ), .Y (n_3398));
+ NAND2X1 g39184(.A (\u3_mem[1]_74 ), .B (n_3316), .Y (n_12833));
+ NAND2X1 g39185(.A (\u3_mem[2]_41 ), .B (n_12619), .Y (n_3396));
+ NAND2X1 g39186(.A (\u4_mem[2]_59 ), .B (n_12087), .Y (n_3394));
+ NAND2X1 g39187(.A (n_12389), .B (\u4_mem[0]_109 ), .Y (n_3393));
+ NOR2X1 g39190(.A (n_3089), .B (n_2864), .Y (n_3392));
+ NOR2X1 g39191(.A (n_5138), .B (n_2794), .Y (n_3391));
+ NAND2X1 g39192(.A (n_11798), .B (\u8_mem[0]_102 ), .Y (n_11453));
+ NAND2X1 g39194(.A (n_3252), .B (\u7_mem[0]_116 ), .Y (n_3389));
+ NAND2X1 g39195(.A (n_12840), .B (\u4_mem[0]_93 ), .Y (n_3388));
+ NAND2X1 g39200(.A (\u3_mem[2]_31 ), .B (n_12619), .Y (n_3383));
+ NOR2X1 g39202(.A (n_4961), .B (n_2765), .Y (n_3381));
+ NAND2X1 g39203(.A (n_3252), .B (\u7_mem[0] ), .Y (n_3378));
+ NAND2X1 g39205(.A (\u4_mem[2]_33 ), .B (n_12087), .Y (n_3376));
+ NAND2X1 g39206(.A (\u3_mem[1]_76 ), .B (n_3316), .Y (n_3375));
+ NAND2X1 g39207(.A (\u8_mem[1]_71 ), .B (n_12301), .Y (n_3374));
+ NAND2X1 g39208(.A (in_slt_400), .B (n_3415), .Y (n_3373));
+ NAND2X1 g39209(.A (n_12389), .B (\u4_mem[0]_100 ), .Y (n_11646));
+ NOR2X1 g39210(.A (n_3089), .B (n_2712), .Y (n_3370));
+ NAND2X1 g39211(.A (\u4_mem[2]_48 ), .B (n_12087), .Y (n_3367));
+ NAND2X1 g39212(.A (n_12389), .B (\u4_mem[0]_110 ), .Y (n_3366));
+ NOR2X1 g39213(.A (n_3453), .B (n_2782), .Y (n_3364));
+ INVX1 g39214(.A (n_4764), .Y (n_3363));
+ NAND2X1 g39216(.A (n_12840), .B (\u4_mem[0]_111 ), .Y (n_3362));
+ NAND2X1 g39217(.A (\u8_mem[1]_73 ), .B (n_12295), .Y (n_11458));
+ NAND2X1 g39218(.A (n_11798), .B (\u8_mem[0]_120 ), .Y (n_3360));
+ NOR2X1 g39221(.A (n_3089), .B (n_2767), .Y (n_3358));
+ NOR2X1 g39222(.A (n_3332), .B (n_2735), .Y (n_3357));
+ NAND2X1 g39226(.A (\u8_mem[1]_64 ), .B (n_12291), .Y (n_3355));
+ NAND2X1 g39228(.A (\u3_mem[1]_81 ), .B (n_3316), .Y (n_3354));
+ NOR2X1 g39229(.A (n_3117), .B (n_2782), .Y (n_3353));
+ NAND2X1 g39230(.A (in_slt_399), .B (n_3415), .Y (n_3351));
+ NOR2X1 g39231(.A (n_5059), .B (n_2702), .Y (n_3350));
+ NAND2X1 g39232(.A (in_slt_410), .B (n_3415), .Y (n_3348));
+ NAND2X1 g39233(.A (in_slt_404), .B (n_3415), .Y (n_3347));
+ NOR2X1 g39236(.A (n_3117), .B (n_2763), .Y (n_3346));
+ NAND2X1 g39237(.A (n_1404), .B (\u3_rp[3] ), .Y (n_4836));
+ NAND2X1 g39243(.A (n_4560), .B (in_slt_457), .Y (n_5298));
+ NOR2X1 g39245(.A (n_3332), .B (n_2720), .Y (n_3344));
+ NOR2X1 g39252(.A (n_3486), .B (n_2716), .Y (n_3343));
+ NOR2X1 g39255(.A (n_4961), .B (n_2681), .Y (n_3342));
+ NAND2X1 g39257(.A (n_4560), .B (in_slt_454), .Y (n_5309));
+ NAND2X1 g39258(.A (\u3_mem[2]_39 ), .B (n_12619), .Y (n_3341));
+ NAND2X1 g39260(.A (\u8_mem[2]_44 ), .B (n_3334), .Y (n_12036));
+ NAND2X1 g39262(.A (n_3339), .B (in_slt_437), .Y (n_5339));
+ NOR2X1 g39263(.A (n_5138), .B (n_2748), .Y (n_3338));
+ NAND2X1 g39267(.A (\u3_mem[2]_37 ), .B (n_12619), .Y (n_3336));
+ NAND2X1 g39268(.A (\u8_mem[2]_31 ), .B (n_3334), .Y (n_12038));
+ NOR2X1 g39269(.A (n_3332), .B (n_2755), .Y (n_3333));
+ NAND2X1 g39272(.A (\u3_mem[2]_55 ), .B (n_3330), .Y (n_3331));
+ NOR2X1 g39273(.A (n_5138), .B (n_2772), .Y (n_3329));
+ NAND2X1 g39277(.A (\u4_mem[2]_53 ), .B (n_12087), .Y (n_3328));
+ NAND2X1 g39278(.A (n_12721), .B (\u3_mem[0]_99 ), .Y (n_12005));
+ NOR2X1 g39281(.A (n_4961), .B (n_2684), .Y (n_3324));
+ NOR2X1 g39282(.A (n_3453), .B (n_2748), .Y (n_3321));
+ NOR2X1 g39284(.A (n_3332), .B (n_2765), .Y (n_3318));
+ NAND2X1 g39285(.A (n_3316), .B (\u3_mem[1]_72 ), .Y (n_3317));
+ NAND2X1 g39287(.A (\u3_mem[2]_50 ), .B (n_3330), .Y (n_3315));
+ NAND2X1 g39288(.A (\u5_mem[1]_63 ), .B (n_3236), .Y (n_12800));
+ NOR2X1 g39289(.A (n_3486), .B (n_2801), .Y (n_3313));
+ NAND2X1 g39290(.A (n_12204), .B (\u6_mem[0]_101 ), .Y (n_11707));
+ NOR2X1 g39291(.A (n_3453), .B (n_2691), .Y (n_3311));
+ NOR2X1 g39292(.A (n_5102), .B (n_2829), .Y (n_3309));
+ NOR2X1 g39294(.A (n_3486), .B (n_2681), .Y (n_3307));
+ NOR2X1 g39297(.A (n_3486), .B (n_2741), .Y (n_3305));
+ NOR2X1 g39298(.A (n_3486), .B (n_2735), .Y (n_3302));
+ NOR2X1 g39299(.A (n_3486), .B (n_2720), .Y (n_3299));
+ NAND2X1 g39300(.A (\u4_mem[2]_49 ), .B (n_12091), .Y (n_3297));
+ NOR2X1 g39301(.A (n_3332), .B (n_2786), .Y (n_3296));
+ NOR2X1 g39302(.A (n_5102), .B (n_2729), .Y (n_3295));
+ NOR2X1 g39304(.A (n_5102), .B (n_2684), .Y (n_3293));
+ NOR2X1 g39305(.A (n_3486), .B (n_2831), .Y (n_3292));
+ NAND2X1 g39306(.A (in_slt_398), .B (n_3415), .Y (n_3291));
+ NAND2X1 g39307(.A (n_12369), .B (\u6_mem[0]_113 ), .Y (n_3290));
+ NAND2X1 g39308(.A (\u3_mem[2]_35 ), .B (n_3207), .Y (n_3289));
+ NAND2X1 g39310(.A (\u5_mem[1]_79 ), .B (n_3236), .Y (n_3288));
+ NAND2X1 g39311(.A (n_12721), .B (\u3_mem[0]_96 ), .Y (n_3287));
+ NOR2X1 g39312(.A (n_3332), .B (n_2782), .Y (n_3285));
+ NAND2X1 g39313(.A (\u6_mem[2]_48 ), .B (n_3423), .Y (n_3283));
+ NAND2X1 g39314(.A (\u8_mem[1]_80 ), .B (n_12291), .Y (n_3282));
+ NOR2X1 g39315(.A (n_3486), .B (n_2804), .Y (n_3280));
+ NOR2X1 g39316(.A (n_5102), .B (n_2748), .Y (n_3279));
+ NAND2X1 g39317(.A (\u3_mem[1]_66 ), .B (n_3316), .Y (n_3278));
+ NAND2X1 g39319(.A (n_4560), .B (in_slt_453), .Y (n_5280));
+ NAND2X1 g39320(.A (\u7_mem[2]_30 ), .B (n_12654), .Y (n_3277));
+ NAND2X1 g39321(.A (\u3_mem[2]_33 ), .B (n_12619), .Y (n_11738));
+ INVX1 g39322(.A (n_4769), .Y (n_3275));
+ NAND2X1 g39326(.A (\u5_mem[1]_87 ), .B (n_3209), .Y (n_3274));
+ NAND2X1 g39327(.A (\u8_mem[1]_61 ), .B (n_12291), .Y (n_12843));
+ NAND2X1 g39329(.A (\u5_mem[1] ), .B (n_3236), .Y (n_11495));
+ NAND2X1 g39330(.A (n_3259), .B (\u5_mem[0] ), .Y (n_3270));
+ NAND2X1 g39334(.A (\u5_mem[1]_65 ), .B (n_3257), .Y (n_12807));
+ NAND2X1 g39335(.A (n_3255), .B (\u5_mem[0]_96 ), .Y (n_3268));
+ NAND2X1 g39337(.A (n_12826), .B (\u3_mem[0]_101 ), .Y (n_11721));
+ NAND2X1 g39338(.A (\u6_mem[2]_40 ), .B (n_3474), .Y (n_11698));
+ NAND2X1 g39339(.A (\u5_mem[1]_81 ), .B (n_3239), .Y (n_3264));
+ NAND2X1 g39341(.A (\u5_mem[1]_67 ), .B (n_3236), .Y (n_12034));
+ NAND2X1 g39342(.A (n_3259), .B (\u5_mem[0]_98 ), .Y (n_3261));
+ NAND2X1 g39344(.A (n_3259), .B (\u5_mem[0]_100 ), .Y (n_3260));
+ NAND2X1 g39347(.A (\u5_mem[1]_66 ), .B (n_3257), .Y (n_3258));
+ NAND2X1 g39348(.A (n_3255), .B (\u5_mem[0]_97 ), .Y (n_3256));
+ NAND2X1 g39351(.A (n_3259), .B (\u5_mem[0]_99 ), .Y (n_3254));
+ NAND2X1 g39352(.A (n_3252), .B (\u7_mem[0]_120 ), .Y (n_3253));
+ NAND2X1 g39353(.A (n_3259), .B (\u5_mem[0]_101 ), .Y (n_3251));
+ NAND2X1 g39355(.A (\u8_mem[2]_29 ), .B (n_3334), .Y (n_11741));
+ NAND2X1 g39357(.A (\u4_mem[2]_40 ), .B (n_12079), .Y (n_3248));
+ NOR2X1 g39358(.A (n_3486), .B (n_2763), .Y (n_3246));
+ NAND2X1 g39359(.A (\u5_mem[1]_71 ), .B (n_3236), .Y (n_12796));
+ NAND2X1 g39360(.A (n_3259), .B (\u5_mem[0]_102 ), .Y (n_12853));
+ NOR2X1 g39362(.A (n_4961), .B (n_2818), .Y (n_3242));
+ NAND2X1 g39364(.A (\u5_mem[1]_84 ), .B (n_3239), .Y (n_3240));
+ NAND2X1 g39365(.A (n_12679), .B (\u5_mem[0]_115 ), .Y (n_3238));
+ NAND2X1 g39366(.A (\u5_mem[1]_72 ), .B (n_3236), .Y (n_12798));
+ NOR2X1 g39368(.A (n_3332), .B (n_3008), .Y (n_3235));
+ NOR2X1 g39369(.A (n_3332), .B (n_2767), .Y (n_3234));
+ NAND2X1 g39371(.A (n_12679), .B (\u5_mem[0]_116 ), .Y (n_3231));
+ NAND2X1 g39372(.A (\u5_mem[1]_73 ), .B (n_3257), .Y (n_12805));
+ NAND2X2 g39373(.A (n_3259), .B (\u5_mem[0]_104 ), .Y (n_3229));
+ NOR2X1 g39374(.A (n_3332), .B (n_2684), .Y (n_3228));
+ NAND2X1 g39375(.A (\u3_mem[2]_47 ), .B (n_12619), .Y (n_3227));
+ NAND2X1 g39377(.A (\u8_mem[1]_67 ), .B (n_12295), .Y (n_11448));
+ NAND2X1 g39378(.A (n_12840), .B (\u4_mem[0]_113 ), .Y (n_3225));
+ NAND2X1 g39379(.A (n_12679), .B (\u5_mem[0]_117 ), .Y (n_3224));
+ NAND2X1 g39380(.A (in_slt_411), .B (n_3415), .Y (n_3223));
+ NAND2X1 g39381(.A (\u5_mem[1]_74 ), .B (n_3236), .Y (n_12842));
+ NOR2X1 g39387(.A (n_3332), .B (n_2763), .Y (n_3220));
+ NAND2X1 g39388(.A (\u5_mem[1]_75 ), .B (n_3257), .Y (n_3218));
+ NAND2X1 g39389(.A (n_3259), .B (\u5_mem[0]_106 ), .Y (n_11966));
+ NAND2X1 g39393(.A (\u5_mem[1]_88 ), .B (n_3239), .Y (n_3215));
+ NAND2X1 g39394(.A (n_12679), .B (\u5_mem[0]_119 ), .Y (n_3214));
+ NAND2X1 g39397(.A (\u3_mem[1]_80 ), .B (n_3316), .Y (n_3213));
+ NAND2X1 g39398(.A (n_12679), .B (\u5_mem[0]_120 ), .Y (n_3212));
+ NAND2X1 g39402(.A (\u5_mem[1]_90 ), .B (n_3209), .Y (n_3210));
+ NAND2X1 g39404(.A (\u3_mem[2]_38 ), .B (n_3207), .Y (n_3208));
+ NAND2X1 g39405(.A (\u5_mem[1]_78 ), .B (n_3209), .Y (n_3206));
+ NAND2X1 g39406(.A (n_3259), .B (\u5_mem[0]_109 ), .Y (n_3205));
+ NOR2X1 g39407(.A (n_5059), .B (n_2794), .Y (n_3204));
+ NAND2X1 g39408(.A (n_3255), .B (\u5_mem[0]_91 ), .Y (n_3202));
+ NAND2X1 g39411(.A (\u5_mem[1]_61 ), .B (n_3236), .Y (n_11444));
+ NAND2X1 g39412(.A (n_12721), .B (\u3_mem[0]_107 ), .Y (n_3199));
+ NOR2X1 g39413(.A (n_3453), .B (n_2720), .Y (n_3198));
+ NAND2X1 g39414(.A (in_slt_401), .B (n_3415), .Y (n_3195));
+ NAND2X1 g39769(.A (\u5_mem[1]_68 ), .B (n_1035), .Y (n_3194));
+ NAND2X1 g39415(.A (n_3255), .B (\u5_mem[0]_93 ), .Y (n_3193));
+ NAND2X1 g39417(.A (n_3259), .B (\u5_mem[0]_94 ), .Y (n_3192));
+ NAND2X1 g39424(.A (\u8_mem[1]_79 ), .B (n_12291), .Y (n_3191));
+ NAND2X1 g39425(.A (\u5_mem[1]_64 ), .B (n_3257), .Y (n_12809));
+ NAND2X1 g39429(.A (n_12389), .B (\u4_mem[0]_98 ), .Y (n_11660));
+ NAND2X1 g39430(.A (\u7_mem[2]_38 ), .B (n_12641), .Y (n_3188));
+ NAND2X1 g39434(.A (n_12679), .B (\u5_mem[0]_110 ), .Y (n_3186));
+ NOR2X1 g39436(.A (n_3486), .B (n_2790), .Y (n_3185));
+ NAND2X1 g39437(.A (\u3_mem[2]_56 ), .B (n_3330), .Y (n_3184));
+ NAND2X1 g39439(.A (\u3_mem[1]_60 ), .B (n_3316), .Y (n_3183));
+ NAND2X1 g39442(.A (\u3_mem[1]_75 ), .B (n_3316), .Y (n_3181));
+ NOR2X1 g39443(.A (n_5059), .B (n_2831), .Y (n_3180));
+ NOR2X1 g39444(.A (n_5059), .B (n_2732), .Y (n_3177));
+ NAND2X1 g39447(.A (\u5_mem[1]_83 ), .B (n_3239), .Y (n_3176));
+ NOR2X1 g39448(.A (n_3332), .B (n_2790), .Y (n_3175));
+ NAND2X1 g39449(.A (n_3252), .B (\u7_mem[0]_117 ), .Y (n_3173));
+ NOR2X1 g39450(.A (n_3453), .B (n_2767), .Y (n_3172));
+ NAND2X1 g39451(.A (n_3252), .B (\u7_mem[0]_98 ), .Y (n_3171));
+ NAND2X1 g39452(.A (\u5_mem[1]_62 ), .B (n_3236), .Y (n_11440));
+ NOR2X1 g39453(.A (n_3332), .B (n_2792), .Y (n_3169));
+ NOR2X1 g39454(.A (n_3332), .B (n_2729), .Y (n_3167));
+ NAND2X1 g39455(.A (\u4_mem[2]_38 ), .B (n_12079), .Y (n_3166));
+ NAND2X1 g39459(.A (n_12826), .B (\u3_mem[0]_95 ), .Y (n_11737));
+ NOR2X1 g39460(.A (n_3332), .B (n_2707), .Y (n_3164));
+ NOR2X1 g39462(.A (n_3453), .B (n_2735), .Y (n_3163));
+ NAND2X1 g39464(.A (n_12369), .B (\u6_mem[0]_112 ), .Y (n_3162));
+ NAND2X1 g39465(.A (\u7_mem[1]_77 ), .B (n_3522), .Y (n_3161));
+ NAND2X1 g39467(.A (n_11789), .B (\u8_mem[0]_114 ), .Y (n_3160));
+ NAND2X1 g39469(.A (n_12825), .B (\u3_mem[0]_113 ), .Y (n_3159));
+ NAND2X1 g39470(.A (\u8_mem[1]_88 ), .B (n_12291), .Y (n_3158));
+ NAND2X1 g39472(.A (n_12389), .B (\u4_mem[0]_95 ), .Y (n_11666));
+ NAND2X1 g39476(.A (n_11798), .B (\u8_mem[0]_105 ), .Y (n_11461));
+ NOR2X1 g39477(.A (n_3117), .B (n_2786), .Y (n_3154));
+ NAND2X1 g39478(.A (n_12204), .B (\u6_mem[0]_95 ), .Y (n_11711));
+ NOR2X1 g39484(.A (n_5138), .B (n_2735), .Y (n_3151));
+ NAND2X1 g39485(.A (n_12721), .B (\u3_mem[0]_93 ), .Y (n_3150));
+ NAND2X1 g39763(.A (n_4560), .B (in_slt_456), .Y (n_5304));
+ NAND2X1 g39487(.A (n_12204), .B (\u6_mem[0]_94 ), .Y (n_11709));
+ NAND2X1 g39489(.A (n_12826), .B (\u3_mem[0] ), .Y (n_12832));
+ NAND2X1 g39491(.A (\u8_mem[1]_63 ), .B (n_12295), .Y (n_11464));
+ NAND2X1 g39493(.A (\u8_mem[2]_59 ), .B (n_3441), .Y (n_3144));
+ NAND2X1 g39496(.A (\u3_mem[2]_36 ), .B (n_12619), .Y (n_3143));
+ NAND2X1 g39497(.A (n_12369), .B (\u6_mem[0]_92 ), .Y (n_3142));
+ NAND2X1 g39500(.A (n_12840), .B (\u4_mem[0]_104 ), .Y (n_11650));
+ INVX1 g39501(.A (n_4772), .Y (n_3138));
+ INVX1 g39503(.A (n_4743), .Y (n_3137));
+ NAND2X1 g39505(.A (n_11798), .B (\u8_mem[0]_116 ), .Y (n_3136));
+ NAND2X1 g39506(.A (\u3_mem[1]_61 ), .B (n_3316), .Y (n_3135));
+ NAND2X1 g39507(.A (n_12389), .B (\u4_mem[0]_115 ), .Y (n_3134));
+ NOR2X1 g39508(.A (n_3117), .B (n_2735), .Y (n_3133));
+ NOR2X1 g39509(.A (n_3117), .B (n_2818), .Y (n_3132));
+ NOR2X1 g39511(.A (n_3117), .B (n_2792), .Y (n_3131));
+ NAND2X1 g39512(.A (\u5_mem[1]_85 ), .B (n_3239), .Y (n_3130));
+ NAND2X1 g39514(.A (n_3339), .B (in_slt_428), .Y (n_5290));
+ NOR2X1 g39515(.A (n_3117), .B (n_2684), .Y (n_3129));
+ NOR2X1 g39516(.A (n_4996), .B (n_2790), .Y (n_3128));
+ NAND2X1 g39517(.A (\u3_mem[2]_29 ), .B (n_12619), .Y (n_3126));
+ NAND2X1 g39518(.A (n_12369), .B (\u6_mem[0]_108 ), .Y (n_3125));
+ NAND2X1 g39519(.A (\u7_mem[1]_66 ), .B (n_3522), .Y (n_3124));
+ NOR2X1 g39520(.A (n_4996), .B (n_2767), .Y (n_3123));
+ NAND2X1 g39521(.A (\u3_mem[2]_58 ), .B (n_3330), .Y (n_3122));
+ NOR2X1 g39522(.A (n_3332), .B (n_2818), .Y (n_3121));
+ NAND2X1 g39523(.A (\u7_mem[2]_40 ), .B (n_12645), .Y (n_3120));
+ NAND2X1 g39524(.A (\u3_mem[2]_45 ), .B (n_12619), .Y (n_3119));
+ NOR2X1 g39525(.A (n_3117), .B (n_2748), .Y (n_3118));
+ NOR2X1 g39526(.A (n_4996), .B (n_2804), .Y (n_3116));
+ NOR2X1 g39529(.A (n_4996), .B (n_2794), .Y (n_3114));
+ NAND2X1 g39531(.A (\u7_mem[2]_42 ), .B (n_12645), .Y (n_3112));
+ NOR2X1 g39532(.A (n_3117), .B (n_2712), .Y (n_3111));
+ NAND2X1 g39534(.A (\u4_mem[2]_51 ), .B (n_12091), .Y (n_3109));
+ NAND2X1 g39535(.A (n_12839), .B (\u4_mem[0]_91 ), .Y (n_3108));
+ NAND2X1 g39536(.A (\u3_mem[1]_87 ), .B (n_3316), .Y (n_3107));
+ NAND2X1 g39538(.A (\u7_mem[2]_33 ), .B (n_12654), .Y (n_3106));
+ NAND2X1 g39539(.A (\u7_mem[1]_68 ), .B (n_3522), .Y (n_3105));
+ NAND2X1 g39540(.A (n_12839), .B (\u4_mem[0]_112 ), .Y (n_3104));
+ NOR2X1 g39542(.A (n_3453), .B (n_2790), .Y (n_3103));
+ NAND2X1 g39543(.A (\u8_mem[1]_60 ), .B (n_12295), .Y (n_11499));
+ NAND2X1 g39545(.A (n_12204), .B (\u6_mem[0] ), .Y (n_11751));
+ NAND2X1 g39547(.A (\u8_mem[1]_74 ), .B (n_12295), .Y (n_11462));
+ NAND2X1 g39549(.A (\u7_mem[2]_39 ), .B (n_12654), .Y (n_3098));
+ NAND2X1 g39551(.A (n_12204), .B (\u6_mem[0]_96 ), .Y (n_11713));
+ NAND2X1 g39561(.A (\u6_mem[2]_51 ), .B (n_3423), .Y (n_3094));
+ NAND2X1 g39563(.A (\u7_mem[2]_41 ), .B (n_12641), .Y (n_3093));
+ INVX1 g39564(.A (n_4755), .Y (n_3092));
+ NAND2X1 g39566(.A (\u6_mem[2]_37 ), .B (n_3474), .Y (n_3091));
+ NOR2X1 g39567(.A (n_3089), .B (n_2691), .Y (n_3090));
+ NAND2X1 g39574(.A (\u8_mem[1]_65 ), .B (n_12295), .Y (n_11466));
+ NAND2X1 g39577(.A (\u5_mem[1]_86 ), .B (n_3239), .Y (n_3087));
+ NAND2X1 g39579(.A (n_12369), .B (\u6_mem[0]_114 ), .Y (n_3086));
+ NAND2X1 g39580(.A (\u8_mem[2]_57 ), .B (n_3441), .Y (n_3084));
+ NAND2X1 g39581(.A (n_12204), .B (\u6_mem[0]_102 ), .Y (n_11697));
+ NAND2X1 g39583(.A (n_12825), .B (\u3_mem[0]_110 ), .Y (n_3082));
+ NAND2X1 g39586(.A (in_slt_412), .B (n_3415), .Y (n_3081));
+ NAND2X1 g39587(.A (\u6_mem[2]_53 ), .B (n_3423), .Y (n_3080));
+ NOR2X1 g39588(.A (n_3453), .B (n_2716), .Y (n_3079));
+ NAND2X1 g39589(.A (\u8_mem[1]_78 ), .B (n_12291), .Y (n_3078));
+ NAND2X1 g39590(.A (n_12840), .B (\u4_mem[0] ), .Y (n_11670));
+ NOR2X1 g39591(.A (n_4961), .B (n_2729), .Y (n_3076));
+ NAND2X1 g39593(.A (\u8_mem[1]_90 ), .B (n_12291), .Y (n_3074));
+ NAND2X1 g39595(.A (n_12369), .B (\u6_mem[0]_116 ), .Y (n_3073));
+ NAND2X1 g39598(.A (n_12679), .B (\u5_mem[0]_114 ), .Y (n_3071));
+ NAND2X1 g39600(.A (n_12204), .B (\u6_mem[0]_117 ), .Y (n_3070));
+ NAND2X1 g39601(.A (\u5_mem[1]_82 ), .B (n_3239), .Y (n_3069));
+ NOR2X1 g39603(.A (n_3089), .B (n_2782), .Y (n_3067));
+ NAND2X1 g39604(.A (n_11789), .B (\u8_mem[0]_121 ), .Y (n_3066));
+ NOR2X1 g39605(.A (n_3453), .B (n_2772), .Y (n_3065));
+ NOR2X1 g39606(.A (n_3117), .B (n_2691), .Y (n_3063));
+ INVX1 g39607(.A (n_4759), .Y (n_3061));
+ INVX1 g39609(.A (n_4776), .Y (n_3060));
+ NAND2X1 g39611(.A (\u3_mem[1]_63 ), .B (n_12753), .Y (n_11730));
+ NOR2X1 g39612(.A (n_3117), .B (n_2686), .Y (n_3058));
+ NAND2X1 g39613(.A (n_12204), .B (\u6_mem[0]_119 ), .Y (n_3057));
+ NAND2X1 g39616(.A (n_4560), .B (in_slt_459), .Y (n_5300));
+ NAND2X1 g39617(.A (n_12369), .B (\u6_mem[0]_107 ), .Y (n_3056));
+ NAND2X1 g39620(.A (n_4560), .B (in_slt_455), .Y (n_5307));
+ NAND2X1 g39621(.A (\u6_mem[2]_58 ), .B (n_3423), .Y (n_3054));
+ NOR2X1 g39741(.A (n_3117), .B (n_2729), .Y (n_3053));
+ NAND2X1 g39626(.A (\u8_mem[2]_48 ), .B (n_3441), .Y (n_3052));
+ NAND2X1 g39627(.A (\u6_mem[2]_59 ), .B (n_3423), .Y (n_3051));
+ NAND2X1 g39628(.A (n_12204), .B (\u6_mem[0]_109 ), .Y (n_12817));
+ NAND2X1 g39630(.A (\u6_mem[2]_29 ), .B (n_3474), .Y (n_12819));
+ NAND2X1 g39631(.A (\u5_mem[1]_60 ), .B (n_3236), .Y (n_11442));
+ NAND2X1 g39633(.A (\u6_mem[2]_31 ), .B (n_3474), .Y (n_12821));
+ NAND2X1 g39637(.A (\u3_mem[1]_88 ), .B (n_3316), .Y (n_3045));
+ NAND2X1 g39638(.A (n_3252), .B (\u7_mem[0]_114 ), .Y (n_3044));
+ NAND2X1 g39639(.A (n_3259), .B (\u5_mem[0]_121 ), .Y (n_3043));
+ NAND2X1 g39640(.A (\u8_mem[1] ), .B (n_12295), .Y (n_11468));
+ NAND2X1 g39641(.A (n_12369), .B (\u6_mem[0]_110 ), .Y (n_3041));
+ NOR2X1 g39642(.A (n_3332), .B (n_2716), .Y (n_3040));
+ NAND2X1 g39643(.A (\u6_mem[2]_49 ), .B (n_3423), .Y (n_3038));
+ NAND2X1 g39646(.A (n_12204), .B (\u6_mem[0]_111 ), .Y (n_3037));
+ NAND2X1 g39648(.A (n_3339), .B (in_slt_435), .Y (n_5284));
+ NAND2X1 g39649(.A (n_12389), .B (\u4_mem[0]_121 ), .Y (n_3036));
+ NOR2X1 g39652(.A (n_5059), .B (n_2772), .Y (n_3035));
+ NOR2X1 g39653(.A (n_3453), .B (n_2744), .Y (n_3032));
+ NOR2X1 g39654(.A (n_4996), .B (n_2765), .Y (n_3031));
+ NAND2X1 g39655(.A (n_11804), .B (\u8_mem[0]_110 ), .Y (n_3030));
+ NAND2X1 g39656(.A (\u7_mem[2]_29 ), .B (n_12645), .Y (n_3029));
+ NOR2X1 g39664(.A (n_3117), .B (n_2744), .Y (n_3027));
+ NAND2X1 g39676(.A (\u5_mem[1]_76 ), .B (n_3236), .Y (n_11482));
+ NOR2X1 g39682(.A (n_3117), .B (n_2801), .Y (n_3023));
+ INVX1 g42379(.A (n_9833), .Y (n_10916));
+ NOR2X1 g39686(.A (n_3332), .B (n_2748), .Y (n_3022));
+ NAND2X1 g39688(.A (u11_din_tmp_50), .B (n_4560), .Y (n_3020));
+ NAND2X1 g39690(.A (\u3_mem[2]_43 ), .B (n_3207), .Y (n_3019));
+ NOR2X1 g39693(.A (n_3117), .B (n_2864), .Y (n_3018));
+ NAND2X1 g39694(.A (\u8_mem[1]_89 ), .B (n_12291), .Y (n_3016));
+ NOR2X1 g39696(.A (n_3453), .B (n_2864), .Y (n_3015));
+ NAND2X1 g39697(.A (\u3_mem[1]_65 ), .B (n_3316), .Y (n_3014));
+ NAND2X1 g39698(.A (\u4_mem[2]_50 ), .B (n_12091), .Y (n_3013));
+ NAND2X1 g39699(.A (n_11789), .B (\u8_mem[0]_94 ), .Y (n_11463));
+ NOR2X1 g39701(.A (n_3117), .B (n_3008), .Y (n_3010));
+ NOR2X1 g39703(.A (n_5102), .B (n_2686), .Y (n_3007));
+ NOR2X1 g39707(.A (n_3117), .B (n_2702), .Y (n_3006));
+ NAND2X1 g39709(.A (n_11789), .B (\u8_mem[0]_107 ), .Y (n_11668));
+ NAND2X1 g39710(.A (n_12825), .B (\u3_mem[0]_119 ), .Y (n_3004));
+ NAND2X1 g39711(.A (\u3_mem[1]_90 ), .B (n_3316), .Y (n_3003));
+ NAND2X1 g39712(.A (\u5_mem[1]_70 ), .B (n_3236), .Y (n_12032));
+ NOR2X1 g39713(.A (n_3117), .B (n_2831), .Y (n_3001));
+ NAND2X1 g39715(.A (n_12721), .B (\u3_mem[0]_97 ), .Y (n_3000));
+ NAND2X1 g39716(.A (n_3252), .B (\u7_mem[0]_111 ), .Y (n_2999));
+ NOR2X1 g39717(.A (n_3332), .B (n_2864), .Y (n_2998));
+ NAND2X1 g39720(.A (n_11798), .B (\u8_mem[0]_118 ), .Y (n_2997));
+ NAND2X1 g39721(.A (\u3_mem[2]_44 ), .B (n_12619), .Y (n_2996));
+ NAND2X1 g39723(.A (n_4560), .B (in_slt_452), .Y (n_5321));
+ NAND2X1 g39725(.A (n_12204), .B (\u6_mem[0]_121 ), .Y (n_2995));
+ INVX1 g39728(.A (n_4745), .Y (n_2994));
+ NAND2X1 g39730(.A (n_3252), .B (\u7_mem[0]_112 ), .Y (n_2993));
+ NOR2X1 g39731(.A (n_5102), .B (n_2772), .Y (n_2992));
+ INVX1 g39733(.A (n_4767), .Y (n_2989));
+ NAND2X1 g39735(.A (n_12839), .B (\u4_mem[0]_96 ), .Y (n_12829));
+ NOR2X1 g39738(.A (n_3332), .B (n_2829), .Y (n_2987));
+ OR2X1 g39740(.A (n_1052), .B (\u3_rp[3] ), .Y (n_2986));
+ NOR2X1 g39742(.A (n_5138), .B (n_2681), .Y (n_2985));
+ NAND2X1 g39744(.A (n_12825), .B (\u3_mem[0]_120 ), .Y (n_2984));
+ NAND2X1 g39745(.A (\u4_mem[2]_55 ), .B (n_12087), .Y (n_2983));
+ NAND2X1 g39746(.A (n_12679), .B (\u5_mem[0]_118 ), .Y (n_2982));
+ NAND2X1 g39747(.A (\u3_mem[2]_51 ), .B (n_3330), .Y (n_2981));
+ NAND2X1 g39748(.A (n_12389), .B (\u4_mem[0]_101 ), .Y (n_11654));
+ NAND2X1 g39751(.A (n_3339), .B (in_slt_431), .Y (n_5355));
+ NAND2X1 g39752(.A (n_12389), .B (\u4_mem[0]_97 ), .Y (n_11658));
+ NOR2X1 g39753(.A (n_3332), .B (n_2712), .Y (n_2977));
+ NOR2X1 g39754(.A (n_4961), .B (n_2831), .Y (n_2976));
+ NOR2X1 g39756(.A (n_4996), .B (n_2707), .Y (n_2975));
+ NAND2X1 g39757(.A (n_11789), .B (\u8_mem[0]_119 ), .Y (n_2974));
+ NAND2X1 g39760(.A (\u8_mem[1]_76 ), .B (n_12295), .Y (n_11669));
+ NAND2X1 g39180(.A (n_12389), .B (\u4_mem[0]_108 ), .Y (n_2972));
+ NAND2X1 g39765(.A (\u7_mem[2] ), .B (n_12641), .Y (n_2971));
+ NAND2X1 g39766(.A (n_3252), .B (\u7_mem[0]_121 ), .Y (n_2970));
+ NAND2X1 g39770(.A (\u7_mem[2]_35 ), .B (n_12654), .Y (n_2969));
+ INVX1 g39772(.A (n_4751), .Y (n_2968));
+ NOR2X1 g40158(.A (n_2784), .B (n_2794), .Y (n_2967));
+ NAND2X1 g39776(.A (\u7_mem[2]_37 ), .B (n_12654), .Y (n_2966));
+ NOR2X1 g39777(.A (n_4996), .B (n_2720), .Y (n_2965));
+ NOR2X1 g39778(.A (n_3332), .B (n_2801), .Y (n_2964));
+ NOR2X1 g39782(.A (n_5102), .B (n_2765), .Y (n_2962));
+ NOR2X1 g39783(.A (n_3089), .B (n_2729), .Y (n_2961));
+ NAND2X1 g39785(.A (n_3252), .B (\u7_mem[0]_103 ), .Y (n_2959));
+ NOR2X1 g39787(.A (n_5102), .B (n_2767), .Y (n_2958));
+ NAND2X1 g39788(.A (n_12825), .B (\u3_mem[0]_112 ), .Y (n_2957));
+ NAND2X1 g39792(.A (\u7_mem[2]_43 ), .B (n_12645), .Y (n_2956));
+ NAND2X1 g39793(.A (\u6_mem[2]_52 ), .B (n_3423), .Y (n_2955));
+ NOR2X1 g39794(.A (n_3089), .B (n_2792), .Y (n_2954));
+ NOR2X1 g39796(.A (n_3486), .B (n_2794), .Y (n_2953));
+ NAND2X1 g39797(.A (n_3252), .B (\u7_mem[0]_118 ), .Y (n_2952));
+ NOR2X1 g39799(.A (n_3486), .B (n_2792), .Y (n_2951));
+ NAND2X1 g39179(.A (n_12839), .B (\u4_mem[0]_120 ), .Y (n_2950));
+ NAND2X1 g39802(.A (n_12826), .B (\u3_mem[0]_94 ), .Y (n_11729));
+ NOR2X1 g39810(.A (n_3089), .B (n_2790), .Y (n_2947));
+ NOR2X1 g39811(.A (n_5059), .B (n_2681), .Y (n_2946));
+ NAND2X1 g39812(.A (\u7_mem[2]_31 ), .B (n_12645), .Y (n_2945));
+ NAND2X1 g39816(.A (n_3259), .B (\u5_mem[0]_103 ), .Y (n_12855));
+ NAND2X1 g39817(.A (\u7_mem[1]_64 ), .B (n_3522), .Y (n_2943));
+ NAND2X1 g39819(.A (n_12389), .B (\u4_mem[0]_102 ), .Y (n_11656));
+ NOR2X1 g39820(.A (n_3486), .B (n_2707), .Y (n_2941));
+ NAND2X1 g39822(.A (in_slt_403), .B (n_3415), .Y (n_2940));
+ NOR2X1 g39823(.A (n_4996), .B (n_2741), .Y (n_2939));
+ NAND2X1 g39824(.A (\u3_mem[1]_86 ), .B (n_3316), .Y (n_2938));
+ NOR2X1 g39826(.A (n_3089), .B (n_2801), .Y (n_2937));
+ NAND2X1 g39827(.A (n_11798), .B (\u8_mem[0]_117 ), .Y (n_2935));
+ NOR2X1 g39832(.A (n_3117), .B (n_2755), .Y (n_2934));
+ NAND2X1 g39834(.A (\u8_mem[1]_62 ), .B (n_12301), .Y (n_11503));
+ NOR2X1 g39836(.A (n_3117), .B (n_2681), .Y (n_2932));
+ NAND2X1 g39837(.A (n_12826), .B (\u3_mem[0]_105 ), .Y (n_12834));
+ NOR2X1 g39838(.A (n_3453), .B (n_2755), .Y (n_2930));
+ NOR2X1 g39841(.A (n_3117), .B (n_2716), .Y (n_2929));
+ NOR2X1 g39842(.A (n_3486), .B (n_2702), .Y (n_2928));
+ NAND2X1 g39843(.A (\u3_mem[1]_67 ), .B (n_3316), .Y (n_2927));
+ NAND2X1 g39845(.A (n_12839), .B (\u4_mem[0]_118 ), .Y (n_2926));
+ NAND2X1 g39846(.A (n_12369), .B (\u6_mem[0]_99 ), .Y (n_2925));
+ NAND2X1 g39849(.A (n_12826), .B (\u3_mem[0]_98 ), .Y (n_2924));
+ NAND2X1 g39850(.A (\u3_mem[1]_82 ), .B (n_3316), .Y (n_2923));
+ NAND2X1 g39851(.A (n_4560), .B (in_slt_444), .Y (n_2922));
+ NAND2X1 g39852(.A (n_11789), .B (\u8_mem[0]_92 ), .Y (n_12844));
+ INVX1 g39857(.A (n_4753), .Y (n_2918));
+ NAND2X1 g39859(.A (n_12721), .B (\u3_mem[0]_92 ), .Y (n_2917));
+ NOR2X1 g39861(.A (n_5059), .B (n_2691), .Y (n_2916));
+ NAND2X1 g39862(.A (\u8_mem[1]_86 ), .B (n_12291), .Y (n_2915));
+ NAND2X1 g39863(.A (n_12721), .B (\u3_mem[0]_103 ), .Y (n_2914));
+ NAND2X1 g39864(.A (\u3_mem[2]_49 ), .B (n_3330), .Y (n_2913));
+ NOR2X1 g39865(.A (n_3089), .B (n_2829), .Y (n_2912));
+ NAND2X1 g39868(.A (\u3_mem[1]_85 ), .B (n_3316), .Y (n_2911));
+ NAND2X1 g39869(.A (n_12204), .B (\u6_mem[0]_98 ), .Y (n_11717));
+ NOR2X1 g39874(.A (n_3453), .B (n_2702), .Y (n_2909));
+ NAND2X1 g39875(.A (\u6_mem[2]_50 ), .B (n_3423), .Y (n_2908));
+ NOR2X1 g39881(.A (n_3453), .B (n_2794), .Y (n_2907));
+ NAND2X1 g39883(.A (\u4_mem[2]_42 ), .B (n_12091), .Y (n_2906));
+ NOR2X1 g39885(.A (n_3089), .B (n_2818), .Y (n_2905));
+ NAND2X1 g39886(.A (\u5_mem[1]_69 ), .B (n_3236), .Y (n_12030));
+ NAND2X1 g39887(.A (n_12826), .B (\u3_mem[0]_118 ), .Y (n_2903));
+ NOR2X1 g39888(.A (n_3089), .B (n_2720), .Y (n_2902));
+ NAND2X1 g39889(.A (n_11798), .B (\u8_mem[0] ), .Y (n_11467));
+ NAND2X1 g39890(.A (n_12839), .B (\u4_mem[0]_106 ), .Y (n_12827));
+ NAND2X1 g39892(.A (\u3_mem[2]_46 ), .B (n_12619), .Y (n_2898));
+ NAND2X1 g39893(.A (\u4_mem[2]_52 ), .B (n_12091), .Y (n_2897));
+ NAND2X1 g39894(.A (\u3_mem[2]_59 ), .B (n_3330), .Y (n_2896));
+ INVX1 g39895(.A (n_4747), .Y (n_2895));
+ NAND2X1 g39898(.A (n_12825), .B (\u3_mem[0]_109 ), .Y (n_2894));
+ NAND2X1 g39899(.A (\u3_mem[2] ), .B (n_12619), .Y (n_2893));
+ NAND2X1 g39902(.A (\u8_mem[1]_70 ), .B (n_12295), .Y (n_11460));
+ NAND2X1 g39903(.A (\u8_mem[2]_52 ), .B (n_3441), .Y (n_2891));
+ NOR2X1 g39904(.A (n_3453), .B (n_2801), .Y (n_2890));
+ NAND2X1 g39905(.A (n_12679), .B (\u5_mem[0]_112 ), .Y (n_2889));
+ NAND2X1 g39906(.A (\u5_mem[1]_80 ), .B (n_3239), .Y (n_2888));
+ NAND2X1 g39909(.A (n_11798), .B (\u8_mem[0]_103 ), .Y (n_11455));
+ INVX1 g39705(.A (n_4761), .Y (n_2886));
+ NOR2X1 g39911(.A (n_3089), .B (n_2786), .Y (n_2883));
+ NOR2X1 g39913(.A (n_3486), .B (n_2691), .Y (n_2882));
+ NAND2X1 g39914(.A (\u8_mem[1]_72 ), .B (n_12295), .Y (n_11456));
+ NOR2X1 g39915(.A (n_3089), .B (n_2744), .Y (n_2880));
+ NOR2X1 g39916(.A (n_3453), .B (n_2763), .Y (n_2879));
+ NAND2X1 g39917(.A (\u3_mem[2]_34 ), .B (n_3207), .Y (n_2878));
+ NOR2X1 g39918(.A (n_3486), .B (n_2782), .Y (n_2877));
+ NAND2X1 g39919(.A (n_11804), .B (\u8_mem[0]_115 ), .Y (n_2876));
+ NAND2X1 g39920(.A (\u8_mem[1]_75 ), .B (n_12301), .Y (n_12037));
+ NAND2X1 g39923(.A (\u3_mem[1]_64 ), .B (n_3316), .Y (n_2874));
+ NOR2X1 g39924(.A (n_3089), .B (n_2804), .Y (n_2873));
+ NAND2X1 g39926(.A (\u3_mem[2]_32 ), .B (n_3207), .Y (n_2872));
+ NAND2X1 g39927(.A (\u3_mem[1]_89 ), .B (n_3316), .Y (n_2871));
+ NAND2X1 g39928(.A (\u3_mem[2]_48 ), .B (n_3330), .Y (n_2870));
+ NAND2X1 g39929(.A (n_12840), .B (\u4_mem[0]_99 ), .Y (n_11662));
+ NAND2X1 g39930(.A (n_11798), .B (\u8_mem[0]_109 ), .Y (n_2868));
+ NAND2X1 g39931(.A (\u8_mem[1]_84 ), .B (n_12291), .Y (n_2867));
+ NAND2X1 g39935(.A (n_3339), .B (in_slt_436), .Y (n_5330));
+ NAND2X1 g39936(.A (n_11789), .B (\u8_mem[0]_93 ), .Y (n_12039));
+ NOR2X1 g39937(.A (n_3486), .B (n_2864), .Y (n_2865));
+ NAND2X1 g39938(.A (\u8_mem[2]_49 ), .B (n_3441), .Y (n_2863));
+ NAND2X1 g39940(.A (n_3259), .B (\u5_mem[0]_105 ), .Y (n_12841));
+ NAND2X1 g39942(.A (n_11789), .B (\u8_mem[0]_95 ), .Y (n_2861));
+ NAND2X1 g39943(.A (n_3259), .B (\u5_mem[0]_107 ), .Y (n_12857));
+ NAND2X1 g39944(.A (n_12721), .B (\u3_mem[0]_106 ), .Y (n_2859));
+ NOR2X1 g39946(.A (n_3089), .B (n_2716), .Y (n_2858));
+ NAND2X1 g39947(.A (\u5_mem[1]_89 ), .B (n_3236), .Y (n_2857));
+ NAND2X1 g39949(.A (n_12825), .B (\u3_mem[0]_116 ), .Y (n_2856));
+ NAND2X1 g39951(.A (n_12369), .B (\u6_mem[0]_93 ), .Y (n_2855));
+ NOR2X1 g39953(.A (n_3117), .B (n_2772), .Y (n_2854));
+ NAND2X1 g39954(.A (n_11798), .B (\u8_mem[0]_101 ), .Y (n_11459));
+ NAND2X1 g39956(.A (\u8_mem[1]_83 ), .B (n_12291), .Y (n_2852));
+ NOR2X1 g39962(.A (n_3089), .B (n_2741), .Y (n_2851));
+ NAND2X1 g39963(.A (\u8_mem[1]_77 ), .B (n_12291), .Y (n_2850));
+ NAND2X1 g39964(.A (\u4_mem[2]_34 ), .B (n_12079), .Y (n_2849));
+ NAND2X1 g39965(.A (\u3_mem[1]_69 ), .B (n_12753), .Y (n_11720));
+ NAND2X1 g39967(.A (n_11804), .B (\u8_mem[0]_91 ), .Y (n_11742));
+ NAND2X1 g39968(.A (\u8_mem[1]_68 ), .B (n_12301), .Y (n_2846));
+ NAND2X1 g39969(.A (\u3_mem[2]_54 ), .B (n_3330), .Y (n_2844));
+ NAND2X1 g39972(.A (n_11798), .B (\u8_mem[0]_99 ), .Y (n_11449));
+ NAND2X1 g39974(.A (\u8_mem[1]_87 ), .B (n_12291), .Y (n_2841));
+ NOR2X1 g39978(.A (n_2836), .B (n_2831), .Y (n_2840));
+ NOR2X1 g39980(.A (n_2832), .B (n_2786), .Y (n_2839));
+ NOR2X1 g39981(.A (n_2736), .B (n_2829), .Y (n_2838));
+ NOR2X1 g39982(.A (n_2836), .B (n_2748), .Y (n_2837));
+ NOR2X1 g39983(.A (n_2827), .B (n_2712), .Y (n_2835));
+ NAND2X1 g39166(.A (n_11798), .B (\u8_mem[0]_98 ), .Y (n_11447));
+ NOR2X1 g39990(.A (n_2832), .B (n_2831), .Y (n_2833));
+ NOR2X1 g39993(.A (n_2832), .B (n_2829), .Y (n_2830));
+ NOR2X1 g39998(.A (n_2827), .B (n_2864), .Y (n_2828));
+ NOR2X1 g39999(.A (n_2736), .B (n_2767), .Y (n_2826));
+ NOR2X1 g40000(.A (n_2736), .B (n_2782), .Y (n_2824));
+ NOR2X1 g40008(.A (n_2827), .B (n_2763), .Y (n_2823));
+ NOR2X1 g40009(.A (n_1016), .B (n_2684), .Y (n_2822));
+ NOR2X1 g40010(.A (n_2696), .B (n_2818), .Y (n_2821));
+ NOR2X1 g40015(.A (n_2736), .B (n_2831), .Y (n_2820));
+ NOR2X1 g40017(.A (n_2832), .B (n_2818), .Y (n_2819));
+ NOR2X1 g40018(.A (n_2749), .B (n_2790), .Y (n_2817));
+ NOR2X1 g40020(.A (n_2773), .B (n_2829), .Y (n_2816));
+ NOR2X1 g40027(.A (n_1016), .B (n_2732), .Y (n_2815));
+ NOR2X1 g40029(.A (n_2775), .B (n_2707), .Y (n_2814));
+ NOR2X1 g40030(.A (n_2742), .B (n_2735), .Y (n_2813));
+ NOR2X1 g40033(.A (n_2836), .B (n_2681), .Y (n_2812));
+ NOR2X1 g40035(.A (n_2761), .B (n_2804), .Y (n_2811));
+ NOR2X1 g39685(.A (n_3486), .B (n_2712), .Y (n_2810));
+ NOR2X1 g40037(.A (n_2736), .B (n_2720), .Y (n_2809));
+ NOR2X1 g40040(.A (n_2827), .B (n_2767), .Y (n_2808));
+ NOR2X1 g40043(.A (n_1226), .B (n_2772), .Y (n_2807));
+ NOR2X1 g40045(.A (n_2759), .B (n_3008), .Y (n_2806));
+ NOR2X1 g40048(.A (n_2836), .B (n_2804), .Y (n_2805));
+ NOR2X1 g40051(.A (n_2788), .B (n_3008), .Y (n_2803));
+ NOR2X1 g40053(.A (n_2770), .B (n_2801), .Y (n_2802));
+ NOR2X1 g40056(.A (n_2784), .B (n_2804), .Y (n_2800));
+ NOR2X1 g40057(.A (n_2784), .B (n_2818), .Y (n_2799));
+ NOR2X1 g40058(.A (n_1147), .B (n_2792), .Y (n_2797));
+ NOR2X1 g40061(.A (n_1147), .B (n_2794), .Y (n_2796));
+ NOR2X1 g40063(.A (n_2705), .B (n_2792), .Y (n_2793));
+ NOR2X1 g40064(.A (n_1226), .B (n_2790), .Y (n_2791));
+ NOR2X1 g40069(.A (n_2788), .B (n_2804), .Y (n_2789));
+ NOR2X1 g40071(.A (n_1147), .B (n_2786), .Y (n_2787));
+ NOR2X1 g40073(.A (n_2784), .B (n_2755), .Y (n_2785));
+ NOR2X1 g40075(.A (n_2721), .B (n_2782), .Y (n_2783));
+ NOR2X1 g40077(.A (n_2780), .B (n_2772), .Y (n_2781));
+ NOR2X1 g40078(.A (n_1082), .B (n_2786), .Y (n_2779));
+ NOR2X1 g40081(.A (n_1082), .B (n_2732), .Y (n_2778));
+ NOR2X1 g39679(.A (n_5059), .B (n_2686), .Y (n_2777));
+ NOR2X1 g40090(.A (n_2775), .B (n_2765), .Y (n_2776));
+ NOR2X1 g40092(.A (n_2773), .B (n_2772), .Y (n_2774));
+ NOR2X1 g40093(.A (n_2770), .B (n_2831), .Y (n_2771));
+ NOR2X1 g40096(.A (n_1016), .B (n_2767), .Y (n_2769));
+ NOR2X1 g40099(.A (n_2751), .B (n_2765), .Y (n_2766));
+ NOR2X1 g40105(.A (n_2689), .B (n_2763), .Y (n_2764));
+ NOR2X1 g40107(.A (n_2761), .B (n_2864), .Y (n_2762));
+ NOR2X1 g40112(.A (n_2759), .B (n_2691), .Y (n_2760));
+ NOR2X1 g40116(.A (n_2784), .B (n_2765), .Y (n_2758));
+ NOR2X1 g40123(.A (n_1016), .B (n_2755), .Y (n_2757));
+ NOR2X1 g40137(.A (n_2784), .B (n_2729), .Y (n_2754));
+ NOR2X1 g40139(.A (n_1147), .B (n_2744), .Y (n_2753));
+ NOR2X1 g40144(.A (n_2751), .B (n_2716), .Y (n_2752));
+ NOR2X1 g40145(.A (n_2749), .B (n_2748), .Y (n_2750));
+ NOR2X1 g40148(.A (n_2773), .B (n_2741), .Y (n_2747));
+ NOR2X1 g40149(.A (n_1082), .B (n_2744), .Y (n_2746));
+ NOR2X1 g40151(.A (n_2742), .B (n_2741), .Y (n_2743));
+ NOR2X1 g40152(.A (n_2713), .B (n_2767), .Y (n_2740));
+ NOR2X1 g39659(.A (n_3117), .B (n_2829), .Y (n_2739));
+ NOR2X1 g40176(.A (n_2705), .B (n_2794), .Y (n_2738));
+ NOR2X1 g40177(.A (n_2736), .B (n_2735), .Y (n_2737));
+ NOR2X1 g40181(.A (n_1226), .B (n_2732), .Y (n_2734));
+ NOR2X1 g40182(.A (n_2784), .B (n_2686), .Y (n_2731));
+ NOR2X1 g40183(.A (n_2751), .B (n_2729), .Y (n_2730));
+ NOR2X1 g40185(.A (n_2788), .B (n_2748), .Y (n_2728));
+ NOR2X1 g40188(.A (n_1147), .B (n_2765), .Y (n_2727));
+ NOR2X1 g40191(.A (n_1082), .B (n_2735), .Y (n_2726));
+ NOR2X1 g40193(.A (n_1082), .B (n_2681), .Y (n_2725));
+ NOR2X1 g40196(.A (n_2775), .B (n_2763), .Y (n_2723));
+ NOR2X1 g40199(.A (n_2721), .B (n_2720), .Y (n_2722));
+ NOR2X1 g40200(.A (n_2759), .B (n_2748), .Y (n_2719));
+ NOR2X1 g40201(.A (n_1016), .B (n_2794), .Y (n_2718));
+ NOR2X1 g40202(.A (n_2784), .B (n_2716), .Y (n_2717));
+ NOR2X1 g40213(.A (n_2751), .B (n_3008), .Y (n_2715));
+ NOR2X1 g40214(.A (n_2713), .B (n_2712), .Y (n_2714));
+ NOR2X1 g40219(.A (n_1226), .B (n_2702), .Y (n_2711));
+ NOR2X1 g40220(.A (n_1147), .B (n_2707), .Y (n_2709));
+ NOR2X1 g40226(.A (n_2705), .B (n_2735), .Y (n_2706));
+ NOR2X1 g40231(.A (n_2775), .B (n_2702), .Y (n_2704));
+ NOR2X1 g40233(.A (n_2713), .B (n_2829), .Y (n_2701));
+ NAND2X1 g39139(.A (n_12389), .B (\u4_mem[0]_114 ), .Y (n_2700));
+ NOR2X1 g40236(.A (n_2713), .B (n_2755), .Y (n_2699));
+ NOR2X1 g40238(.A (n_2775), .B (n_2716), .Y (n_2698));
+ NOR2X1 g40243(.A (n_2696), .B (n_3008), .Y (n_2697));
+ NOR2X1 g40246(.A (n_2780), .B (n_2729), .Y (n_2695));
+ NOR2X1 g40247(.A (n_2705), .B (n_2744), .Y (n_2694));
+ NOR2X1 g40255(.A (n_2721), .B (n_2818), .Y (n_2693));
+ NOR2X1 g40263(.A (n_2721), .B (n_2691), .Y (n_2692));
+ NOR2X1 g40271(.A (n_2689), .B (n_2712), .Y (n_2690));
+ NOR2X1 g40272(.A (n_2705), .B (n_2686), .Y (n_2688));
+ NOR2X1 g40281(.A (n_1082), .B (n_2684), .Y (n_2685));
+ NOR2X1 g40282(.A (n_1147), .B (n_2741), .Y (n_2683));
+ NOR2X1 g40283(.A (n_1147), .B (n_2681), .Y (n_2682));
+ NOR2X1 g40284(.A (n_2689), .B (n_2755), .Y (n_2680));
+ NOR2X1 g40287(.A (n_2742), .B (n_2744), .Y (n_2679));
+ NOR2X1 g40291(.A (n_2780), .B (n_2686), .Y (n_2678));
+ NOR2X1 g40292(.A (n_2788), .B (n_2681), .Y (n_2677));
+ NOR2X1 g40294(.A (n_2736), .B (n_2691), .Y (n_2676));
+ NOR2X1 g40300(.A (n_2736), .B (n_2801), .Y (n_2675));
+ NOR2X1 g40303(.A (n_1226), .B (n_2786), .Y (n_2674));
+ NOR2X1 g40304(.A (n_2705), .B (n_2707), .Y (n_2673));
+ NOR2X1 g40311(.A (n_2770), .B (n_2782), .Y (n_2672));
+ NOR2X1 g40313(.A (n_1226), .B (n_2801), .Y (n_2671));
+ NOR2X1 g40319(.A (n_2705), .B (n_2741), .Y (n_2670));
+ NOR2X1 g40320(.A (n_2770), .B (n_2790), .Y (n_2669));
+ NOR2X1 g40321(.A (n_2696), .B (n_2702), .Y (n_2668));
+ NOR2X1 g40324(.A (n_1082), .B (n_2792), .Y (n_2667));
+ NOR2X1 g40325(.A (n_1082), .B (n_2720), .Y (n_2666));
+ NOR2X1 g40326(.A (n_2759), .B (n_2702), .Y (n_2665));
+ NOR2X1 g40327(.A (n_2689), .B (n_2732), .Y (n_2664));
+ NOR2X1 g40329(.A (n_2742), .B (n_2790), .Y (n_2663));
+ NOR2X1 g40330(.A (n_2775), .B (n_2782), .Y (n_2662));
+ NOR2X1 g40333(.A (n_2773), .B (n_2707), .Y (n_2661));
+ NOR2X1 g40334(.A (n_2761), .B (n_2729), .Y (n_2660));
+ NOR2X1 g40344(.A (n_2749), .B (n_2686), .Y (n_2659));
+ NOR2X1 g40353(.A (n_2775), .B (n_2792), .Y (n_2658));
+ NOR2X1 g40355(.A (n_2761), .B (n_2772), .Y (n_2657));
+ NOR2X1 g40356(.A (n_1226), .B (n_2763), .Y (n_2656));
+ NOR2X1 g40358(.A (n_1016), .B (n_2864), .Y (n_2655));
+ NOR2X1 g40359(.A (n_2775), .B (n_2720), .Y (n_2653));
+ NOR2X1 g40361(.A (n_2749), .B (n_2716), .Y (n_2652));
+ NOR2X1 g40363(.A (n_1016), .B (n_2712), .Y (n_2651));
+ NOR2X1 g40366(.A (n_2696), .B (n_2684), .Y (n_2650));
+ NOR2X1 g40376(.A (n_2705), .B (n_2684), .Y (n_2649));
+ NOR2X1 g40377(.A (n_1016), .B (n_2691), .Y (n_2648));
+ NOR2X1 g40378(.A (n_1226), .B (n_2864), .Y (n_2647));
+ XOR2X1 g40380(.A (n_614), .B (n_868), .Y (n_2646));
+ XOR2X1 g40387(.A (n_886), .B (n_657), .Y (n_2645));
+ NAND2X1 g39134(.A (\u4_mem[2]_37 ), .B (n_12079), .Y (n_2644));
+ NAND2X1 g39115(.A (n_3339), .B (in_slt_429), .Y (n_5282));
+ NAND2X1 g39076(.A (n_11798), .B (\u8_mem[0]_104 ), .Y (n_11457));
+ NAND2X1 g39063(.A (n_11798), .B (\u8_mem[0]_96 ), .Y (n_11465));
+ NAND2X1 g39041(.A (\u3_mem[2]_53 ), .B (n_3330), .Y (n_2640));
+ NAND2X1 g39013(.A (\u8_mem[2]_51 ), .B (n_3441), .Y (n_2638));
+ INVX1 g42381(.A (n_9833), .Y (n_10994));
+ INVX1 g42387(.A (n_9833), .Y (n_10921));
+ NAND2X1 g39877(.A (\u3_mem[2]_52 ), .B (n_3330), .Y (n_2636));
+ MX2X1 g38705(.A (\u7_mem[0]_109 ), .B (wb_din_679), .S0 (n_3622), .Y
+ (n_2635));
+ NAND2X1 g38821(.A (\u4_mem[3]_126 ), .B (n_3546), .Y (n_2634));
+ AOI22X1 g37926(.A0 (u9_din_tmp_51), .A1 (n_2368), .B0 (in_slt_408),
+ .B1 (n_4624), .Y (n_2633));
+ INVX1 g35720(.A (n_2631), .Y (n_2632));
+ INVX1 g36773(.A (o9_status), .Y (n_4068));
+ XOR2X1 g36080(.A (\u26_ps_cnt[3] ), .B (n_1104), .Y (n_2630));
+ XOR2X1 g36085(.A (\u2_cnt[3] ), .B (n_1829), .Y (n_2629));
+ AOI22X1 g37738(.A0 (n_143), .A1 (n_2530), .B0 (n_2627), .B1 (n_2544),
+ .Y (n_2628));
+ INVX2 g37575(.A (n_5629), .Y (n_5630));
+ NAND2X1 g36303(.A (n_2624), .B (n_2621), .Y (n_2625));
+ OR2X1 g36310(.A (n_2621), .B (n_3942), .Y (n_2622));
+ OR2X1 g36343(.A (n_1290), .B (n_4633), .Y (n_2619));
+ OR2X1 g36354(.A (n_2617), .B (n_3944), .Y (n_2618));
+ NAND2X1 g36568(.A (n_2615), .B (\u2_to_cnt[5] ), .Y (n_2616));
+ NAND2X1 g37470(.A (\u13_ints_r[14] ), .B (n_3985), .Y (n_2614));
+ NAND2X1 g39908(.A (n_3339), .B (in_slt_426), .Y (n_5292));
+ NAND2X1 g37465(.A (\u13_ints_r[25] ), .B (n_4726), .Y (n_2613));
+ XOR2X1 g36747(.A (n_786), .B (n_1164), .Y (n_2612));
+ XOR2X1 g36748(.A (n_1188), .B (n_1160), .Y (n_2611));
+ INVX1 g36767(.A (o6_status), .Y (n_4070));
+ NAND2X1 g36777(.A (n_1258), .B (wb_addr_i[6]), .Y (n_2610));
+ NAND2X1 g36778(.A (n_1258), .B (n_2608), .Y (n_2609));
+ NAND2X1 g38813(.A (\u4_mem[3]_151 ), .B (n_3556), .Y (n_2607));
+ NOR2X1 g36929(.A (n_1025), .B (n_2513), .Y (n_2606));
+ NAND2X1 g39559(.A (n_12204), .B (\u6_mem[0]_97 ), .Y (n_11715));
+ NAND4X1 g37007(.A (\u2_cnt[2] ), .B (n_1138), .C (n_3995), .D
+ (n_2596), .Y (n_2604));
+ NOR2X1 g37052(.A (n_2602), .B (\u2_cnt[6] ), .Y (n_2603));
+ NOR2X1 g37139(.A (n_2599), .B (n_1263), .Y (n_2600));
+ AOI21X1 g37195(.A0 (n_2592), .A1 (n_2596), .B0 (n_702), .Y (n_2597));
+ XOR2X1 g37198(.A (n_798), .B (n_2594), .Y (n_2595));
+ NAND4X1 g37372(.A (n_2592), .B (n_1784), .C (n_701), .D (n_1773), .Y
+ (n_2593));
+ XOR2X1 g37413(.A (u2_bit_clk_r1), .B (u2_bit_clk_r), .Y (n_2589));
+ NAND2X1 g37445(.A (n_2575), .B (n_838), .Y (n_2588));
+ NAND3X1 g37446(.A (n_593), .B (n_7443), .C (n_2574), .Y (n_2587));
+ NAND2X1 g37452(.A (\u13_ints_r[24] ), .B (n_4726), .Y (n_2586));
+ NAND2X1 g37453(.A (\u13_ints_r[26] ), .B (n_4726), .Y (n_2585));
+ NAND2X1 g37454(.A (\u13_ints_r[27] ), .B (n_4726), .Y (n_2584));
+ NAND2X1 g37455(.A (\u13_ints_r[28] ), .B (n_4726), .Y (n_2583));
+ NAND2X1 g37459(.A (\u13_ints_r[4] ), .B (n_3985), .Y (n_2582));
+ NAND2X1 g37461(.A (\u13_ints_r[6] ), .B (n_3985), .Y (n_2580));
+ NAND2X1 g37462(.A (\u13_ints_r[7] ), .B (n_3985), .Y (n_2579));
+ NAND2X1 g37467(.A (\u13_ints_r[15] ), .B (n_3985), .Y (n_2578));
+ NAND2X1 g37474(.A (n_4726), .B (n_5225), .Y (n_2577));
+ NAND2X1 g37482(.A (n_2575), .B (n_2574), .Y (n_2576));
+ NAND2X1 g37578(.A (n_1520), .B (n_2571), .Y (n_2572));
+ NOR2X1 g37597(.A (n_1209), .B (n_2368), .Y (n_2570));
+ NOR2X1 g37599(.A (n_1224), .B (n_2513), .Y (n_2569));
+ AOI22X1 g37600(.A0 (n_639), .A1 (n_2468), .B0 (n_2567), .B1
+ (\u8_rp[3] ), .Y (n_2568));
+ AOI22X1 g37602(.A0 (n_709), .A1 (n_2465), .B0 (n_6821), .B1
+ (\u6_rp[3] ), .Y (n_2566));
+ AOI22X1 g37603(.A0 (n_664), .A1 (n_2463), .B0 (n_6316), .B1
+ (\u3_rp[3] ), .Y (n_2565));
+ NAND2X1 g39438(.A (\u3_mem[1]_70 ), .B (n_12753), .Y (n_11722));
+ AOI22X1 g37682(.A0 (n_6662), .A1 (n_2553), .B0 (n_6588), .B1
+ (n_1316), .Y (n_2563));
+ AOI22X1 g37713(.A0 (u9_din_tmp_52), .A1 (n_2368), .B0 (in_slt_409),
+ .B1 (n_4624), .Y (n_2561));
+ NAND2X2 g39428(.A (n_3259), .B (\u5_mem[0]_95 ), .Y (n_2560));
+ AOI22X1 g37716(.A0 (n_2558), .A1 (n_2557), .B0 (n_2556), .B1
+ (n_2534), .Y (n_2559));
+ AOI22X1 g37725(.A0 (n_6668), .A1 (n_2553), .B0 (n_6598), .B1
+ (n_1316), .Y (n_2555));
+ AOI22X1 g37727(.A0 (n_6666), .A1 (n_2553), .B0 (n_6595), .B1
+ (n_1316), .Y (n_2554));
+ AOI22X1 g37729(.A0 (n_3911), .A1 (in_slt_429), .B0 (n_2344), .B1
+ (in_slt_427), .Y (n_2552));
+ AOI22X1 g37731(.A0 (n_2558), .A1 (n_2550), .B0 (n_2549), .B1
+ (n_2534), .Y (n_2551));
+ AOI22X1 g37732(.A0 (n_147), .A1 (n_940), .B0 (n_5512), .B1 (n_1316),
+ .Y (n_2548));
+ NAND2X1 g39423(.A (n_4560), .B (in_slt_458), .Y (n_5296));
+ AOI22X1 g37747(.A0 (n_215), .A1 (n_2530), .B0 (n_2545), .B1 (n_2544),
+ .Y (n_2547));
+ AOI22X1 g37755(.A0 (n_196), .A1 (n_2530), .B0 (n_2542), .B1 (n_2534),
+ .Y (n_2543));
+ AOI22X1 g37756(.A0 (n_2558), .A1 (n_2539), .B0 (n_2538), .B1 (n_940),
+ .Y (n_2540));
+ AOI22X1 g37760(.A0 (n_42), .A1 (n_2553), .B0 (n_5510), .B1 (n_1316),
+ .Y (n_2537));
+ AOI22X1 g37762(.A0 (n_6526), .A1 (n_2530), .B0 (n_6652), .B1
+ (n_2534), .Y (n_2536));
+ AOI22X1 g37767(.A0 (n_2558), .A1 (n_6386), .B0 (n_6610), .B1
+ (n_2534), .Y (n_2535));
+ AOI22X1 g37775(.A0 (u10_din_tmp_49), .A1 (n_2302), .B0 (n_3911), .B1
+ (in_slt_428), .Y (n_2533));
+ AOI22X1 g37777(.A0 (n_6415), .A1 (n_2530), .B0 (n_6633), .B1
+ (n_2544), .Y (n_2532));
+ AOI22X1 g37787(.A0 (n_6542), .A1 (n_2530), .B0 (n_6630), .B1
+ (n_2534), .Y (n_2531));
+ AOI22X1 g37804(.A0 (n_6408), .A1 (n_2530), .B0 (n_6612), .B1
+ (n_2544), .Y (n_2529));
+ AOI22X1 g37812(.A0 (n_6406), .A1 (n_2530), .B0 (n_6607), .B1
+ (n_2544), .Y (n_2528));
+ AOI22X1 g37815(.A0 (n_2558), .A1 (n_6380), .B0 (n_6664), .B1
+ (n_2534), .Y (n_2527));
+ AOI22X1 g37819(.A0 (n_2558), .A1 (n_5945), .B0 (n_6021), .B1
+ (n_2534), .Y (n_2526));
+ AOI22X1 g37825(.A0 (n_2558), .A1 (n_5962), .B0 (n_6037), .B1 (n_940),
+ .Y (n_2525));
+ AOI22X1 g37829(.A0 (n_6018), .A1 (n_2553), .B0 (n_6000), .B1
+ (n_1316), .Y (n_2523));
+ AOI22X1 g37834(.A0 (n_2344), .A1 (in_slt_436), .B0 (n_2302), .B1
+ (in_slt_424), .Y (n_5418));
+ AOI22X1 g37843(.A0 (u10_din_tmp1), .A1 (n_2302), .B0 (n_3911), .B1
+ (in_slt_420), .Y (n_2521));
+ AOI22X1 g37891(.A0 (n_3911), .A1 (in_slt_436), .B0 (n_2344), .B1
+ (in_slt_434), .Y (n_2520));
+ AOI22X1 g37894(.A0 (n_3911), .A1 (in_slt_437), .B0 (n_2344), .B1
+ (in_slt_435), .Y (n_2519));
+ AOI22X1 g37895(.A0 (n_4097), .A1 (in_slt_458), .B0 (n_2325), .B1
+ (in_slt_456), .Y (n_2517));
+ AOI22X1 g37897(.A0 (n_4097), .A1 (in_slt_459), .B0 (n_2325), .B1
+ (in_slt_457), .Y (n_2516));
+ AOI22X1 g37898(.A0 (n_2325), .A1 (in_slt_458), .B0 (n_2513), .B1
+ (in_slt_446), .Y (n_5413));
+ AOI22X1 g37899(.A0 (n_2325), .A1 (in_slt_459), .B0 (n_2513), .B1
+ (in_slt_447), .Y (n_5410));
+ AOI22X1 g37900(.A0 (n_2344), .A1 (in_slt_437), .B0 (n_2302), .B1
+ (in_slt_425), .Y (n_5422));
+ AOI22X1 g37902(.A0 (u10_din_tmp_42), .A1 (n_2302), .B0 (n_3911), .B1
+ (in_slt_421), .Y (n_2511));
+ AOI22X1 g37905(.A0 (n_6476), .A1 (n_940), .B0 (n_6601), .B1 (n_1316),
+ .Y (n_2510));
+ NAND2X1 g39891(.A (\u8_mem[2]_34 ), .B (n_2362), .Y (n_2509));
+ AOI22X1 g37934(.A0 (n_2502), .A1 (n_2507), .B0 (n_2506), .B1
+ (n_1859), .Y (n_2508));
+ AOI22X1 g37939(.A0 (n_343), .A1 (n_1835), .B0 (n_5350), .B1 (n_1760),
+ .Y (n_2505));
+ AOI22X1 g37946(.A0 (n_2558), .A1 (n_5957), .B0 (n_5974), .B1
+ (n_1839), .Y (n_2504));
+ AOI22X1 g37965(.A0 (n_2502), .A1 (n_6394), .B0 (n_6537), .B1
+ (n_1859), .Y (n_2503));
+ AOI22X1 g37973(.A0 (n_2502), .A1 (n_2500), .B0 (n_2499), .B1
+ (n_1835), .Y (n_2501));
+ AOI22X1 g37988(.A0 (n_2502), .A1 (n_6845), .B0 (n_6937), .B1
+ (n_1859), .Y (n_2498));
+ NAND2X1 g39356(.A (\u5_mem[2]_52 ), .B (n_12823), .Y (n_2496));
+ NAND2X1 g39354(.A (\u5_mem[2]_39 ), .B (n_12823), .Y (n_12033));
+ NAND2X1 g39941(.A (n_2491), .B (\u7_mem[0]_99 ), .Y (n_2493));
+ NAND2X1 g39343(.A (\u5_mem[2]_36 ), .B (n_12823), .Y (n_12035));
+ NAND2X1 g39789(.A (n_2330), .B (\u7_mem[0]_104 ), .Y (n_2489));
+ INVX1 g40886(.A (n_3330), .Y (n_2488));
+ XOR2X1 g38218(.A (n_1446), .B (n_507), .Y (n_2487));
+ XOR2X1 g38231(.A (\u10_wp[3] ), .B (n_1067), .Y (n_2486));
+ NOR2X1 g40146(.A (n_2477), .B (n_2831), .Y (n_2484));
+ NOR2X1 g40269(.A (n_2470), .B (n_2804), .Y (n_2483));
+ DFFSRX1 \u15_crac_din_reg[6] (.RN (rst_i), .SN (1'b1), .CK (clk_i),
+ .D (n_1196), .Q (crac_din_697), .QN ());
+ NAND2X1 g39878(.A (\u6_mem[2]_34 ), .B (n_2285), .Y (n_2482));
+ NOR2X1 g40264(.A (n_2470), .B (n_2765), .Y (n_2481));
+ NAND2X1 g39876(.A (n_2491), .B (\u7_mem[0]_119 ), .Y (n_2479));
+ NAND2X1 g39871(.A (n_1205), .B (\u5_rp[3] ), .Y (n_4644));
+ NOR2X1 g40248(.A (n_2477), .B (n_2864), .Y (n_2478));
+ NOR2X1 g40253(.A (n_867), .B (n_2772), .Y (n_2476));
+ NOR2X1 g39276(.A (\u10_mem[1]_139 ), .B (n_2364), .Y (n_2475));
+ NAND2X1 g39856(.A (\u8_mem[2]_30 ), .B (n_2366), .Y (n_11501));
+ NAND2X1 g39835(.A (n_2491), .B (\u7_mem[0]_95 ), .Y (n_2473));
+ NAND2X1 g39259(.A (n_2325), .B (in_slt_442), .Y (n_2472));
+ NOR2X1 g40232(.A (n_2470), .B (n_2864), .Y (n_2471));
+ NAND2X1 g38789(.A (\u8_mem[3]_151 ), .B (n_2468), .Y (n_2469));
+ NAND2X1 g38790(.A (\u3_mem[3]_134 ), .B (n_2463), .Y (n_2467));
+ NAND2X1 g38791(.A (\u6_mem[3]_144 ), .B (n_2465), .Y (n_2466));
+ NAND2X1 g38792(.A (\u3_mem[3]_151 ), .B (n_2463), .Y (n_2464));
+ NAND2X1 g38793(.A (\u6_mem[3]_151 ), .B (n_2465), .Y (n_2462));
+ NAND2X1 g38794(.A (\u3_mem[3]_146 ), .B (n_2463), .Y (n_2461));
+ NAND2X1 g38795(.A (\u8_mem[3]_144 ), .B (n_2468), .Y (n_2460));
+ NAND2X1 g38797(.A (\u3_mem[3]_145 ), .B (n_2463), .Y (n_2459));
+ NAND2X1 g38800(.A (\u6_mem[3]_149 ), .B (n_2465), .Y (n_2458));
+ NAND2X1 g38804(.A (\u8_mem[3]_131 ), .B (n_2468), .Y (n_2457));
+ NAND2X1 g38805(.A (\u3_mem[3]_152 ), .B (n_2463), .Y (n_2456));
+ NAND2X1 g38807(.A (\u8_mem[3]_129 ), .B (n_2468), .Y (n_2455));
+ NAND2X1 g38826(.A (\u8_mem[3]_124 ), .B (n_2468), .Y (n_2453));
+ NAND2X1 g38827(.A (\u6_mem[3]_133 ), .B (n_12622), .Y (n_2452));
+ NAND2X1 g38828(.A (\u3_mem[3]_130 ), .B (n_2463), .Y (n_2450));
+ NAND2X1 g38829(.A (\u6_mem[3]_145 ), .B (n_2465), .Y (n_2448));
+ NAND2X1 g38831(.A (\u6_mem[3]_132 ), .B (n_2419), .Y (n_2447));
+ NAND2X1 g38832(.A (\u3_mem[3]_144 ), .B (n_2463), .Y (n_2446));
+ NAND2X1 g38839(.A (\u8_mem[3]_143 ), .B (n_2468), .Y (n_2445));
+ NAND2X1 g38844(.A (\u5_mem[3]_144 ), .B (n_3543), .Y (n_2444));
+ NAND2X1 g38849(.A (\u5_mem[3]_147 ), .B (n_3543), .Y (n_2443));
+ NAND2X1 g38851(.A (\u3_mem[3]_142 ), .B (n_2463), .Y (n_2441));
+ NAND2X1 g38852(.A (\u6_mem[3]_147 ), .B (n_2465), .Y (n_2440));
+ NAND2X1 g38859(.A (\u5_mem[3]_140 ), .B (n_3543), .Y (n_2439));
+ NAND2X1 g38862(.A (\u5_mem[3]_124 ), .B (n_3543), .Y (n_2438));
+ NAND2X1 g38864(.A (\u3_mem[3]_148 ), .B (n_2463), .Y (n_2437));
+ NAND2X1 g38871(.A (\u8_mem[3]_122 ), .B (n_2468), .Y (n_2435));
+ NAND2X1 g38878(.A (\u8_mem[3]_140 ), .B (n_2468), .Y (n_2433));
+ NAND2X1 g38879(.A (\u3_mem[3]_143 ), .B (n_2463), .Y (n_2432));
+ NAND2X1 g38882(.A (\u6_mem[3] ), .B (n_2419), .Y (n_2431));
+ NAND2X1 g38885(.A (\u6_mem[3]_143 ), .B (n_2465), .Y (n_2429));
+ NAND2X1 g38886(.A (\u6_mem[3]_129 ), .B (n_2419), .Y (n_2428));
+ NAND2X1 g38888(.A (\u6_mem[3]_128 ), .B (n_2419), .Y (n_2426));
+ NAND2X1 g38889(.A (\u6_mem[3]_146 ), .B (n_2465), .Y (n_2425));
+ NAND2X1 g38890(.A (\u6_mem[3]_134 ), .B (n_2419), .Y (n_2424));
+ NAND2X1 g38891(.A (\u6_mem[3]_136 ), .B (n_2419), .Y (n_2423));
+ NAND2X1 g38893(.A (\u6_mem[3]_150 ), .B (n_2465), .Y (n_2421));
+ NAND2X1 g38894(.A (\u6_mem[3]_138 ), .B (n_2419), .Y (n_2420));
+ NAND2X1 g38895(.A (\u6_mem[3]_152 ), .B (n_2465), .Y (n_2418));
+ NAND2X1 g38897(.A (\u6_mem[3]_140 ), .B (n_2419), .Y (n_2417));
+ NAND2X1 g38898(.A (\u6_mem[3]_122 ), .B (n_12622), .Y (n_2416));
+ NAND2X1 g38899(.A (\u6_mem[3]_123 ), .B (n_12622), .Y (n_2414));
+ NAND2X1 g38900(.A (\u6_mem[3]_125 ), .B (n_2419), .Y (n_2413));
+ OR2X1 g38901(.A (n_393), .B (n_2513), .Y (n_2412));
+ NAND2X1 g38902(.A (\u6_mem[3]_141 ), .B (n_2465), .Y (n_2411));
+ NAND2X1 g38903(.A (\u8_mem[3]_149 ), .B (n_2468), .Y (n_2410));
+ NAND2X1 g38904(.A (\u3_mem[3]_141 ), .B (n_2463), .Y (n_2409));
+ NAND2X1 g38905(.A (\u5_mem[3]_122 ), .B (n_3543), .Y (n_2408));
+ NAND2X1 g38906(.A (\u6_mem[3]_139 ), .B (n_2419), .Y (n_2407));
+ NAND2X1 g38910(.A (\u5_mem[3]_137 ), .B (n_3543), .Y (n_2406));
+ NAND2X1 g38911(.A (\u3_mem[3]_139 ), .B (n_2463), .Y (n_2405));
+ NAND2X1 g38916(.A (\u5_mem[3]_143 ), .B (n_3543), .Y (n_2404));
+ NAND2X1 g38917(.A (\u6_mem[3]_142 ), .B (n_2465), .Y (n_2403));
+ NAND2X1 g38919(.A (\u3_mem[3]_129 ), .B (n_2463), .Y (n_2402));
+ NAND2X1 g38921(.A (\u5_mem[3]_146 ), .B (n_3543), .Y (n_2401));
+ NAND2X1 g38935(.A (\u8_mem[3]_150 ), .B (n_2468), .Y (n_2400));
+ NAND2X1 g38944(.A (\u8_mem[3]_137 ), .B (n_2468), .Y (n_2399));
+ NAND2X1 g38946(.A (n_2377), .B (\u2_cnt[3] ), .Y (n_3964));
+ NAND2X1 g38948(.A (\u6_mem[3]_126 ), .B (n_12622), .Y (n_2398));
+ NAND2X1 g38959(.A (\u3_mem[3]_132 ), .B (n_2463), .Y (n_2397));
+ NAND2X1 g38960(.A (\u3_mem[3]_147 ), .B (n_2463), .Y (n_2396));
+ NAND2X1 g38963(.A (\u8_mem[3] ), .B (n_2468), .Y (n_2395));
+ NAND2X1 g38965(.A (\u8_mem[3]_128 ), .B (n_2468), .Y (n_2393));
+ NAND2X1 g38966(.A (\u3_mem[3]_140 ), .B (n_2463), .Y (n_2392));
+ NAND2X1 g38969(.A (\u8_mem[3]_133 ), .B (n_2468), .Y (n_2391));
+ NAND2X1 g38971(.A (\u8_mem[3]_134 ), .B (n_2468), .Y (n_2390));
+ NAND2X1 g38972(.A (\u8_mem[3]_148 ), .B (n_2468), .Y (n_2389));
+ NAND2X1 g38973(.A (\u6_mem[3]_148 ), .B (n_2465), .Y (n_2388));
+ NAND2X1 g38974(.A (\u8_mem[3]_136 ), .B (n_2468), .Y (n_2387));
+ NAND2X1 g38975(.A (\u8_mem[3]_138 ), .B (n_2468), .Y (n_2386));
+ NAND2X1 g38977(.A (\u8_mem[3]_152 ), .B (n_2468), .Y (n_2385));
+ NAND2X1 g38979(.A (\u8_mem[3]_123 ), .B (n_2468), .Y (n_2384));
+ NAND2X1 g38980(.A (\u8_mem[3]_146 ), .B (n_2468), .Y (n_2383));
+ NAND2X1 g38981(.A (\u8_mem[3]_125 ), .B (n_2468), .Y (n_2382));
+ NAND2X1 g38982(.A (\u8_mem[3]_126 ), .B (n_2468), .Y (n_2381));
+ NAND2X1 g38983(.A (\u8_mem[3]_141 ), .B (n_2468), .Y (n_2380));
+ NAND2X1 g38988(.A (\u3_mem[3]_149 ), .B (n_2463), .Y (n_2379));
+ NOR2X1 g38990(.A (n_1773), .B (n_2377), .Y (n_2378));
+ NAND2X1 g38991(.A (\u6_mem[3]_124 ), .B (n_12622), .Y (n_2376));
+ NAND2X1 g38993(.A (\u8_mem[3]_145 ), .B (n_2468), .Y (n_2375));
+ NAND2X1 g38995(.A (\u8_mem[3]_142 ), .B (n_2468), .Y (n_2374));
+ NAND2X1 g38996(.A (\u8_mem[3]_132 ), .B (n_2468), .Y (n_2373));
+ NOR2X1 g40157(.A (n_2099), .B (n_2765), .Y (n_2372));
+ OR2X1 g38998(.A (n_605), .B (n_2368), .Y (n_2371));
+ NAND2X1 g38999(.A (\u8_mem[3]_130 ), .B (n_2468), .Y (n_2370));
+ NAND2X1 g39016(.A (n_2468), .B (n_494), .Y (n_2369));
+ NAND2X1 g39037(.A (in_slt_404), .B (n_2368), .Y (n_4778));
+ NAND2X1 g39042(.A (\u8_mem[2]_55 ), .B (n_2366), .Y (n_2367));
+ NOR2X1 g39227(.A (\u10_mem[1]_135 ), .B (n_2364), .Y (n_2365));
+ NAND2X1 g39066(.A (\u8_mem[2]_35 ), .B (n_2362), .Y (n_2363));
+ NAND2X1 g39084(.A (\u5_mem[2]_42 ), .B (n_12823), .Y (n_12806));
+ NAND2X1 g39091(.A (n_2465), .B (n_496), .Y (n_2360));
+ NAND2X1 g39093(.A (n_2344), .B (in_slt_419), .Y (n_2359));
+ NAND2X1 g39107(.A (n_2344), .B (in_slt_429), .Y (n_2357));
+ NAND2X1 g39121(.A (\u4_mem[2]_36 ), .B (n_12079), .Y (n_2355));
+ NAND2X1 g39127(.A (in_slt_400), .B (n_2368), .Y (n_2354));
+ NAND2X1 g39130(.A (\u8_mem[2]_38 ), .B (n_2362), .Y (n_2353));
+ NAND2X1 g39131(.A (\u8_mem[2]_46 ), .B (n_2366), .Y (n_2352));
+ NOR2X1 g40206(.A (n_2748), .B (n_2067), .Y (n_2351));
+ NAND2X1 g39172(.A (\u4_mem[2]_45 ), .B (n_12079), .Y (n_2350));
+ NAND2X1 g39189(.A (\u4_mem[2]_47 ), .B (n_12079), .Y (n_2348));
+ NAND2X1 g39215(.A (in_slt_414), .B (n_2368), .Y (n_4764));
+ NOR2X1 g39201(.A (\u10_mem[1]_133 ), .B (n_2364), .Y (n_2346));
+ NAND2X1 g39224(.A (n_2344), .B (in_slt_433), .Y (n_2345));
+ NAND2X1 g39234(.A (\u5_mem[2]_33 ), .B (n_12823), .Y (n_12810));
+ NOR2X1 g39244(.A (\u10_mem[1]_136 ), .B (n_2364), .Y (n_2341));
+ NAND2X1 g39246(.A (\u5_mem[2]_30 ), .B (n_12823), .Y (n_11443));
+ NAND2X1 g39250(.A (\u8_mem[2]_36 ), .B (n_2362), .Y (n_2338));
+ NAND2X1 g39253(.A (\u5_mem[2]_37 ), .B (n_12823), .Y (n_2337));
+ NOR2X1 g39264(.A (\u10_mem[1]_119 ), .B (n_2364), .Y (n_2336));
+ NOR2X1 g39271(.A (\u10_mem[1]_138 ), .B (n_2364), .Y (n_2335));
+ NAND2X1 g39274(.A (n_2344), .B (in_slt_424), .Y (n_2334));
+ NAND2X1 g39275(.A (n_2491), .B (\u7_mem[0]_107 ), .Y (n_2333));
+ NOR2X1 g39283(.A (\u10_mem[1]_140 ), .B (n_2364), .Y (n_2332));
+ NAND2X1 g39791(.A (n_2330), .B (\u7_mem[0]_105 ), .Y (n_2331));
+ NAND2X1 g39286(.A (n_2325), .B (in_slt_443), .Y (n_2329));
+ NOR2X1 g39293(.A (\u10_mem[1]_141 ), .B (n_2364), .Y (n_2327));
+ NAND2X1 g39303(.A (n_2325), .B (in_slt_441), .Y (n_2326));
+ NAND2X1 g39332(.A (\u5_mem[2] ), .B (n_12823), .Y (n_11494));
+ NAND2X1 g39345(.A (\u5_mem[2]_38 ), .B (n_12823), .Y (n_12031));
+ NAND2X1 g39346(.A (\u5_mem[2]_35 ), .B (n_12823), .Y (n_2322));
+ NAND2X1 g39349(.A (n_2330), .B (\u7_mem[0]_91 ), .Y (n_2321));
+ NAND2X1 g39350(.A (\u5_mem[2]_51 ), .B (n_12823), .Y (n_2320));
+ NOR2X1 g40190(.A (n_2154), .B (n_2818), .Y (n_2319));
+ NAND2X1 g39361(.A (\u5_mem[2]_40 ), .B (n_12823), .Y (n_12854));
+ NAND2X1 g39363(.A (\u5_mem[2]_53 ), .B (n_12823), .Y (n_2317));
+ NAND2X1 g39370(.A (\u5_mem[2]_54 ), .B (n_12823), .Y (n_2316));
+ NAND2X1 g39383(.A (\u5_mem[2]_43 ), .B (n_12823), .Y (n_12041));
+ NAND2X1 g39386(.A (\u4_mem[2]_30 ), .B (n_12079), .Y (n_2314));
+ NAND2X1 g39392(.A (\u5_mem[2]_57 ), .B (n_12823), .Y (n_2312));
+ NAND2X1 g39395(.A (\u5_mem[2]_45 ), .B (n_12823), .Y (n_12858));
+ NAND2X1 g39409(.A (\u5_mem[2]_29 ), .B (n_12823), .Y (n_11441));
+ NAND2X1 g39416(.A (\u5_mem[2]_31 ), .B (n_12823), .Y (n_11439));
+ NAND2X1 g39773(.A (n_2302), .B (in_slt_434), .Y (n_4751));
+ NOR2X1 g39418(.A (\u10_mem[1]_137 ), .B (n_2364), .Y (n_2307));
+ NAND2X1 g39419(.A (\u5_mem[2]_32 ), .B (n_12823), .Y (n_12799));
+ NAND2X1 g39426(.A (n_2344), .B (in_slt_423), .Y (n_2305));
+ NAND2X1 g39196(.A (\u4_mem[2]_31 ), .B (n_12079), .Y (n_2304));
+ NAND2X1 g39431(.A (u10_din_tmp_50), .B (n_2302), .Y (n_2303));
+ NAND2X1 g39432(.A (n_1068), .B (\u7_rp[3] ), .Y (n_4080));
+ NAND2X1 g39771(.A (\u8_mem[2]_53 ), .B (n_2366), .Y (n_2301));
+ OR2X1 g39198(.A (n_7120), .B (n_2567), .Y (n_2300));
+ NAND2X1 g39440(.A (\u8_mem[2]_39 ), .B (n_2362), .Y (n_2299));
+ OR2X1 g39441(.A (n_12604), .B (n_3559), .Y (n_2298));
+ NAND2X1 g39463(.A (\u5_mem[2]_49 ), .B (n_12823), .Y (n_2297));
+ NAND2X1 g39764(.A (n_2325), .B (in_slt_445), .Y (n_2296));
+ NAND2X1 g39488(.A (\u8_mem[2]_33 ), .B (n_2362), .Y (n_2295));
+ NAND2X1 g39498(.A (n_2330), .B (\u7_mem[0]_102 ), .Y (n_2294));
+ NAND2X1 g39504(.A (in_slt_411), .B (n_2368), .Y (n_4743));
+ NOR2X1 g40178(.A (n_2681), .B (n_1985), .Y (n_2292));
+ NAND2X1 g39528(.A (n_2330), .B (\u7_mem[0]_101 ), .Y (n_2291));
+ NAND2X1 g39533(.A (n_2344), .B (in_slt_420), .Y (n_2290));
+ NAND2X1 g39541(.A (n_2491), .B (\u7_mem[0]_93 ), .Y (n_2289));
+ NAND2X1 g39553(.A (n_2325), .B (in_slt_454), .Y (n_2288));
+ NAND2X1 g39554(.A (\u8_mem[2]_47 ), .B (n_2366), .Y (n_2287));
+ NAND2X1 g39556(.A (\u6_mem[2]_36 ), .B (n_2285), .Y (n_2286));
+ NAND2X1 g39565(.A (in_slt_409), .B (n_2368), .Y (n_4755));
+ INVX4 g39571(.A (n_2284), .Y (n_5591));
+ NAND2X1 g39734(.A (in_slt_415), .B (n_2368), .Y (n_4767));
+ NAND2X1 g39575(.A (\u6_mem[2]_39 ), .B (n_2285), .Y (n_2282));
+ NAND2X1 g39576(.A (n_2330), .B (\u7_mem[0]_100 ), .Y (n_2281));
+ NAND2X1 g39584(.A (n_2344), .B (in_slt_428), .Y (n_2280));
+ NAND2X1 g39585(.A (n_2325), .B (in_slt_451), .Y (n_2279));
+ NAND2X1 g39592(.A (\u6_mem[2]_41 ), .B (n_2285), .Y (n_2278));
+ NAND2X1 g39599(.A (\u4_mem[2]_29 ), .B (n_12087), .Y (n_2277));
+ NAND2X1 g39608(.A (n_2302), .B (in_slt_433), .Y (n_4759));
+ NAND2X1 g39610(.A (in_slt_406), .B (n_2368), .Y (n_4776));
+ NAND2X1 g39614(.A (\u6_mem[2]_32 ), .B (n_2285), .Y (n_2276));
+ NAND2X1 g39623(.A (\u5_mem[2]_44 ), .B (n_12823), .Y (n_11967));
+ NAND2X1 g39625(.A (\u6_mem[2]_46 ), .B (n_2285), .Y (n_2274));
+ DFFSRX1 \u15_crac_din_reg[9] (.RN (rst_i), .SN (1'b1), .CK (clk_i),
+ .D (n_1028), .Q (crac_din_700), .QN ());
+ NAND2X1 g39634(.A (n_2325), .B (in_slt6), .Y (n_2273));
+ NAND2X1 g39636(.A (\u6_mem[2]_33 ), .B (n_2285), .Y (n_2272));
+ OR2X1 g39651(.A (n_7256), .B (n_6821), .Y (n_2271));
+ NAND2X1 g39657(.A (n_2344), .B (in_slt_432), .Y (n_2270));
+ NAND2X1 g39660(.A (n_2325), .B (in_slt_450), .Y (n_2269));
+ NAND2X1 g39663(.A (n_2302), .B (in_slt_422), .Y (n_2268));
+ NAND2X1 g39681(.A (\u6_mem[2]_43 ), .B (n_2285), .Y (n_2264));
+ NOR2X1 g40166(.A (n_2085), .B (n_3008), .Y (n_2263));
+ NAND2X1 g39683(.A (n_2302), .B (in_slt_423), .Y (n_2261));
+ NAND2X1 g39181(.A (\u4_mem[2]_46 ), .B (n_12079), .Y (n_2260));
+ INVX1 g42735(.A (n_991), .Y (n_10945));
+ NAND2X1 g39706(.A (n_2302), .B (in_slt_432), .Y (n_4761));
+ NAND2X1 g39708(.A (in_slt_401), .B (n_2368), .Y (n_2259));
+ NAND2X1 g39714(.A (\u5_mem[2]_56 ), .B (n_12823), .Y (n_2258));
+ NOR2X1 g39726(.A (\u10_mem[1]_132 ), .B (n_2364), .Y (n_2257));
+ NAND2X1 g39727(.A (\u6_mem[2]_30 ), .B (n_2285), .Y (n_11515));
+ NAND2X1 g39729(.A (n_2302), .B (in_slt_427), .Y (n_4745));
+ NAND2X1 g39736(.A (u9_din_tmp_50), .B (n_2368), .Y (n_2255));
+ NAND2X1 g39737(.A (\u5_mem[2]_34 ), .B (n_12823), .Y (n_12808));
+ NAND2X1 g39755(.A (\u8_mem[2]_45 ), .B (n_2362), .Y (n_2253));
+ NAND2X1 g39758(.A (n_2325), .B (in_slt_446), .Y (n_2252));
+ NAND2X1 g39759(.A (n_2325), .B (in_slt_444), .Y (n_2251));
+ NAND2X1 g39724(.A (\u5_mem[2]_50 ), .B (n_12823), .Y (n_2250));
+ NAND2X1 g39775(.A (n_2325), .B (in_slt_447), .Y (n_2249));
+ NAND2X1 g39780(.A (n_2325), .B (in_slt_448), .Y (n_2248));
+ NAND2X1 g39786(.A (n_2491), .B (\u7_mem[0]_108 ), .Y (n_2247));
+ NAND2X1 g39795(.A (\u4_mem[2]_44 ), .B (n_12087), .Y (n_2246));
+ NAND2X1 g39804(.A (\u8_mem[2] ), .B (n_2362), .Y (n_2245));
+ NAND2X1 g39814(.A (\u8_mem[2]_50 ), .B (n_2366), .Y (n_2244));
+ NAND2X1 g39828(.A (\u5_mem[2]_47 ), .B (n_12823), .Y (n_2243));
+ NAND2X1 g39831(.A (\u5_mem[2]_41 ), .B (n_12823), .Y (n_12856));
+ NAND2X1 g39839(.A (n_2344), .B (in_slt_430), .Y (n_2241));
+ NAND2X1 g39844(.A (n_2344), .B (in_slt_422), .Y (n_2240));
+ NAND2X1 g39847(.A (n_2344), .B (in_slt_426), .Y (n_2239));
+ NAND2X1 g39848(.A (n_2325), .B (in_slt_455), .Y (n_2238));
+ NAND2X1 g39854(.A (\u8_mem[2]_43 ), .B (n_2362), .Y (n_2237));
+ NAND2X1 g39858(.A (in_slt_408), .B (n_2368), .Y (n_4753));
+ NAND2X1 g39870(.A (n_2344), .B (in_slt4), .Y (n_2236));
+ NAND2X1 g39896(.A (in_slt_405), .B (n_2368), .Y (n_4747));
+ NAND2X1 g39925(.A (\u5_mem[2]_55 ), .B (n_12823), .Y (n_2235));
+ NOR2X1 g40126(.A (n_2189), .B (n_2720), .Y (n_2234));
+ NAND2X1 g39933(.A (\u4_mem[2]_35 ), .B (n_12087), .Y (n_2233));
+ OR2X1 g39939(.A (n_7214), .B (n_6316), .Y (n_2232));
+ NAND2X1 g39948(.A (\u5_mem[2]_58 ), .B (n_12823), .Y (n_2231));
+ NAND2X1 g39950(.A (\u8_mem[2]_40 ), .B (n_2366), .Y (n_11454));
+ NAND2X1 g39952(.A (\u6_mem[2] ), .B (n_2285), .Y (n_2229));
+ NAND2X1 g39961(.A (n_2325), .B (in_slt_453), .Y (n_2227));
+ NOR2X1 g40142(.A (n_867), .B (n_2720), .Y (n_2226));
+ NOR2X1 g39976(.A (n_867), .B (n_2748), .Y (n_2225));
+ NOR2X1 g39977(.A (n_945), .B (n_2732), .Y (n_2224));
+ NOR2X1 g39979(.A (n_2218), .B (n_2767), .Y (n_2223));
+ NOR2X1 g39984(.A (n_2216), .B (n_2864), .Y (n_2222));
+ NOR2X1 g39985(.A (n_867), .B (n_2829), .Y (n_2221));
+ NOR2X1 g39986(.A (n_2218), .B (n_2790), .Y (n_2219));
+ NOR2X1 g39988(.A (n_2216), .B (n_3008), .Y (n_2217));
+ NOR2X1 g39989(.A (n_2786), .B (n_2067), .Y (n_2215));
+ NOR2X1 g39991(.A (n_2763), .B (n_2067), .Y (n_2214));
+ NOR2X1 g39992(.A (n_2218), .B (n_2744), .Y (n_2212));
+ NOR2X1 g39994(.A (n_2135), .B (n_2732), .Y (n_2211));
+ NOR2X1 g39995(.A (n_2171), .B (n_2801), .Y (n_2210));
+ NOR2X1 g39996(.A (n_2477), .B (n_2686), .Y (n_2209));
+ NOR2X1 g40001(.A (n_821), .B (n_2732), .Y (n_2208));
+ NOR2X1 g40002(.A (n_2470), .B (n_2716), .Y (n_2207));
+ NOR2X1 g40003(.A (n_2169), .B (n_2804), .Y (n_2206));
+ NOR2X1 g40005(.A (n_2477), .B (n_2782), .Y (n_2205));
+ NOR2X1 g40006(.A (n_2216), .B (n_2794), .Y (n_2204));
+ NOR2X1 g40007(.A (n_2025), .B (n_2782), .Y (n_2203));
+ NOR2X1 g40011(.A (n_2735), .B (n_2067), .Y (n_2202));
+ NOR2X1 g40012(.A (n_2818), .B (n_1985), .Y (n_2201));
+ NAND2X1 g39798(.A (n_2491), .B (\u7_mem[0]_106 ), .Y (n_2200));
+ NOR2X1 g40013(.A (n_2216), .B (n_2792), .Y (n_2199));
+ NOR2X1 g40016(.A (n_867), .B (n_2741), .Y (n_2198));
+ NAND2X1 g39689(.A (n_2513), .B (in_slt_445), .Y (n_2197));
+ NOR2X1 g40021(.A (n_2686), .B (n_1985), .Y (n_2196));
+ NOR2X1 g40022(.A (n_945), .B (n_2767), .Y (n_2195));
+ NOR2X1 g40023(.A (n_2765), .B (n_2067), .Y (n_2193));
+ NOR2X1 g40024(.A (n_2831), .B (n_2182), .Y (n_2192));
+ NOR2X1 g40025(.A (n_945), .B (n_2729), .Y (n_2191));
+ NOR2X1 g40026(.A (n_2189), .B (n_2786), .Y (n_2190));
+ NOR2X1 g40028(.A (n_935), .B (n_2741), .Y (n_2188));
+ NOR2X1 g40031(.A (n_2144), .B (n_2792), .Y (n_2186));
+ NOR2X1 g40032(.A (n_2043), .B (n_2741), .Y (n_2185));
+ NOR2X1 g40034(.A (n_2470), .B (n_2707), .Y (n_2184));
+ NOR2X1 g40038(.A (n_2772), .B (n_2182), .Y (n_2183));
+ NOR2X1 g40039(.A (n_2059), .B (n_2772), .Y (n_2181));
+ NOR2X1 g40041(.A (n_2470), .B (n_2681), .Y (n_2180));
+ NOR2X1 g40042(.A (n_2093), .B (n_2686), .Y (n_2178));
+ NOR2X1 g40047(.A (n_2054), .B (n_2782), .Y (n_2177));
+ NOR2X1 g40134(.A (n_2732), .B (n_2137), .Y (n_2176));
+ NAND2X1 g40049(.A (n_5048), .B (n_4378), .Y (n_2175));
+ NOR2X1 g40050(.A (n_2765), .B (n_2182), .Y (n_2174));
+ NOR2X1 g40052(.A (n_941), .B (n_2716), .Y (n_2173));
+ NOR2X1 g40133(.A (n_2171), .B (n_2864), .Y (n_2172));
+ NOR2X1 g40054(.A (n_2169), .B (n_2681), .Y (n_2170));
+ NOR2X1 g40055(.A (n_2038), .B (n_2786), .Y (n_2168));
+ NOR2X1 g40059(.A (n_867), .B (n_2786), .Y (n_2167));
+ NOR2X1 g40062(.A (n_2794), .B (n_1985), .Y (n_2165));
+ NOR2X1 g40065(.A (n_2729), .B (n_1985), .Y (n_2164));
+ NOR2X1 g40066(.A (n_2081), .B (n_2702), .Y (n_2162));
+ NOR2X1 g40068(.A (n_2470), .B (n_2818), .Y (n_2161));
+ NOR2X1 g40070(.A (n_2712), .B (n_1985), .Y (n_2160));
+ NOR2X1 g40072(.A (n_2864), .B (n_1985), .Y (n_2159));
+ NAND2X1 g40074(.A (n_5157), .B (n_4533), .Y (n_2157));
+ NOR2X1 g40076(.A (n_2831), .B (n_2057), .Y (n_2156));
+ NOR2X1 g40079(.A (n_2154), .B (n_2684), .Y (n_2155));
+ NOR2X1 g40080(.A (n_2786), .B (n_1985), .Y (n_2153));
+ NOR2X1 g40082(.A (n_2133), .B (n_2804), .Y (n_2152));
+ NOR2X1 g40083(.A (n_821), .B (n_2720), .Y (n_2151));
+ NOR2X1 g40084(.A (n_2804), .B (n_1985), .Y (n_2149));
+ NOR2X1 g40085(.A (n_945), .B (n_2792), .Y (n_2147));
+ NOR2X1 g40086(.A (n_821), .B (n_2767), .Y (n_2146));
+ NOR2X1 g40087(.A (n_2144), .B (n_2748), .Y (n_2145));
+ NOR2X1 g40088(.A (n_2716), .B (n_1985), .Y (n_2143));
+ NOR2X1 g40089(.A (n_2470), .B (n_2691), .Y (n_2142));
+ NOR2X1 g40091(.A (n_945), .B (n_2765), .Y (n_2141));
+ NOR2X1 g40094(.A (n_2470), .B (n_2782), .Y (n_2140));
+ NOR2X1 g40097(.A (n_3008), .B (n_2137), .Y (n_2138));
+ NOR2X1 g40098(.A (n_2135), .B (n_2829), .Y (n_2136));
+ NOR2X1 g40100(.A (n_2133), .B (n_2794), .Y (n_2134));
+ NOR2X1 g40102(.A (n_2041), .B (n_2744), .Y (n_2132));
+ NOR2X1 g40103(.A (n_2096), .B (n_2804), .Y (n_2131));
+ NOR2X1 g40104(.A (n_2083), .B (n_2782), .Y (n_2130));
+ NOR2X1 g40106(.A (n_2169), .B (n_2716), .Y (n_2129));
+ NAND2X1 g39220(.A (\u8_mem[2]_42 ), .B (n_2362), .Y (n_2128));
+ NOR2X1 g40108(.A (n_2120), .B (n_2829), .Y (n_2127));
+ NOR2X1 g40109(.A (n_867), .B (n_3008), .Y (n_2126));
+ NOR2X1 g40110(.A (n_2691), .B (n_2067), .Y (n_2124));
+ NOR2X1 g40111(.A (n_2470), .B (n_2748), .Y (n_2123));
+ NOR2X1 g40113(.A (n_2818), .B (n_2118), .Y (n_2122));
+ NOR2X1 g40114(.A (n_2120), .B (n_2790), .Y (n_2121));
+ NOR2X1 g40115(.A (n_2681), .B (n_2118), .Y (n_2119));
+ NOR2X1 g40117(.A (n_2103), .B (n_2735), .Y (n_2117));
+ NOR2X1 g40118(.A (n_2470), .B (n_2801), .Y (n_2116));
+ NOR2X1 g40119(.A (n_2470), .B (n_2790), .Y (n_2115));
+ NOR2X1 g40125(.A (n_2006), .B (n_2707), .Y (n_2114));
+ NOR2X1 g40120(.A (n_2470), .B (n_2831), .Y (n_2113));
+ NOR2X1 g40121(.A (n_2477), .B (n_2755), .Y (n_2111));
+ NOR2X1 g40122(.A (n_2477), .B (n_2786), .Y (n_2110));
+ NOR2X1 g40124(.A (n_2470), .B (n_2794), .Y (n_2109));
+ NOR2X1 g40127(.A (n_2071), .B (n_2748), .Y (n_2108));
+ NOR2X1 g40128(.A (n_2470), .B (n_2686), .Y (n_2107));
+ NOR2X1 g40129(.A (n_2477), .B (n_2801), .Y (n_2106));
+ NOR2X1 g40130(.A (n_945), .B (n_2801), .Y (n_2105));
+ NOR2X1 g40131(.A (n_2103), .B (n_2790), .Y (n_2104));
+ NOR2X1 g40132(.A (n_2477), .B (n_2720), .Y (n_2102));
+ NOR2X1 g40135(.A (n_2099), .B (n_2684), .Y (n_2100));
+ NOR2X1 g40136(.A (n_2790), .B (n_933), .Y (n_2098));
+ NOR2X1 g40138(.A (n_2096), .B (n_2681), .Y (n_2097));
+ NOR2X1 g40140(.A (n_935), .B (n_2712), .Y (n_2095));
+ NOR2X1 g40141(.A (n_2093), .B (n_2794), .Y (n_2094));
+ NOR2X1 g40143(.A (n_2801), .B (n_2137), .Y (n_2092));
+ NOR2X1 g40147(.A (n_2801), .B (n_2067), .Y (n_2091));
+ NOR2X1 g40150(.A (n_941), .B (n_2772), .Y (n_2089));
+ NOR2X1 g40153(.A (n_938), .B (n_2691), .Y (n_2087));
+ NOR2X1 g40154(.A (n_2085), .B (n_2864), .Y (n_2086));
+ NOR2X1 g40155(.A (n_2083), .B (n_2831), .Y (n_2084));
+ NOR2X1 g40156(.A (n_2081), .B (n_2763), .Y (n_2082));
+ NOR2X1 g40159(.A (n_2135), .B (n_2691), .Y (n_2080));
+ NOR2X1 g40160(.A (n_945), .B (n_2741), .Y (n_2079));
+ NOR2X1 g40161(.A (n_935), .B (n_2744), .Y (n_2077));
+ NOR2X1 g40162(.A (n_2120), .B (n_2716), .Y (n_2075));
+ NOR2X1 g40163(.A (n_2470), .B (n_2763), .Y (n_2074));
+ NOR2X1 g40164(.A (n_2729), .B (n_2008), .Y (n_2073));
+ NOR2X1 g40165(.A (n_2071), .B (n_2735), .Y (n_2072));
+ NOR2X1 g40167(.A (n_2470), .B (n_2684), .Y (n_2070));
+ NOR2X1 g40168(.A (n_2686), .B (n_2045), .Y (n_2069));
+ NOR2X1 g40169(.A (n_2772), .B (n_2067), .Y (n_2068));
+ NOR2X1 g40170(.A (n_2477), .B (n_2794), .Y (n_2066));
+ NOR2X1 g40171(.A (n_2470), .B (n_2786), .Y (n_2065));
+ NOR2X1 g40172(.A (n_2020), .B (n_2716), .Y (n_2064));
+ NOR2X1 g40173(.A (n_2470), .B (n_2729), .Y (n_2063));
+ NOR2X1 g40174(.A (n_2684), .B (n_1985), .Y (n_2062));
+ NOR2X1 g40175(.A (n_2470), .B (n_2792), .Y (n_2061));
+ NOR2X1 g40179(.A (n_2059), .B (n_2755), .Y (n_2060));
+ NOR2X1 g40180(.A (n_2804), .B (n_2057), .Y (n_2058));
+ NOR2X1 g40184(.A (n_2720), .B (n_1985), .Y (n_2056));
+ NOR2X1 g40186(.A (n_2054), .B (n_2732), .Y (n_2055));
+ NOR2X1 g40187(.A (n_2684), .B (n_2118), .Y (n_2053));
+ NAND2X1 g40192(.A (n_5118), .B (n_4540), .Y (n_2052));
+ NAND2X1 g39144(.A (in_slt_410), .B (n_2368), .Y (n_4749));
+ NOR2X1 g40194(.A (n_2720), .B (n_933), .Y (n_2051));
+ NOR2X1 g40195(.A (n_2133), .B (n_2790), .Y (n_2049));
+ NOR2X1 g40197(.A (n_2477), .B (n_2702), .Y (n_2048));
+ NOR2X1 g40198(.A (n_2470), .B (n_2744), .Y (n_2047));
+ NOR2X1 g40203(.A (n_2712), .B (n_2045), .Y (n_2046));
+ NOR2X1 g40204(.A (n_2043), .B (n_2801), .Y (n_2044));
+ NOR2X1 g40207(.A (n_2041), .B (n_2735), .Y (n_2042));
+ NOR2X1 g40209(.A (n_2171), .B (n_2792), .Y (n_2040));
+ NOR2X1 g40210(.A (n_2038), .B (n_2767), .Y (n_2039));
+ NOR2X1 g40211(.A (n_2081), .B (n_2765), .Y (n_2037));
+ NOR2X1 g40212(.A (n_2792), .B (n_933), .Y (n_2036));
+ NOR2X1 g40215(.A (n_2103), .B (n_2744), .Y (n_2035));
+ NOR2X1 g40216(.A (n_938), .B (n_2831), .Y (n_2034));
+ NOR2X1 g40217(.A (n_945), .B (n_2772), .Y (n_2032));
+ NOR2X1 g40218(.A (n_2081), .B (n_2735), .Y (n_2030));
+ NOR2X1 g40221(.A (n_2071), .B (n_2744), .Y (n_2029));
+ NOR2X1 g40222(.A (n_2829), .B (n_933), .Y (n_2028));
+ NOR2X1 g40223(.A (n_2071), .B (n_2686), .Y (n_2027));
+ NOR2X1 g40225(.A (n_2025), .B (n_2748), .Y (n_2026));
+ NOR2X1 g40227(.A (n_2477), .B (n_3008), .Y (n_2024));
+ NOR2X1 g40228(.A (n_2154), .B (n_2801), .Y (n_2023));
+ NOR2X1 g40229(.A (n_2782), .B (n_2067), .Y (n_2022));
+ NOR2X1 g40230(.A (n_2020), .B (n_2804), .Y (n_2021));
+ NOR2X1 g40235(.A (n_938), .B (n_2686), .Y (n_2019));
+ NOR2X1 g40239(.A (n_2707), .B (n_1985), .Y (n_2018));
+ NOR2X1 g40240(.A (n_935), .B (n_2829), .Y (n_2016));
+ NOR2X1 g40241(.A (n_867), .B (n_2712), .Y (n_2015));
+ NOR2X1 g40242(.A (n_945), .B (n_2755), .Y (n_2014));
+ NOR2X1 g40244(.A (n_2041), .B (n_2765), .Y (n_2013));
+ NOR2X1 g40250(.A (n_2099), .B (n_2767), .Y (n_2012));
+ NOR2X1 g40251(.A (n_2041), .B (n_2702), .Y (n_2011));
+ NOR2X1 g40252(.A (n_2096), .B (n_2691), .Y (n_2010));
+ NOR2X1 g40254(.A (n_2702), .B (n_2008), .Y (n_2009));
+ NOR2X1 g40256(.A (n_2006), .B (n_2716), .Y (n_2007));
+ NOR2X1 g40257(.A (n_2864), .B (n_2057), .Y (n_2005));
+ NOR2X1 g40258(.A (n_2735), .B (n_2137), .Y (n_2004));
+ NOR2X1 g40259(.A (n_945), .B (n_2684), .Y (n_2003));
+ NOR2X1 g40260(.A (n_2782), .B (n_1985), .Y (n_2002));
+ NOR2X1 g40262(.A (n_938), .B (n_2707), .Y (n_2001));
+ NOR2X1 g40266(.A (n_2020), .B (n_2720), .Y (n_2000));
+ NOR2X1 g40267(.A (n_2470), .B (n_3008), .Y (n_1999));
+ NOR2X1 g40268(.A (n_935), .B (n_2790), .Y (n_1998));
+ NOR2X1 g40270(.A (n_2038), .B (n_2765), .Y (n_1997));
+ NOR2X1 g40273(.A (n_2093), .B (n_2707), .Y (n_1996));
+ NOR2X1 g40274(.A (n_2038), .B (n_2681), .Y (n_1995));
+ NOR2X1 g40275(.A (n_2103), .B (n_2829), .Y (n_1994));
+ NOR2X1 g40276(.A (n_2755), .B (n_1985), .Y (n_1993));
+ NOR2X1 g40277(.A (n_2477), .B (n_2729), .Y (n_1992));
+ NOR2X1 g40279(.A (n_935), .B (n_2792), .Y (n_1991));
+ NOR2X1 g40280(.A (n_2154), .B (n_2864), .Y (n_1990));
+ NOR2X1 g40285(.A (n_2767), .B (n_1985), .Y (n_1989));
+ NOR2X1 g40286(.A (n_2755), .B (n_2118), .Y (n_1988));
+ NOR2X1 g40288(.A (n_3008), .B (n_2067), .Y (n_1987));
+ NOR2X1 g40289(.A (n_2702), .B (n_1985), .Y (n_1986));
+ NOR2X1 g40290(.A (n_941), .B (n_2741), .Y (n_1984));
+ NOR2X1 g40293(.A (n_2120), .B (n_2720), .Y (n_1983));
+ NOR2X1 g40295(.A (n_2748), .B (n_1985), .Y (n_1982));
+ NOR2X1 g40296(.A (n_2707), .B (n_2067), .Y (n_1981));
+ NOR2X1 g40297(.A (n_2054), .B (n_2686), .Y (n_1980));
+ NOR2X1 g40298(.A (n_2691), .B (n_1985), .Y (n_1979));
+ NOR2X1 g40299(.A (n_2025), .B (n_2702), .Y (n_1977));
+ NOR2X1 g40301(.A (n_2099), .B (n_2735), .Y (n_1976));
+ NOR2X1 g40302(.A (n_935), .B (n_2732), .Y (n_1975));
+ NOR2X1 g40305(.A (n_2093), .B (n_2782), .Y (n_1974));
+ NOR2X1 g40306(.A (n_2477), .B (n_2772), .Y (n_1973));
+ NAND2X1 g40307(.A (n_5112), .B (n_4544), .Y (n_1972));
+ NOR2X1 g40308(.A (n_945), .B (n_2702), .Y (n_1971));
+ NOR2X1 g40309(.A (n_2477), .B (n_2763), .Y (n_1970));
+ NOR2X1 g40310(.A (n_2477), .B (n_2818), .Y (n_1969));
+ NOR2X1 g40312(.A (n_2144), .B (n_2755), .Y (n_1968));
+ NOR2X1 g40314(.A (n_2020), .B (n_2684), .Y (n_1967));
+ NOR2X1 g40315(.A (n_2829), .B (n_1985), .Y (n_1966));
+ NOR2X1 g40316(.A (n_2716), .B (n_2067), .Y (n_1965));
+ NOR2X1 g40317(.A (n_2059), .B (n_2732), .Y (n_1964));
+ NOR2X1 g40318(.A (n_2083), .B (n_2707), .Y (n_1963));
+ NOR2X1 g40322(.A (n_2470), .B (n_2702), .Y (n_1962));
+ NOR2X1 g40323(.A (n_2470), .B (n_2755), .Y (n_1961));
+ NOR2X1 g40328(.A (n_2741), .B (n_2045), .Y (n_1960));
+ NOR2X1 g40332(.A (n_2189), .B (n_2831), .Y (n_1959));
+ NOR2X1 g40335(.A (n_821), .B (n_2772), .Y (n_1958));
+ NOR2X1 g40336(.A (n_2470), .B (n_2741), .Y (n_1957));
+ NOR2X1 g40337(.A (n_2732), .B (n_2008), .Y (n_1956));
+ NOR2X1 g40338(.A (n_2083), .B (n_2763), .Y (n_1955));
+ NOR2X1 g40339(.A (n_2133), .B (n_2729), .Y (n_1954));
+ NOR2X1 g40340(.A (n_2144), .B (n_3008), .Y (n_1953));
+ NOR2X1 g40341(.A (n_2189), .B (n_2681), .Y (n_1952));
+ NOR2X1 g40342(.A (n_867), .B (n_2767), .Y (n_1951));
+ NOR2X1 g40343(.A (n_2085), .B (n_2794), .Y (n_1950));
+ NOR2X1 g40345(.A (n_867), .B (n_2763), .Y (n_1949));
+ NOR2X1 g40346(.A (n_2043), .B (n_2763), .Y (n_1948));
+ NOR2X1 g40347(.A (n_2169), .B (n_2691), .Y (n_1947));
+ NOR2X1 g40348(.A (n_2767), .B (n_2057), .Y (n_1946));
+ NOR2X1 g40349(.A (n_2059), .B (n_2729), .Y (n_1945));
+ NOR2X1 g40352(.A (n_2043), .B (n_2818), .Y (n_1944));
+ NOR2X1 g40354(.A (n_2470), .B (n_2735), .Y (n_1943));
+ NOR2X1 g40357(.A (n_2025), .B (n_2712), .Y (n_1942));
+ NOR2X1 g40362(.A (n_2096), .B (n_2712), .Y (n_1941));
+ NOR2X1 g40364(.A (n_2470), .B (n_2712), .Y (n_1940));
+ NOR2X1 g40365(.A (n_867), .B (n_2831), .Y (n_1939));
+ NOR2X1 g40367(.A (n_945), .B (n_2818), .Y (n_1938));
+ NOR2X1 g40368(.A (n_2135), .B (n_2804), .Y (n_1937));
+ NOR2X1 g40369(.A (n_2006), .B (n_2684), .Y (n_1936));
+ NOR2X1 g40370(.A (n_2006), .B (n_2691), .Y (n_1935));
+ NOR2X1 g40371(.A (n_2085), .B (n_2786), .Y (n_1934));
+ NOR2X1 g40372(.A (n_2054), .B (n_2755), .Y (n_1933));
+ NOR2X1 g40373(.A (n_2171), .B (n_2818), .Y (n_1932));
+ NOR2X1 g40374(.A (n_2792), .B (n_1985), .Y (n_1931));
+ NOR2X1 g40375(.A (n_2794), .B (n_2045), .Y (n_1930));
+ XOR2X1 g40385(.A (\u8_wp[1] ), .B (n_12280), .Y (n_1929));
+ AOI21X1 g40389(.A0 (\u9_rp[0] ), .A1 (n_4074), .B0 (n_1054), .Y
+ (n_4851));
+ AOI21X1 g40390(.A0 (n_1206), .A1 (n_5420), .B0 (n_1207), .Y (n_4104));
+ AOI21X1 g40392(.A0 (n_688), .A1 (n_866), .B0 (n_1824), .Y (n_1928));
+ XOR2X1 g40395(.A (n_1421), .B (n_1921), .Y (n_5616));
+ XOR2X1 g40397(.A (n_1419), .B (n_1923), .Y (n_6049));
+ NAND2X1 g39135(.A (\u8_mem[2]_32 ), .B (n_2362), .Y (n_1927));
+ XOR2X1 g40399(.A (n_6841), .B (n_907), .Y (n_1926));
+ XOR2X1 g40400(.A (n_1417), .B (n_11585), .Y (n_5618));
+ XOR2X1 g40402(.A (n_1424), .B (n_1924), .Y (n_5620));
+ NAND2X1 g39123(.A (u9_din_tmp_49), .B (n_2368), .Y (n_1925));
+ XOR2X1 g40439(.A (n_6316), .B (n_1924), .Y (n_4795));
+ XOR2X1 g40440(.A (n_1923), .B (n_6824), .Y (n_4801));
+ XOR2X1 g40441(.A (n_6821), .B (n_11585), .Y (n_4799));
+ XOR2X1 g40445(.A (n_12634), .B (n_1921), .Y (n_4797));
+ NOR2X1 g40101(.A (n_2744), .B (n_2008), .Y (n_1920));
+ NAND2X1 g39074(.A (in_slt_407), .B (n_2368), .Y (n_4757));
+ INVX1 g40748(.A (n_3316), .Y (n_1918));
+ NAND2X1 g39064(.A (\u8_mem[2]_56 ), .B (n_2366), .Y (n_1915));
+ INVX2 g39052(.A (n_1910), .Y (n_3979));
+ INVX1 g41046(.A (n_3209), .Y (n_1908));
+ DFFSRX1 \u15_crac_din_reg[12] (.RN (rst_i), .SN (1'b1), .CK (clk_i),
+ .D (n_1193), .Q (crac_din_703), .QN ());
+ INVX1 g41109(.A (n_3522), .Y (n_1907));
+ INVX2 g41112(.A (n_11852), .Y (n_4225));
+ INVX4 g41116(.A (n_11852), .Y (n_4130));
+ NAND2X1 g39815(.A (n_2344), .B (in_slt_421), .Y (n_1901));
+ INVX1 g41184(.A (n_1899), .Y (n_4623));
+ INVX4 g41200(.A (n_1845), .Y (n_4253));
+ INVX8 g41313(.A (n_1846), .Y (n_6856));
+ INVX4 g41363(.A (n_1851), .Y (n_6341));
+ INVX1 g41431(.A (n_3556), .Y (n_1894));
+ INVX8 g41496(.A (n_1854), .Y (n_6359));
+ NAND2X1 g38962(.A (\u8_mem[3]_135 ), .B (n_2468), .Y (n_1892));
+ AOI22X1 g37987(.A0 (n_6471), .A1 (n_1575), .B0 (n_6604), .B1
+ (n_1831), .Y (n_1891));
+ NAND3X1 g34706(.A (n_4703), .B (n_4708), .C (n_865), .Y (n_1887));
+ NAND3X1 g34709(.A (n_4734), .B (n_4736), .C (n_864), .Y (n_1886));
+ NAND3X1 g34712(.A (n_5588), .B (n_5788), .C (n_863), .Y (n_1885));
+ NOR2X1 g40261(.A (n_2741), .B (n_1985), .Y (n_1884));
+ NAND2X1 g38913(.A (\u8_mem[3]_139 ), .B (n_2468), .Y (n_1883));
+ NAND2X1 g38915(.A (\u8_mem[3]_127 ), .B (n_2468), .Y (n_1881));
+ INVX1 g42706(.A (n_862), .Y (n_11043));
+ INVX2 g42727(.A (n_2343), .Y (n_10940));
+ NOR2X1 g40265(.A (n_2763), .B (n_1985), .Y (n_1871));
+ INVX1 g43038(.A (n_1873), .Y (n_1870));
+ NAND2X1 g38884(.A (\u6_mem[3]_127 ), .B (n_2419), .Y (n_1869));
+ NAND2X1 g39880(.A (\u8_mem[2]_41 ), .B (n_2362), .Y (n_1867));
+ DFFSRX1 \u15_crac_din_reg[1] (.RN (rst_i), .SN (1'b1), .CK (clk_i),
+ .D (n_1202), .Q (crac_din_692), .QN ());
+ NAND2X1 g39900(.A (\u8_mem[2]_37 ), .B (n_2366), .Y (n_11450));
+ AOI22X1 g37984(.A0 (n_2558), .A1 (n_1864), .B0 (n_1863), .B1
+ (n_2544), .Y (n_1865));
+ DFFSRX1 \u15_crac_din_reg[7] (.RN (rst_i), .SN (1'b1), .CK (clk_i),
+ .D (n_1022), .Q (crac_din_698), .QN ());
+ AOI22X1 g37655(.A0 (n_2558), .A1 (n_5959), .B0 (n_5998), .B1
+ (n_2544), .Y (n_1862));
+ NAND2X1 g38818(.A (\u6_mem[3]_130 ), .B (n_12622), .Y (n_1861));
+ DFFSRX1 \u15_crac_din_reg[14] (.RN (rst_i), .SN (1'b1), .CK (clk_i),
+ .D (n_1030), .Q (crac_din_705), .QN ());
+ AOI22X1 g37952(.A0 (n_2502), .A1 (n_6392), .B0 (n_6534), .B1
+ (n_1859), .Y (n_1860));
+ AOI22X1 g37932(.A0 (n_385), .A1 (n_1835), .B0 (n_5365), .B1 (n_1760),
+ .Y (n_1858));
+ AOI22X1 g37937(.A0 (n_236), .A1 (n_1575), .B0 (n_5502), .B1 (n_1831),
+ .Y (n_1855));
+ INVX2 g41427(.A (n_12747), .Y (n_4258));
+ AOI22X1 g37915(.A0 (n_6656), .A1 (n_1859), .B0 (n_6620), .B1
+ (n_1760), .Y (n_1848));
+ DFFSRX1 \u15_crac_din_reg[10] (.RN (rst_i), .SN (1'b1), .CK (clk_i),
+ .D (n_1031), .Q (crac_din_701), .QN ());
+ DFFSRX1 \u15_crac_din_reg[15] (.RN (rst_i), .SN (1'b1), .CK (clk_i),
+ .D (n_1083), .Q (crac_din_706), .QN ());
+ DFFSRX1 \u15_crac_din_reg[4] (.RN (rst_i), .SN (1'b1), .CK (clk_i),
+ .D (n_1034), .Q (crac_din_695), .QN ());
+ DFFSRX1 \u15_crac_din_reg[8] (.RN (rst_i), .SN (1'b1), .CK (clk_i),
+ .D (n_1037), .Q (crac_din_699), .QN ());
+ AOI22X1 g37904(.A0 (n_2558), .A1 (n_6384), .B0 (n_6468), .B1
+ (n_1839), .Y (n_1840));
+ DFFSRX1 \u15_crac_din_reg[11] (.RN (rst_i), .SN (1'b1), .CK (clk_i),
+ .D (n_1029), .Q (crac_din_702), .QN ());
+ NOR2X1 g39975(.A (n_867), .B (n_2681), .Y (n_1838));
+ AOI22X1 g37888(.A0 (n_1756), .A1 (n_6372), .B0 (n_6519), .B1
+ (n_1643), .Y (n_1837));
+ DFFSRX1 \u15_crac_din_reg[13] (.RN (rst_i), .SN (1'b1), .CK (clk_i),
+ .D (n_1192), .Q (crac_din_704), .QN ());
+ DFFSRX1 \u15_crac_din_reg[2] (.RN (rst_i), .SN (1'b1), .CK (clk_i),
+ .D (n_1194), .Q (crac_din_693), .QN ());
+ AOI22X1 g37754(.A0 (n_6884), .A1 (n_1859), .B0 (n_6873), .B1
+ (n_1835), .Y (n_1836));
+ AOI21X1 g37610(.A0 (n_6087), .A1 (n_1760), .B0 (n_1222), .Y (n_1834));
+ DFFSRX1 \u15_crac_din_reg[0] (.RN (rst_i), .SN (1'b1), .CK (clk_i),
+ .D (n_1074), .Q (crac_din), .QN ());
+ XOR2X1 g40401(.A (n_6838), .B (n_814), .Y (n_1833));
+ AOI22X1 g37866(.A0 (n_6431), .A1 (n_1575), .B0 (n_6650), .B1
+ (n_1831), .Y (n_1832));
+ NOR2X1 g36374(.A (n_1829), .B (n_698), .Y (n_2631));
+ INVX1 g43029(.A (n_1875), .Y (n_11083));
+ INVX2 g43028(.A (n_1875), .Y (n_11086));
+ DFFSRX1 \u15_crac_din_reg[5] (.RN (rst_i), .SN (1'b1), .CK (clk_i),
+ .D (n_1197), .Q (crac_din_696), .QN ());
+ NOR2X1 g40237(.A (n_2477), .B (n_2707), .Y (n_1827));
+ NAND4X1 g36545(.A (n_1014), .B (n_680), .C (\u26_ps_cnt[5] ), .D
+ (\u26_ps_cnt[4] ), .Y (n_5632));
+ NOR2X1 g40004(.A (n_2790), .B (n_1985), .Y (n_1826));
+ NAND3X1 g36565(.A (n_1822), .B (n_1824), .C (n_684), .Y (n_1825));
+ NAND2X1 g39323(.A (in_slt_413), .B (n_2368), .Y (n_4769));
+ NAND3X1 g36696(.A (n_1822), .B (n_1824), .C (\u2_cnt[2] ), .Y
+ (n_1823));
+ XOR2X1 g36743(.A (\u26_cnt[2] ), .B (n_793), .Y (n_1821));
+ XOR2X1 g36744(.A (n_1819), .B (n_1553), .Y (n_1820));
+ XOR2X1 g36745(.A (\u2_res_cnt[2] ), .B (n_1277), .Y (n_1818));
+ DFFX1 \u5_status_reg[0] (.CK (clk_i), .D (n_6047), .Q (o6_status),
+ .QN ());
+ DFFX1 \u8_status_reg[0] (.CK (clk_i), .D (n_5622), .Q (o9_status),
+ .QN ());
+ NAND2X1 g36783(.A (n_825), .B (n_2513), .Y (n_1816));
+ OR2X1 g36795(.A (n_1815), .B (wb_addr_i[6]), .Y (n_4837));
+ OR2X1 g36812(.A (n_1815), .B (wb_we_i), .Y (n_1814));
+ NAND2X1 g36839(.A (n_1204), .B (n_2368), .Y (n_1813));
+ NAND2X1 g38809(.A (\u8_mem[3]_147 ), .B (n_2468), .Y (n_1812));
+ NAND2X1 g38803(.A (\u3_mem[3]_150 ), .B (n_2463), .Y (n_1811));
+ NAND2X1 g39560(.A (\u6_mem[2]_35 ), .B (n_2285), .Y (n_1810));
+ NAND2X1 g39552(.A (n_2491), .B (\u7_mem[0]_97 ), .Y (n_1809));
+ NAND2X1 g39546(.A (\u4_mem[2]_39 ), .B (n_12079), .Y (n_1808));
+ NAND2X1 g39544(.A (n_2491), .B (\u7_mem[0]_113 ), .Y (n_1807));
+ XOR2X1 g40403(.A (n_7048), .B (n_888), .Y (n_1806));
+ NOR2X1 g40060(.A (n_2744), .B (n_2182), .Y (n_1805));
+ INVX1 g38773(.A (n_5442), .Y (n_1804));
+ OAI21X1 g37200(.A0 (\u11_rp[1] ), .A1 (n_853), .B0 (n_1121), .Y
+ (n_4081));
+ NAND2X1 g39502(.A (in_slt_412), .B (n_2368), .Y (n_4772));
+ NOR2X1 g40044(.A (n_941), .B (n_2729), .Y (n_1800));
+ OR2X1 g39475(.A (n_12640), .B (n_12634), .Y (n_1798));
+ DFFSRX1 \u15_crac_din_reg[3] (.RN (rst_i), .SN (1'b1), .CK (clk_i),
+ .D (n_1047), .Q (crac_din_694), .QN ());
+ NAND2X1 g39473(.A (n_2344), .B (in_slt_425), .Y (n_1796));
+ NAND2X1 g39471(.A (n_2344), .B (in_slt_431), .Y (n_1795));
+ NAND4X1 g37360(.A (n_792), .B (n_549), .C (n_728), .D (n_543), .Y
+ (n_1794));
+ NAND4X1 g37368(.A (n_732), .B (n_557), .C (n_574), .D (n_725), .Y
+ (n_1793));
+ NOR2X1 g40036(.A (n_2470), .B (n_2829), .Y (n_1792));
+ NAND2X1 g39468(.A (\u5_mem[2]_48 ), .B (n_12823), .Y (n_1791));
+ XOR2X1 g37419(.A (n_614), .B (n_765), .Y (n_1790));
+ XOR2X1 g37420(.A (n_657), .B (n_771), .Y (n_1788));
+ NAND2X1 g39466(.A (\u4_mem[2] ), .B (n_12079), .Y (n_1786));
+ DFFX1 \u1_sr_reg[1] (.CK (bit_clk_pad_i), .D (u1_sr), .Q (u1_sr_117),
+ .QN ());
+ NAND2X1 g37471(.A (n_1784), .B (\u2_cnt[5] ), .Y (n_1785));
+ NAND2X1 g37472(.A (n_2592), .B (n_688), .Y (n_1782));
+ INVX2 g37526(.A (n_1779), .Y (n_6686));
+ OR2X1 g37572(.A (n_1777), .B (ac97_reset_pad_o_), .Y (n_1778));
+ NAND4X1 g37576(.A (n_1051), .B (n_449), .C (n_742), .D (\u2_to_cnt[0]
+ ), .Y (n_5629));
+ NAND2X1 g37582(.A (n_3985), .B (n_593), .Y (n_1776));
+ NAND4X1 g37593(.A (\u26_ps_cnt[3] ), .B (\u26_ps_cnt[1] ), .C
+ (\u26_ps_cnt[0] ), .D (n_681), .Y (n_1775));
+ NAND3X1 g37594(.A (n_1519), .B (n_1773), .C (\u2_cnt[4] ), .Y
+ (n_1774));
+ AOI22X1 g37604(.A0 (n_650), .A1 (n_1546), .B0 (n_12634), .B1
+ (\u7_rp[3] ), .Y (n_1772));
+ AOI22X1 g37612(.A0 (n_2502), .A1 (n_6868), .B0 (n_6956), .B1
+ (n_1859), .Y (n_1770));
+ AOI21X1 g37613(.A0 (n_6924), .A1 (n_1760), .B0 (n_1220), .Y (n_1768));
+ AOI22X1 g37614(.A0 (n_2502), .A1 (n_349), .B0 (n_1766), .B1 (n_1835),
+ .Y (n_1767));
+ AOI22X1 g37615(.A0 (n_2502), .A1 (n_6402), .B0 (n_6551), .B1
+ (n_1859), .Y (n_1765));
+ AOI22X1 g37616(.A0 (n_6644), .A1 (n_1835), .B0 (n_6617), .B1
+ (n_1760), .Y (n_1764));
+ AOI22X1 g37617(.A0 (n_6495), .A1 (n_1835), .B0 (n_6614), .B1
+ (n_1760), .Y (n_1763));
+ AOI22X1 g37618(.A0 (n_6641), .A1 (n_1835), .B0 (n_6450), .B1
+ (n_1760), .Y (n_1761));
+ AOI22X1 g37620(.A0 (n_2502), .A1 (n_6396), .B0 (n_6528), .B1
+ (n_1859), .Y (n_1759));
+ AOI22X1 g37621(.A0 (n_6635), .A1 (n_1835), .B0 (n_6581), .B1
+ (n_1760), .Y (n_1758));
+ AOI22X1 g37622(.A0 (n_1756), .A1 (n_1755), .B0 (n_1754), .B1
+ (n_1643), .Y (n_1757));
+ AOI22X1 g37623(.A0 (n_2502), .A1 (n_6866), .B0 (n_6922), .B1
+ (n_1760), .Y (n_1753));
+ AOI22X1 g37624(.A0 (n_2502), .A1 (n_6864), .B0 (n_6954), .B1
+ (n_1859), .Y (n_1752));
+ AOI22X1 g37625(.A0 (n_6935), .A1 (n_1835), .B0 (n_6951), .B1
+ (n_1760), .Y (n_1751));
+ AOI22X1 g37626(.A0 (n_204), .A1 (n_1859), .B0 (n_6089), .B1 (n_1760),
+ .Y (n_1750));
+ AOI22X1 g37627(.A0 (n_2502), .A1 (n_174), .B0 (n_1748), .B1 (n_1859),
+ .Y (n_1749));
+ AOI22X1 g37628(.A0 (n_2502), .A1 (n_6862), .B0 (n_6949), .B1
+ (n_1859), .Y (n_1747));
+ AOI22X1 g37629(.A0 (n_6881), .A1 (n_1835), .B0 (n_6919), .B1
+ (n_1760), .Y (n_1746));
+ AOI22X1 g37630(.A0 (n_356), .A1 (n_1859), .B0 (n_1744), .B1 (n_1835),
+ .Y (n_1745));
+ AOI22X1 g37631(.A0 (n_2502), .A1 (n_1742), .B0 (n_5294), .B1
+ (n_1760), .Y (n_1743));
+ AOI22X1 g37632(.A0 (n_35), .A1 (n_1835), .B0 (n_5374), .B1 (n_1760),
+ .Y (n_1741));
+ AOI22X1 g37633(.A0 (n_2502), .A1 (n_1738), .B0 (n_1737), .B1
+ (n_1859), .Y (n_1739));
+ AOI22X1 g37634(.A0 (n_198), .A1 (n_1835), .B0 (n_5343), .B1 (n_1760),
+ .Y (n_1736));
+ AOI22X1 g37635(.A0 (n_340), .A1 (n_1859), .B0 (n_5372), .B1 (n_1760),
+ .Y (n_1735));
+ AOI22X1 g37636(.A0 (n_2502), .A1 (n_1733), .B0 (n_1732), .B1
+ (n_1859), .Y (n_1734));
+ AOI22X1 g37637(.A0 (n_45), .A1 (n_1859), .B0 (n_5302), .B1 (n_1760),
+ .Y (n_1731));
+ AOI22X1 g37638(.A0 (n_365), .A1 (n_1835), .B0 (n_5369), .B1 (n_1760),
+ .Y (n_1730));
+ AOI22X1 g37639(.A0 (n_2502), .A1 (n_1728), .B0 (n_1727), .B1
+ (n_1859), .Y (n_1729));
+ AOI22X1 g37640(.A0 (n_346), .A1 (n_1835), .B0 (n_5367), .B1 (n_1760),
+ .Y (n_1726));
+ AOI22X1 g37641(.A0 (n_2502), .A1 (n_1724), .B0 (n_1723), .B1
+ (n_1859), .Y (n_1725));
+ AOI22X1 g37642(.A0 (n_345), .A1 (n_1835), .B0 (n_5347), .B1 (n_1760),
+ .Y (n_1722));
+ AOI22X1 g37643(.A0 (n_206), .A1 (n_1859), .B0 (n_5345), .B1 (n_1760),
+ .Y (n_1720));
+ AOI22X1 g37644(.A0 (n_2502), .A1 (n_6859), .B0 (n_6879), .B1
+ (n_1859), .Y (n_1719));
+ AOI22X1 g37645(.A0 (n_6933), .A1 (n_1835), .B0 (n_6915), .B1
+ (n_1760), .Y (n_1718));
+ AOI22X1 g37646(.A0 (n_2502), .A1 (n_1716), .B0 (n_1715), .B1
+ (n_1859), .Y (n_1717));
+ AOI22X1 g37647(.A0 (n_2502), .A1 (n_6857), .B0 (n_6947), .B1
+ (n_1859), .Y (n_1714));
+ AOI22X1 g37648(.A0 (n_6888), .A1 (n_1835), .B0 (n_6890), .B1
+ (n_1760), .Y (n_1712));
+ AOI22X1 g37649(.A0 (n_6931), .A1 (n_1835), .B0 (n_6895), .B1
+ (n_1760), .Y (n_1711));
+ AOI22X1 g37650(.A0 (n_6902), .A1 (n_1835), .B0 (n_6912), .B1
+ (n_1760), .Y (n_1710));
+ AOI22X1 g37651(.A0 (n_2502), .A1 (n_6847), .B0 (n_6928), .B1
+ (n_1835), .Y (n_1709));
+ AOI22X1 g37652(.A0 (n_6628), .A1 (n_1835), .B0 (n_6559), .B1
+ (n_1760), .Y (n_1707));
+ AOI22X1 g37653(.A0 (n_6893), .A1 (n_1835), .B0 (n_6905), .B1
+ (n_1760), .Y (n_1706));
+ AOI22X1 g37665(.A0 (n_2502), .A1 (n_1704), .B0 (n_1703), .B1
+ (n_1859), .Y (n_1705));
+ AOI22X1 g37669(.A0 (n_2502), .A1 (n_1701), .B0 (n_1700), .B1
+ (n_1835), .Y (n_1702));
+ AOI22X1 g37671(.A0 (n_347), .A1 (n_1835), .B0 (n_5333), .B1 (n_1760),
+ .Y (n_1699));
+ AOI22X1 g37675(.A0 (n_6638), .A1 (n_1835), .B0 (n_6505), .B1
+ (n_1760), .Y (n_1698));
+ AOI22X1 g37676(.A0 (n_2502), .A1 (n_6404), .B0 (n_6647), .B1
+ (n_1835), .Y (n_1697));
+ AOI22X1 g37679(.A0 (n_6940), .A1 (n_1859), .B0 (n_6909), .B1
+ (n_1760), .Y (n_1696));
+ AOI22X1 g37689(.A0 (n_2558), .A1 (n_6390), .B0 (n_6660), .B1
+ (n_2544), .Y (n_1695));
+ AOI22X1 g37690(.A0 (n_6942), .A1 (n_1859), .B0 (n_6900), .B1
+ (n_1835), .Y (n_1694));
+ AOI22X1 g37692(.A0 (n_2502), .A1 (n_6849), .B0 (n_6886), .B1
+ (n_1760), .Y (n_1693));
+ AOI22X1 g37695(.A0 (n_6033), .A1 (n_2544), .B0 (n_6013), .B1
+ (n_1316), .Y (n_1692));
+ AOI22X1 g37700(.A0 (n_2558), .A1 (n_314), .B0 (n_1690), .B1 (n_2544),
+ .Y (n_1691));
+ AOI22X1 g37703(.A0 (n_6548), .A1 (n_1643), .B0 (n_6625), .B1
+ (n_1831), .Y (n_1689));
+ AOI22X1 g37707(.A0 (n_2558), .A1 (n_5949), .B0 (n_6028), .B1
+ (n_2544), .Y (n_1687));
+ AOI22X1 g37726(.A0 (n_2558), .A1 (n_1685), .B0 (n_1684), .B1
+ (n_1839), .Y (n_1686));
+ AOI22X1 g37728(.A0 (n_9), .A1 (n_2544), .B0 (n_5514), .B1 (n_1316),
+ .Y (n_1683));
+ AOI22X1 g37733(.A0 (n_2502), .A1 (n_1680), .B0 (n_1679), .B1
+ (n_1859), .Y (n_1681));
+ AOI22X1 g37734(.A0 (n_2558), .A1 (n_1677), .B0 (n_1676), .B1
+ (n_1839), .Y (n_1678));
+ AOI22X1 g37736(.A0 (n_379), .A1 (n_2544), .B0 (n_5359), .B1 (n_1316),
+ .Y (n_1675));
+ AOI22X1 g37741(.A0 (n_2502), .A1 (n_6852), .B0 (n_6945), .B1
+ (n_1859), .Y (n_1674));
+ NOR2X1 g39997(.A (n_2218), .B (n_2712), .Y (n_1673));
+ AOI22X1 g37761(.A0 (n_1756), .A1 (n_6377), .B0 (n_6522), .B1
+ (n_1643), .Y (n_1672));
+ AOI21X1 g37763(.A0 (n_6554), .A1 (n_1831), .B0 (n_1215), .Y (n_1671));
+ AOI22X1 g37765(.A0 (n_2502), .A1 (n_6854), .B0 (n_6876), .B1
+ (n_1859), .Y (n_1669));
+ AOI22X1 g37766(.A0 (n_1756), .A1 (n_6370), .B0 (n_6517), .B1
+ (n_1643), .Y (n_1668));
+ AOI21X1 g37768(.A0 (n_6426), .A1 (n_1831), .B0 (n_1005), .Y (n_1667));
+ AOI22X1 g37769(.A0 (n_1756), .A1 (n_6368), .B0 (n_6515), .B1
+ (n_1643), .Y (n_1666));
+ AOI22X1 g37771(.A0 (n_1756), .A1 (n_6366), .B0 (n_6511), .B1
+ (n_1643), .Y (n_1665));
+ AOI21X1 g37772(.A0 (n_6424), .A1 (n_1831), .B0 (n_1211), .Y (n_1664));
+ AOI22X1 g37773(.A0 (n_162), .A1 (n_1839), .B0 (n_5504), .B1 (n_1316),
+ .Y (n_1663));
+ AOI22X1 g37774(.A0 (n_1756), .A1 (n_6363), .B0 (n_6466), .B1
+ (n_1575), .Y (n_1662));
+ AOI22X1 g37776(.A0 (n_6507), .A1 (n_1643), .B0 (n_6421), .B1
+ (n_1831), .Y (n_1661));
+ AOI22X1 g37779(.A0 (n_1756), .A1 (n_6360), .B0 (n_6503), .B1
+ (n_1643), .Y (n_1660));
+ AOI22X1 g37780(.A0 (n_6460), .A1 (n_1575), .B0 (n_6419), .B1
+ (n_1831), .Y (n_1658));
+ AOI22X1 g37781(.A0 (n_2558), .A1 (n_6382), .B0 (n_6463), .B1
+ (n_1839), .Y (n_1657));
+ AOI22X1 g37785(.A0 (n_1756), .A1 (n_5953), .B0 (n_5990), .B1
+ (n_1643), .Y (n_1656));
+ AOI22X1 g37786(.A0 (n_5994), .A1 (n_1575), .B0 (n_6023), .B1
+ (n_1831), .Y (n_1655));
+ AOI22X1 g37788(.A0 (n_1756), .A1 (n_5951), .B0 (n_5988), .B1
+ (n_1643), .Y (n_1654));
+ AOI22X1 g37789(.A0 (n_6479), .A1 (n_1575), .B0 (n_6428), .B1
+ (n_1831), .Y (n_1653));
+ AOI22X1 g37790(.A0 (n_5978), .A1 (n_1575), .B0 (n_6026), .B1
+ (n_1831), .Y (n_1652));
+ AOI22X1 g37792(.A0 (n_1756), .A1 (n_334), .B0 (n_1650), .B1 (n_1643),
+ .Y (n_1651));
+ AOI22X1 g37793(.A0 (n_129), .A1 (n_1575), .B0 (n_5580), .B1 (n_1831),
+ .Y (n_1648));
+ AOI22X1 g37795(.A0 (n_2558), .A1 (n_6335), .B0 (n_6623), .B1
+ (n_2544), .Y (n_1646));
+ AOI22X1 g37796(.A0 (n_1756), .A1 (n_312), .B0 (n_1644), .B1 (n_1643),
+ .Y (n_1645));
+ AOI22X1 g37798(.A0 (n_288), .A1 (n_1575), .B0 (n_5582), .B1 (n_1831),
+ .Y (n_1642));
+ AOI22X1 g37799(.A0 (n_6411), .A1 (n_1839), .B0 (n_6569), .B1
+ (n_1316), .Y (n_1640));
+ AOI22X1 g37800(.A0 (n_2502), .A1 (n_6398), .B0 (n_6654), .B1
+ (n_1859), .Y (n_1639));
+ AOI22X1 g37801(.A0 (n_1756), .A1 (n_6388), .B0 (n_6500), .B1
+ (n_1643), .Y (n_1638));
+ AOI22X1 g37802(.A0 (n_6454), .A1 (n_1575), .B0 (n_6413), .B1
+ (n_1831), .Y (n_1637));
+ AOI22X1 g37805(.A0 (n_1756), .A1 (n_1634), .B0 (n_1633), .B1
+ (n_1643), .Y (n_1635));
+ NOR2X1 g40189(.A (n_2477), .B (n_2748), .Y (n_1632));
+ AOI22X1 g37807(.A0 (n_81), .A1 (n_1575), .B0 (n_5494), .B1 (n_1831),
+ .Y (n_1631));
+ AOI22X1 g37809(.A0 (n_1756), .A1 (n_1628), .B0 (n_1627), .B1
+ (n_1575), .Y (n_1629));
+ AOI22X1 g37811(.A0 (n_339), .A1 (n_1643), .B0 (n_5526), .B1 (n_1831),
+ .Y (n_1625));
+ NAND2X1 g39403(.A (\u5_mem[2]_59 ), .B (n_12823), .Y (n_1624));
+ AOI22X1 g37814(.A0 (n_279), .A1 (n_1575), .B0 (n_5488), .B1 (n_1831),
+ .Y (n_1623));
+ AOI22X1 g37817(.A0 (n_1756), .A1 (n_1620), .B0 (n_1619), .B1
+ (n_1643), .Y (n_1621));
+ AOI22X1 g37818(.A0 (n_277), .A1 (n_1575), .B0 (n_5490), .B1 (n_1831),
+ .Y (n_1617));
+ AOI22X1 g37820(.A0 (n_1756), .A1 (n_1615), .B0 (n_1614), .B1
+ (n_1643), .Y (n_1616));
+ AOI22X1 g37821(.A0 (n_275), .A1 (n_1575), .B0 (n_5496), .B1 (n_1831),
+ .Y (n_1613));
+ AOI22X1 g37822(.A0 (n_5966), .A1 (n_1839), .B0 (n_6002), .B1
+ (n_1316), .Y (n_1612));
+ AOI22X1 g37823(.A0 (n_1756), .A1 (n_1610), .B0 (n_1609), .B1
+ (n_1643), .Y (n_1611));
+ AOI22X1 g37824(.A0 (n_270), .A1 (n_1575), .B0 (n_5492), .B1 (n_1831),
+ .Y (n_1607));
+ AOI22X1 g37826(.A0 (n_1756), .A1 (n_1605), .B0 (n_1604), .B1
+ (n_1643), .Y (n_1606));
+ AOI22X1 g37827(.A0 (n_298), .A1 (n_1575), .B0 (n_5500), .B1 (n_1831),
+ .Y (n_1603));
+ AOI22X1 g37828(.A0 (n_122), .A1 (n_1575), .B0 (n_5522), .B1 (n_1831),
+ .Y (n_1602));
+ AOI22X1 g37830(.A0 (n_1756), .A1 (n_1600), .B0 (n_1599), .B1
+ (n_1643), .Y (n_1601));
+ AOI22X1 g37831(.A0 (n_1756), .A1 (n_1596), .B0 (n_1595), .B1
+ (n_1643), .Y (n_1597));
+ AOI22X1 g37832(.A0 (n_188), .A1 (n_1575), .B0 (n_5498), .B1 (n_1831),
+ .Y (n_1594));
+ AOI22X1 g37833(.A0 (n_1756), .A1 (n_1592), .B0 (n_1591), .B1
+ (n_1643), .Y (n_1593));
+ AOI22X1 g37836(.A0 (n_125), .A1 (n_1575), .B0 (n_5524), .B1 (n_1831),
+ .Y (n_1590));
+ AOI22X1 g37837(.A0 (n_1756), .A1 (n_6357), .B0 (n_6498), .B1
+ (n_1643), .Y (n_1588));
+ AOI22X1 g37838(.A0 (n_6448), .A1 (n_1575), .B0 (n_6524), .B1
+ (n_1831), .Y (n_1587));
+ AOI22X1 g37839(.A0 (n_1756), .A1 (n_1585), .B0 (n_1584), .B1
+ (n_1643), .Y (n_1586));
+ AOI22X1 g37840(.A0 (n_190), .A1 (n_1575), .B0 (n_5508), .B1 (n_1831),
+ .Y (n_1583));
+ AOI22X1 g37841(.A0 (n_1756), .A1 (n_1581), .B0 (n_1580), .B1
+ (n_1643), .Y (n_1582));
+ AOI22X1 g37842(.A0 (n_1756), .A1 (n_6355), .B0 (n_6492), .B1
+ (n_1643), .Y (n_1579));
+ AOI22X1 g37844(.A0 (n_6445), .A1 (n_1575), .B0 (n_6417), .B1
+ (n_1831), .Y (n_1578));
+ AOI22X1 g37846(.A0 (n_1756), .A1 (n_6353), .B0 (n_6490), .B1
+ (n_1643), .Y (n_1577));
+ AOI22X1 g37847(.A0 (n_6439), .A1 (n_1575), .B0 (n_6441), .B1
+ (n_1831), .Y (n_1576));
+ AOI22X1 g37848(.A0 (n_1756), .A1 (n_6351), .B0 (n_6545), .B1
+ (n_1643), .Y (n_1574));
+ AOI22X1 g37851(.A0 (n_6437), .A1 (n_1575), .B0 (n_6556), .B1
+ (n_1831), .Y (n_1573));
+ AOI22X1 g37852(.A0 (n_1756), .A1 (n_6349), .B0 (n_6487), .B1
+ (n_1643), .Y (n_1572));
+ AOI22X1 g37855(.A0 (n_6434), .A1 (n_1575), .B0 (n_6658), .B1
+ (n_1831), .Y (n_1571));
+ AOI22X1 g37857(.A0 (n_1756), .A1 (n_6347), .B0 (n_6530), .B1
+ (n_1575), .Y (n_1570));
+ AOI22X1 g37862(.A0 (n_1756), .A1 (n_6344), .B0 (n_6484), .B1
+ (n_1643), .Y (n_1569));
+ AOI22X1 g37864(.A0 (n_2502), .A1 (n_6400), .B0 (n_6540), .B1
+ (n_1859), .Y (n_1568));
+ AOI22X1 g37869(.A0 (n_1756), .A1 (n_5947), .B0 (n_5984), .B1
+ (n_1643), .Y (n_1567));
+ AOI22X1 g37871(.A0 (n_5969), .A1 (n_1575), .B0 (n_6035), .B1
+ (n_1831), .Y (n_1566));
+ NAND2X1 g39971(.A (n_2491), .B (\u7_mem[0]_115 ), .Y (n_1565));
+ NAND2X1 g39973(.A (n_2325), .B (in_slt_452), .Y (n_1564));
+ AOI22X1 g37909(.A0 (n_2502), .A1 (n_1562), .B0 (n_1561), .B1
+ (n_1835), .Y (n_1563));
+ ADDHX1 g36199(.A (n_686), .B (\u10_wp[2] ), .CO (n_1559), .S
+ (n_1560));
+ ADDHX1 g36201(.A (n_606), .B (\u9_wp[2] ), .CO (n_1557), .S (n_1558));
+ NOR2X1 g39959(.A (n_2829), .B (n_1488), .Y (n_1556));
+ NOR2X1 g39955(.A (n_2702), .B (n_1488), .Y (n_1555));
+ NAND3X1 g37189(.A (n_1553), .B (n_1281), .C (n_4088), .Y (n_2615));
+ INVX1 g41690(.A (n_2302), .Y (n_1889));
+ INVX4 g41686(.A (n_1364), .Y (n_3339));
+ XOR2X1 g38469(.A (n_684), .B (n_1253), .Y (n_1552));
+ NAND2X1 g38799(.A (n_605), .B (n_1142), .Y (n_3942));
+ INVX1 g40704(.A (n_2366), .Y (n_1549));
+ NAND2X1 g38830(.A (\u5_mem[3]_128 ), .B (n_1543), .Y (n_1548));
+ NAND2X1 g38837(.A (\u7_mem[3]_146 ), .B (n_1546), .Y (n_1547));
+ NAND2X1 g38838(.A (\u7_mem[3]_131 ), .B (n_1538), .Y (n_1545));
+ NAND2X1 g38843(.A (\u5_mem[3]_129 ), .B (n_1543), .Y (n_1544));
+ NAND2X1 g38846(.A (\u5_mem[3]_132 ), .B (n_1543), .Y (n_1542));
+ NAND2X1 g38850(.A (\u5_mem[3]_135 ), .B (n_1543), .Y (n_1541));
+ NAND2X1 g38874(.A (\u7_mem[3]_134 ), .B (n_1538), .Y (n_1537));
+ NAND2X1 g38875(.A (\u7_mem[3]_152 ), .B (n_1546), .Y (n_1536));
+ NAND2X1 g38876(.A (\u7_mem[3]_148 ), .B (n_1546), .Y (n_1535));
+ NAND2X1 g38877(.A (\u5_mem[3]_134 ), .B (n_1543), .Y (n_1534));
+ NAND2X1 g38881(.A (\u7_mem[3]_136 ), .B (n_1538), .Y (n_1533));
+ NAND2X1 g38908(.A (\u3_mem[3]_127 ), .B (n_1517), .Y (n_1532));
+ NAND2X1 g38925(.A (\u7_mem[3]_124 ), .B (n_1538), .Y (n_1531));
+ NAND2X1 g38926(.A (\u7_mem[3]_133 ), .B (n_1538), .Y (n_1530));
+ NAND2X1 g38927(.A (\u7_mem[3]_129 ), .B (n_1538), .Y (n_1529));
+ NAND2X1 g38928(.A (\u7_mem[3]_128 ), .B (n_1538), .Y (n_1528));
+ NAND2X1 g38929(.A (\u7_mem[3]_144 ), .B (n_1546), .Y (n_1527));
+ NAND2X1 g38930(.A (\u7_mem[3]_130 ), .B (n_1538), .Y (n_1526));
+ NAND2X1 g38931(.A (\u7_mem[3]_145 ), .B (n_1546), .Y (n_1525));
+ NAND2X1 g38933(.A (\u7_mem[3]_135 ), .B (n_1538), .Y (n_1524));
+ NAND2X1 g38934(.A (\u7_mem[3]_149 ), .B (n_1546), .Y (n_1523));
+ NAND2X1 g38936(.A (\u7_mem[3]_151 ), .B (n_1546), .Y (n_1522));
+ NAND2X1 g38937(.A (\u7_mem[3]_139 ), .B (n_1538), .Y (n_1521));
+ INVX1 g38942(.A (n_1519), .Y (n_1520));
+ NAND2X1 g38947(.A (\u3_mem[3]_125 ), .B (n_1517), .Y (n_1518));
+ NAND2X1 g38950(.A (\u7_mem[3]_141 ), .B (n_1546), .Y (n_1516));
+ NAND2X1 g38952(.A (\u3_mem[3]_136 ), .B (n_1517), .Y (n_1515));
+ NAND2X1 g38954(.A (\u3_mem[3] ), .B (n_1517), .Y (n_1514));
+ NAND2X1 g38956(.A (\u3_mem[3]_131 ), .B (n_1517), .Y (n_1513));
+ NAND2X1 g38967(.A (\u3_mem[3]_122 ), .B (n_1517), .Y (n_1512));
+ NAND2X1 g38968(.A (\u3_mem[3]_124 ), .B (n_1517), .Y (n_1511));
+ NAND2X1 g38987(.A (\u3_mem[3]_138 ), .B (n_1517), .Y (n_1508));
+ NAND2X1 g38989(.A (\u3_mem[3]_126 ), .B (n_1517), .Y (n_1507));
+ NAND2X1 g38992(.A (\u7_mem[3]_126 ), .B (n_1538), .Y (n_1506));
+ NOR2X1 g39003(.A (n_2732), .B (n_1488), .Y (n_1504));
+ NOR2X1 g39010(.A (n_2801), .B (n_1488), .Y (n_1503));
+ BUFX3 g39055(.A (n_3985), .Y (n_4726));
+ NOR2X1 g39068(.A (n_2744), .B (n_1488), .Y (n_1502));
+ NOR2X1 g39083(.A (n_2691), .B (n_1488), .Y (n_1501));
+ NAND2X1 g39090(.A (n_1546), .B (n_457), .Y (n_1500));
+ INVX4 g39105(.A (n_1185), .Y (n_5272));
+ NAND3X1 g39111(.A (\u7_mem[0]_92 ), .B (n_907), .C (n_1921), .Y
+ (n_1499));
+ NOR2X1 g39122(.A (n_2831), .B (n_1488), .Y (n_1498));
+ NOR2X1 g39125(.A (n_2790), .B (n_1488), .Y (n_1496));
+ NOR2X1 g39137(.A (n_2782), .B (n_1488), .Y (n_1495));
+ NAND3X1 g39151(.A (\u8_mem[0]_106 ), .B (n_12280), .C (n_691), .Y
+ (n_1493));
+ NOR2X1 g39162(.A (n_2729), .B (n_1488), .Y (n_1492));
+ NAND3X1 g39193(.A (\u4_mem[0]_92 ), .B (n_868), .C (n_1923), .Y
+ (n_1491));
+ NOR2X1 g39241(.A (n_2707), .B (n_1488), .Y (n_1490));
+ NOR2X1 g39247(.A (n_2765), .B (n_1488), .Y (n_1489));
+ NAND3X1 g39270(.A (\u3_mem[0]_91 ), .B (n_814), .C (n_1924), .Y
+ (n_1487));
+ NAND3X1 g39333(.A (n_698), .B (\u2_cnt[5] ), .C (n_456), .Y (n_1486));
+ NOR2X1 g39384(.A (n_1484), .B (n_760), .Y (n_1485));
+ NOR2X1 g39410(.A (n_2818), .B (n_1488), .Y (n_1483));
+ INVX1 g42984(.A (n_1481), .Y (n_11059));
+ NOR2X1 g39435(.A (n_2712), .B (n_1488), .Y (n_1480));
+ NOR2X1 g39490(.A (n_2792), .B (n_1488), .Y (n_1479));
+ INVX1 g42731(.A (n_1473), .Y (n_2343));
+ NOR2X1 g39645(.A (n_2804), .B (n_1488), .Y (n_1478));
+ NOR2X1 g39692(.A (n_2772), .B (n_1488), .Y (n_1477));
+ NOR2X1 g39702(.A (n_2763), .B (n_1488), .Y (n_1476));
+ INVX1 g41935(.A (n_8182), .Y (n_11036));
+ INVX1 g41938(.A (n_8182), .Y (n_11033));
+ NOR2X1 g39809(.A (n_2864), .B (n_1488), .Y (n_1472));
+ NOR2X1 g39813(.A (n_2686), .B (n_1488), .Y (n_1470));
+ NAND3X1 g39825(.A (\u2_cnt[3] ), .B (n_701), .C (n_456), .Y (n_1469));
+ NOR2X1 g39840(.A (n_2748), .B (n_1488), .Y (n_1466));
+ NOR2X1 g39853(.A (n_2755), .B (n_1488), .Y (n_1464));
+ NOR2X1 g39897(.A (n_2684), .B (n_1488), .Y (n_1462));
+ OR2X1 g39171(.A (n_12145), .B (n_6824), .Y (n_1460));
+ NOR2X1 g39912(.A (n_2681), .B (n_1488), .Y (n_1458));
+ NOR2X1 g39957(.A (n_2735), .B (n_1488), .Y (n_1457));
+ NAND2X1 g40019(.A (n_4502), .B (n_4499), .Y (n_1454));
+ BUFX3 g41432(.A (n_12743), .Y (n_3556));
+ NAND2X1 g40224(.A (n_4507), .B (n_4439), .Y (n_1450));
+ OR2X1 g40331(.A (n_910), .B (n_1484), .Y (n_1449));
+ AOI22X1 g40382(.A0 (n_494), .A1 (n_1446), .B0 (\u8_rp[3] ), .B1
+ (\u8_wp[2] ), .Y (n_1447));
+ AOI22X1 g40383(.A0 (n_457), .A1 (n_1443), .B0 (\u7_rp[3] ), .B1
+ (\u7_wp[2] ), .Y (n_1444));
+ AOI21X1 g40384(.A0 (\u26_ps_cnt[1] ), .A1 (n_529), .B0 (n_819), .Y
+ (n_1441));
+ AOI21X1 g40393(.A0 (\u11_rp[0] ), .A1 (\u11_wp[1] ), .B0 (n_916), .Y
+ (n_5442));
+ INVX1 g40405(.A (n_1231), .Y (n_2575));
+ XOR2X1 g40437(.A (\u11_rp[1] ), .B (n_853), .Y (n_1438));
+ XOR2X1 g40438(.A (n_1198), .B (n_2567), .Y (n_3992));
+ NOR2X1 g39067(.A (n_2716), .B (n_1488), .Y (n_1435));
+ INVX2 g40700(.A (n_1434), .Y (n_3441));
+ INVX1 g40701(.A (n_1434), .Y (n_3334));
+ INVX8 g40754(.A (n_1432), .Y (n_3316));
+ INVX2 g40872(.A (n_1430), .Y (n_2705));
+ INVX1 g40873(.A (n_1430), .Y (n_2713));
+ INVX1 g40875(.A (n_1430), .Y (n_2836));
+ INVX1 g40877(.A (n_1430), .Y (n_2721));
+ INVX1 g40879(.A (n_1430), .Y (n_2751));
+ INVX2 g40884(.A (n_1429), .Y (n_3207));
+ INVX2 g40887(.A (n_1429), .Y (n_3330));
+ INVX1 g39054(.A (n_3985), .Y (n_1910));
+ INVX2 g40944(.A (n_12664), .Y (n_7077));
+ INVX2 g40954(.A (n_1427), .Y (n_2784));
+ INVX1 g40956(.A (n_1427), .Y (n_2827));
+ NOR2X1 g40964(.A (n_1924), .B (n_1424), .Y (n_1425));
+ NOR2X1 g39043(.A (n_2741), .B (n_1488), .Y (n_1423));
+ NOR2X1 g40979(.A (n_1921), .B (n_1421), .Y (n_1422));
+ NOR2X1 g40982(.A (n_1923), .B (n_1419), .Y (n_1420));
+ NOR2X1 g40983(.A (n_11585), .B (n_1417), .Y (n_1418));
+ INVX2 g41006(.A (n_1416), .Y (n_2775));
+ NAND3X1 g39038(.A (\u6_mem[0]_91 ), .B (n_888), .C (n_11585), .Y
+ (n_1415));
+ NOR2X1 g39866(.A (n_2794), .B (n_1488), .Y (n_1414));
+ INVX1 g40958(.A (n_1427), .Y (n_2770));
+ INVX1 g41043(.A (n_1412), .Y (n_3257));
+ INVX2 g41044(.A (n_1412), .Y (n_3239));
+ INVX4 g41052(.A (n_1412), .Y (n_3236));
+ INVX2 g41139(.A (n_1411), .Y (n_3423));
+ INVX2 g41158(.A (n_1409), .Y (n_2736));
+ CLKBUFX3 g41183(.A (n_1406), .Y (n_3935));
+ INVX1 g41232(.A (n_2463), .Y (n_1404));
+ NAND2X1 g41321(.A (n_5225), .B (wb_addr_i[4]), .Y (n_3431));
+ INVX1 g41376(.A (n_12682), .Y (n_3255));
+ INVX4 g41380(.A (n_1397), .Y (n_3259));
+ NAND2X1 g38958(.A (\u7_mem[3]_137 ), .B (n_1546), .Y (n_1395));
+ NAND2X1 g38978(.A (\u7_mem[3]_150 ), .B (n_1546), .Y (n_1386));
+ NAND2X1 g38976(.A (\u7_mem[3]_132 ), .B (n_1538), .Y (n_1383));
+ NAND2X1 g38970(.A (\u3_mem[3]_123 ), .B (n_1517), .Y (n_1378));
+ INVX1 g41547(.A (n_2419), .Y (n_1377));
+ NAND2X1 g38964(.A (\u7_mem[3]_138 ), .B (n_1546), .Y (n_1376));
+ INVX8 g41566(.A (n_1448), .Y (n_3089));
+ OR2X1 g41577(.A (n_1374), .B (n_5839), .Y (n_1375));
+ INVX8 g41591(.A (n_1509), .Y (n_3332));
+ OR2X1 g41598(.A (n_1372), .B (n_2485), .Y (n_1373));
+ INVX8 g41609(.A (n_1475), .Y (n_3486));
+ INVX4 g41622(.A (n_1205), .Y (n_3543));
+ INVX1 g41647(.A (n_2468), .Y (n_1367));
+ NAND2X1 g38938(.A (\u7_mem[3]_122 ), .B (n_1538), .Y (n_1362));
+ INVX4 g41739(.A (n_1360), .Y (n_4560));
+ OR2X1 g41776(.A (n_1355), .B (n_5827), .Y (n_1356));
+ NAND2X1 g38924(.A (\u7_mem[3] ), .B (n_1538), .Y (n_1354));
+ INVX1 g41932(.A (n_8182), .Y (n_11128));
+ INVX1 g41933(.A (n_8182), .Y (n_11030));
+ INVX1 g41934(.A (n_8182), .Y (n_11025));
+ NAND2X1 g38920(.A (\u3_mem[3]_137 ), .B (n_1517), .Y (n_1348));
+ NAND2X1 g38918(.A (\u7_mem[3]_142 ), .B (n_1546), .Y (n_1347));
+ NAND2X1 g38907(.A (n_685), .B (n_908), .Y (n_4633));
+ NAND2X1 g38896(.A (n_393), .B (n_831), .Y (n_3944));
+ INVX2 g42978(.A (n_1481), .Y (n_11144));
+ NAND2X1 g38887(.A (\u5_mem[3]_131 ), .B (n_1543), .Y (n_1340));
+ NAND2X1 g38872(.A (\u7_mem[3]_143 ), .B (n_1546), .Y (n_1339));
+ NAND2X1 g38863(.A (\u5_mem[3]_125 ), .B (n_1543), .Y (n_1338));
+ NAND2X1 g38865(.A (\u5_mem[3]_126 ), .B (n_1543), .Y (n_1337));
+ NAND2X1 g38855(.A (\u5_mem[3]_138 ), .B (n_1543), .Y (n_1336));
+ NAND2X1 g38860(.A (\u5_mem[3]_123 ), .B (n_1543), .Y (n_1335));
+ NAND2X1 g38853(.A (\u5_mem[3]_136 ), .B (n_1543), .Y (n_1333));
+ INVX1 g41047(.A (n_1412), .Y (n_3209));
+ NAND2X1 g38848(.A (\u7_mem[3]_147 ), .B (n_1546), .Y (n_1328));
+ NAND2X1 g38842(.A (\u5_mem[3]_127 ), .B (n_1543), .Y (n_1327));
+ NAND2X1 g38845(.A (\u5_mem[3]_130 ), .B (n_1543), .Y (n_1326));
+ NAND2X1 g38836(.A (\u5_mem[3]_133 ), .B (n_1543), .Y (n_1325));
+ NAND2X1 g38840(.A (\u5_mem[3] ), .B (n_1543), .Y (n_1324));
+ NAND2X1 g38834(.A (\u3_mem[3]_128 ), .B (n_1517), .Y (n_1323));
+ NOR2X1 g39882(.A (n_2786), .B (n_1488), .Y (n_1322));
+ INVX4 g41531(.A (n_1320), .Y (n_3252));
+ NAND3X1 g39325(.A (\u5_mem[0]_92 ), .B (n_886), .C (n_1033), .Y
+ (n_1319));
+ AOI22X1 g37808(.A0 (n_2558), .A1 (n_6331), .B0 (n_6565), .B1
+ (n_1316), .Y (n_1317));
+ INVX1 g40955(.A (n_1427), .Y (n_2773));
+ INVX2 g41494(.A (n_1072), .Y (n_1854));
+ INVX8 g41473(.A (n_1388), .Y (n_3117));
+ CLKBUFX3 g41430(.A (n_12743), .Y (n_3546));
+ INVX8 g41384(.A (n_1396), .Y (n_6594));
+ INVX1 g41368(.A (n_1064), .Y (n_1851));
+ INVX2 g41351(.A (n_1134), .Y (n_6908));
+ OR2X1 g41320(.A (n_11563), .B (n_1308), .Y (n_1309));
+ INVX2 g41312(.A (n_1059), .Y (n_1846));
+ INVX2 g41202(.A (n_12172), .Y (n_1845));
+ OR2X1 g41212(.A (n_1301), .B (n_5831), .Y (n_1302));
+ NAND2X1 g41210(.A (n_5225), .B (n_1300), .Y (n_7019));
+ INVX1 g41189(.A (n_1406), .Y (n_1899));
+ INVX2 g41175(.A (n_1299), .Y (n_3415));
+ INVX1 g41159(.A (n_1409), .Y (n_2749));
+ INVX1 g41161(.A (n_1409), .Y (n_2761));
+ INVX1 g41153(.A (n_1409), .Y (n_2689));
+ INVX1 g41155(.A (n_1409), .Y (n_2696));
+ INVX2 g41138(.A (n_1411), .Y (n_3474));
+ CLKBUFX3 g41110(.A (n_11851), .Y (n_3522));
+ INVX4 g41103(.A (n_1297), .Y (n_7187));
+ INVX1 g40959(.A (n_1427), .Y (n_2759));
+ AOI22X1 g37890(.A0 (n_2558), .A1 (n_6342), .B0 (n_6578), .B1
+ (n_1316), .Y (n_1295));
+ XOR2X1 g37417(.A (\u8_wp[1] ), .B (n_12278), .Y (n_1294));
+ NAND2X1 g36304(.A (n_1291), .B (n_1290), .Y (n_1292));
+ NAND2X1 g37549(.A (n_811), .B (in_slt_742), .Y (n_1289));
+ CLKBUFX1 g43031(.A (n_1873), .Y (n_1875));
+ NAND3X1 g37527(.A (n_1132), .B (wb_addr_i[6]), .C (n_593), .Y
+ (n_1779));
+ AND2X1 g37513(.A (n_1262), .B (n_1284), .Y (n_1285));
+ NOR2X1 g39537(.A (n_2767), .B (n_1488), .Y (n_1283));
+ INVX1 g42981(.A (n_1481), .Y (n_11069));
+ INVX1 g41005(.A (n_1416), .Y (n_2788));
+ AND2X1 g37056(.A (n_1553), .B (n_1281), .Y (n_1282));
+ INVX1 g41003(.A (n_1416), .Y (n_2742));
+ AND2X1 g36779(.A (n_1277), .B (\u2_res_cnt[2] ), .Y (n_1278));
+ AND2X1 g36780(.A (n_1553), .B (n_1819), .Y (n_1276));
+ INVX1 g41001(.A (n_1416), .Y (n_2832));
+ DFFX1 u12_we2_reg(.CK (clk_i), .D (n_870), .Q (), .QN (u12_we2));
+ INVX1 g40998(.A (n_1416), .Y (n_2780));
+ NAND2X1 g38814(.A (\u7_mem[3]_123 ), .B (n_1538), .Y (n_1275));
+ NAND3X1 g36889(.A (n_576), .B (n_442), .C (n_726), .Y (n_1274));
+ INVX8 g41698(.A (n_1363), .Y (n_3453));
+ NOR2X1 g39510(.A (n_3008), .B (n_1488), .Y (n_1273));
+ NAND3X1 g37186(.A (n_1824), .B (n_684), .C (\u2_cnt[3] ), .Y
+ (n_4076));
+ NOR2X1 g39492(.A (n_2720), .B (n_1488), .Y (n_1272));
+ INVX1 g39480(.A (n_1271), .Y (n_4729));
+ XOR2X1 g37418(.A (n_6838), .B (n_763), .Y (n_1269));
+ XOR2X1 g37421(.A (n_7048), .B (n_762), .Y (n_1267));
+ XOR2X1 g37422(.A (n_6841), .B (n_754), .Y (n_1265));
+ NAND2X1 g37485(.A (n_1262), .B (n_1006), .Y (n_1263));
+ OAI21X1 g37204(.A0 (\u9_rp[1] ), .A1 (\u9_wp[2] ), .B0 (n_984), .Y
+ (n_2624));
+ NAND2X1 g37547(.A (n_843), .B (in_slt_742), .Y (n_1260));
+ NAND2X1 g37548(.A (n_974), .B (in_slt_742), .Y (n_1259));
+ NAND2X1 g39572(.A (n_6042), .B (n_1300), .Y (n_2284));
+ INVX1 g37588(.A (n_1815), .Y (n_1258));
+ AOI21X1 g37591(.A0 (n_5225), .A1 (n_675), .B0 (wb_addr_i[6]), .Y
+ (n_2608));
+ AOI22X1 g40381(.A0 (n_496), .A1 (n_1255), .B0 (\u6_rp[3] ), .B1
+ (\u6_wp[2] ), .Y (n_1256));
+ NAND4X1 g37598(.A (n_1253), .B (n_1227), .C (\u2_cnt[4] ), .D
+ (\u2_cnt[5] ), .Y (n_2602));
+ AOI22X1 g37664(.A0 (n_2558), .A1 (n_1251), .B0 (n_5506), .B1
+ (n_1316), .Y (n_1252));
+ AOI22X1 g37699(.A0 (n_2558), .A1 (n_5955), .B0 (n_6010), .B1
+ (n_1316), .Y (n_1249));
+ AOI22X1 g37705(.A0 (n_2558), .A1 (n_337), .B0 (n_5575), .B1 (n_1316),
+ .Y (n_1248));
+ AOI22X1 g37712(.A0 (n_2558), .A1 (n_1246), .B0 (n_5520), .B1
+ (n_1316), .Y (n_1247));
+ AOI22X1 g37715(.A0 (n_2558), .A1 (n_1244), .B0 (n_5363), .B1
+ (n_1316), .Y (n_1245));
+ AOI22X1 g37723(.A0 (n_2558), .A1 (n_1242), .B0 (n_5516), .B1
+ (n_1316), .Y (n_1243));
+ AOI22X1 g37742(.A0 (n_2558), .A1 (n_1240), .B0 (n_5357), .B1
+ (n_1316), .Y (n_1241));
+ AOI22X1 g37751(.A0 (n_2558), .A1 (n_1238), .B0 (n_5352), .B1
+ (n_1316), .Y (n_1239));
+ AOI22X1 g37784(.A0 (n_2558), .A1 (n_6339), .B0 (n_6575), .B1
+ (n_1316), .Y (n_1237));
+ AOI22X1 g37791(.A0 (n_2558), .A1 (n_6337), .B0 (n_6572), .B1
+ (n_1316), .Y (n_1236));
+ AOI22X1 g37816(.A0 (n_2558), .A1 (n_6333), .B0 (n_6562), .B1
+ (n_1316), .Y (n_1235));
+ ADDHX1 g36200(.A (n_512), .B (n_853), .CO (n_1233), .S (n_1234));
+ NAND3X1 g40406(.A (n_594), .B (wb_addr_i[3]), .C (wb_addr_i[4]), .Y
+ (n_1231));
+ INVX2 g39672(.A (n_1216), .Y (n_5277));
+ INVX1 g40820(.A (n_6995), .Y (n_1229));
+ INVX4 g40755(.A (n_12752), .Y (n_1432));
+ DFFX1 u2_bit_clk_r1_reg(.CK (clk_i), .D (n_719), .Q (), .QN
+ (u2_bit_clk_r1));
+ INVX1 g40702(.A (n_1178), .Y (n_1434));
+ AND2X1 g38943(.A (n_1227), .B (n_866), .Y (n_1519));
+ NAND2X1 g38953(.A (n_1253), .B (\u2_cnt[2] ), .Y (n_1829));
+ AND2X1 g38955(.A (n_701), .B (n_3995), .Y (n_4079));
+ INVX2 g40876(.A (n_1226), .Y (n_1430));
+ AND2X1 g39057(.A (n_1225), .B (wb_addr_i[4]), .Y (n_3985));
+ NOR2X1 g40249(.A (n_995), .B (n_932), .Y (n_1224));
+ NAND2X1 g39160(.A (n_6044), .B (n_1300), .Y (n_1453));
+ NOR2X1 g39248(.A (\u9_mem[2]_106 ), .B (n_1221), .Y (n_1222));
+ INVX4 g41347(.A (n_1134), .Y (n_5371));
+ INVX2 g41381(.A (n_12678), .Y (n_1397));
+ NOR2X1 g39390(.A (\u9_mem[2] ), .B (n_1221), .Y (n_1220));
+ NAND2X1 g39483(.A (n_1225), .B (n_1300), .Y (n_1271));
+ INVX2 g42737(.A (n_991), .Y (n_1473));
+ NOR2X1 g39677(.A (\u11_mem[2] ), .B (n_1214), .Y (n_1215));
+ INVX1 g41939(.A (n_12585), .Y (n_8182));
+ NAND3X1 g39719(.A (n_2596), .B (n_1212), .C (n_711), .Y (n_1213));
+ INVX8 g41754(.A (n_1103), .Y (n_3765));
+ AND2X1 g39821(.A (\u2_cnt[4] ), .B (n_3995), .Y (n_1784));
+ NOR2X1 g39867(.A (\u11_mem[2]_100 ), .B (n_1214), .Y (n_1211));
+ INVX4 g41594(.A (n_5059), .Y (n_1509));
+ INVX1 g40688(.A (n_1180), .Y (n_2057));
+ INVX4 g41571(.A (n_5138), .Y (n_1448));
+ NOR2X1 g40046(.A (n_969), .B (n_930), .Y (n_1209));
+ INVX4 g41476(.A (n_4996), .Y (n_1388));
+ INVX1 g41421(.A (n_1208), .Y (n_1391));
+ NOR2X1 g41619(.A (n_1206), .B (n_5420), .Y (n_1207));
+ XOR2X1 g40446(.A (n_1203), .B (n_4074), .Y (n_1204));
+ MX2X1 g40436(.A (crac_din_692), .B (in_slt_831), .S0 (n_1036), .Y
+ (n_1202));
+ INVX1 g41213(.A (n_6042), .Y (n_1200));
+ INVX2 g41141(.A (n_1149), .Y (n_1411));
+ NOR2X1 g40351(.A (n_832), .B (n_931), .Y (n_1199));
+ XOR2X1 g40379(.A (n_798), .B (n_1033), .Y (n_6047));
+ XOR2X1 g40391(.A (n_1198), .B (\u8_wp[0] ), .Y (n_5622));
+ MX2X1 g40421(.A (crac_din_696), .B (in_slt_835), .S0 (n_1036), .Y
+ (n_1197));
+ MX2X1 g40423(.A (crac_din_697), .B (in_slt_836), .S0 (n_1036), .Y
+ (n_1196));
+ MX2X1 g40427(.A (crac_din_693), .B (in_slt_832), .S0 (n_1036), .Y
+ (n_1194));
+ MX2X1 g40431(.A (crac_din_703), .B (in_slt_842), .S0 (n_1036), .Y
+ (n_1193));
+ MX2X1 g40432(.A (crac_din_704), .B (in_slt_843), .S0 (n_1036), .Y
+ (n_1192));
+ INVX1 g40767(.A (n_1174), .Y (n_2059));
+ INVX2 g41021(.A (n_7080), .Y (n_6773));
+ XOR2X1 g40444(.A (n_9641), .B (\u10_wp[1] ), .Y (n_1189));
+ INVX1 g40761(.A (n_1174), .Y (n_2043));
+ XOR2X1 g40447(.A (\u9_rp[1] ), .B (\u9_wp[2] ), .Y (n_1188));
+ NAND2X1 g39106(.A (n_6044), .B (wb_addr_i[4]), .Y (n_1185));
+ INVX1 g42327(.A (n_1921), .Y (n_1184));
+ INVX4 g40686(.A (n_1180), .Y (n_2067));
+ INVX1 g40687(.A (n_1180), .Y (n_2008));
+ INVX1 g40689(.A (n_1180), .Y (n_2118));
+ CLKBUFX3 g40699(.A (n_1178), .Y (n_2362));
+ CLKBUFX3 g40705(.A (n_1178), .Y (n_2366));
+ INVX1 g40712(.A (n_1176), .Y (n_2218));
+ INVX1 g40713(.A (n_1176), .Y (n_2154));
+ INVX1 g40717(.A (n_1176), .Y (n_2135));
+ INVX1 g40718(.A (n_1176), .Y (n_2081));
+ INVX1 g40719(.A (n_1176), .Y (n_2093));
+ INVX2 g40730(.A (n_2364), .Y (n_2530));
+ INVX1 g40759(.A (n_1174), .Y (n_2216));
+ INVX1 g40760(.A (n_1174), .Y (n_2020));
+ INVX1 g40764(.A (n_1174), .Y (n_2025));
+ INVX1 g40766(.A (n_1174), .Y (n_2038));
+ INVX1 g40775(.A (n_1172), .Y (n_2120));
+ INVX1 g40779(.A (n_1172), .Y (n_2083));
+ INVX1 g40780(.A (n_1172), .Y (n_2096));
+ INVX8 g40786(.A (n_1129), .Y (n_1985));
+ INVX1 g40836(.A (n_1167), .Y (n_2054));
+ INVX1 g40840(.A (n_1167), .Y (n_2041));
+ NAND2X1 g40894(.A (n_403), .B (n_5420), .Y (n_1164));
+ INVX2 g40897(.A (n_1232), .Y (n_2534));
+ INVX1 g40778(.A (n_1172), .Y (n_2071));
+ INVX8 g40925(.A (n_1162), .Y (n_5341));
+ NAND2X1 g40939(.A (n_121), .B (n_4074), .Y (n_1160));
+ INVX1 g40777(.A (n_1172), .Y (n_2085));
+ BUFX3 g40951(.A (n_1082), .Y (n_5048));
+ INVX8 g40965(.A (n_1158), .Y (n_6898));
+ INVX2 g40985(.A (n_6201), .Y (n_7088));
+ NAND2X1 g40989(.A (n_487), .B (\u11_wp[1] ), .Y (n_1157));
+ INVX4 g40992(.A (n_1156), .Y (n_2344));
+ BUFX3 g40996(.A (n_1016), .Y (n_5157));
+ INVX2 g41009(.A (n_928), .Y (n_6259));
+ INVX1 g41104(.A (n_834), .Y (n_1297));
+ CLKBUFX3 g41134(.A (n_1149), .Y (n_2285));
+ INVX4 g41148(.A (n_1148), .Y (n_2325));
+ BUFX3 g41151(.A (n_1147), .Y (n_5112));
+ INVX2 g41162(.A (n_1147), .Y (n_1409));
+ CLKBUFX1 g41178(.A (n_1049), .Y (n_4624));
+ INVX4 g41193(.A (n_970), .Y (n_1406));
+ INVX2 g41197(.A (n_837), .Y (n_6816));
+ OR2X1 g41216(.A (n_7017), .B (n_12585), .Y (n_1146));
+ INVX4 g41550(.A (n_12621), .Y (n_2419));
+ INVX8 g41252(.A (n_1143), .Y (n_2368));
+ CLKBUFX1 g41254(.A (n_1142), .Y (n_4616));
+ NAND2X1 g41258(.A (n_684), .B (n_687), .Y (n_2377));
+ INVX1 g40787(.A (n_1129), .Y (n_2137));
+ INVX8 g41278(.A (n_1055), .Y (n_3720));
+ INVX2 g41285(.A (n_12535), .Y (n_7214));
+ NOR2X1 g41322(.A (n_688), .B (n_701), .Y (n_1138));
+ INVX8 g41334(.A (n_1060), .Y (n_3826));
+ INVX1 g38985(.A (n_1132), .Y (n_1133));
+ INVX1 g41399(.A (n_9717), .Y (n_7212));
+ INVX1 g41501(.A (n_1127), .Y (n_1381));
+ OR2X1 g41505(.A (n_11597), .B (n_1124), .Y (n_1126));
+ INVX8 g41513(.A (n_1076), .Y (n_3807));
+ INVX1 g41520(.A (n_1122), .Y (n_1379));
+ CLKBUFX3 g41533(.A (n_1084), .Y (n_2491));
+ NAND2X1 g41537(.A (\u11_rp[1] ), .B (n_853), .Y (n_1121));
+ INVX4 g41549(.A (n_12621), .Y (n_2465));
+ BUFX3 g41560(.A (n_5138), .Y (n_5133));
+ OR2X1 g41580(.A (n_11762), .B (n_1119), .Y (n_1120));
+ INVX4 g41610(.A (n_5102), .Y (n_1475));
+ NOR2X1 g38951(.A (n_1114), .B (\u2_cnt[2] ), .Y (n_2592));
+ INVX1 g41644(.A (n_12603), .Y (n_7267));
+ INVX8 g41664(.A (n_1108), .Y (n_3622));
+ INVX1 g41687(.A (n_1107), .Y (n_1364));
+ CLKBUFX3 g41691(.A (n_1107), .Y (n_2302));
+ INVX1 g40691(.A (n_1180), .Y (n_2045));
+ OR2X1 g38932(.A (n_1484), .B (n_680), .Y (n_1104));
+ INVX1 g40791(.A (n_1129), .Y (n_2182));
+ OR2X1 g41775(.A (n_1100), .B (n_5825), .Y (n_1101));
+ INVX1 g42152(.A (n_1924), .Y (n_1096));
+ INVX1 g40839(.A (n_1167), .Y (n_2171));
+ INVX1 g40837(.A (n_1167), .Y (n_2133));
+ INVX8 g40800(.A (n_1090), .Y (n_6502));
+ AND2X1 g38822(.A (n_674), .B (n_1372), .Y (n_1087));
+ INVX1 g40762(.A (n_1174), .Y (n_2103));
+ INVX2 g41538(.A (n_9719), .Y (n_7256));
+ XOR2X1 g38402(.A (\u9_rp[2] ), .B (n_737), .Y (n_1085));
+ INVX1 g40842(.A (n_1167), .Y (n_2006));
+ NOR2X1 g40845(.A (n_8550), .B (n_503), .Y (n_9699));
+ INVX1 g41532(.A (n_1084), .Y (n_1320));
+ MX2X1 g40434(.A (crac_din_706), .B (in_slt_845), .S0 (n_1036), .Y
+ (n_1083));
+ CLKBUFX3 g41529(.A (n_1084), .Y (n_2330));
+ NOR2X1 g35454(.A (n_844), .B (n_632), .Y (n_7379));
+ NOR2X1 g35455(.A (n_829), .B (n_631), .Y (n_7378));
+ NOR2X1 g35456(.A (n_807), .B (n_630), .Y (n_7434));
+ BUFX3 g40952(.A (n_1082), .Y (n_5037));
+ INVX2 g40957(.A (n_1082), .Y (n_1427));
+ XOR2X1 g38093(.A (\u11_rp[2] ), .B (n_736), .Y (n_1080));
+ INVX4 g40917(.A (n_1163), .Y (n_5312));
+ INVX2 g40888(.A (n_12614), .Y (n_1429));
+ INVX8 g41648(.A (n_1111), .Y (n_2468));
+ INVX1 g40863(.A (n_1019), .Y (n_2099));
+ MX2X1 g40430(.A (crac_din), .B (in_slt_830), .S0 (n_1036), .Y
+ (n_1074));
+ BUFX3 g41486(.A (n_1072), .Y (n_5656));
+ INVX1 g41483(.A (n_1071), .Y (n_1384));
+ BUFX3 g41466(.A (n_4996), .Y (n_5000));
+ INVX1 g41396(.A (n_9717), .Y (n_7120));
+ INVX1 g41392(.A (n_1546), .Y (n_1068));
+ INVX1 g41389(.A (n_1067), .Y (n_1396));
+ CLKBUFX1 g41357(.A (n_1064), .Y (n_5645));
+ INVX1 g41353(.A (n_1134), .Y (n_1063));
+ BUFX3 g41304(.A (n_1059), .Y (n_5480));
+ NOR2X1 g41240(.A (\u9_rp[0] ), .B (n_4074), .Y (n_1054));
+ INVX8 g41231(.A (n_1052), .Y (n_2463));
+ NOR2X1 g39966(.A (n_704), .B (\u2_to_cnt[1] ), .Y (n_1051));
+ INVX1 g41177(.A (n_1049), .Y (n_1299));
+ BUFX3 g41152(.A (n_1147), .Y (n_5019));
+ MX2X1 g40429(.A (crac_din_694), .B (in_slt_833), .S0 (n_1036), .Y
+ (n_1047));
+ INVX4 g41129(.A (n_1045), .Y (n_4783));
+ INVX4 g41085(.A (n_1042), .Y (n_6475));
+ INVX1 g41741(.A (n_1040), .Y (n_1360));
+ INVX2 g41064(.A (n_1038), .Y (n_7063));
+ XOR2X1 g38181(.A (\u10_rp[2] ), .B (n_638), .Y (n_1039));
+ INVX4 g41058(.A (n_1153), .Y (n_4097));
+ MX2X1 g40428(.A (crac_din_699), .B (in_slt_838), .S0 (n_1036), .Y
+ (n_1037));
+ MX2X1 g40426(.A (crac_din_695), .B (in_slt_834), .S0 (n_1036), .Y
+ (n_1034));
+ NOR2X1 g39385(.A (n_713), .B (\u26_cnt[0] ), .Y (n_1777));
+ INVX4 g41045(.A (n_1035), .Y (n_1412));
+ XOR2X1 g40443(.A (n_1033), .B (n_3559), .Y (n_2594));
+ MX2X1 g40435(.A (crac_din_701), .B (in_slt_840), .S0 (n_1036), .Y
+ (n_1031));
+ MX2X1 g40433(.A (crac_din_705), .B (in_slt_844), .S0 (n_1036), .Y
+ (n_1030));
+ MX2X1 g40425(.A (crac_din_702), .B (in_slt_841), .S0 (n_1036), .Y
+ (n_1029));
+ BUFX3 g41584(.A (n_5059), .Y (n_5100));
+ MX2X1 g40424(.A (crac_din_700), .B (in_slt_839), .S0 (n_1036), .Y
+ (n_1028));
+ INVX8 g41040(.A (n_1027), .Y (n_2470));
+ CLKBUFX1 g41732(.A (n_1040), .Y (n_2513));
+ XOR2X1 g38028(.A (\u11_wp[3] ), .B (n_695), .Y (n_1025));
+ BUFX3 g40871(.A (n_1226), .Y (n_5069));
+ NAND2X1 g37543(.A (n_553), .B (in_slt_742), .Y (n_1023));
+ MX2X1 g40422(.A (crac_din_698), .B (in_slt_837), .S0 (n_1036), .Y
+ (n_1022));
+ NAND2X1 g37539(.A (n_635), .B (in_slt_742), .Y (n_1021));
+ INVX8 g41717(.A (n_1106), .Y (n_3632));
+ INVX1 g42990(.A (n_5825), .Y (n_1481));
+ INVX4 g40867(.A (n_1019), .Y (n_2477));
+ INVX2 g41007(.A (n_1016), .Y (n_1416));
+ BUFX3 g40870(.A (n_1226), .Y (n_5118));
+ INVX1 g40862(.A (n_1019), .Y (n_2169));
+ INVX1 g42390(.A (n_2485), .Y (n_9833));
+ BUFX3 g41600(.A (n_5102), .Y (n_5106));
+ BUFX3 g40997(.A (n_1016), .Y (n_5148));
+ NOR2X1 g37042(.A (\u26_ps_cnt[3] ), .B (n_818), .Y (n_1014));
+ DFFX1 \u1_sr_reg[0] (.CK (bit_clk_pad_i), .D (u1_sdata_in_r), .Q
+ (u1_sr), .QN ());
+ INVX4 g40942(.A (n_1159), .Y (n_3911));
+ INVX1 g40714(.A (n_1176), .Y (n_2189));
+ INVX1 g42507(.A (n_1923), .Y (n_1012));
+ NAND2X1 g37540(.A (n_620), .B (in_slt_742), .Y (n_1010));
+ NAND2X1 g37541(.A (n_531), .B (in_slt_742), .Y (n_1009));
+ NAND2X1 g37544(.A (n_539), .B (in_slt_742), .Y (n_1008));
+ NAND2X1 g37545(.A (n_554), .B (in_slt_742), .Y (n_1007));
+ INVX1 g40720(.A (n_1176), .Y (n_2144));
+ NAND3X1 g37590(.A (n_581), .B (n_1006), .C (u12_re1), .Y (n_1815));
+ NOR2X1 g39427(.A (\u11_mem[2]_98 ), .B (n_1214), .Y (n_1005));
+ BUFX3 g41696(.A (n_4961), .Y (n_5145));
+ INVX4 g40726(.A (n_940), .Y (n_2364));
+ INVX4 g41706(.A (n_4961), .Y (n_1363));
+ AOI21X1 g40386(.A0 (\u4_rp[3] ), .A1 (\u4_wp[2] ), .B0 (n_441), .Y
+ (n_1001));
+ INVX2 g42391(.A (n_997), .Y (n_2485));
+ BUFX3 g40711(.A (n_941), .Y (n_4504));
+ INVX2 g40706(.A (n_714), .Y (n_1178));
+ AND2X1 g38909(.A (n_869), .B (wb_cyc_i), .Y (n_1284));
+ AND2X1 g38986(.A (n_996), .B (n_1300), .Y (n_1132));
+ INVX2 g40763(.A (n_938), .Y (n_1174));
+ CLKBUFX1 g40807(.A (n_995), .Y (n_5405));
+ INVX2 g42860(.A (n_12634), .Y (n_6118));
+ INVX1 g42726(.A (n_991), .Y (n_5831));
+ INVX2 g40802(.A (n_995), .Y (n_1090));
+ NAND2X1 g41778(.A (\u9_rp[1] ), .B (\u9_wp[2] ), .Y (n_984));
+ NOR2X1 g41653(.A (\u13_ints_r[0] ), .B (n_1036), .Y (n_980));
+ NAND2X1 g39673(.A (n_996), .B (wb_addr_i[4]), .Y (n_1216));
+ INVX1 g41617(.A (n_977), .Y (n_1116));
+ INVX1 g41521(.A (n_976), .Y (n_1122));
+ NOR2X1 g41372(.A (n_8550), .B (oc2_cfg_987), .Y (n_9611));
+ AND2X1 g41354(.A (\u9_wp[1] ), .B (\u9_wp[2] ), .Y (n_1134));
+ INVX2 g41625(.A (n_909), .Y (n_1205));
+ AOI21X1 g40245(.A0 (ic1_cfg_1034), .A1 (n_197), .B0
+ (u14_u7_full_empty_r), .Y (n_974));
+ CLKBUFX3 g41253(.A (n_840), .Y (n_1143));
+ NOR2X1 g41209(.A (n_8565), .B (n_942), .Y (n_9768));
+ NAND2X1 g41194(.A (ic0_cfg_1026), .B (n_836), .Y (n_970));
+ NAND2X1 g41150(.A (n_431), .B (n_4706), .Y (n_1148));
+ CLKBUFX1 g41132(.A (n_969), .Y (n_5730));
+ NOR2X1 g41145(.A (n_4703), .B (n_872), .Y (n_8847));
+ AOI21X1 g40388(.A0 (\u5_rp[3] ), .A1 (\u5_wp[2] ), .B0 (n_412), .Y
+ (n_968));
+ INVX2 g41041(.A (n_821), .Y (n_1027));
+ NAND2X1 g41018(.A (n_8197), .B (n_804), .Y (n_9585));
+ INVX2 g40486(.A (wb_din_689), .Y (n_3008));
+ INVX2 g40546(.A (wb_din_690), .Y (n_2864));
+ INVX4 g40908(.A (n_1000), .Y (n_1643));
+ BUFX3 g40771(.A (n_945), .Y (n_4519));
+ BUFX3 g40684(.A (n_933), .Y (n_4502));
+ NAND2X2 g41008(.A (n_1424), .B (n_626), .Y (n_1016));
+ NAND2X1 g40708(.A (n_8565), .B (n_942), .Y (n_9647));
+ BUFX3 g40710(.A (n_941), .Y (n_4544));
+ INVX2 g40721(.A (n_941), .Y (n_1176));
+ CLKBUFX1 g40725(.A (n_940), .Y (n_1839));
+ BUFX3 g40757(.A (n_938), .Y (n_4540));
+ BUFX3 g40783(.A (n_937), .Y (n_4499));
+ NAND2X1 g40995(.A (n_8526), .B (n_924), .Y (n_9591));
+ INVX2 g40794(.A (n_937), .Y (n_1129));
+ NAND2X1 g40809(.A (n_8188), .B (n_921), .Y (n_9587));
+ NAND2X1 g40994(.A (n_434), .B (n_4690), .Y (n_1156));
+ NOR2X1 g40830(.A (n_4734), .B (n_936), .Y (n_8843));
+ NAND2X1 g40831(.A (n_4734), .B (n_936), .Y (n_7524));
+ BUFX3 g40832(.A (n_867), .Y (n_4378));
+ INVX1 g40868(.A (n_935), .Y (n_1019));
+ CLKBUFX2 g40922(.A (n_932), .Y (n_5409));
+ BUFX3 g40770(.A (n_945), .Y (n_4533));
+ CLKBUFX1 g40977(.A (n_930), .Y (n_5732));
+ NAND2X1 g40984(.A (n_145), .B (n_765), .Y (n_929));
+ NAND2X1 g41010(.A (n_590), .B (oc5_cfg_1016), .Y (n_928));
+ INVX4 g41011(.A (n_1221), .Y (n_1835));
+ NAND2X1 g41059(.A (n_4683), .B (n_667), .Y (n_1153));
+ NOR2X1 g40950(.A (n_8526), .B (n_924), .Y (n_9701));
+ NOR2X1 g41067(.A (n_5588), .B (n_802), .Y (n_9444));
+ NAND2X1 g40943(.A (n_4738), .B (n_625), .Y (n_1159));
+ NAND2X1 g41077(.A (n_3559), .B (n_771), .Y (n_923));
+ BUFX3 g40784(.A (n_937), .Y (n_4491));
+ NAND2X1 g41099(.A (n_8550), .B (n_503), .Y (n_9589));
+ INVX1 g41130(.A (n_969), .Y (n_1045));
+ NOR2X1 g41144(.A (n_8188), .B (n_921), .Y (n_9697));
+ NOR2X1 g41164(.A (n_1198), .B (\u8_wp[0] ), .Y (n_920));
+ INVX2 g41173(.A (n_5876), .Y (n_7115));
+ NOR2X1 g41230(.A (\u11_rp[0] ), .B (\u11_wp[1] ), .Y (n_916));
+ INVX2 g41235(.A (n_839), .Y (n_1052));
+ INVX2 g41241(.A (n_603), .Y (n_1756));
+ INVX2 g41290(.A (n_604), .Y (n_2502));
+ BUFX3 g40900(.A (n_2553), .Y (n_2544));
+ NAND2X1 g41390(.A (\u10_wp[1] ), .B (\u10_wp[2] ), .Y (n_1067));
+ BUFX3 g41393(.A (n_846), .Y (n_1546));
+ INVX1 g41422(.A (n_12803), .Y (n_1208));
+ INVX1 g41484(.A (n_12801), .Y (n_1071));
+ INVX4 g41572(.A (n_743), .Y (n_5138));
+ NAND2X1 g41581(.A (\u26_ps_cnt[3] ), .B (n_760), .Y (n_910));
+ INVX4 g41595(.A (n_707), .Y (n_5059));
+ INVX2 g41672(.A (n_913), .Y (n_1108));
+ INVX4 g41611(.A (n_745), .Y (n_5102));
+ BUFX3 g41626(.A (n_909), .Y (n_1543));
+ BUFX3 g40758(.A (n_938), .Y (n_4509));
+ BUFX3 g40685(.A (n_933), .Y (n_4387));
+ INVX1 g41674(.A (n_12144), .Y (n_7324));
+ INVX1 g41693(.A (n_823), .Y (n_908));
+ INVX4 g41707(.A (n_748), .Y (n_4961));
+ INVX1 g41742(.A (n_830), .Y (n_1040));
+ INVX1 g41781(.A (n_754), .Y (n_907));
+ BUFX3 g40860(.A (n_935), .Y (n_4439));
+ INVX2 g40690(.A (n_933), .Y (n_1180));
+ INVX1 g42560(.A (n_762), .Y (n_888));
+ INVX2 g40781(.A (n_945), .Y (n_1172));
+ NAND2X1 g40698(.A (n_4703), .B (n_872), .Y (n_7526));
+ AND2X1 g40278(.A (n_476), .B (n_869), .Y (n_870));
+ BUFX3 g40833(.A (n_867), .Y (n_4370));
+ INVX2 g40838(.A (n_867), .Y (n_1167));
+ INVX4 g40815(.A (n_877), .Y (n_1859));
+ NOR2X1 g40883(.A (n_688), .B (n_866), .Y (n_1824));
+ INVX2 g41534(.A (n_532), .Y (n_1084));
+ INVX1 g35457(.A (n_7385), .Y (n_865));
+ INVX1 g35459(.A (n_7382), .Y (n_864));
+ INVX1 g35461(.A (n_7441), .Y (n_863));
+ INVX4 g42718(.A (n_862), .Y (n_5839));
+ NAND2X2 g40882(.A (n_411), .B (n_705), .Y (n_1226));
+ INVX1 g40916(.A (n_932), .Y (n_1163));
+ INVX2 g41511(.A (n_858), .Y (n_1076));
+ INVX1 g41502(.A (n_854), .Y (n_1127));
+ NOR2X1 g41499(.A (\u11_wp[1] ), .B (n_853), .Y (n_1072));
+ INVX4 g41477(.A (n_615), .Y (n_4996));
+ INVX4 g41450(.A (n_982), .Y (n_3879));
+ INVX4 g41442(.A (n_982), .Y (n_1488));
+ INVX1 g41410(.A (n_847), .Y (n_1130));
+ BUFX3 g41391(.A (n_846), .Y (n_1538));
+ NOR2X1 g41370(.A (\u10_wp[1] ), .B (\u10_wp[2] ), .Y (n_1064));
+ INVX2 g41332(.A (n_851), .Y (n_1060));
+ INVX1 g41325(.A (n_844), .Y (n_1136));
+ AOI21X1 g40234(.A0 (ic0_cfg_1024), .A1 (n_221), .B0
+ (u14_u6_full_empty_r), .Y (n_843));
+ NOR2X1 g41317(.A (n_4074), .B (\u9_wp[2] ), .Y (n_1059));
+ INVX2 g41271(.A (n_841), .Y (n_1055));
+ INVX8 g41259(.A (n_914), .Y (n_6649));
+ INVX1 g41255(.A (n_840), .Y (n_1142));
+ CLKBUFX3 g41236(.A (n_839), .Y (n_1517));
+ AND2X1 g41214(.A (n_838), .B (wb_addr_i[3]), .Y (n_6042));
+ OR2X1 g41198(.A (oc3_cfg_995), .B (n_471), .Y (n_837));
+ NOR2X1 g41179(.A (n_4710), .B (n_836), .Y (n_1049));
+ NAND2X2 g41163(.A (n_473), .B (n_751), .Y (n_1147));
+ INVX1 g41142(.A (n_781), .Y (n_1149));
+ NAND2X1 g41105(.A (oc3_cfg_995), .B (n_471), .Y (n_834));
+ CLKBUFX1 g41091(.A (n_832), .Y (n_5407));
+ INVX1 g41089(.A (n_832), .Y (n_1042));
+ INVX1 g41743(.A (n_830), .Y (n_831));
+ INVX2 g41054(.A (n_587), .Y (n_1035));
+ INVX2 g41753(.A (n_835), .Y (n_1103));
+ INVX4 g41073(.A (n_1214), .Y (n_1575));
+ INVX1 g41065(.A (n_6981), .Y (n_1038));
+ INVX1 g41748(.A (n_829), .Y (n_1105));
+ INVX4 g40930(.A (n_931), .Y (n_1162));
+ XOR2X1 g40448(.A (\u11_wp[0] ), .B (\u11_wp[1] ), .Y (n_825));
+ INVX1 g41692(.A (n_823), .Y (n_1107));
+ AOI21X1 g40394(.A0 (\u3_rp[3] ), .A1 (\u3_wp[2] ), .B0 (n_477), .Y
+ (n_822));
+ AOI21X1 g40396(.A0 (\u10_rp[2] ), .A1 (\u10_wp[3] ), .B0 (n_514), .Y
+ (n_1290));
+ NAND2X2 g40963(.A (n_798), .B (n_734), .Y (n_1082));
+ BUFX3 g41032(.A (n_821), .Y (n_4507));
+ INVX1 g41078(.A (n_818), .Y (n_819));
+ INVX1 g43041(.A (n_1873), .Y (n_5827));
+ NAND2X1 g41022(.A (n_808), .B (n_4711), .Y (n_7080));
+ INVX1 g42599(.A (n_763), .Y (n_814));
+ INVX2 g41722(.A (n_813), .Y (n_1106));
+ INVX2 g40971(.A (n_930), .Y (n_1158));
+ AOI21X1 g40350(.A0 (ic2_cfg_1044), .A1 (n_209), .B0
+ (u14_u8_full_empty_r), .Y (n_811));
+ AOI21X1 g40398(.A0 (\u11_rp[2] ), .A1 (\u11_wp[3] ), .B0 (n_522), .Y
+ (n_2617));
+ NOR2X1 g40988(.A (n_808), .B (n_4711), .Y (n_6201));
+ INVX4 g41651(.A (n_673), .Y (n_1111));
+ INVX1 g41630(.A (n_807), .Y (n_1230));
+ INVX1 g40817(.A (n_806), .Y (n_6141));
+ INVX1 g40899(.A (n_2553), .Y (n_1232));
+ NOR2X1 g40857(.A (n_8197), .B (n_804), .Y (n_9695));
+ BUFX3 g41033(.A (n_821), .Y (n_4471));
+ NOR2X1 g38798(.A (n_421), .B (wb_addr_i[30]), .Y (n_1262));
+ AOI21X1 g40404(.A0 (\u9_rp[2] ), .A1 (\u9_wp[3] ), .B0 (n_478), .Y
+ (n_2621));
+ CLKBUFX1 g40821(.A (n_806), .Y (n_6995));
+ OAI21X1 g37199(.A0 (\u10_rp[1] ), .A1 (\u10_wp[2] ), .B0 (n_480), .Y
+ (n_1291));
+ CLKBUFX1 g40936(.A (n_931), .Y (n_5424));
+ NAND2X1 g40825(.A (n_5588), .B (n_802), .Y (n_8205));
+ NOR2X1 g40980(.A (n_1033), .B (n_798), .Y (n_799));
+ INVX1 g40822(.A (n_806), .Y (n_5881));
+ ADDHX1 g38774(.A (\u2_res_cnt[1] ), .B (\u2_res_cnt[0] ), .CO
+ (n_1277), .S (n_796));
+ ADDHX1 g38778(.A (\u2_to_cnt[0] ), .B (\u2_to_cnt[1] ), .CO (n_1553),
+ .S (n_795));
+ ADDHX1 g38777(.A (\u26_cnt[0] ), .B (\u26_cnt[1] ), .CO (n_793), .S
+ (n_794));
+ INVX4 g40675(.A (wb_din_670), .Y (n_2691));
+ AOI22X1 g40416(.A0 (\u13_intm_r[1] ), .A1 (\u13_ints_r[1] ), .B0
+ (\u13_intm_r[3] ), .B1 (\u13_ints_r[3] ), .Y (n_792));
+ INVX1 g42329(.A (n_12331), .Y (n_1921));
+ INVX2 g40469(.A (wb_din_679), .Y (n_2818));
+ XOR2X1 g40442(.A (\u10_rp[1] ), .B (\u10_wp[2] ), .Y (n_786));
+ INVX1 g42739(.A (n_458), .Y (n_991));
+ NOR2X1 g40709(.A (n_3559), .B (\u5_rp[3] ), .Y (n_785));
+ INVX2 g41452(.A (n_507), .Y (n_982));
+ NOR2X1 g41133(.A (n_689), .B (\u9_wp[2] ), .Y (n_969));
+ NAND2X1 g41143(.A (\u6_rp[2] ), .B (n_11579), .Y (n_781));
+ NOR2X1 g41394(.A (n_12332), .B (n_12330), .Y (n_846));
+ INVX1 g42745(.A (n_771), .Y (n_886));
+ INVX1 g42646(.A (n_765), .Y (n_868));
+ INVX1 g42509(.A (n_761), .Y (n_1923));
+ INVX2 g42039(.A (n_5772), .Y (n_6318));
+ INVX1 g42104(.A (n_1198), .Y (n_757));
+ INVX4 g40515(.A (wb_din_671), .Y (n_2716));
+ AND2X1 g41777(.A (n_671), .B (n_924), .Y (n_9614));
+ AND2X1 g41763(.A (n_744), .B (n_444), .Y (n_835));
+ NOR2X1 g41764(.A (n_715), .B (n_294), .Y (n_753));
+ NOR2X1 g41750(.A (n_465), .B (n_503), .Y (n_752));
+ AND2X1 g41723(.A (n_706), .B (n_751), .Y (n_813));
+ NOR2X1 g41724(.A (\u13_ints_r[28] ), .B (n_749), .Y (n_750));
+ NOR2X1 g41708(.A (n_705), .B (n_746), .Y (n_748));
+ INVX2 g40645(.A (wb_din_688), .Y (n_2767));
+ NAND2X1 g40909(.A (n_5), .B (\u11_rp[0] ), .Y (n_1000));
+ AND2X1 g41660(.A (n_456), .B (n_711), .Y (n_3995));
+ NAND2X1 g40769(.A (n_746), .B (\u7_wp[1] ), .Y (n_938));
+ NOR2X1 g41612(.A (n_744), .B (n_444), .Y (n_745));
+ INVX4 g40682(.A (wb_din_682), .Y (n_2792));
+ NOR2X1 g41573(.A (n_627), .B (n_626), .Y (n_743));
+ NOR2X1 g41559(.A (n_742), .B (n_703), .Y (n_1281));
+ INVX2 g40529(.A (wb_din_663), .Y (n_2804));
+ AND2X1 g41557(.A (n_699), .B (n_872), .Y (n_7531));
+ NOR2X1 g41556(.A (n_693), .B (n_942), .Y (n_741));
+ NOR2X1 g41464(.A (\u13_ints_r[22] ), .B (n_739), .Y (n_740));
+ OR2X1 g41411(.A (n_454), .B (n_418), .Y (n_847));
+ INVX2 g41414(.A (n_736), .Y (n_1831));
+ AND2X1 g41401(.A (n_590), .B (n_127), .Y (n_9717));
+ AND2X1 g41281(.A (n_710), .B (n_734), .Y (n_841));
+ AND2X1 g41266(.A (n_598), .B (n_804), .Y (n_9650));
+ NOR2X1 g41215(.A (\u13_ints_r[4] ), .B (n_492), .Y (n_733));
+ INVX4 g40668(.A (wb_din_676), .Y (n_2786));
+ NOR2X1 g41092(.A (n_708), .B (\u10_wp[2] ), .Y (n_832));
+ NAND2X1 g41079(.A (n_697), .B (\u26_ps_cnt[0] ), .Y (n_818));
+ NOR2X1 g41060(.A (n_621), .B (wb_addr_i[3]), .Y (n_6044));
+ AOI22X1 g40410(.A0 (\u13_intm_r[14] ), .A1 (\u13_ints_r[14] ), .B0
+ (\u13_intm_r[16] ), .B1 (\u13_ints_r[16] ), .Y (n_732));
+ AOI22X1 g40411(.A0 (\u13_intm_r[27] ), .A1 (\u13_ints_r[27] ), .B0
+ (\u13_intm_r[28] ), .B1 (\u13_ints_r[28] ), .Y (n_731));
+ AOI22X1 g40413(.A0 (\u13_intm_r[21] ), .A1 (\u13_ints_r[21] ), .B0
+ (\u13_intm_r[22] ), .B1 (\u13_ints_r[22] ), .Y (n_730));
+ AOI22X1 g40414(.A0 (\u13_intm_r[23] ), .A1 (\u13_ints_r[23] ), .B0
+ (\u13_intm_r[24] ), .B1 (\u13_ints_r[24] ), .Y (n_729));
+ AOI22X1 g40415(.A0 (\u13_intm_r[5] ), .A1 (\u13_ints_r[5] ), .B0
+ (\u13_intm_r[6] ), .B1 (\u13_ints_r[6] ), .Y (n_728));
+ AOI22X1 g40417(.A0 (\u13_intm_r[19] ), .A1 (\u13_ints_r[19] ), .B0
+ (\u13_intm_r[20] ), .B1 (\u13_ints_r[20] ), .Y (n_726));
+ AOI22X1 g40418(.A0 (\u13_intm_r[11] ), .A1 (\u13_ints_r[11] ), .B0
+ (\u13_intm_r[12] ), .B1 (\u13_ints_r[12] ), .Y (n_725));
+ INVX4 g40462(.A (wb_din_664), .Y (n_2794));
+ INVX2 g40494(.A (wb_din_685), .Y (n_2831));
+ INVX4 g40522(.A (wb_din_681), .Y (n_2729));
+ INVX4 g40536(.A (wb_din_662), .Y (n_2782));
+ INVX2 g40554(.A (wb_din_669), .Y (n_2686));
+ INVX2 g40582(.A (wb_din_661), .Y (n_2707));
+ INVX1 g40661(.A (u2_bit_clk_r), .Y (n_719));
+ INVX4 g40638(.A (wb_din_683), .Y (n_2684));
+ NAND2X1 g40697(.A (n_715), .B (u15_rdd3), .Y (n_716));
+ NAND2X2 g40707(.A (n_12274), .B (n_691), .Y (n_714));
+ NAND2X1 g40810(.A (n_37), .B (\u26_cnt[2] ), .Y (n_713));
+ NAND2X1 g40816(.A (n_53), .B (\u9_rp[0] ), .Y (n_877));
+ NOR2X1 g40827(.A (n_145), .B (\u4_rp[3] ), .Y (n_712));
+ NOR2X1 g40829(.A (\u2_cnt[4] ), .B (n_711), .Y (n_1822));
+ NAND2X2 g40844(.A (n_710), .B (\u5_wp[1] ), .Y (n_867));
+ NOR2X1 g40858(.A (n_6821), .B (\u6_rp[3] ), .Y (n_709));
+ NAND2X2 g40696(.A (\u8_wp[0] ), .B (n_178), .Y (n_933));
+ AND2X1 g40937(.A (n_708), .B (\u10_wp[2] ), .Y (n_931));
+ NOR2X1 g41596(.A (n_751), .B (n_706), .Y (n_707));
+ AND2X1 g41673(.A (n_746), .B (n_705), .Y (n_913));
+ NAND2X1 g40938(.A (n_703), .B (\u2_to_cnt[5] ), .Y (n_704));
+ OR2X1 g41218(.A (n_701), .B (n_711), .Y (n_702));
+ NOR2X1 g41219(.A (n_699), .B (n_872), .Y (n_700));
+ NAND2X1 g41579(.A (n_687), .B (n_698), .Y (n_1114));
+ NOR2X1 g41237(.A (n_63), .B (n_600), .Y (n_839));
+ OR2X1 g41239(.A (n_697), .B (n_529), .Y (n_1484));
+ NOR2X1 g41243(.A (\u13_ints_r[16] ), .B (n_463), .Y (n_696));
+ NAND2X1 g41256(.A (n_462), .B (n_836), .Y (n_840));
+ INVX1 g41264(.A (n_695), .Y (n_914));
+ NOR2X1 g41268(.A (\u13_ints_r[10] ), .B (n_450), .Y (n_694));
+ AND2X1 g41654(.A (n_693), .B (n_942), .Y (n_9659));
+ NAND2X2 g41302(.A (n_691), .B (n_12281), .Y (n_692));
+ NOR2X1 g41303(.A (n_670), .B (n_921), .Y (n_690));
+ AND2X1 g40978(.A (n_689), .B (\u9_wp[2] ), .Y (n_930));
+ NOR2X1 g41356(.A (n_688), .B (n_687), .Y (n_1253));
+ NOR2X1 g41374(.A (n_685), .B (n_708), .Y (n_686));
+ NOR2X1 g41402(.A (n_684), .B (n_698), .Y (n_1227));
+ NOR2X1 g41406(.A (n_175), .B (n_571), .Y (n_683));
+ NOR2X1 g41413(.A (n_680), .B (n_515), .Y (n_681));
+ AND2X1 g41424(.A (n_1374), .B (n_1301), .Y (n_679));
+ NOR2X1 g41480(.A (\u13_ints_r[12] ), .B (n_676), .Y (n_677));
+ AND2X1 g41536(.A (n_701), .B (n_2596), .Y (n_2571));
+ NAND2X1 g40795(.A (n_58), .B (\u8_wp[1] ), .Y (n_937));
+ INVX1 g41575(.A (n_7443), .Y (n_675));
+ AND2X1 g41597(.A (n_1355), .B (n_1100), .Y (n_674));
+ OR2X1 g41618(.A (n_469), .B (n_12584), .Y (n_977));
+ NOR2X1 g41652(.A (n_12281), .B (n_244), .Y (n_673));
+ NOR2X1 g41656(.A (n_671), .B (n_924), .Y (n_672));
+ INVX2 g41657(.A (n_481), .Y (n_2558));
+ AND2X1 g41659(.A (n_670), .B (n_921), .Y (n_9653));
+ NOR2X1 g41710(.A (\u13_ints_r[15] ), .B (n_668), .Y (n_669));
+ AND2X1 g41730(.A (n_568), .B (n_936), .Y (n_7528));
+ NAND2X1 g41744(.A (n_431), .B (n_667), .Y (n_830));
+ NOR2X1 g41745(.A (\u13_ints_r[9] ), .B (n_665), .Y (n_666));
+ NOR2X1 g40828(.A (n_6316), .B (\u3_rp[3] ), .Y (n_664));
+ INVX2 g40631(.A (wb_din_677), .Y (n_2735));
+ INVX1 g42154(.A (n_656), .Y (n_1924));
+ CLKBUFX1 g42372(.A (\u10_wp[1] ), .Y (n_5420));
+ NOR2X1 g40826(.A (n_12634), .B (\u7_rp[3] ), .Y (n_650));
+ INVX1 g43042(.A (n_422), .Y (n_1873));
+ INVX1 g43078(.A (n_1033), .Y (n_641));
+ INVX1 g43117(.A (n_6824), .Y (n_6131));
+ AND2X1 g40808(.A (\u11_wp[1] ), .B (n_520), .Y (n_995));
+ NOR2X1 g40811(.A (n_2567), .B (\u8_rp[3] ), .Y (n_639));
+ INVX4 g40659(.A (wb_din_675), .Y (n_2741));
+ INVX2 g40617(.A (wb_din_673), .Y (n_2681));
+ NAND2X1 g40745(.A (n_12281), .B (\u8_rp[1] ), .Y (n_637));
+ NOR2X1 g40734(.A (\u10_rp[1] ), .B (n_403), .Y (n_940));
+ AOI21X1 g40095(.A0 (oc0_cfg_964), .A1 (in_slt_753), .B0
+ (u14_u0_full_empty_r), .Y (n_635));
+ INVX4 g40603(.A (wb_din_672), .Y (n_2829));
+ INVX2 g40624(.A (wb_din_686), .Y (n_2702));
+ OR2X1 g35458(.A (n_632), .B (n_11563), .Y (n_7385));
+ OR2X1 g35460(.A (n_11597), .B (n_631), .Y (n_7382));
+ OR2X1 g35462(.A (n_11762), .B (n_630), .Y (n_7441));
+ INVX4 g40652(.A (wb_din_687), .Y (n_2763));
+ AND2X1 g41518(.A (n_627), .B (n_626), .Y (n_858));
+ NAND2X1 g41694(.A (n_434), .B (n_625), .Y (n_823));
+ INVX2 g41524(.A (n_638), .Y (n_1316));
+ INVX1 g42719(.A (n_459), .Y (n_862));
+ NOR2X1 g40923(.A (\u11_wp[1] ), .B (n_520), .Y (n_932));
+ OR2X1 g41522(.A (n_498), .B (n_459), .Y (n_976));
+ AND2X1 g40981(.A (n_621), .B (wb_addr_i[3]), .Y (n_1225));
+ AOI21X1 g40014(.A0 (oc1_cfg_974), .A1 (in_slt_752), .B0
+ (u14_u1_full_empty_r), .Y (n_620));
+ INVX1 g42392(.A (n_447), .Y (n_997));
+ AND2X1 g41504(.A (n_564), .B (n_802), .Y (n_8207));
+ NOR2X1 g41481(.A (\u13_ints_r[3] ), .B (n_616), .Y (n_617));
+ INVX4 g40610(.A (wb_din_665), .Y (n_2744));
+ NOR2X1 g41478(.A (n_734), .B (n_710), .Y (n_615));
+ NOR2X1 g41412(.A (\u13_ints_r[18] ), .B (n_611), .Y (n_612));
+ INVX2 g41417(.A (n_737), .Y (n_1760));
+ NOR2X1 g41373(.A (\u13_ints_r[25] ), .B (n_608), .Y (n_609));
+ AND2X1 g41339(.A (n_58), .B (n_178), .Y (n_851));
+ INVX4 g40596(.A (wb_din_668), .Y (n_2772));
+ OR2X1 g41326(.A (n_410), .B (n_11578), .Y (n_844));
+ NOR2X1 g41318(.A (n_605), .B (n_689), .Y (n_606));
+ NAND2X1 g41291(.A (n_53), .B (n_121), .Y (n_604));
+ NAND2X1 g41242(.A (n_5), .B (n_487), .Y (n_603));
+ NAND2X1 g41229(.A (n_601), .B (n_600), .Y (n_602));
+ NOR2X1 g41217(.A (n_598), .B (n_804), .Y (n_599));
+ NOR2X1 g41211(.A (\u13_ints_r[13] ), .B (n_490), .Y (n_597));
+ INVX2 g40568(.A (wb_din_666), .Y (n_2765));
+ AND2X1 g41174(.A (oc2_cfg_985), .B (n_242), .Y (n_5876));
+ NOR2X1 g41106(.A (wb_addr_i[6]), .B (n_593), .Y (n_594));
+ OR2X1 g41066(.A (n_590), .B (oc5_cfg_1016), .Y (n_6981));
+ OR2X1 g41749(.A (n_523), .B (n_11612), .Y (n_829));
+ NAND2X1 g41055(.A (n_12581), .B (\u5_rp[1] ), .Y (n_587));
+ NOR2X1 g41627(.A (n_12581), .B (n_12583), .Y (n_909));
+ INVX4 g40508(.A (wb_din_684), .Y (n_2790));
+ INVX2 g40476(.A (wb_din_691), .Y (n_2748));
+ INVX2 g40501(.A (wb_din_678), .Y (n_2720));
+ INVX1 g41726(.A (n_2599), .Y (n_581));
+ NOR2X1 g41613(.A (\u13_ints_r[19] ), .B (n_525), .Y (n_580));
+ INVX4 g40589(.A (wb_din), .Y (n_2801));
+ AOI22X1 g40419(.A0 (\u13_intm_r[25] ), .A1 (\u13_ints_r[25] ), .B0
+ (\u13_intm_r[26] ), .B1 (\u13_ints_r[26] ), .Y (n_577));
+ NOR2X1 g40723(.A (n_8536), .B (n_571), .Y (n_9766));
+ AOI22X1 g40412(.A0 (\u13_intm_r[17] ), .A1 (\u13_ints_r[17] ), .B0
+ (\u13_intm_r[18] ), .B1 (\u13_ints_r[18] ), .Y (n_576));
+ NAND2X1 g41042(.A (\u4_wp[0] ), .B (n_444), .Y (n_821));
+ AOI22X1 g40407(.A0 (\u13_intm_r[10] ), .A1 (\u13_ints_r[10] ), .B0
+ (\u13_intm_r[9] ), .B1 (\u13_ints_r[9] ), .Y (n_574));
+ NAND2X1 g40724(.A (n_8536), .B (n_571), .Y (n_9645));
+ INVX4 g40575(.A (wb_din_680), .Y (n_2732));
+ INVX2 g40561(.A (wb_din_667), .Y (n_2712));
+ NOR2X1 g41578(.A (n_568), .B (n_936), .Y (n_569));
+ NAND2X2 g41076(.A (\u11_rp[1] ), .B (n_487), .Y (n_1214));
+ NOR2X1 g41425(.A (n_564), .B (n_802), .Y (n_565));
+ INVX1 g42531(.A (n_838), .Y (n_2574));
+ NAND2X1 g41017(.A (\u9_rp[1] ), .B (n_121), .Y (n_1221));
+ INVX4 g40455(.A (wb_din_674), .Y (n_2755));
+ AOI22X1 g40420(.A0 (\u13_intm_r[13] ), .A1 (\u13_ints_r[13] ), .B0
+ (\u13_intm_r[15] ), .B1 (\u13_ints_r[15] ), .Y (n_557));
+ DFFX1 u1_sdata_in_r_reg(.CK (n_77), .D (sdata_pad_i), .Q
+ (u1_sdata_in_r), .QN ());
+ AOI21X1 g40360(.A0 (oc5_cfg_1014), .A1 (in_slt_747), .B0
+ (u14_u5_full_empty_r), .Y (n_554));
+ NAND2X2 g40782(.A (n_627), .B (\u3_wp[1] ), .Y (n_945));
+ AOI21X1 g40067(.A0 (oc3_cfg_994), .A1 (in_slt_749), .B0
+ (u14_u3_full_empty_r), .Y (n_553));
+ NAND2X2 g40869(.A (n_744), .B (\u4_wp[1] ), .Y (n_935));
+ NAND2X1 g41172(.A (\u4_rp[2] ), .B (n_551), .Y (n_552));
+ NOR2X1 g41582(.A (\u13_ints_r[7] ), .B (n_488), .Y (n_550));
+ AOI22X1 g40408(.A0 (\u13_intm_r[2] ), .A1 (\u13_ints_r[2] ), .B0
+ (\u13_intm_r[4] ), .B1 (\u13_ints_r[4] ), .Y (n_549));
+ OR2X1 g41631(.A (n_11777), .B (n_518), .Y (n_807));
+ NAND2X1 g40824(.A (n_544), .B (oc2_cfg_986), .Y (n_806));
+ AOI22X1 g40409(.A0 (\u13_intm_r[7] ), .A1 (\u13_ints_r[7] ), .B0
+ (\u13_intm_r[8] ), .B1 (\u13_ints_r[8] ), .Y (n_543));
+ NOR2X1 g41289(.A (\u13_ints_r[6] ), .B (n_540), .Y (n_541));
+ AOI21X1 g40208(.A0 (oc4_cfg_1004), .A1 (in_slt_748), .B0
+ (u14_u4_full_empty_r), .Y (n_539));
+ INVX4 g42042(.A (n_3559), .Y (n_5772));
+ AND2X1 g40901(.A (\u10_rp[1] ), .B (n_403), .Y (n_2553));
+ OR2X1 g41503(.A (n_510), .B (n_458), .Y (n_854));
+ NAND2X1 g41535(.A (n_12332), .B (n_12330), .Y (n_532));
+ AND2X1 g41544(.A (n_319), .B (n_471), .Y (n_9719));
+ AOI21X1 g39987(.A0 (oc2_cfg_984), .A1 (in_slt_750), .B0
+ (u14_u2_full_empty_r), .Y (n_531));
+ NAND2X1 g40722(.A (n_706), .B (\u6_wp[1] ), .Y (n_941));
+ INVX1 g42549(.A (n_528), .Y (n_4711));
+ INVX4 g42955(.A (n_710), .Y (n_798));
+ INVX1 g42532(.A (n_621), .Y (n_838));
+ INVX1 g42471(.A (n_524), .Y (n_3987));
+ DFFX1 \u12_dout_reg[7] (.CK (clk_i), .D (wb_data_i[7]), .Q
+ (wb_din_667), .QN ());
+ DFFX1 \u12_dout_reg[4] (.CK (clk_i), .D (wb_data_i[4]), .Q
+ (wb_din_664), .QN ());
+ INVX1 g43014(.A (n_523), .Y (n_4687));
+ NOR2X1 g41728(.A (\u11_rp[2] ), .B (\u11_wp[3] ), .Y (n_522));
+ DFFX1 \u12_dout_reg[12] (.CK (clk_i), .D (wb_data_i[12]), .Q
+ (wb_din_672), .QN ());
+ INVX1 g42602(.A (n_601), .Y (n_763));
+ INVX1 g41907(.A (n_746), .Y (n_1421));
+ INVX1 g42511(.A (n_551), .Y (n_521));
+ DFFX1 \u12_dout_reg[24] (.CK (clk_i), .D (wb_data_i[24]), .Q
+ (wb_din_684), .QN ());
+ DFFX1 \u12_dout_reg[26] (.CK (clk_i), .D (wb_data_i[26]), .Q
+ (wb_din_686), .QN ());
+ INVX1 g42790(.A (n_520), .Y (n_853));
+ NOR2X1 g41238(.A (\u10_rp[2] ), .B (\u10_wp[3] ), .Y (n_514));
+ INVX1 g41992(.A (n_667), .Y (n_4706));
+ NOR2X1 g41371(.A (\u13_ints_r[27] ), .B (ic2_int_set_723), .Y
+ (n_513));
+ AND2X1 g41355(.A (\u11_wp[0] ), .B (\u11_wp[1] ), .Y (n_512));
+ NAND2X1 g41416(.A (\u11_rp[1] ), .B (\u11_rp[0] ), .Y (n_736));
+ NAND2X1 g41453(.A (\u8_wp[0] ), .B (\u8_wp[1] ), .Y (n_507));
+ NOR2X1 g41479(.A (wb_addr_i[2]), .B (wb_addr_i[3]), .Y (n_996));
+ INVX1 g42563(.A (n_782), .Y (n_762));
+ INVX1 g42302(.A (n_705), .Y (n_6841));
+ DFFX1 \u12_dout_reg[23] (.CK (clk_i), .D (wb_data_i[23]), .Q
+ (wb_din_683), .QN ());
+ NOR2X1 g41267(.A (\u13_ints_r[24] ), .B (ic1_int_set_721), .Y
+ (n_495));
+ INVX1 g42199(.A (n_625), .Y (n_4690));
+ INVX1 g41841(.A (wb_addr_i[4]), .Y (n_1300));
+ INVX1 g41854(.A (n_808), .Y (n_4714));
+ DFFX1 \u12_dout_reg[11] (.CK (clk_i), .D (wb_data_i[11]), .Q
+ (wb_din_671), .QN ());
+ INVX1 g41800(.A (n_593), .Y (n_5225));
+ INVX1 g42746(.A (n_12581), .Y (n_771));
+ NOR2X1 g41709(.A (wb_we_i), .B (u12_re2), .Y (n_1006));
+ OR2X1 g41658(.A (\u10_rp[1] ), .B (\u10_rp[0] ), .Y (n_481));
+ NAND2X1 g41655(.A (\u10_rp[1] ), .B (\u10_wp[2] ), .Y (n_480));
+ DFFX1 \u12_dout_reg[31] (.CK (clk_i), .D (wb_data_i[31]), .Q
+ (wb_din_691), .QN ());
+ NOR2X1 g41403(.A (\u9_rp[2] ), .B (\u9_wp[3] ), .Y (n_478));
+ NOR2X1 g41614(.A (\u3_rp[3] ), .B (\u3_wp[2] ), .Y (n_477));
+ AND2X1 g41319(.A (u12_we1), .B (wb_cyc_i), .Y (n_476));
+ INVX1 g43064(.A (n_706), .Y (n_473));
+ DFFX1 \u12_dout_reg[13] (.CK (clk_i), .D (wb_data_i[13]), .Q
+ (wb_din_673), .QN ());
+ DFFX1 \u12_dout_reg[29] (.CK (clk_i), .D (wb_data_i[29]), .Q
+ (wb_din_689), .QN ());
+ INVX1 g41965(.A (n_744), .Y (n_1419));
+ NOR2X1 g41576(.A (wb_addr_i[3]), .B (wb_addr_i[4]), .Y (n_7443));
+ INVX1 g42517(.A (n_469), .Y (n_4688));
+ INVX1 g42840(.A (n_626), .Y (n_6838));
+ DFFX1 \u12_dout_reg[22] (.CK (clk_i), .D (wb_data_i[22]), .Q
+ (wb_din_682), .QN ());
+ INVX1 g42794(.A (n_462), .Y (n_4710));
+ INVX1 g42764(.A (n_836), .Y (n_4713));
+ NAND2X1 g41528(.A (\u10_rp[1] ), .B (\u10_rp[0] ), .Y (n_638));
+ DFFX1 \u12_dout_reg[30] (.CK (clk_i), .D (wb_data_i[30]), .Q
+ (wb_din_690), .QN ());
+ DFFX1 \u12_dout_reg[15] (.CK (clk_i), .D (wb_data_i[15]), .Q
+ (wb_din_675), .QN ());
+ INVX1 g42510(.A (n_551), .Y (n_761));
+ INVX4 g41822(.A (n_2567), .Y (n_6152));
+ DFFX1 \u12_dout_reg[19] (.CK (clk_i), .D (wb_data_i[19]), .Q
+ (wb_din_679), .QN ());
+ INVX1 g42812(.A (n_454), .Y (n_4701));
+ NOR2X1 g41574(.A (\u13_ints_r[21] ), .B (ic0_int_set_719), .Y
+ (n_453));
+ DFFX1 u2_bit_clk_r_reg(.CK (clk_i), .D (bit_clk_pad_i), .Q (), .QN
+ (u2_bit_clk_r));
+ INVX4 g42895(.A (n_6316), .Y (n_634));
+ INVX1 g43096(.A (n_689), .Y (n_4074));
+ INVX1 g42647(.A (n_445), .Y (n_765));
+ INVX2 g42155(.A (n_600), .Y (n_656));
+ INVX1 g42697(.A (n_444), .Y (n_614));
+ NAND2X1 g41419(.A (\u9_rp[1] ), .B (\u9_rp[0] ), .Y (n_737));
+ NOR2X1 g41405(.A (n_8536), .B (oc3_cfg_997), .Y (n_9656));
+ NAND2X1 g41407(.A (\u13_intm_r[0] ), .B (\u13_ints_r[0] ), .Y
+ (n_442));
+ AND2X1 g41404(.A (wb_we_i), .B (wb_stb_i), .Y (n_869));
+ NOR2X1 g41292(.A (\u4_rp[3] ), .B (\u4_wp[2] ), .Y (n_441));
+ NAND2X1 g41265(.A (\u11_wp[1] ), .B (\u11_wp[2] ), .Y (n_695));
+ INVX1 g41784(.A (n_12332), .Y (n_754));
+ NOR2X1 g41257(.A (out_slt_25), .B (out_slt_24), .Y (n_440));
+ INVX1 g41780(.A (n_12332), .Y (n_438));
+ INVX4 g42286(.A (n_6821), .Y (n_784));
+ INVX1 g42919(.A (n_431), .Y (n_4683));
+ DFFX1 \u12_dout_reg[27] (.CK (clk_i), .D (wb_data_i[27]), .Q
+ (wb_din_687), .QN ());
+ INVX1 g42850(.A (n_434), .Y (n_4738));
+ INVX1 g43066(.A (n_706), .Y (n_1417));
+ DFFX1 \u12_dout_reg[28] (.CK (clk_i), .D (wb_data_i[28]), .Q
+ (wb_din_688), .QN ());
+ NAND2X1 g41727(.A (wb_cyc_i), .B (wb_stb_i), .Y (n_2599));
+ INVX4 g42624(.A (n_627), .Y (n_1424));
+ DFFX1 \u12_dout_reg[10] (.CK (clk_i), .D (wb_data_i[10]), .Q
+ (wb_din_670), .QN ());
+ DFFX1 \u12_dout_reg[6] (.CK (clk_i), .D (wb_data_i[6]), .Q
+ (wb_din_666), .QN ());
+ DFFX1 \u12_dout_reg[20] (.CK (clk_i), .D (wb_data_i[20]), .Q
+ (wb_din_680), .QN ());
+ OR2X1 g41729(.A (wb_addr_i[31]), .B (wb_addr_i[29]), .Y (n_421));
+ DFFX1 \u12_dout_reg[0] (.CK (clk_i), .D (wb_data_i[0]), .Q (wb_din),
+ .QN ());
+ DFFX1 \u12_dout_reg[21] (.CK (clk_i), .D (wb_data_i[21]), .Q
+ (wb_din_681), .QN ());
+ CLKBUFX1 g42994(.A (n_418), .Y (n_5825));
+ INVX1 g42960(.A (n_751), .Y (n_7048));
+ AND2X1 g41725(.A (\u2_res_cnt[0] ), .B (\u2_res_cnt[2] ), .Y (n_416));
+ NOR2X1 g41639(.A (\u2_res_cnt[1] ), .B (\u2_res_cnt[3] ), .Y (n_415));
+ DFFX1 \u12_dout_reg[5] (.CK (clk_i), .D (wb_data_i[5]), .Q
+ (wb_din_665), .QN ());
+ DFFX1 \u12_dout_reg[18] (.CK (clk_i), .D (wb_data_i[18]), .Q
+ (wb_din_678), .QN ());
+ NAND2X1 g41545(.A (u15_rdd1), .B (u15_crac_rd), .Y (n_414));
+ INVX1 g41910(.A (n_734), .Y (n_657));
+ DFFX1 \u12_dout_reg[25] (.CK (clk_i), .D (wb_data_i[25]), .Q
+ (wb_din_685), .QN ());
+ DFFX1 \u12_dout_reg[2] (.CK (clk_i), .D (wb_data_i[2]), .Q
+ (wb_din_662), .QN ());
+ NOR2X1 g41558(.A (\u5_rp[3] ), .B (\u5_wp[2] ), .Y (n_412));
+ DFFX1 \u12_dout_reg[9] (.CK (clk_i), .D (wb_data_i[9]), .Q
+ (wb_din_669), .QN ());
+ INVX1 g41905(.A (n_746), .Y (n_411));
+ INVX1 g42021(.A (n_410), .Y (n_4699));
+ DFFX1 \u12_dout_reg[17] (.CK (clk_i), .D (wb_data_i[17]), .Q
+ (wb_din_677), .QN ());
+ DFFX1 \u12_dout_reg[3] (.CK (clk_i), .D (wb_data_i[3]), .Q
+ (wb_din_663), .QN ());
+ DFFX1 \u12_dout_reg[8] (.CK (clk_i), .D (wb_data_i[8]), .Q
+ (wb_din_668), .QN ());
+ DFFX1 \u12_dout_reg[16] (.CK (clk_i), .D (wb_data_i[16]), .Q
+ (wb_din_676), .QN ());
+ INVX1 g43114(.A (n_145), .Y (n_6134));
+ DFFX1 \u12_dout_reg[14] (.CK (clk_i), .D (wb_data_i[14]), .Q
+ (wb_din_674), .QN ());
+ INVX1 g42453(.A (n_403), .Y (n_1206));
+ DFFX1 \u12_dout_reg[1] (.CK (clk_i), .D (wb_data_i[1]), .Q
+ (wb_din_661), .QN ());
+ CLKBUFX1 g42105(.A (n_691), .Y (n_1198));
+ INVX1 g42349(.A (\u11_mem[3]_65 ), .Y (n_6035));
+ INVX1 g42139(.A (\u11_mem[2]_95 ), .Y (n_6431));
+ INVX1 g42947(.A (\u9_mem[1]_144 ), .Y (n_1703));
+ INVX1 g41803(.A (\u9_mem[2]_105 ), .Y (n_1766));
+ INVX1 g42912(.A (\u10_mem[1]_122 ), .Y (n_6542));
+ INVX1 g41972(.A (\u11_mem[1]_147 ), .Y (n_1591));
+ INVX1 g42401(.A (n_1212), .Y (n_456));
+ INVX1 g42005(.A (out_slt_133), .Y (n_401));
+ INVX1 g42067(.A (oc1_int_set_709), .Y (n_540));
+ INVX1 g42813(.A (oc4_cfg_1009), .Y (n_454));
+ INVX1 g43082(.A (out_slt_85), .Y (n_10790));
+ INVX1 g41868(.A (\u9_mem[0]_156 ), .Y (n_6847));
+ INVX1 g41873(.A (\u9_mem[0]_171 ), .Y (n_1738));
+ INVX1 g42010(.A (\u11_mem[3]_85 ), .Y (n_5524));
+ INVX1 g42541(.A (\u10_mem[0]_173 ), .Y (n_1685));
+ INVX1 g42127(.A (out_slt_139), .Y (n_399));
+ INVX1 g43063(.A (out_slt_75), .Y (n_398));
+ INVX1 g42374(.A (\u10_wp[1] ), .Y (n_708));
+ INVX1 g42119(.A (out_slt4), .Y (n_397));
+ INVX1 g42612(.A (out_slt_100), .Y (n_396));
+ INVX1 g42652(.A (\u11_mem[1]_146 ), .Y (n_1595));
+ INVX1 g42548(.A (\u11_mem[0]_161 ), .Y (n_6368));
+ INVX1 g42115(.A (\u10_mem[0]_162 ), .Y (n_6380));
+ INVX1 g42829(.A (out_slt_92), .Y (n_394));
+ INVX1 g42545(.A (\u10_mem[3]_68 ), .Y (n_6595));
+ INVX1 g41924(.A (\u9_mem[3]_60 ), .Y (n_6895));
+ INVX1 g41902(.A (\u11_wp[0] ), .Y (n_393));
+ INVX1 g42577(.A (crac_out), .Y (n_392));
+ INVX1 g42619(.A (out_slt_91), .Y (n_391));
+ INVX1 g43099(.A (out_slt_74), .Y (n_389));
+ INVX1 g42779(.A (\u10_mem[0]_156 ), .Y (n_6333));
+ INVX1 g42520(.A (\u9_mem[0]_162 ), .Y (n_6392));
+ INVX1 g43044(.A (oc3_cfg), .Y (n_422));
+ INVX1 g42159(.A (\u10_mem[1]_129 ), .Y (n_6468));
+ INVX1 g42306(.A (out_slt_131), .Y (n_387));
+ INVX1 g42797(.A (\u10_mem[3]_67 ), .Y (n_6598));
+ INVX1 g42477(.A (\u9_mem[2]_117 ), .Y (n_385));
+ INVX2 g42625(.A (\u3_wp[0] ), .Y (n_627));
+ INVX1 g42298(.A (\u9_mem[2]_116 ), .Y (n_2499));
+ INVX1 g42179(.A (out_slt_149), .Y (n_384));
+ INVX1 g42222(.A (out_slt_178), .Y (n_383));
+ INVX1 g41926(.A (\u10_mem[2]_102 ), .Y (n_6660));
+ INVX1 g42130(.A (\u10_mem[3] ), .Y (n_6005));
+ INVX1 g42204(.A (oc0_int_set_708), .Y (n_492));
+ INVX1 g42318(.A (out_slt_88), .Y (n_380));
+ INVX1 g42084(.A (\u11_mem[3]_72 ), .Y (n_6023));
+ INVX1 g42676(.A (\u10_mem[2]_113 ), .Y (n_379));
+ INVX1 g43110(.A (\u11_mem[3]_86 ), .Y (n_5508));
+ INVX1 g42227(.A (\u11_mem[1]_144 ), .Y (n_1604));
+ INVX1 g41863(.A (\u10_mem[2]_93 ), .Y (n_6612));
+ INVX1 g42047(.A (\u10_mem[2]_96 ), .Y (n_6018));
+ INVX1 g42681(.A (\u11_mem[1]_148 ), .Y (n_1584));
+ INVX1 g41787(.A (out_slt_148), .Y (n_376));
+ INVX1 g42617(.A (out_slt_130), .Y (n_375));
+ INVX1 g42345(.A (\u11_mem[1]_143 ), .Y (n_1609));
+ INVX1 g42080(.A (\u9_mem[1]_125 ), .Y (n_6940));
+ INVX1 g42804(.A (out_slt_87), .Y (n_373));
+ INVX1 g42651(.A (oc2_int_set_712), .Y (n_450));
+ INVX1 g41871(.A (out_slt_165), .Y (n_372));
+ INVX1 g43071(.A (\u10_mem[1]_123 ), .Y (n_6411));
+ INVX1 g42547(.A (out_slt_89), .Y (n_370));
+ INVX1 g42827(.A (\u11_mem[3]_81 ), .Y (n_5492));
+ INVX1 g42432(.A (\u10_mem[2]_110 ), .Y (n_366));
+ INVX1 g41869(.A (\u9_mem[2]_113 ), .Y (n_365));
+ INVX1 g42487(.A (out_slt_128), .Y (n_363));
+ INVX1 g42180(.A (\u9_mem[0]_163 ), .Y (n_6398));
+ INVX1 g42690(.A (\u9_mem[2]_102 ), .Y (n_6635));
+ INVX1 g43069(.A (\u11_mem[3]_80 ), .Y (n_5496));
+ INVX1 g41849(.A (out_slt_147), .Y (n_362));
+ INVX1 g42723(.A (out_slt_102), .Y (n_361));
+ INVX1 g42325(.A (\u11_mem[1]_142 ), .Y (n_1614));
+ INVX1 g42568(.A (\u11_mem[0]_174 ), .Y (n_1610));
+ INVX1 g42682(.A (\u10_mem[2]_95 ), .Y (n_6021));
+ INVX1 g42094(.A (out_slt_164), .Y (n_359));
+ INVX1 g42665(.A (\u11_mem[3]_58 ), .Y (n_6524));
+ INVX1 g42834(.A (\u11_mem[0]_155 ), .Y (n_6349));
+ INVX1 g42479(.A (\u10_mem[3]_66 ), .Y (n_6601));
+ INVX1 g41883(.A (\u9_mem[3]_61 ), .Y (n_6912));
+ INVX1 g42332(.A (crac_out_852), .Y (n_357));
+ INVX2 g42106(.A (\u8_rp[1] ), .Y (n_691));
+ INVX1 g42683(.A (\u9_mem[1]_138 ), .Y (n_356));
+ INVX1 g42724(.A (out_slt_146), .Y (n_352));
+ INVX1 g42637(.A (\u10_mem[2]_98 ), .Y (n_6668));
+ INVX1 g41913(.A (\u11_mem[3]_61 ), .Y (n_6556));
+ INVX1 g42237(.A (out_slt_90), .Y (n_351));
+ INVX1 g42240(.A (\u10_mem[1]_126 ), .Y (n_5966));
+ INVX1 g42899(.A (\u10_mem[2]_105 ), .Y (n_1690));
+ INVX1 g41855(.A (oc4_cfg_1005), .Y (n_808));
+ INVX1 g42925(.A (\u9_mem[0]_167 ), .Y (n_349));
+ INVX1 g42177(.A (out_slt_68), .Y (n_348));
+ INVX2 g42533(.A (wb_addr_i[2]), .Y (n_621));
+ INVX1 g42598(.A (\u11_mem[1]_141 ), .Y (n_1619));
+ INVX1 g42456(.A (\u9_mem[2]_111 ), .Y (n_347));
+ INVX1 g42246(.A (\u9_mem[2]_98 ), .Y (n_6644));
+ INVX1 g41845(.A (\u9_mem[2]_112 ), .Y (n_1700));
+ INVX1 g42197(.A (\u9_mem[2]_114 ), .Y (n_346));
+ INVX1 g41830(.A (\u11_mem[1]_140 ), .Y (n_1754));
+ INVX1 g42049(.A (\u10_mem[0]_178 ), .Y (n_2539));
+ INVX1 g42120(.A (\u9_mem[2]_115 ), .Y (n_345));
+ INVX1 g42486(.A (\u9_mem[3]_59 ), .Y (n_6890));
+ INVX1 g43070(.A (\u11_mem[0]_177 ), .Y (n_1596));
+ INVX1 g42228(.A (\u9_mem[2]_118 ), .Y (n_343));
+ INVX1 g42593(.A (\u10_mem[2]_115 ), .Y (n_2545));
+ INVX1 g42166(.A (\u9_mem[1]_133 ), .Y (n_6528));
+ INVX1 g41986(.A (\u11_mem[1]_145 ), .Y (n_1599));
+ INVX1 g42742(.A (\u10_mem[0]_160 ), .Y (n_6384));
+ INVX1 g42112(.A (\u9_mem[3]_77 ), .Y (n_5374));
+ INVX1 g42046(.A (\u9_mem[3]_78 ), .Y (n_5343));
+ INVX1 g41859(.A (out_slt_73), .Y (n_341));
+ INVX1 g41880(.A (\u2_cnt[3] ), .Y (n_698));
+ INVX1 g42911(.A (\u9_mem[3]_80 ), .Y (n_5333));
+ INVX1 g42082(.A (\u9_mem[1]_141 ), .Y (n_340));
+ INVX1 g42377(.A (\u11_mem[1]_139 ), .Y (n_339));
+ INVX1 g42171(.A (\u11_mem[3]_62 ), .Y (n_6658));
+ INVX1 g42129(.A (\u9_mem[3]_83 ), .Y (n_5367));
+ INVX1 g41927(.A (ic0_int_set_720), .Y (n_739));
+ INVX1 g42305(.A (\u9_mem[3]_85 ), .Y (n_5345));
+ INVX1 g42788(.A (n_8567), .Y (n_942));
+ INVX1 g42175(.A (\u9_mem[3]_87 ), .Y (n_5350));
+ INVX1 g41952(.A (\u9_mem[2]_93 ), .Y (n_6900));
+ INVX1 g42635(.A (\u10_mem[3]_75 ), .Y (n_5575));
+ INVX1 g43061(.A (\u9_mem[0]_159 ), .Y (n_6404));
+ INVX1 g43060(.A (\u10_mem[3]_76 ), .Y (n_5520));
+ INVX1 g43003(.A (u14_n_134), .Y (n_1124));
+ INVX1 g41918(.A (oc3_cfg_996), .Y (n_471));
+ INVX1 g42071(.A (u14_n_133), .Y (n_1308));
+ INVX1 g43052(.A (out_slt_142), .Y (n_10983));
+ INVX1 g42534(.A (\u9_mem[1]_130 ), .Y (n_6540));
+ INVX1 g43055(.A (\u10_mem[3]_78 ), .Y (n_5518));
+ INVX1 g42524(.A (out_slt_22), .Y (n_1301));
+ INVX1 g41844(.A (\u10_mem[3]_80 ), .Y (n_5514));
+ INVX1 g42633(.A (ic1_int_set_722), .Y (n_608));
+ INVX1 g42220(.A (\u11_mem[0]_175 ), .Y (n_1605));
+ INVX1 g42933(.A (crac_out_857), .Y (n_338));
+ INVX1 g42763(.A (\u10_mem[3]_83 ), .Y (n_5357));
+ INVX1 g42808(.A (\u10_mem[0]_168 ), .Y (n_337));
+ INVX1 g42409(.A (\u9_mem[3]_69 ), .Y (n_6450));
+ INVX1 g42914(.A (\u9_mem[3]_68 ), .Y (n_6614));
+ INVX1 g42948(.A (\u9_mem[3]_70 ), .Y (n_6505));
+ INVX1 g42224(.A (n_9641), .Y (n_685));
+ INVX1 g42086(.A (out_slt_125), .Y (n_335));
+ INVX1 g42754(.A (\u11_mem[0]_167 ), .Y (n_334));
+ INVX1 g42833(.A (\u9_mem[2]_103 ), .Y (n_6873));
+ INVX1 g42048(.A (out_slt_84), .Y (n_333));
+ INVX1 g42169(.A (out_slt_95), .Y (n_332));
+ INVX1 g42030(.A (\u10_mem[0]_180 ), .Y (n_1864));
+ INVX1 g41988(.A (oc1_int_set_710), .Y (n_488));
+ INVX1 g42118(.A (out_slt_96), .Y (n_330));
+ INVX1 g42035(.A (out_slt_83), .Y (n_329));
+ INVX1 g43056(.A (\u10_mem[2]_94 ), .Y (n_6607));
+ INVX1 g42785(.A (\u11_mem[1]_137 ), .Y (n_1644));
+ INVX1 g42194(.A (\u11_mem[3]_63 ), .Y (n_6625));
+ INVX1 g42070(.A (out_slt_159), .Y (n_328));
+ INVX1 g42465(.A (crac_wr), .Y (n_324));
+ INVX1 g42757(.A (\u11_mem[1]_123 ), .Y (n_6545));
+ INVX1 g41802(.A (\u9_mem[3]_73 ), .Y (n_6951));
+ INVX1 g42473(.A (\u10_mem[2]_104 ), .Y (n_6030));
+ INVX1 g42636(.A (\u11_mem[3]_64 ), .Y (n_6650));
+ INVX1 g43045(.A (\u9_mem[0]_176 ), .Y (n_1728));
+ INVX1 g41985(.A (out_slt_163), .Y (n_322));
+ INVX1 g42059(.A (\u11_mem[3]_60 ), .Y (n_6441));
+ INVX1 g42312(.A (out_slt_94), .Y (n_321));
+ INVX1 g42160(.A (out_slt_93), .Y (n_320));
+ INVX1 g42908(.A (oc3_cfg_995), .Y (n_319));
+ INVX1 g42630(.A (\u10_mem[0]_159 ), .Y (n_6386));
+ INVX1 g42408(.A (\u9_mem[0]_164 ), .Y (n_6396));
+ INVX1 g43058(.A (\u10_mem[0]_167 ), .Y (n_314));
+ INVX1 g42528(.A (\u10_mem[1]_136 ), .Y (n_313));
+ INVX1 g42319(.A (\u11_mem[0]_168 ), .Y (n_312));
+ INVX1 g42626(.A (\u10_mem[3]_86 ), .Y (n_5506));
+ INVX1 g41978(.A (out_slt_162), .Y (n_9952));
+ INVX1 g42915(.A (\u9_mem[3]_66 ), .Y (n_6620));
+ INVX1 g43088(.A (\u10_mem[3]_81 ), .Y (n_5512));
+ INVX1 g42441(.A (\u9_mem[1]_148 ), .Y (n_2506));
+ INVX1 g41853(.A (\u7_rp[3] ), .Y (n_457));
+ INVX2 g42956(.A (\u5_wp[0] ), .Y (n_710));
+ INVX1 g41808(.A (\u11_mem[1]_122 ), .Y (n_6490));
+ INVX1 g42250(.A (\u10_mem[2]_118 ), .Y (n_1863));
+ INVX1 g42957(.A (out_slt_161), .Y (n_9602));
+ INVX1 g42193(.A (crac_out_850), .Y (n_311));
+ INVX1 g42217(.A (\u10_mem[3]_74 ), .Y (n_5577));
+ INVX1 g42904(.A (oc3_cfg_997), .Y (n_571));
+ INVX1 g42397(.A (\u10_mem[0]_179 ), .Y (n_1251));
+ INVX1 g42970(.A (\u9_mem[3]_82 ), .Y (n_5369));
+ INVX1 g41912(.A (\u5_wp[1] ), .Y (n_734));
+ INVX1 g41862(.A (\u9_mem[3]_65 ), .Y (n_6905));
+ INVX1 g42857(.A (out_slt_169), .Y (n_308));
+ INVX1 g43081(.A (\u9_mem[1]_146 ), .Y (n_1723));
+ INVX1 g42304(.A (\u7_wp[1] ), .Y (n_705));
+ INVX1 g42594(.A (\u10_mem[3]_72 ), .Y (n_6013));
+ INVX1 g43057(.A (\u9_mem[3]_64 ), .Y (n_6559));
+ INVX1 g41994(.A (crac_out_847), .Y (n_306));
+ INVX1 g42214(.A (out_slt_106), .Y (n_304));
+ INVX1 g43086(.A (wb_ack_o), .Y (n_303));
+ INVX1 g41903(.A (out_slt_170), .Y (n_302));
+ INVX1 g41874(.A (\u9_mem[3]_62 ), .Y (n_6886));
+ INVX1 g41977(.A (out_slt_115), .Y (n_300));
+ INVX1 g41997(.A (out_slt_140), .Y (n_299));
+ INVX1 g42195(.A (\u11_mem[2]_113 ), .Y (n_298));
+ INVX1 g42321(.A (\u11_mem[1]_133 ), .Y (n_6503));
+ INVX1 g42573(.A (out_slt_174), .Y (n_297));
+ INVX1 g42484(.A (out_slt_101), .Y (n_295));
+ INVX1 g43089(.A (\u10_mem[0]_177 ), .Y (n_1238));
+ INVX1 g42597(.A (\u10_mem[3]_57 ), .Y (n_6007));
+ INVX1 g42949(.A (u15_rdd3), .Y (n_294));
+ INVX1 g43067(.A (\u6_wp[0] ), .Y (n_706));
+ INVX1 g42249(.A (\u10_mem[1]_120 ), .Y (n_6526));
+ INVX1 g42050(.A (\u9_mem[1]_140 ), .Y (n_1737));
+ INVX1 g42212(.A (out_slt_112), .Y (n_290));
+ INVX1 g43050(.A (\u9_mem[0]_154 ), .Y (n_6852));
+ INVX1 g43053(.A (\u9_mem[2]_110 ), .Y (n_1561));
+ INVX1 g43024(.A (\u11_mem[2]_106 ), .Y (n_288));
+ INVX1 g42761(.A (n_866), .Y (n_687));
+ INVX1 g43091(.A (out_slt_113), .Y (n_287));
+ INVX1 g41831(.A (out_slt_105), .Y (n_10783));
+ INVX1 g42653(.A (\u9_mem[2]_89 ), .Y (n_6933));
+ INVX1 g41826(.A (dma_req_o[5]), .Y (n_284));
+ INVX1 g42369(.A (oc1_cfg_976), .Y (n_283));
+ INVX1 g42578(.A (\u9_mem[1]_135 ), .Y (n_6954));
+ INVX1 g41892(.A (\u9_mem[0]_160 ), .Y (n_6402));
+ INVX1 g42354(.A (\u10_mem[3]_64 ), .Y (n_6002));
+ INVX1 g42664(.A (\u11_mem[0]_152 ), .Y (n_6355));
+ INVX1 g42843(.A (out_slt_79), .Y (n_282));
+ INVX1 g42551(.A (\u10_mem[2]_100 ), .Y (n_6664));
+ INVX1 g42096(.A (out_slt_80), .Y (n_281));
+ INVX1 g41790(.A (out_slt_145), .Y (n_280));
+ INVX1 g42605(.A (\u9_mem[1]_139 ), .Y (n_1679));
+ INVX1 g41804(.A (\u9_mem[0]_175 ), .Y (n_1704));
+ INVX1 g42824(.A (\u11_mem[2]_109 ), .Y (n_279));
+ INVX1 g42700(.A (\u9_mem[1]_124 ), .Y (n_6942));
+ INVX1 g41875(.A (dma_req_o[1]), .Y (n_278));
+ INVX1 g42780(.A (\u11_mem[2]_110 ), .Y (n_277));
+ INVX1 g41829(.A (\u11_mem[1]_132 ), .Y (n_6507));
+ INVX1 g42076(.A (\u11_mem[2]_111 ), .Y (n_275));
+ INVX4 g42045(.A (n_6091), .Y (n_3559));
+ INVX1 g42969(.A (\u11_mem[1]_119 ), .Y (n_6500));
+ INVX1 g41789(.A (\u11_mem[3]_82 ), .Y (n_5500));
+ INVX1 g42569(.A (out_slt8), .Y (n_273));
+ INVX1 g41996(.A (\u10_mem[2]_112 ), .Y (n_2549));
+ INVX1 g42832(.A (\u11_mem[1]_120 ), .Y (n_6498));
+ INVX1 g42786(.A (\u11_mem[1]_121 ), .Y (n_6492));
+ INVX1 g42678(.A (\u9_mem[0]_150 ), .Y (n_6862));
+ INVX1 g42376(.A (out_slt_104), .Y (n_10785));
+ INVX1 g42001(.A (dma_req_o[0]), .Y (n_271));
+ INVX1 g42558(.A (\u11_mem[2]_112 ), .Y (n_270));
+ INVX1 g42634(.A (\u9_mem[1]_120 ), .Y (n_6879));
+ INVX1 g42394(.A (oc2_cfg), .Y (n_447));
+ INVX1 g42370(.A (\u10_mem[1]_147 ), .Y (n_2538));
+ INVX1 g42051(.A (\u9_mem[1]_122 ), .Y (n_6876));
+ INVX1 g42485(.A (\u11_mem[1]_126 ), .Y (n_6484));
+ INVX1 g42580(.A (dma_req_o[4]), .Y (n_268));
+ INVX1 g42018(.A (out_slt_78), .Y (n_267));
+ INVX1 g42174(.A (\u10_mem[3]_69 ), .Y (n_6591));
+ INVX1 g42209(.A (out_slt_110), .Y (n_266));
+ INVX1 g42686(.A (out_slt_129), .Y (n_265));
+ INVX1 g42845(.A (\u10_mem[1]_128 ), .Y (n_6476));
+ INVX1 g42257(.A (out_slt_111), .Y (n_264));
+ INVX1 g42629(.A (\u11_mem[2]_98 ), .Y (n_433));
+ INVX1 g42924(.A (out_slt_173), .Y (n_263));
+ INVX1 g42810(.A (\u10_mem[2]_117 ), .Y (n_2542));
+ INVX1 g42656(.A (out_slt_107), .Y (n_262));
+ INVX1 g42060(.A (\u9_mem[1]_149 ), .Y (n_1715));
+ INVX1 g42856(.A (\u9_mem[1]_127 ), .Y (n_6937));
+ INVX1 g42443(.A (out_slt_116), .Y (n_261));
+ INVX1 g42407(.A (\u2_cnt[5] ), .Y (n_701));
+ INVX1 g35542(.A (i4_full), .Y (n_631));
+ INVX1 g35545(.A (i6_full), .Y (n_630));
+ INVX1 g41925(.A (\u10_mem[0]_155 ), .Y (n_6331));
+ INVX1 g42674(.A (oc2_cfg_987), .Y (n_503));
+ INVX1 g43047(.A (n_8199), .Y (n_804));
+ INVX1 g42822(.A (\u8_wp[2] ), .Y (n_1446));
+ INVX1 g42295(.A (out_slt_134), .Y (n_259));
+ INVX1 g41857(.A (oc0_cfg_965), .Y (n_257));
+ INVX1 g42008(.A (\u11_mem[0]_165 ), .Y (n_5953));
+ INVX1 g42521(.A (\u10_mem[2]_106 ), .Y (n_256));
+ INVX1 g41893(.A (\u10_mem[0]_151 ), .Y (n_6342));
+ INVX2 g41966(.A (\u4_wp[0] ), .Y (n_744));
+ INVX1 g42125(.A (\u9_mem[3]_67 ), .Y (n_6617));
+ INVX1 g42670(.A (out_slt_137), .Y (n_254));
+ INVX1 g42939(.A (\u10_mem[1]_134 ), .Y (n_5974));
+ INVX1 g42138(.A (out_slt3), .Y (n_251));
+ INVX1 g42902(.A (\u10_mem[0]_171 ), .Y (n_2557));
+ INVX1 g42498(.A (\u10_mem[1]_119 ), .Y (n_406));
+ INVX1 g42324(.A (\u10_mem[1]_139 ), .Y (n_249));
+ INVX1 g41990(.A (\u11_mem[0]_180 ), .Y (n_1581));
+ INVX1 g43084(.A (\u11_mem[2]_90 ), .Y (n_6445));
+ INVX1 g42251(.A (out_slt_99), .Y (n_248));
+ INVX2 g42156(.A (\u3_rp[1] ), .Y (n_600));
+ INVX1 g42007(.A (\u9_mem[0] ), .Y (n_6868));
+ INVX1 g43021(.A (n_1773), .Y (n_688));
+ INVX1 g42768(.A (oc5_cfg_1015), .Y (n_590));
+ INVX1 g42750(.A (\u6_wp[2] ), .Y (n_1255));
+ INVX1 g42107(.A (\u8_rp[1] ), .Y (n_244));
+ INVX1 g42297(.A (\u9_mem[0]_180 ), .Y (n_1716));
+ INVX1 g42137(.A (\u9_mem[0]_153 ), .Y (n_6854));
+ INVX1 g42941(.A (out_slt_98), .Y (n_243));
+ INVX1 g41919(.A (\u10_mem[3]_62 ), .Y (n_6565));
+ INVX1 g42660(.A (\u10_mem[0]_174 ), .Y (n_2550));
+ INVX1 g42163(.A (oc2_cfg_986), .Y (n_242));
+ INVX1 g42410(.A (\u11_mem[0]_154 ), .Y (n_6351));
+ INVX1 g42566(.A (\u9_mem[0]_174 ), .Y (n_1701));
+ INVX1 g42489(.A (out_slt_157), .Y (n_240));
+ INVX1 g42396(.A (out_slt_155), .Y (n_237));
+ INVX1 g42116(.A (\u9_mem[1]_129 ), .Y (n_6551));
+ INVX1 g42591(.A (\u11_mem[2]_114 ), .Y (n_236));
+ INVX1 g42072(.A (out_slt_108), .Y (n_234));
+ INVX1 g42011(.A (\u9_mem[0]_157 ), .Y (n_6394));
+ INVX1 g43051(.A (\u10_mem[3]_61 ), .Y (n_6569));
+ INVX1 g42334(.A (\u9_mem[2]_92 ), .Y (n_6902));
+ INVX4 g42896(.A (\u3_rp[0] ), .Y (n_6316));
+ INVX1 g41867(.A (\u10_mem[1]_141 ), .Y (n_232));
+ INVX1 g42122(.A (\u10_mem[3]_63 ), .Y (n_6562));
+ INVX1 g42097(.A (\u9_mem[0]_179 ), .Y (n_2507));
+ INVX1 g42157(.A (\u9_mem[0]_151 ), .Y (n_6859));
+ INVX1 g42506(.A (crac_out_853), .Y (n_231));
+ INVX1 g41792(.A (out_slt_71), .Y (n_230));
+ INVX1 g42412(.A (out_slt_132), .Y (n_229));
+ INVX1 g42310(.A (out_slt_154), .Y (n_228));
+ INVX1 g42666(.A (crac_out_846), .Y (n_227));
+ INVX1 g43002(.A (\u11_mem[1]_124 ), .Y (n_6487));
+ INVX1 g42668(.A (\u10_mem[3]_84 ), .Y (n_5352));
+ INVX1 g42289(.A (\u9_mem[3]_57 ), .Y (n_6919));
+ INVX1 g42973(.A (\u10_mem[1]_124 ), .Y (n_6408));
+ INVX1 g41989(.A (\u9_mem[1]_128 ), .Y (n_6656));
+ INVX1 g43054(.A (out_slt6), .Y (n_223));
+ INVX1 g42414(.A (\u9_mem[1]_137 ), .Y (n_1748));
+ INVX1 g42913(.A (\u9_mem[0]_165 ), .Y (n_6866));
+ INVX2 g42741(.A (oc1_cfg), .Y (n_458));
+ INVX1 g42362(.A (n_4703), .Y (n_699));
+ INVX1 g42360(.A (in_slt_739), .Y (n_221));
+ INVX1 g42951(.A (\u9_mem[2]_88 ), .Y (n_6881));
+ INVX1 g41788(.A (\u11_mem[1]_136 ), .Y (n_1650));
+ INVX1 g42024(.A (n_4708), .Y (n_872));
+ INVX1 g42098(.A (\u10_mem[0]_163 ), .Y (n_6375));
+ INVX1 g42075(.A (out_slt_65), .Y (n_220));
+ INVX1 g42348(.A (\u26_ps_cnt[1] ), .Y (n_697));
+ INVX1 g41882(.A (\u9_mem[0]_161 ), .Y (n_6400));
+ INVX1 g42977(.A (\u9_mem[3]_71 ), .Y (n_6581));
+ INVX1 g41779(.A (out_slt_66), .Y (n_10978));
+ INVX1 g42701(.A (\u10_mem[0]_164 ), .Y (n_6390));
+ INVX1 g41951(.A (out_slt_127), .Y (n_217));
+ INVX1 g42352(.A (\u6_rp[3] ), .Y (n_496));
+ INVX1 g42233(.A (\u10_mem[0]_161 ), .Y (n_6382));
+ INVX1 g42436(.A (\u11_mem[0]_163 ), .Y (n_6363));
+ INVX1 g42553(.A (\u10_mem[1]_146 ), .Y (n_215));
+ INVX1 g42921(.A (\u10_mem[3]_60 ), .Y (n_6572));
+ INVX1 g42254(.A (\u3_wp[2] ), .Y (n_214));
+ INVX2 g41801(.A (wb_addr_i[5]), .Y (n_593));
+ INVX1 g42202(.A (n_5788), .Y (n_802));
+ INVX1 g41811(.A (\u11_mem[0]_164 ), .Y (n_6360));
+ INVX1 g42831(.A (out_slt_123), .Y (n_10990));
+ INVX1 g42375(.A (\u9_mem[2]_90 ), .Y (n_6888));
+ INVX1 g42164(.A (\u10_mem[2]_88 ), .Y (n_6028));
+ INVX1 g43083(.A (\u9_mem[2]_91 ), .Y (n_6931));
+ INVX1 g42101(.A (oc5_int_set_718), .Y (n_525));
+ INVX2 g42454(.A (\u10_rp[0] ), .Y (n_403));
+ INVX1 g41923(.A (out_slt_152), .Y (n_212));
+ INVX1 g42527(.A (out_slt_70), .Y (n_211));
+ INVX1 g42172(.A (\u10_mem[3]_87 ), .Y (n_5504));
+ INVX1 g43087(.A (in_slt_736), .Y (n_209));
+ INVX1 g42639(.A (oc4_int_set_716), .Y (n_463));
+ INVX1 g42446(.A (out_slt_175), .Y (n_208));
+ INVX1 g42494(.A (\u10_mem[2]_91 ), .Y (n_6630));
+ INVX1 g42481(.A (n_4088), .Y (n_449));
+ INVX1 g43129(.A (\u9_mem[1]_147 ), .Y (n_206));
+ INVX1 g42167(.A (\u11_mem[2]_89 ), .Y (n_6448));
+ INVX1 g41981(.A (\u10_mem[3]_59 ), .Y (n_6575));
+ INVX1 g41999(.A (\u9_mem[1]_136 ), .Y (n_204));
+ INVX1 g42492(.A (out_slt_172), .Y (n_203));
+ INVX1 g42898(.A (\u10_mem[3]_85 ), .Y (n_5510));
+ INVX1 g42694(.A (out_slt_126), .Y (n_201));
+ INVX1 g42777(.A (out_slt_69), .Y (n_200));
+ INVX1 g41921(.A (\u2_to_cnt[3] ), .Y (n_703));
+ INVX1 g41810(.A (\u10_mem[3]_82 ), .Y (n_5359));
+ INVX1 g42595(.A (\u10_mem[0]_166 ), .Y (n_5955));
+ INVX1 g42974(.A (\u9_mem[2]_109 ), .Y (n_198));
+ INVX1 g42065(.A (\u11_mem[0]_166 ), .Y (n_5951));
+ INVX1 g42830(.A (\u9_mem[1]_145 ), .Y (n_1727));
+ INVX1 g41897(.A (oc3_cfg_999), .Y (n_485));
+ INVX1 g42353(.A (in_slt_738), .Y (n_197));
+ INVX1 g42365(.A (ic2_int_set_724), .Y (n_749));
+ INVX1 g41898(.A (\u10_mem[3]_79 ), .Y (n_5516));
+ INVX1 g42515(.A (oc0_cfg_969), .Y (n_498));
+ INVX1 g41825(.A (out_slt_17), .Y (n_7017));
+ INVX1 g42364(.A (out_slt_18), .Y (n_1100));
+ INVX1 g42215(.A (\u10_mem[1]_148 ), .Y (n_196));
+ INVX1 g42502(.A (\u9_mem[1]_132 ), .Y (n_6654));
+ INVX1 g42168(.A (\u10_mem[3]_77 ), .Y (n_5363));
+ INVX1 g42340(.A (out_slt_77), .Y (n_195));
+ INVX1 g42296(.A (\u11_mem[2]_102 ), .Y (n_6460));
+ INVX1 g42587(.A (\u9_mem[0]_177 ), .Y (n_1724));
+ INVX1 g43015(.A (ic1_cfg_1039), .Y (n_523));
+ INVX1 g42540(.A (\u9_mem[1] ), .Y (n_6956));
+ INVX1 g42565(.A (\u6_rp[2] ), .Y (n_192));
+ INVX1 g43012(.A (out_slt_166), .Y (n_191));
+ INVX1 g42543(.A (\u9_mem[2]_97 ), .Y (n_6647));
+ INVX1 g42027(.A (\u11_mem[2]_117 ), .Y (n_190));
+ INVX1 g42430(.A (\u11_mem[2]_115 ), .Y (n_188));
+ INVX2 g42564(.A (\u6_rp[2] ), .Y (n_782));
+ INVX1 g42575(.A (\u10_mem[3]_58 ), .Y (n_6578));
+ INVX1 g41885(.A (\u9_mem[2]_94 ), .Y (n_6928));
+ INVX1 g42784(.A (ic2_cfg_1049), .Y (n_518));
+ INVX1 g42571(.A (\u11_mem[3]_74 ), .Y (n_5580));
+ INVX1 g42415(.A (\u11_mem[0]_170 ), .Y (n_1628));
+ INVX1 g42470(.A (out_slt_135), .Y (n_186));
+ INVX1 g42621(.A (\u11_mem[0]_172 ), .Y (n_1620));
+ INVX1 g42835(.A (oc4_int_set_715), .Y (n_668));
+ INVX1 g42444(.A (out_slt7), .Y (n_184));
+ INVX1 g42114(.A (out_slt_168), .Y (n_183));
+ INVX2 g42648(.A (\u4_rp[2] ), .Y (n_445));
+ INVX1 g42550(.A (oc4_cfg_1006), .Y (n_528));
+ INVX1 g42184(.A (out_slt_144), .Y (n_182));
+ INVX1 g43090(.A (\u10_mem[2] ), .Y (n_5998));
+ INVX1 g42944(.A (n_8190), .Y (n_921));
+ INVX2 g42721(.A (oc0_cfg), .Y (n_459));
+ INVX1 g42842(.A (\u3_wp[1] ), .Y (n_626));
+ INVX1 g42359(.A (\u10_mem[0]_154 ), .Y (n_6335));
+ INVX1 g42476(.A (out_slt_151), .Y (n_181));
+ INVX1 g42589(.A (\u9_mem[3]_84 ), .Y (n_5347));
+ INVX1 g41850(.A (\u10_mem[0]_175 ), .Y (n_1677));
+ INVX1 g42468(.A (crac_out_858), .Y (n_179));
+ INVX1 g42582(.A (\u8_wp[1] ), .Y (n_178));
+ INVX1 g42322(.A (\u11_mem[2]_88 ), .Y (n_6454));
+ INVX1 g42226(.A (crac_out_859), .Y (n_177));
+ INVX1 g42962(.A (\u6_wp[1] ), .Y (n_751));
+ INVX1 g42033(.A (\u11_mem[3]_76 ), .Y (n_5494));
+ INVX1 g42291(.A (n_8536), .Y (n_175));
+ INVX1 g42242(.A (\u9_mem[0]_168 ), .Y (n_174));
+ INVX1 g42293(.A (\u26_ps_cnt[4] ), .Y (n_515));
+ INVX1 g41969(.A (oc3_int_set_714), .Y (n_490));
+ INVX1 g41864(.A (\u9_mem[2]_100 ), .Y (n_6641));
+ INVX2 g43124(.A (\u4_rp[0] ), .Y (n_6824));
+ INVX1 g42438(.A (\u11_mem[2]_91 ), .Y (n_6439));
+ INVX1 g42641(.A (n_1819), .Y (n_742));
+ INVX1 g43010(.A (\u10_mem[0]_153 ), .Y (n_6337));
+ INVX1 g43009(.A (n_1036), .Y (n_715));
+ INVX1 g42241(.A (\u11_mem[2]_103 ), .Y (n_5994));
+ INVX1 g41955(.A (\u9_mem[1]_134 ), .Y (n_6884));
+ INVX1 g42756(.A (oc0_int_set_707), .Y (n_616));
+ INVX1 g42081(.A (\u11_mem[3]_70 ), .Y (n_6421));
+ INVX1 g42952(.A (\u11_mem[3] ), .Y (n_6554));
+ INVX1 g41865(.A (crac_out_860), .Y (n_170));
+ INVX1 g42020(.A (\u9_mem[3]_76 ), .Y (n_5294));
+ INVX1 g42248(.A (\u10_mem[2]_114 ), .Y (n_2627));
+ INVX1 g42335(.A (\u11_mem[3]_57 ), .Y (n_6413));
+ INVX1 g42938(.A (\u9_mem[0]_173 ), .Y (n_1733));
+ INVX1 g42776(.A (\u9_mem[3]_63 ), .Y (n_6909));
+ INVX1 g42803(.A (\u9_mem[1]_121 ), .Y (n_6947));
+ INVX1 g42449(.A (n_8565), .Y (n_693));
+ INVX1 g43111(.A (\u10_mem[3]_70 ), .Y (n_6588));
+ INVX1 g42811(.A (\u11_mem[0]_178 ), .Y (n_1592));
+ INVX1 g42500(.A (out_slt_150), .Y (n_167));
+ INVX1 g41980(.A (out_slt_109), .Y (n_166));
+ INVX1 g42874(.A (\u10_mem[3]_71 ), .Y (n_6585));
+ INVX1 g42019(.A (\u11_mem[1]_127 ), .Y (n_5984));
+ INVX1 g41809(.A (\u11_mem[2]_97 ), .Y (n_6479));
+ INVX1 g42875(.A (out_slt_67), .Y (n_10976));
+ INVX1 g42799(.A (out_slt_138), .Y (n_163));
+ INVX1 g42900(.A (\u10_mem[1]_149 ), .Y (n_162));
+ INVX1 g42966(.A (\u26_ps_cnt[0] ), .Y (n_529));
+ INVX1 g41914(.A (out_slt_167), .Y (n_160));
+ INVX1 g42570(.A (\u11_mem[3]_73 ), .Y (n_6026));
+ INVX1 g43011(.A (\u10_mem[1]_125 ), .Y (n_6406));
+ INVX1 g42235(.A (\u10_mem[1] ), .Y (n_5981));
+ INVX1 g42472(.A (oc2_cfg_989), .Y (n_524));
+ INVX1 g42818(.A (\u2_cnt[2] ), .Y (n_684));
+ INVX1 g43049(.A (out_slt_76), .Y (n_157));
+ INVX1 g42124(.A (\u11_mem[0] ), .Y (n_6377));
+ INVX1 g42482(.A (\u9_mem[0]_178 ), .Y (n_2500));
+ INVX1 g42847(.A (\u11_mem[2]_96 ), .Y (n_5969));
+ INVX1 g42259(.A (out_slt_86), .Y (n_10788));
+ INVX1 g41884(.A (\u11_mem[3]_71 ), .Y (n_6419));
+ INVX1 g43001(.A (\u9_mem[2] ), .Y (n_509));
+ INVX1 g42663(.A (\u11_mem[2]_101 ), .Y (n_6466));
+ INVX1 g42654(.A (out_slt_171), .Y (n_152));
+ INVX1 g42791(.A (\u11_wp[2] ), .Y (n_520));
+ INVX1 g42585(.A (\u10_mem[1]_130 ), .Y (n_6463));
+ INVX1 g41824(.A (\u10_mem[1]_144 ), .Y (n_1676));
+ INVX1 g42245(.A (out_slt_176), .Y (n_150));
+ INVX1 g42544(.A (\u9_mem[3]_74 ), .Y (n_6089));
+ INVX1 g42015(.A (crac_out_851), .Y (n_149));
+ INVX1 g42358(.A (\u9_mem[3]_75 ), .Y (n_6087));
+ INVX1 g42907(.A (\u10_mem[0]_152 ), .Y (n_6339));
+ INVX1 g42516(.A (\u11_mem[0]_159 ), .Y (n_6372));
+ INVX1 g42846(.A (\u9_mem[1]_131 ), .Y (n_6534));
+ INVX1 g42837(.A (\u10_mem[1]_143 ), .Y (n_147));
+ INVX2 g43128(.A (\u4_rp[0] ), .Y (n_145));
+ INVX1 g43100(.A (\u10_mem[1]_145 ), .Y (n_143));
+ INVX1 g42173(.A (\u9_mem[2]_101 ), .Y (n_6638));
+ INVX1 g42234(.A (\u11_mem[2]_104 ), .Y (n_5978));
+ INVX1 g42253(.A (\u9_mem[2]_106 ), .Y (n_141));
+ INVX1 g42552(.A (\u11_mem[0]_150 ), .Y (n_6388));
+ INVX1 g42603(.A (\u3_rp[2] ), .Y (n_601));
+ INVX1 g42140(.A (\u11_mem[3]_66 ), .Y (n_6428));
+ INVX1 g42535(.A (\u9_mem[3]_79 ), .Y (n_5372));
+ INVX1 g42411(.A (\u11_mem[0]_160 ), .Y (n_6370));
+ INVX1 g42014(.A (\u10_mem[1]_135 ), .Y (n_501));
+ INVX1 g42698(.A (\u4_wp[1] ), .Y (n_444));
+ INVX1 g42971(.A (crac_out_856), .Y (n_138));
+ INVX1 g42078(.A (\u9_mem[3]_72 ), .Y (n_6922));
+ INVX1 g42343(.A (\u10_mem[3]_73 ), .Y (n_6010));
+ INVX1 g42022(.A (ic0_cfg_1029), .Y (n_410));
+ INVX1 g43068(.A (\u9_mem[3]_86 ), .Y (n_5365));
+ INVX1 g42247(.A (\u11_mem[3]_75 ), .Y (n_5582));
+ INVX1 g41954(.A (n_8528), .Y (n_924));
+ INVX1 g42165(.A (\u11_mem[1] ), .Y (n_6522));
+ INVX1 g41930(.A (out_slt_136), .Y (n_134));
+ INVX1 g42464(.A (n_8550), .Y (n_465));
+ INVX1 g42618(.A (\u9_mem[2]_96 ), .Y (n_6893));
+ INVX1 g42200(.A (ic1_cfg_1036), .Y (n_625));
+ INVX1 g42851(.A (ic1_cfg_1035), .Y (n_434));
+ INVX1 g42579(.A (\u11_mem[0]_179 ), .Y (n_1585));
+ INVX1 g42435(.A (n_8526), .Y (n_671));
+ INVX1 g42267(.A (crac_out_849), .Y (n_130));
+ INVX1 g42416(.A (\u11_mem[2]_105 ), .Y (n_129));
+ INVX1 g42074(.A (\u11_mem[0]_176 ), .Y (n_1600));
+ INVX1 g42357(.A (oc5_cfg_1016), .Y (n_127));
+ INVX1 g42341(.A (\u11_mem[2]_116 ), .Y (n_125));
+ INVX1 g41983(.A (n_4734), .Y (n_568));
+ INVX1 g42995(.A (oc4_cfg), .Y (n_418));
+ INVX1 g41828(.A (\u10_mem[2]_99 ), .Y (n_6666));
+ INVX1 g42945(.A (\u11_mem[2]_118 ), .Y (n_122));
+ INVX1 g42079(.A (\u9_mem[2]_95 ), .Y (n_6628));
+ INVX1 g42053(.A (\u9_rp[0] ), .Y (n_121));
+ INVX1 g43085(.A (out_slt_160), .Y (n_120));
+ INVX1 g41967(.A (\u11_mem[0]_169 ), .Y (n_1634));
+ INVX1 g42088(.A (\u9_mem[1]_126 ), .Y (n_6537));
+ INVX2 g43097(.A (\u9_wp[1] ), .Y (n_689));
+ INVX1 g42687(.A (\u11_mem[0]_156 ), .Y (n_6347));
+ INVX1 g42466(.A (dma_req_o[3]), .Y (n_118));
+ INVX1 g42689(.A (out_slt_120), .Y (n_117));
+ INVX1 g42123(.A (\u10_mem[2]_89 ), .Y (n_6652));
+ INVX1 g42802(.A (\u5_wp[2] ), .Y (n_116));
+ INVX1 g42518(.A (oc5_cfg_1019), .Y (n_469));
+ INVX1 g42909(.A (\u10_mem[0]_172 ), .Y (n_1242));
+ INVX1 g41837(.A (\u2_cnt[4] ), .Y (n_2596));
+ INVX1 g43103(.A (\u11_mem[1]_134 ), .Y (n_5990));
+ INVX1 g41928(.A (out_slt_119), .Y (n_114));
+ INVX1 g42659(.A (out_slt_118), .Y (n_113));
+ INVX1 g42693(.A (crac_out_854), .Y (n_112));
+ INVX1 g41960(.A (\u11_mem[0]_158 ), .Y (n_5947));
+ INVX1 g42099(.A (\u10_mem[0]_170 ), .Y (n_1244));
+ INVX1 g42819(.A (out_slt_81), .Y (n_109));
+ INVX1 g42753(.A (n_5588), .Y (n_564));
+ INVX1 g42219(.A (\u10_mem[0]_176 ), .Y (n_1240));
+ INVX1 g41973(.A (\u11_mem[1]_130 ), .Y (n_6515));
+ INVX1 g42314(.A (\u11_mem[1]_131 ), .Y (n_6511));
+ INVX4 g41823(.A (n_610), .Y (n_2567));
+ INVX1 g43016(.A (out_slt_143), .Y (n_10981));
+ INVX1 g42519(.A (dma_req_o[2]), .Y (n_105));
+ INVX1 g42525(.A (\u11_mem[1]_135 ), .Y (n_5988));
+ INVX1 g41991(.A (\u11_mem[0]_162 ), .Y (n_6366));
+ INVX1 g42504(.A (out_slt_97), .Y (n_103));
+ INVX1 g42032(.A (out_slt_121), .Y (n_102));
+ INVX1 g42026(.A (out_slt_124), .Y (n_10988));
+ INVX1 g42311(.A (\u9_mem[3]_58 ), .Y (n_6915));
+ INVX1 g42191(.A (n_760), .Y (n_680));
+ INVX1 g42581(.A (\u11_mem[0]_151 ), .Y (n_6357));
+ INVX1 g43109(.A (out_slt_117), .Y (n_101));
+ INVX1 g42826(.A (\u10_mem[2]_97 ), .Y (n_6610));
+ INVX1 g43023(.A (\u11_mem[1]_128 ), .Y (n_6519));
+ INVX1 g42308(.A (\u11_mem[1]_125 ), .Y (n_6548));
+ INVX1 g42091(.A (\u10_mem[2]_107 ), .Y (n_98));
+ INVX1 g42539(.A (\u11_mem[2] ), .Y (n_419));
+ INVX1 g42836(.A (\u10_mem[1]_137 ), .Y (n_96));
+ INVX1 g43107(.A (n_1203), .Y (n_605));
+ INVX1 g41805(.A (\u4_wp[2] ), .Y (n_95));
+ INVX1 g35539(.A (i3_full), .Y (n_632));
+ INVX1 g42239(.A (\u10_mem[1]_127 ), .Y (n_6037));
+ INVX1 g42501(.A (\u10_mem[1]_131 ), .Y (n_6457));
+ INVX1 g42205(.A (\u10_mem[1]_138 ), .Y (n_90));
+ INVX1 g42998(.A (\u10_mem[1]_132 ), .Y (n_508));
+ INVX1 g42542(.A (\u11_mem[3]_78 ), .Y (n_5488));
+ INVX1 g42958(.A (\u11_mem[3]_84 ), .Y (n_5498));
+ INVX1 g42025(.A (\u10_mem[1]_140 ), .Y (n_87));
+ INVX1 g42876(.A (\u10_mem[2]_101 ), .Y (n_6662));
+ INVX1 g42661(.A (out_slt_158), .Y (n_86));
+ INVX1 g41861(.A (\u11_mem[2]_92 ), .Y (n_6437));
+ INVX1 g41847(.A (out_slt_20), .Y (n_1372));
+ INVX1 g42927(.A (out_slt_114), .Y (n_84));
+ INVX1 g41974(.A (out_slt_72), .Y (n_82));
+ INVX1 g42643(.A (\u11_mem[2]_93 ), .Y (n_6434));
+ INVX1 g41970(.A (\u11_mem[2]_107 ), .Y (n_81));
+ INVX1 g42762(.A (\u9_mem[0]_152 ), .Y (n_6857));
+ INVX1 g42854(.A (out_slt_156), .Y (n_79));
+ INVX1 g42586(.A (\u11_mem[3]_83 ), .Y (n_5502));
+ INVX1 g42798(.A (\u11_mem[2]_94 ), .Y (n_6530));
+ INVX1 g42474(.A (\u11_mem[0]_157 ), .Y (n_6344));
+ INVX1 g42596(.A (bit_clk_pad_i), .Y (n_77));
+ INVX1 g42439(.A (out_slt_153), .Y (n_76));
+ INVX1 g42210(.A (\u9_mem[1]_142 ), .Y (n_1732));
+ INVX1 g42567(.A (\u11_mem[3]_67 ), .Y (n_6426));
+ INVX1 g42316(.A (n_4736), .Y (n_936));
+ INVX1 g41894(.A (\u10_mem[1]_121 ), .Y (n_6415));
+ INVX1 g42536(.A (\u9_mem[0]_172 ), .Y (n_1562));
+ INVX1 g42557(.A (\u10_mem[1]_133 ), .Y (n_502));
+ INVX1 g42344(.A (\u10_mem[1]_142 ), .Y (n_1684));
+ INVX1 g42796(.A (ic0_cfg_1026), .Y (n_462));
+ INVX1 g42052(.A (oc3_int_set_713), .Y (n_676));
+ INVX1 g41794(.A (crac_out_848), .Y (n_71));
+ INVX1 g41958(.A (\u7_wp[2] ), .Y (n_1443));
+ INVX1 g42922(.A (\u10_mem[2]_103 ), .Y (n_6033));
+ INVX1 g41858(.A (\u10_mem[0]_158 ), .Y (n_5962));
+ INVX4 g42287(.A (\u6_rp[0] ), .Y (n_6821));
+ INVX1 g42029(.A (\u10_mem[0]_157 ), .Y (n_5945));
+ INVX1 g43075(.A (\u2_cnt[6] ), .Y (n_711));
+ INVX1 g42920(.A (ic2_cfg_1045), .Y (n_431));
+ INVX1 g42111(.A (oc0_cfg_966), .Y (n_925));
+ INVX1 g42937(.A (oc1_cfg_979), .Y (n_510));
+ INVX1 g42631(.A (\u9_mem[3] ), .Y (n_6924));
+ INVX1 g41993(.A (ic2_cfg_1046), .Y (n_667));
+ INVX1 g42604(.A (\u3_rp[2] ), .Y (n_63));
+ INVX1 g41908(.A (\u7_wp[0] ), .Y (n_746));
+ INVX1 g41827(.A (\u9_mem[2]_107 ), .Y (n_1744));
+ INVX1 g42932(.A (\u10_mem[2]_109 ), .Y (n_2556));
+ INVX1 g42256(.A (\u10_mem[2]_92 ), .Y (n_6623));
+ INVX1 g42910(.A (\u11_mem[3]_69 ), .Y (n_6424));
+ INVX1 g42588(.A (\u11_mem[0]_171 ), .Y (n_1755));
+ INVX1 g42680(.A (n_8197), .Y (n_598));
+ INVX1 g41956(.A (u14_n_135), .Y (n_1119));
+ INVX1 g42062(.A (\u8_wp[0] ), .Y (n_58));
+ INVX1 g42236(.A (out_slt_141), .Y (n_57));
+ INVX1 g42807(.A (crac_out_855), .Y (n_56));
+ INVX1 g42068(.A (\u11_mem[3]_68 ), .Y (n_6604));
+ INVX1 g43093(.A (oc2_int_set_711), .Y (n_665));
+ INVX1 g42461(.A (\u9_rp[1] ), .Y (n_53));
+ INVX1 g42976(.A (\u10_mem[0]_150 ), .Y (n_5949));
+ INVX2 g42765(.A (ic0_cfg_1025), .Y (n_836));
+ INVX1 g42128(.A (\u9_mem[1]_119 ), .Y (n_6949));
+ INVX1 g42207(.A (oc2_cfg_985), .Y (n_544));
+ INVX1 g42447(.A (\u11_mem[3]_59 ), .Y (n_6417));
+ INVX1 g41962(.A (oc5_int_set_717), .Y (n_611));
+ INVX1 g42231(.A (out_slt_23), .Y (n_1374));
+ INVX1 g42004(.A (out_slt_19), .Y (n_1355));
+ INVX1 g42614(.A (\u9_mem[1]_143 ), .Y (n_45));
+ INVX1 g42852(.A (\u10_mem[2]_116 ), .Y (n_42));
+ INVX1 g42090(.A (n_8188), .Y (n_670));
+ INVX1 g41891(.A (\u11_rp[0] ), .Y (n_487));
+ INVX1 g42702(.A (\u10_mem[2]_108 ), .Y (n_39));
+ INVX1 g43026(.A (\u9_mem[2]_104 ), .Y (n_6935));
+ INVX1 g42009(.A (\u10_mem[0]_165 ), .Y (n_5957));
+ INVX1 g42186(.A (\u26_cnt[1] ), .Y (n_37));
+ INVX1 g42877(.A (u15_crac_rd), .Y (n_36));
+ INVX1 g42355(.A (\u11_mem[0]_153 ), .Y (n_6353));
+ INVX1 g42770(.A (\u9_mem[2]_108 ), .Y (n_35));
+ INVX1 g42940(.A (\u11_mem[0]_173 ), .Y (n_1615));
+ INVX1 g42931(.A (\u11_mem[2]_100 ), .Y (n_461));
+ INVX1 g42590(.A (\u11_mem[1]_138 ), .Y (n_1633));
+ INVX1 g42323(.A (\u9_mem[3]_81 ), .Y (n_5302));
+ INVX1 g42669(.A (\u11_mem[2]_99 ), .Y (n_6471));
+ INVX1 g42491(.A (\u10_mem[0]_169 ), .Y (n_1246));
+ INVX1 g42218(.A (\u9_mem[0]_155 ), .Y (n_6849));
+ INVX1 g42574(.A (\u11_mem[1]_129 ), .Y (n_6517));
+ INVX2 g42512(.A (\u4_rp[1] ), .Y (n_551));
+ INVX1 g42906(.A (\u9_mem[1]_123 ), .Y (n_6945));
+ INVX1 g42793(.A (out_slt_122), .Y (n_22));
+ INVX1 g43102(.A (\u11_mem[3]_79 ), .Y (n_5490));
+ INVX1 g41807(.A (\u9_mem[0]_166 ), .Y (n_6864));
+ INVX1 g42232(.A (\u11_mem[3]_87 ), .Y (n_5522));
+ INVX1 g42066(.A (out_slt_103), .Y (n_21));
+ INVX1 g42002(.A (\u9_mem[0]_169 ), .Y (n_1742));
+ INVX1 g42642(.A (\u9_mem[0]_170 ), .Y (n_1680));
+ INVX1 g42299(.A (out_slt_177), .Y (n_19));
+ INVX1 g43022(.A (\u9_mem[2]_99 ), .Y (n_6495));
+ INVX1 g42968(.A (out_slt_82), .Y (n_16));
+ INVX1 g42691(.A (\u10_mem[3]_65 ), .Y (n_6000));
+ INVX1 g42338(.A (\u8_rp[3] ), .Y (n_494));
+ INVX1 g42916(.A (\u10_mem[2]_90 ), .Y (n_6633));
+ INVX1 g42769(.A (\u11_mem[2]_108 ), .Y (n_1627));
+ INVX1 g42181(.A (\u11_mem[3]_77 ), .Y (n_5526));
+ INVX1 g42182(.A (\u10_mem[2]_111 ), .Y (n_9));
+ INVX1 g41961(.A (\u9_mem[0]_158 ), .Y (n_6845));
+ INVX1 g42772(.A (\u11_rp[1] ), .Y (n_5));
+ INVX1 g42437(.A (\u10_mem[0] ), .Y (n_5959));
+ INVX1 g42158(.A (\u11_mem[1]_149 ), .Y (n_1580));
+ INVX1 g45344(.A (n_11563), .Y (n_11564));
+ CLKBUFX1 g45356(.A (n_11578), .Y (n_11563));
+ INVX1 g45357(.A (ic0_cfg), .Y (n_11578));
+ INVX1 g45362(.A (n_11586), .Y (n_11585));
+ CLKBUFX1 g45364(.A (n_11587), .Y (n_11586));
+ INVX2 g45365(.A (n_11579), .Y (n_11587));
+ INVX2 g45366(.A (\u6_rp[1] ), .Y (n_11579));
+ INVX1 g45378(.A (n_11597), .Y (n_11600));
+ CLKBUFX1 g45389(.A (n_11612), .Y (n_11597));
+ INVX1 g45390(.A (ic1_cfg), .Y (n_11612));
+ INVX1 g45413(.A (n_11762), .Y (n_11772));
+ CLKBUFX1 g45417(.A (n_11777), .Y (n_11762));
+ INVX1 g45418(.A (ic2_cfg), .Y (n_11777));
+ CLKBUFX3 g45429(.A (n_11802), .Y (n_11789));
+ INVX4 g45432(.A (n_11797), .Y (n_11798));
+ INVX2 g45434(.A (n_11802), .Y (n_11797));
+ CLKBUFX3 g45435(.A (n_11802), .Y (n_11804));
+ INVX2 g45436(.A (n_692), .Y (n_11802));
+ INVX1 g45441(.A (n_11827), .Y (n_11823));
+ CLKBUFX1 g45445(.A (n_12335), .Y (n_11827));
+ OR2X1 g19(.A (n_1374), .B (n_12340), .Y (n_11841));
+ AOI21X1 g30(.A0 (\u4_mem[0]_105 ), .A1 (n_12840), .B0 (n_11842), .Y
+ (n_11843));
+ AND2X1 g34(.A (n_12087), .B (\u4_mem[2]_43 ), .Y (n_11842));
+ AOI22X1 g29(.A0 (\u4_mem[3]_136 ), .A1 (n_12744), .B0 (n_12273), .B1
+ (\u4_mem[1]_74 ), .Y (n_11846));
+ NAND2X2 g33(.A (n_761), .B (n_445), .Y (n_11844));
+ NAND2X1 g45447(.A (n_12026), .B (n_12027), .Y (n_11855));
+ AOI22X1 g45448(.A0 (\u7_mem[2]_34 ), .A1 (n_12641), .B0 (n_2330), .B1
+ (\u7_mem[0]_96 ), .Y (n_12027));
+ AOI22X1 g45449(.A0 (\u7_mem[3]_127 ), .A1 (n_1538), .B0 (n_11853),
+ .B1 (\u7_mem[1]_65 ), .Y (n_12026));
+ INVX1 g45450(.A (n_11852), .Y (n_11853));
+ INVX4 g35(.A (n_11851), .Y (n_11852));
+ INVX2 g36(.A (n_12333), .Y (n_11851));
+ INVX1 g45451(.A (n_11852), .Y (n_11856));
+ OR2X1 g45452(.A (n_12636), .B (n_12501), .Y (n_11889));
+ OR2X1 g32976_dup(.A (n_12636), .B (n_12501), .Y (n_11890));
+ OR2X1 g45453(.A (n_9719), .B (n_9668), .Y (n_11891));
+ OR2X1 g32970_dup(.A (n_9719), .B (n_9668), .Y (n_11892));
+ OR2X1 g45454(.A (n_9717), .B (n_9666), .Y (n_11893));
+ OR2X1 g32978_dup(.A (n_9717), .B (n_9666), .Y (n_11894));
+ NAND2X1 g41(.A (n_11897), .B (n_11900), .Y (n_11901));
+ NAND2X1 g42(.A (n_11895), .B (n_12115), .Y (n_11897));
+ NAND4X1 g44(.A (n_2874), .B (n_11737), .C (n_11738), .D (n_1507), .Y
+ (n_11895));
+ NAND2X1 g43(.A (n_11898), .B (n_12664), .Y (n_11900));
+ NAND4X1 g45(.A (n_3701), .B (n_3150), .C (n_3383), .D (n_1511), .Y
+ (n_11898));
+ OAI21X1 g16(.A0 (n_11904), .A1 (n_11504), .B0 (n_11905), .Y
+ (n_11906));
+ NAND2X1 g45455(.A (u16_u8_dma_req_r1), .B (n_11903), .Y (n_11904));
+ INVX1 g45456(.A (dma_ack_i[8]), .Y (n_11903));
+ NAND2X1 g45457(.A (dma_req_o[8]), .B (n_11903), .Y (n_11905));
+ NOR2X1 g45458(.A (n_11504), .B (dma_ack_i[8]), .Y (n_11907));
+ OAI21X1 g45459(.A0 (n_11908), .A1 (n_11914), .B0 (n_10481), .Y
+ (n_11919));
+ OAI21X1 g49(.A0 (n_5683), .A1 (n_7077), .B0 (n_6220), .Y (n_11908));
+ NAND2X1 g45460(.A (n_11911), .B (n_11913), .Y (n_11914));
+ NAND3X1 g45461(.A (n_6157), .B (n_6316), .C (n_12535), .Y (n_11911));
+ OAI21X1 g45462(.A0 (n_4631), .A1 (n_4630), .B0 (n_11912), .Y
+ (n_11913));
+ AND2X1 g45463(.A (n_634), .B (n_12535), .Y (n_11912));
+ OAI21X1 g45464(.A0 (n_11922), .A1 (n_11928), .B0 (n_12149), .Y
+ (n_12006));
+ AOI21X1 g45465(.A0 (n_6322), .A1 (n_6124), .B0 (n_7324), .Y
+ (n_11922));
+ NAND2X1 g45466(.A (n_11923), .B (n_11927), .Y (n_11928));
+ NAND2X1 g51(.A (n_12531), .B (n_6246), .Y (n_11923));
+ NAND2X1 g45467(.A (n_11925), .B (n_12357), .Y (n_11927));
+ NAND3X1 g45468(.A (n_11924), .B (n_11658), .C (n_4251), .Y (n_11925));
+ AND2X1 g45469(.A (n_11659), .B (n_2233), .Y (n_11924));
+ INVX2 g45472(.A (n_12149), .Y (n_10787));
+ INVX4 g45473(.A (n_12357), .Y (n_11934));
+ INVX1 g45494(.A (n_12079), .Y (n_12077));
+ INVX4 g45496(.A (n_12076), .Y (n_12079));
+ INVX4 g45497(.A (n_12076), .Y (n_12087));
+ INVX2 g45498(.A (n_12076), .Y (n_12091));
+ CLKBUFX3 g45499(.A (n_552), .Y (n_12076));
+ NAND4X1 g45509(.A (n_3317), .B (n_2914), .C (n_3396), .D (n_2467), .Y
+ (n_12111));
+ BUFX3 g45511(.A (n_12114), .Y (n_12115));
+ NOR2X1 g45512(.A (oc0_cfg_965), .B (n_925), .Y (n_12114));
+ NAND4X1 g45513(.A (n_12833), .B (n_12834), .C (n_3019), .D (n_1515),
+ .Y (n_12116));
+ OAI21X1 g45515(.A0 (n_12124), .A1 (n_12125), .B0 (n_12161), .Y
+ (n_12193));
+ AOI21X1 g45516(.A0 (n_12120), .A1 (n_12121), .B0 (n_12640), .Y
+ (n_12124));
+ NAND2X1 g45517(.A (n_6763), .B (\u7_rp[0] ), .Y (n_12120));
+ NAND2X1 g48(.A (n_12634), .B (n_6202), .Y (n_12121));
+ OAI21X1 g38(.A0 (n_5687), .A1 (n_7088), .B0 (n_6146), .Y (n_12125));
+ OAI21X1 g45524(.A0 (n_12135), .A1 (n_12136), .B0 (n_12149), .Y
+ (n_12140));
+ AOI21X1 g45525(.A0 (n_12131), .A1 (n_12132), .B0 (n_12145), .Y
+ (n_12135));
+ NAND2X1 g45526(.A (n_6824), .B (n_6805), .Y (n_12131));
+ NAND2X1 g45527(.A (\u4_rp[0] ), .B (n_6180), .Y (n_12132));
+ OAI21X1 g45530(.A0 (n_6079), .A1 (n_11934), .B0 (n_12532), .Y
+ (n_12136));
+ OAI21X1 g45534(.A0 (n_12146), .A1 (n_12147), .B0 (n_12149), .Y
+ (n_12150));
+ AOI21X1 g45535(.A0 (n_12142), .A1 (n_12143), .B0 (n_12145), .Y
+ (n_12146));
+ NAND2X1 g45536(.A (n_6790), .B (\u4_rp[0] ), .Y (n_12142));
+ NAND2X1 g45537(.A (n_145), .B (n_6803), .Y (n_12143));
+ INVX1 g45538(.A (n_12144), .Y (n_12145));
+ NOR2X1 g45539(.A (oc1_cfg_975), .B (oc1_cfg_976), .Y (n_12144));
+ OAI21X1 g45540(.A0 (n_6078), .A1 (n_11934), .B0 (n_6255), .Y
+ (n_12147));
+ CLKBUFX3 g45541(.A (n_12148), .Y (n_12149));
+ NOR2X1 g45542(.A (u14_u1_en_out_l2), .B (n_8670), .Y (n_12148));
+ OAI21X1 g45543(.A0 (n_12156), .A1 (n_12157), .B0 (n_12161), .Y
+ (n_12195));
+ AOI21X1 g45544(.A0 (n_12152), .A1 (n_12153), .B0 (n_12640), .Y
+ (n_12156));
+ NAND2X1 g45545(.A (n_6769), .B (\u7_rp[0] ), .Y (n_12152));
+ NAND2X1 g45546(.A (n_12634), .B (n_6264), .Y (n_12153));
+ OAI21X1 g45549(.A0 (n_5542), .A1 (n_7080), .B0 (n_6200), .Y
+ (n_12157));
+ CLKBUFX3 g45550(.A (n_12503), .Y (n_12161));
+ NAND4X1 g45555(.A (n_12164), .B (n_12165), .C (n_12166), .D
+ (n_12170), .Y (n_12171));
+ NAND2X1 g45556(.A (n_12204), .B (\u6_mem[0]_100 ), .Y (n_12164));
+ NAND2X1 g45557(.A (n_3474), .B (\u6_mem[2]_38 ), .Y (n_12165));
+ NAND2X1 g45558(.A (n_2419), .B (\u6_mem[3]_131 ), .Y (n_12166));
+ NAND2X1 g45559(.A (n_12169), .B (\u6_mem[1]_69 ), .Y (n_12170));
+ INVX4 g45560(.A (n_12168), .Y (n_12169));
+ CLKBUFX2 g45561(.A (n_12167), .Y (n_12168));
+ NAND2X2 g45562(.A (n_11587), .B (n_782), .Y (n_12167));
+ INVX1 g45563(.A (n_12167), .Y (n_12172));
+ BUFX3 g45579(.A (n_12364), .Y (n_12204));
+ INVX1 g45581(.A (n_12823), .Y (n_12214));
+ INVX2 g45591(.A (n_12384), .Y (n_12244));
+ INVX2 g45593(.A (n_12258), .Y (n_12250));
+ INVX2 g45594(.A (n_12258), .Y (n_12252));
+ INVX1 g45596(.A (n_12250), .Y (n_12256));
+ INVX1 g45598(.A (n_12258), .Y (n_12259));
+ INVX4 g45599(.A (n_12273), .Y (n_12258));
+ INVX1 g45600(.A (n_12261), .Y (n_12262));
+ CLKBUFX3 g45601(.A (n_12273), .Y (n_12261));
+ INVX2 g45602(.A (n_12269), .Y (n_12265));
+ INVX1 g45603(.A (n_12269), .Y (n_12267));
+ INVX1 g45604(.A (n_12269), .Y (n_12270));
+ INVX2 g45605(.A (n_12273), .Y (n_12269));
+ CLKBUFX1 g45606(.A (n_12273), .Y (n_12272));
+ INVX4 g45607(.A (n_11844), .Y (n_12273));
+ INVX1 g45608(.A (n_12281), .Y (n_12274));
+ INVX1 g45611(.A (n_12280), .Y (n_12278));
+ CLKBUFX1 g45613(.A (n_12281), .Y (n_12280));
+ INVX2 g45614(.A (\u8_rp[2] ), .Y (n_12281));
+ INVX4 g45620(.A (n_12303), .Y (n_12291));
+ INVX4 g45623(.A (n_12303), .Y (n_12295));
+ INVX2 g45624(.A (n_12303), .Y (n_12301));
+ INVX4 g45625(.A (n_12304), .Y (n_12303));
+ INVX1 g45626(.A (n_637), .Y (n_12304));
+ NAND2X1 g9(.A (n_12331), .B (n_12332), .Y (n_12333));
+ INVX2 g11(.A (n_12330), .Y (n_12331));
+ INVX2 g12(.A (\u7_rp[1] ), .Y (n_12330));
+ INVX2 g10(.A (\u7_rp[2] ), .Y (n_12332));
+ OR2X1 g18(.A (n_12687), .B (n_12534), .Y (n_12339));
+ NOR2X1 g45651(.A (n_1374), .B (n_11507), .Y (n_12334));
+ INVX1 g45652(.A (n_12335), .Y (n_12336));
+ INVX2 g24(.A (valid_s), .Y (n_12335));
+ INVX1 g22(.A (n_12336), .Y (n_12340));
+ NAND2X1 g31(.A (n_12355), .B (n_12358), .Y (n_12359));
+ NAND2X1 g45662(.A (n_12531), .B (n_12354), .Y (n_12355));
+ NAND2X1 g45664(.A (n_11846), .B (n_11843), .Y (n_12354));
+ NAND2X1 g32(.A (n_12746), .B (n_12357), .Y (n_12358));
+ AND2X1 g37(.A (n_283), .B (oc1_cfg_975), .Y (n_12357));
+ NAND4X1 g28(.A (n_12361), .B (n_12362), .C (n_12363), .D (n_12367),
+ .Y (n_12368));
+ NAND2X1 g45667(.A (n_3474), .B (\u6_mem[2]_44 ), .Y (n_12361));
+ NAND2X1 g45668(.A (n_12622), .B (\u6_mem[3]_137 ), .Y (n_12362));
+ NAND2X1 g45669(.A (\u6_mem[1]_75 ), .B (n_12169), .Y (n_12363));
+ NAND2X1 g45670(.A (n_12366), .B (\u6_mem[0]_106 ), .Y (n_12367));
+ INVX1 g45671(.A (n_12365), .Y (n_12366));
+ INVX2 g45672(.A (n_12364), .Y (n_12365));
+ AND2X1 g45673(.A (n_782), .B (n_11579), .Y (n_12364));
+ INVX4 g45674(.A (n_12365), .Y (n_12369));
+ OAI21X1 g45675(.A0 (n_12371), .A1 (n_11672), .B0 (n_12372), .Y
+ (n_12373));
+ NAND2X1 g17(.A (n_12066), .B (n_12370), .Y (n_12371));
+ INVX1 g20(.A (dma_ack_i[6]), .Y (n_12370));
+ NAND2X1 g45676(.A (dma_req_o[6]), .B (n_12370), .Y (n_12372));
+ NOR2X1 g45677(.A (n_11672), .B (dma_ack_i[6]), .Y (n_12374));
+ OAI21X1 g45678(.A0 (n_12376), .A1 (n_11673), .B0 (n_12377), .Y
+ (n_12378));
+ NAND2X1 g45679(.A (n_12067), .B (n_12375), .Y (n_12376));
+ INVX1 g45680(.A (dma_ack_i[7]), .Y (n_12375));
+ NAND2X1 g45681(.A (dma_req_o[7]), .B (n_12375), .Y (n_12377));
+ NOR2X1 g45682(.A (n_11673), .B (dma_ack_i[7]), .Y (n_12379));
+ INVX2 g45689(.A (n_12384), .Y (n_12385));
+ INVX2 g45690(.A (n_12383), .Y (n_12384));
+ NAND2X1 g45691(.A (n_445), .B (n_551), .Y (n_12383));
+ INVX4 g45692(.A (n_12385), .Y (n_12389));
+ NAND2X2 g45701(.A (n_12399), .B (n_12400), .Y (n_12401));
+ INVX1 g45702(.A (n_12581), .Y (n_12399));
+ INVX1 g45703(.A (\u5_rp[1] ), .Y (n_12400));
+ CLKBUFX1 g45704(.A (n_12400), .Y (n_1033));
+ NAND4X1 g45705(.A (n_12403), .B (n_12404), .C (n_12405), .D
+ (n_12410), .Y (n_12411));
+ NAND2X1 g45706(.A (n_4225), .B (\u7_mem[1]_78 ), .Y (n_12403));
+ NAND2X1 g45707(.A (n_2491), .B (\u7_mem[0]_109 ), .Y (n_12404));
+ NAND2X1 g45708(.A (n_1546), .B (\u7_mem[3]_140 ), .Y (n_12405));
+ NAND2X1 g45709(.A (n_12641), .B (\u7_mem[2]_47 ), .Y (n_12410));
+ OAI21X1 g45740(.A0 (n_12454), .A1 (n_12460), .B0 (n_10481), .Y
+ (n_12464));
+ OAI21X1 g45741(.A0 (n_5680), .A1 (n_7077), .B0 (n_6188), .Y
+ (n_12454));
+ NAND2X1 g45742(.A (n_12458), .B (n_12459), .Y (n_12460));
+ OAI21X1 g45743(.A0 (n_5186), .A1 (n_5187), .B0 (n_12457), .Y
+ (n_12458));
+ AND2X1 g45744(.A (n_634), .B (n_12535), .Y (n_12457));
+ NAND3X1 g45747(.A (n_12535), .B (n_6316), .C (n_12111), .Y (n_12459));
+ NAND2X1 g45760(.A (n_12479), .B (n_12482), .Y (n_12483));
+ OAI21X1 g45761(.A0 (n_5459), .A1 (n_5211), .B0 (n_12478), .Y
+ (n_12479));
+ NOR2X1 g45762(.A (n_12640), .B (n_12634), .Y (n_12478));
+ NAND2X1 g45765(.A (n_12480), .B (n_12481), .Y (n_12482));
+ NOR2X1 g45766(.A (\u7_rp[0] ), .B (n_12640), .Y (n_12480));
+ NAND4X1 g45767(.A (n_4114), .B (n_3098), .C (n_2291), .D (n_1383), .Y
+ (n_12481));
+ OAI21X1 g45779(.A0 (n_12499), .A1 (n_12500), .B0 (n_12504), .Y
+ (n_12505));
+ AOI21X1 g45780(.A0 (n_12495), .A1 (n_12496), .B0 (n_12640), .Y
+ (n_12499));
+ NAND2X1 g45781(.A (n_12634), .B (n_6228), .Y (n_12495));
+ NAND2X1 g45782(.A (n_12411), .B (n_6118), .Y (n_12496));
+ OAI21X1 g45785(.A0 (n_5542), .A1 (n_7088), .B0 (n_5819), .Y
+ (n_12500));
+ CLKBUFX3 g45786(.A (n_12503), .Y (n_12504));
+ CLKBUFX3 g45787(.A (n_12502), .Y (n_12503));
+ INVX1 g45788(.A (n_12501), .Y (n_12502));
+ OR2X1 g45789(.A (n_11528), .B (n_8663), .Y (n_12501));
+ NAND4X1 g45790(.A (n_12506), .B (n_12507), .C (n_12508), .D
+ (n_12513), .Y (n_12514));
+ NAND2X1 g45791(.A (n_4130), .B (\u7_mem[1]_63 ), .Y (n_12506));
+ NAND2X1 g45792(.A (n_2330), .B (\u7_mem[0]_94 ), .Y (n_12507));
+ NAND2X1 g45793(.A (n_1538), .B (\u7_mem[3]_125 ), .Y (n_12508));
+ NAND2X1 g45794(.A (n_12641), .B (\u7_mem[2]_32 ), .Y (n_12513));
+ NAND2X1 g45798(.A (n_438), .B (n_12330), .Y (n_12509));
+ OAI21X1 g45800(.A0 (n_12520), .A1 (n_12521), .B0 (n_12609), .Y
+ (n_12813));
+ AOI21X1 g45801(.A0 (n_12516), .A1 (n_12517), .B0 (n_12604), .Y
+ (n_12520));
+ NAND2X1 g45802(.A (n_6091), .B (n_12681), .Y (n_12516));
+ NAND2X1 g45803(.A (n_5869), .B (n_3559), .Y (n_12517));
+ OAI21X1 g45806(.A0 (n_5720), .A1 (n_6995), .B0 (n_5860), .Y
+ (n_12521));
+ NAND2X1 g45810(.A (n_12530), .B (n_12531), .Y (n_12532));
+ NAND4X1 g45811(.A (n_12526), .B (n_12527), .C (n_12528), .D
+ (n_12529), .Y (n_12530));
+ NAND2X1 g45812(.A (n_12389), .B (\u4_mem[0]_94 ), .Y (n_12526));
+ NAND2X1 g45813(.A (\u4_mem[1]_63 ), .B (n_12252), .Y (n_12527));
+ NAND2X1 g45814(.A (n_12087), .B (\u4_mem[2]_32 ), .Y (n_12528));
+ NAND2X1 g45815(.A (n_3546), .B (\u4_mem[3]_125 ), .Y (n_12529));
+ NOR2X1 g45816(.A (oc1_cfg_975), .B (n_283), .Y (n_12531));
+ OAI21X1 g45817(.A0 (n_12533), .A1 (n_12539), .B0 (n_10481), .Y
+ (n_12543));
+ OAI21X1 g45818(.A0 (n_5723), .A1 (n_7077), .B0 (n_6195), .Y
+ (n_12533));
+ NAND2X1 g45819(.A (n_12537), .B (n_12538), .Y (n_12539));
+ OAI21X1 g45820(.A0 (n_4601), .A1 (n_4600), .B0 (n_12536), .Y
+ (n_12537));
+ AND2X1 g45821(.A (n_634), .B (n_12535), .Y (n_12536));
+ CLKBUFX1 g45822(.A (n_12534), .Y (n_12535));
+ AND2X1 g45823(.A (n_257), .B (n_925), .Y (n_12534));
+ NAND3X1 g45824(.A (n_6316), .B (n_12535), .C (n_6163), .Y (n_12538));
+ INVX2 g45857(.A (\u5_rp[2] ), .Y (n_12581));
+ INVX1 g45858(.A (\u5_rp[1] ), .Y (n_12583));
+ NOR2X1 g23(.A (n_11128), .B (n_12591), .Y (n_12592));
+ CLKBUFX1 g45861(.A (n_12584), .Y (n_12585));
+ INVX1 g45862(.A (oc5_cfg), .Y (n_12584));
+ AOI21X1 g45863(.A0 (n_12588), .A1 (n_12589), .B0 (n_12590), .Y
+ (n_12591));
+ NAND2X1 g26(.A (n_4829), .B (n_2568), .Y (n_12588));
+ AND2X1 g27(.A (n_9703), .B (n_9717), .Y (n_12589));
+ NOR2X1 g25(.A (n_12589), .B (n_10663), .Y (n_12590));
+ OAI21X1 g45872(.A0 (n_12605), .A1 (n_12606), .B0 (n_12609), .Y
+ (n_12610));
+ AOI21X1 g45873(.A0 (n_12601), .A1 (n_12602), .B0 (n_12604), .Y
+ (n_12605));
+ NAND2X1 g45874(.A (n_3559), .B (n_5867), .Y (n_12601));
+ NAND2X1 g45875(.A (n_6091), .B (n_6241), .Y (n_12602));
+ INVX1 g45876(.A (n_12603), .Y (n_12604));
+ AND2X1 g45877(.A (n_242), .B (n_544), .Y (n_12603));
+ OAI21X1 g45878(.A0 (n_5571), .A1 (n_6995), .B0 (n_5862), .Y
+ (n_12606));
+ BUFX3 g45879(.A (n_12608), .Y (n_12609));
+ CLKBUFX1 g45880(.A (n_12607), .Y (n_12608));
+ NOR2X1 g45881(.A (n_11533), .B (n_8667), .Y (n_12607));
+ NAND4X1 g45882(.A (n_12611), .B (n_12612), .C (n_12613), .D
+ (n_12617), .Y (n_12618));
+ NAND2X1 g45883(.A (n_3316), .B (\u3_mem[1]_71 ), .Y (n_12611));
+ NAND2X1 g45884(.A (n_12825), .B (\u3_mem[0]_102 ), .Y (n_12612));
+ NAND2X1 g45885(.A (n_1517), .B (\u3_mem[3]_133 ), .Y (n_12613));
+ NAND2X1 g45886(.A (n_12619), .B (\u3_mem[2]_40 ), .Y (n_12617));
+ AND2X1 g45889(.A (n_600), .B (\u3_rp[2] ), .Y (n_12614));
+ INVX4 g45890(.A (n_1429), .Y (n_12619));
+ NAND3X1 g45891(.A (n_12623), .B (n_12624), .C (n_12625), .Y
+ (n_12626));
+ AOI22X1 g45892(.A0 (\u6_mem[2]_42 ), .A1 (n_2285), .B0 (n_12622), .B1
+ (\u6_mem[3]_135 ), .Y (n_12623));
+ INVX4 g45893(.A (n_12621), .Y (n_12622));
+ INVX4 g45894(.A (n_12620), .Y (n_12621));
+ NOR2X1 g45895(.A (n_11579), .B (n_192), .Y (n_12620));
+ NAND2X1 g45896(.A (n_12369), .B (\u6_mem[0]_104 ), .Y (n_12624));
+ NAND2X1 g45897(.A (n_12169), .B (\u6_mem[1]_73 ), .Y (n_12625));
+ NAND2X1 g45898(.A (n_12630), .B (n_12631), .Y (n_12632));
+ NAND3X1 g45899(.A (n_12627), .B (n_12636), .C (\u7_rp[0] ), .Y
+ (n_12630));
+ NAND4X1 g45900(.A (n_4175), .B (n_4191), .C (n_2993), .D (n_1339), .Y
+ (n_12627));
+ NAND3X1 g45903(.A (n_12634), .B (n_12636), .C (n_11855), .Y
+ (n_12631));
+ INVX4 g45905(.A (\u7_rp[0] ), .Y (n_12634));
+ NAND2X1 g45906(.A (n_12637), .B (n_12638), .Y (n_12639));
+ NAND3X1 g45907(.A (n_12635), .B (n_12636), .C (\u7_rp[0] ), .Y
+ (n_12637));
+ NAND4X1 g45908(.A (n_4129), .B (n_4240), .C (n_1807), .D (n_1527), .Y
+ (n_12635));
+ AND2X1 g45909(.A (n_808), .B (n_528), .Y (n_12636));
+ NAND3X1 g45910(.A (n_12636), .B (n_12634), .C (n_5818), .Y (n_12638));
+ INVX4 g45911(.A (n_12636), .Y (n_12640));
+ CLKBUFX3 g45912(.A (n_12662), .Y (n_12641));
+ CLKBUFX3 g45914(.A (n_12662), .Y (n_12645));
+ INVX2 g45915(.A (n_12659), .Y (n_12650));
+ INVX1 g45917(.A (n_12654), .Y (n_12656));
+ INVX4 g45919(.A (n_12659), .Y (n_12654));
+ INVX2 g45921(.A (n_12662), .Y (n_12659));
+ INVX2 g45922(.A (n_12509), .Y (n_12662));
+ OAI21X1 g45923(.A0 (n_12663), .A1 (n_12668), .B0 (n_10481), .Y
+ (n_12672));
+ AOI21X1 g45924(.A0 (n_6289), .A1 (n_5762), .B0 (n_7214), .Y
+ (n_12663));
+ NAND2X1 g45925(.A (n_12666), .B (n_12667), .Y (n_12668));
+ NAND2X1 g45926(.A (n_12664), .B (n_12755), .Y (n_12666));
+ AND2X1 g45927(.A (n_925), .B (oc0_cfg_965), .Y (n_12664));
+ NAND2X1 g52(.A (n_6174), .B (n_12115), .Y (n_12667));
+ NAND4X1 g45932(.A (n_12674), .B (n_12675), .C (n_12676), .D
+ (n_12680), .Y (n_12681));
+ NAND2X1 g45933(.A (n_3209), .B (\u5_mem[1]_77 ), .Y (n_12674));
+ NAND2X1 g45934(.A (n_12823), .B (\u5_mem[2]_46 ), .Y (n_12675));
+ NAND2X1 g45935(.A (n_1543), .B (\u5_mem[3]_139 ), .Y (n_12676));
+ NAND2X1 g45936(.A (n_12679), .B (\u5_mem[0]_108 ), .Y (n_12680));
+ CLKBUFX3 g45937(.A (n_12678), .Y (n_12679));
+ INVX2 g45938(.A (n_12677), .Y (n_12678));
+ NAND2X1 g45939(.A (n_12583), .B (n_12581), .Y (n_12677));
+ INVX1 g45940(.A (n_12678), .Y (n_12682));
+ OAI21X1 g45941(.A0 (n_12683), .A1 (n_12686), .B0 (n_12689), .Y
+ (n_12690));
+ AOI21X1 g45942(.A0 (n_6317), .A1 (n_5751), .B0 (n_7214), .Y
+ (n_12683));
+ NAND2X1 g45943(.A (n_12684), .B (n_12685), .Y (n_12686));
+ NAND2X1 g45944(.A (n_12664), .B (n_12111), .Y (n_12684));
+ NAND2X1 g45945(.A (n_12115), .B (n_12116), .Y (n_12685));
+ INVX4 g45946(.A (n_12688), .Y (n_12689));
+ CLKBUFX3 g45947(.A (n_12687), .Y (n_12688));
+ NAND2X1 g45948(.A (n_12334), .B (n_12336), .Y (n_12687));
+ CLKBUFX3 g45962(.A (n_12738), .Y (n_12721));
+ INVX4 g45969(.A (n_12738), .Y (n_12735));
+ INVX2 g45970(.A (n_602), .Y (n_12738));
+ NAND4X1 g45971(.A (n_12739), .B (n_12740), .C (n_12741), .D
+ (n_12745), .Y (n_12746));
+ NAND2X1 g45972(.A (n_12389), .B (\u4_mem[0]_103 ), .Y (n_12739));
+ NAND2X1 g39(.A (n_12261), .B (\u4_mem[1]_72 ), .Y (n_12740));
+ NAND2X1 g40(.A (\u4_mem[2]_41 ), .B (n_12079), .Y (n_12741));
+ NAND2X1 g45973(.A (n_12744), .B (\u4_mem[3]_134 ), .Y (n_12745));
+ CLKBUFX3 g45974(.A (n_12743), .Y (n_12744));
+ INVX2 g45975(.A (n_12742), .Y (n_12743));
+ NAND2X1 g45976(.A (n_521), .B (\u4_rp[2] ), .Y (n_12742));
+ INVX1 g45977(.A (n_12743), .Y (n_12747));
+ NAND4X1 g45978(.A (n_12748), .B (n_12749), .C (n_12750), .D
+ (n_12754), .Y (n_12755));
+ NAND2X1 g45979(.A (n_12825), .B (\u3_mem[0]_104 ), .Y (n_12748));
+ NAND2X1 g45980(.A (n_3207), .B (\u3_mem[2]_42 ), .Y (n_12749));
+ NAND2X1 g45981(.A (\u3_mem[3]_135 ), .B (n_2463), .Y (n_12750));
+ NAND2X2 g45982(.A (n_12753), .B (\u3_mem[1]_73 ), .Y (n_12754));
+ CLKBUFX1 g45983(.A (n_12752), .Y (n_12753));
+ INVX2 g45984(.A (n_12751), .Y (n_12752));
+ NAND2X1 g45985(.A (n_656), .B (n_601), .Y (n_12751));
+ OR2X1 g46001(.A (n_485), .B (n_422), .Y (n_12801));
+ OR2X1 g41485_dup(.A (n_485), .B (n_422), .Y (n_12802));
+ OR2X1 g46002(.A (n_524), .B (n_447), .Y (n_12803));
+ OR2X1 g41423_dup(.A (n_524), .B (n_447), .Y (n_12804));
+ INVX8 g46003(.A (n_12401), .Y (n_12823));
+ INVX4 g46004(.A (n_12735), .Y (n_12825));
+ INVX4 g45968_dup(.A (n_12735), .Y (n_12826));
+ INVX4 g46005(.A (n_12244), .Y (n_12839));
+ INVX4 g45590_dup(.A (n_12244), .Y (n_12840));
+ CLKBUFX1 g46006(.A (n_12846), .Y (n_12845));
+ CLKBUFX1 g46007(.A (n_12848), .Y (n_12847));
+endmodule
+
diff --git a/abc70930/examples/apex4.pla b/abc70930/examples/apex4.pla
new file mode 100644
index 00000000..22e7f820
--- /dev/null
+++ b/abc70930/examples/apex4.pla
@@ -0,0 +1,443 @@
+.i 9
+.o 19
+.p 438
+010110011 0100000000000000011
+100100001 0110000000000000000
+100010100 0100010000000000000
+100101001 0100001000000000000
+001101010 0000001000000001001
+00-0-0001 0000000000001011100
+110101010 0000000000010100000
+010111100 0100000001000000110
+011010111 0100000001000000000
+010111010 0000110000000000000
+110000001 0100000000001010000
+0110-0011 0001100000000000000
+10011-010 0100000000000000000
+101000110 0011000000000000000
+100110110 0000101000000000000
+101110100 0001100000000000000
+111000101 0100000000100000000
+100100100 0000000001100000000
+111001110 0100000000100000000
+111111001 0000000000001100000
+001100100 0000000000100110000
+001101101 0010000000010100000
+-01111101 0100000000000000000
+010001110 0100000010100000000
+001011111 0000010100000000110
+100101110 0100100100000000000
+001011011 0000000010010010000
+011111000 0010100000000000000
+100110100 0000001000001100000
+001101110 0000000100010010000
+010011100 0000000001000110000
+101111101 0000100100000000000
+111010000 0100000000000110000
+101011010 0000010100000000000
+011000001 0000100000000110000
+101101101 0000100001000000000
+011011011 0001000000010010000
+010011111 0000010001000001010
+10111111- 0100000000000000000
+010101010 0010000001000001001
+011101010 0010000000001100000
+11011111- 0100000000000000000
+111111011 0001100000000000000
+001010101 0000010000010101100
+001110111 0010000000000111001
+1001100-1 0010000000000000000
+100100111 0100000000110010000
+011101011 0101000001000000000
+011011101 0000100000010010000
+101110101 0101000001000000000
+001110100 0010000000010011001
+001110010 0001000110000000000
+110011100 0000000000110010000
+110101111 0000001000001100000
+100111111 0001000110000000000
+10-110000 0100000000000010000
+101101100 0100001010000000000
+010000011 0001000010000110000
+-11000011 0001000000000000000
+100001101 0000101100000000000
+010000010 0000100000110100000
+1-0001001 0100100000000000000
+001001110 0001010010000001100
+001110001 0000100011000000000
+00101001- 0000000100000001100
+100100010 0010000001100000000
+011011110 0001110000000000000
+110110000 0000100100001000000
+101000101 0000011000001000000
+100101111 0101010010000000000
+101110110 0100000000110010000
+001110000 0000010001100000000
+011001110 0100000001000110000
+010010100 0000010001100000000
+100010010 0001000001001010000
+100011000 0000100010000110000
+-01001100 0000000000010010000
+001001111 0010100010000001100
+110111110 0001110000000000000
+100110001 0000001110000000000
+001100000 0000101000100000101
+100110101 0000010011000000000
+010010010 0010000000110010000
+111100110 0000010000010010000
+100-10001 0001100000000000000
+100111101 0010010000000110000
+011010100 0001000011000000000
+101011101 0001100000011000000
+010101011 0001001100000001001
+110101100 0001100000000110000
+110101000 0001010001000000000
+001001101 0000101010000001110
+110010100 0000110100000000000
+011000101 0100000001001101100
+111000111 0100001001000000000
+001010000 0010000010001101100
+100011101 0100011010000000000
+1-0110000 0000000000100100000
+10000-011 0001000001000000000
+001011100 0000000011010010000
+101111001 0000000110100000000
+0010100-1 0000000010100000000
+010001-01 0010000000100000000
+110-11011 0000000000010010000
+1110-1011 0000010000000000000
+1001-1011 0000100000100000000
+00110001- 0010000000100000000
+100100110 0101000001010010000
+101010101 0010000000100110000
+-01101011 0001010000000000000
+001001000 0000000011100100000
+110000100 0000010011000000000
+101000-00 0000000010001000000
+010011110 0000000111000001100
+110111100 0000110001000000000
+001101001 0000010100001011010
+10011-001 0010000000100000000
+0100-0001 0000010010000000000
+100111-10 0000100100000000000
+110101101 0010001100000000000
+001101000 0000001010001101100
+-01111011 0001000100000000000
+001000-11 0000000011000000000
+110000101 0000101000010100000
+011100100 0000011001000000000
+011100110 0000100001000110000
+1011-0000 0010000000010000000
+100011011 0010000101001000000
+101-00000 0000001000000100000
+0011-1111 0000001000100000000
+011011100 0010000010010010000
+001010111 0001010001100000000
+110000010 0010000001011000000
+00-000-00 0000000000000010001
+0-1100110 0000000010100000000
+1001010-0 0000000011000000000
+11-001011 0010000000001000000
+011111-01 0011000000000000000
+01-110100 0010000000100000000
+101011001 0000001001001100000
+011101110 0000011000011000000
+101100001 0000000101000110000
+011111011 0010000001011000000
+011101100 0100000011100000000
+1-1001011 0000000010000010000
+-01011010 0000000001100000000
+0-1001011 0000001100000000000
+0110-0000 0000100010000000000
+111001101 0101010010000000000
+111000110 0010000011000000000
+011-00111 0000100010000000000
+01100-011 0000010000100000000
+1101-1011 0000001000000010000
+-11001010 0001010000000000000
+01110011- 0001001000000000000
+100011110 0010110001000000000
+110001-01 0000100100000000000
+011010110 0100000100111000000
+100010110 0001000110010100000
+100001011 0010110000000110000
+110-10010 0000110000000000000
+101-01101 0010010000000000000
+0-1100111 0000000101000000000
+110100-00 0010000000100000000
+01001100- 0000000010010010000
+110101-10 0010000000100000000
+111010100 0000101000001100000
+110-11001 0010000000100000000
+1100-1100 0010010000000000000
+1010101-0 0000010100000000000
+100001111 0010010010001100000
+11000011- 0001000000000110000
+111100011 0000010101000000000
+01010111- 0000010000100001001
+010111111 0010010110000000000
+011110000 0011000010100000000
+111110001 0010000000111000000
+100100011 0000000111100000000
+011100000 0011100001000000000
+011111110 0100001101000000000
+010110101 0010011010000000000
+010100011 0000110010001010000
+111111000 0000010101000000000
+111111101 0010000000111000000
+11101100- 0100000000011000000
+011111100 0001110100000000000
+001111011 0000101010001100000
+-11100001 0010000000100000000
+0111-1000 0000001000100000000
+100100000 0101110000011000000
+010100111 0000100110010100000
+101000010 0001011100000000000
+11001-010 0000001010000000000
+010001000 0010010001001100000
+-11110011 0010000000100000000
+010100101 0000001011100000000
+100--1000 0010000000000000000
+010101000 0001001001000110000
+010010101 0000100101100000110
+111101001 0000001010000110000
+111000100 0101000001000110000
+011001101 0001100100000110000
+001111111 0010010100011000000
+1010-1000 0000001001000000000
+100101100 0001000101010010000
+001110101 0001001110000001001
+0100-101- 0000000010000000000
+011100101 0000110100100000000
+110010001 0001011100000000000
+0-110-010 0000100000000000000
+111001100 0100100100011000000
+101100101 0001000110001100000
+10-001-01 0000000000100000000
+111111010 0000001001001100000
+101111110 0001010010001100000
+1-0001-00 0010000000000000000
+011001000 0001000110001010000
+001--0101 0000000001000000000
+010000101 0100101011000000000
+011011010 0010011000100000000
+110011110 0000111000100000000
+001110011 0010001100010010000
+111010110 0011110000000000000
+11101-111 0010000000100000000
+111011-00 0000100100000000000
+-01-11111 0000000000100000000
+111100111 0001110000100000000
+-10011000 0001110000000000000
+110001110 0001000110011000000
+01011-010 0001000110000000000
+-01100001 0001010000100000000
+010100100 0000000111011000000
+01--00010 0000000100000000000
+011011001 0010101001000000000
+1101110-1 0000000010010010000
+10-10-100 0000010000000000000
+--1011000 0000100000000000000
+-010-0110 0000000001000000000
+10--01011 0000001000000000000
+110110100 0001000110011000000
+100010011 0100010110011000000
+101110010 0000000111100000000
+001001100 0000010110001101110
+110100001 0010000111000000000
+110100011 0010010100001100000
+010100-10 0000010100100000000
+010100000 0000100101001101001
+11101-110 0000001010000000000
+1-01010-1 0000000001000000000
+001111000 0000001101100001001
+00101100- 0010000001100000000
+0100010-0 0000001100100000000
+010000110 0001110011000000000
+001-11110 0000001010100000000
+101101111 0101110000010010000
+-1-011101 0000000010000000000
+00101-101 0000000101100000000
+0101-0010 0010011000000000000
+10100010- 0000100001010000000
+-1011001- 0000000001000000000
+10111100- 0100001001000000000
+1111-1100 0000001100000000000
+10000000- 0000100000110010000
+110100101 0000011101000000000
+010-01111 0000001110000000000
+001010110 0000101000110011111
+001111100 0011011100000000000
+101100-11 0010100010000000000
+11101111- 0000000000110010000
+010111011 0011101001000000000
+11001-1-1 0000000001000000000
+101110111 0010000011010010000
+111001001 0000101001100000000
+0-1001010 0000000111000000000
+100011010 0010101110000000000
+01110100- 0011000001000000000
+11-111-00 0010000000000000000
+11-1-1111 0010000000000000000
+110110-10 0010100010000000000
+011101101 0000001011001010000
+1-110110- 0000010000000000000
+0-1001001 0000000100111000000
+100100101 0011101000010010000
+1-0111011 0100011000010000000
+111110010 0010011100000000000
+11-010-10 0000001000000000000
+111101101 0000101000101100000
+010111001 0000111100100000000
+011001-01 0010001010000000000
+010110001 0001110001011000000
+10100000- 0010000000101100000
+01110-111 0000110001000000000
+111010001 0010000001100110000
+1-1101011 0010010000100000000
+100-11011 0000010010100100000
+100111100 0010100110000110000
+111000000 0010000001110010000
+100010101 0010011101000000000
+01011011- 0000010001010010000
+10100101- 0010000000110010000
+010010110 0001001101100000101
+01111001- 0010000000100110000
+-11000010 0000010001100000000
+101-10001 0010000000110010000
+010000100 0010010101000110000
+10-00-100 0010000000100000000
+110000111 0010111010000000000
+1-00100-0 0010000000100000000
+-100-0000 0010000000100000000
+011000000 0001011101000000000
+011-10011 0000001101000000000
+1111011-0 0000100010100000000
+-11010011 0000010011000000000
+101000111 0010001110100000000
+011010001 0001000101101100000
+1-1100100 0010000101000000000
+010010011 0000000111110010000
+001111010 0000110111000001001
+111-11010 0000100110000000000
+010001011 0000011101011000000
+01110001- 0010000001010010000
+1010--011 0010000000100000000
+010010001 0010001101010010000
+110-01011 0000100110000100000
+10-011001 0001010110000000000
+10-1100-1 0000000001100000000
+010001111 0011110001100000000
+001111001 0000011011100001001
+001110110 0010011101000001001
+0101000-1 0001001101000000000
+01100-01- 0000101000000000000
+110110101 0010101101000000000
+010101100 0010011010001101001
+11001-01- 0010000000100000000
+110001111 0010001010111000000
+010110000 0000111010011001001
+1-1-00011 0010100000000000000
+0101101-0 0000111100000000000
+011010101 0010000011111000000
+110010110 0010000110110010000
+11-01-101 0001000100000000000
+101--0100 0010001000000000000
+001010100 0000001011111001100
+10-000101 0010100101000000000
+101111010 0000101001100110000
+101-01000 0001010110000000000
+111011001 0000111010100000000
+1010--110 0000001100000000000
+01-000110 0010001100100000000
+101010010 0010000111010010000
+11-10-010 0010000000100000000
+1011--011 0000010001000000000
+110110011 0010011100011000000
+110001010 0100111010001100000
+01011-110 0001001010010010000
+110-10111 0001010110000000000
+100011111 0001111000111000000
+10100111- 0000111000100000000
+11111111- 0010001000011000000
+00-0000-- 0000000000000110001
+100111000 0001111010011000000
+011000100 0011101110000000000
+-1100101- 0000000101000000000
+0110-1111 0010001100100000000
+111100000 0001011100010010000
+011111111 0011111000100000000
+1111-100- 0010000000100000000
+100-01010 0100001101100000000
+010010111 0010011101100000000
+1101-1000 0000101110000000000
+111010101 0010001001101100000
+110010-11 0000101011000000000
+1-1100001 0000111010000000000
+111000001 0000000111110010000
+1100--0-0 0000000000100000000
+011001100 0001111000110100000
+001111110 0010110101001010000
+1010-100- 0001110000000000000
+111110000 0010000111001010000
+100010111 0010101101000110000
+011110101 0001111101000000000
+01111110- 0000001011100000000
+-1--00011 0000001000000000000
+011111010 0011010110011000000
+101010111 0000111011100000000
+010111101 0010011100110010000
+-11-01-11 0000000000100000000
+111110100 0000011101010100000
+010101001 0010001111000110000
+1-1011-1- 0010000000000000000
+011110001 0011011100010100000
+100000111 0000011111010010000
+101111100 0010010111100000000
+110100111 0001111001011000000
+101100010 0001011011000110000
+111100-10 0000101011000000000
+101101001 0010101110011000000
+10-000011 0000111010100000000
+11001010- 0010001001010010000
+101100110 0010101101001100000
+101-01110 0001111000100000000
+011010010 0010001101101100000
+10-001110 0001011011000000000
+10000-000 0001011100010010000
+110-11101 0001111000100000000
+101011100 0000101111001010000
+1-0000110 0010001101100000000
+100011100 0011011001101100000
+111110110 0001111001000110000
+0110111-1 0001011101000000000
+010000111 0001001111101100000
+11011000- 0001011011000000000
+101010000 0001101111100000000
+100110111 0011001101101010000
+1101-1001 0010010101100000000
+111100101 0010001110110010000
+110011111 0001101110100110000
+100101101 0010010111110010000
+111001000 0100001111101100000
+0010001-- 0000000011011101110
+010111000 0000011111110010000
+1-0000000 0001101111000000000
+110111010 0011011001110010000
+101101010 0010010111111000000
+011110111 0010101111001100000
+110100110 0000111011101010000
+111110111 0001111111000000000
+01-011000 0001011111000000000
+00-00-0-- 0000000000011001110
+11110-1-0 0001001101000000000
+111110101 0000111011100110000
+001101100 0010011111111000000
+010101101 0001111111001101001
+010001100 0000111111111000011
+111001111 0011101111010100000
+1000000-0 0000111111010010000
+011110110 0001111111101100000
+000------ 0000000000011111111
+.e
+
diff --git a/abc70930/examples/frg2.blif b/abc70930/examples/frg2.blif
new file mode 100644
index 00000000..830c56ec
--- /dev/null
+++ b/abc70930/examples/frg2.blif
@@ -0,0 +1,1867 @@
+.model frg2
+.inputs a b c d e f g h i j k l m n o p q r s t u v w x y z a0 b0 c0 d0 e0 f0 \
+g0 h0 i0 j0 k0 l0 m0 n0 o0 p0 q0 s0 t0 u0 v0 w0 x0 y0 z0 a1 b1 c1 d1 e1 f1 \
+g1 h1 i1 j1 k1 l1 m1 n1 o1 p1 q1 r1 s1 t1 u1 v1 w1 x1 y1 z1 a2 b2 c2 d2 e2 f2 \
+g2 h2 i2 j2 k2 l2 m2 n2 o2 p2 q2 r2 s2 t2 u2 v2 w2 x2 y2 z2 a3 b3 c3 d3 e3 f3 \
+g3 h3 i3 j3 k3 l3 m3 n3 o3 p3 q3 r3 s3 t3 u3 v3 w3 x3 y3 z3 a4 b4 c4 d4 e4 f4 \
+g4 h4 i4 j4 k4 l4 m4 n4
+.outputs o4 p4 q4 r4 s4 t4 u4 v4 w4 x4 y4 z4 a5 b5 c5 d5 e5 f5 g5 h5 i5 j5 k5 \
+l5 m5 n5 o5 p5 q5 r5 s5 t5 u5 v5 w5 x5 y5 z5 a6 b6 c6 d6 e6 f6 g6 h6 i6 j6 k6 \
+l6 m6 n6 o6 p6 q6 r6 s6 t6 u6 v6 w6 x6 y6 z6 a7 b7 c7 d7 e7 f7 g7 h7 i7 j7 k7 \
+l7 m7 n7 o7 p7 q7 r7 s7 t7 u7 v7 w7 x7 y7 z7 a8 b8 c8 d8 e8 f8 g8 h8 i8 j8 k8 \
+l8 m8 n8 o8 p8 q8 r8 s8 t8 u8 v8 w8 x8 y8 z8 a9 b9 c9 d9 e9 f9 g9 h9 i9 j9 k9 \
+l9 m9 n9 o9 p9 q9 r9 s9 t9 u9 v9 w9
+.names g1 o4
+0 1
+.names k0 m0 h33 i33 j33 p4
+-0--0 1
+0-0-0 1
+1--00 1
+--000 1
+.names k0 m0 k33 l33 m33 q4
+-0--0 1
+0-0-0 1
+1--00 1
+--000 1
+.names k0 m0 n33 o33 p33 r4
+-0--0 1
+0-0-0 1
+1--00 1
+--000 1
+.names k0 m0 q33 r33 s33 s4
+-0--0 1
+0-0-0 1
+1--00 1
+--000 1
+.names k0 l0 m3 t33 v4
+--10 1
+11-0 1
+00-0 1
+.names k0 l0 n3 u33 w4
+--10 1
+11-0 1
+00-0 1
+.names k0 l0 o3 v33 x4
+--10 1
+11-0 1
+00-0 1
+.names k0 l0 p3 w33 y4
+--10 1
+11-0 1
+00-0 1
+.names k0 l0 q3 x33 z4
+--10 1
+11-0 1
+00-0 1
+.names k0 l0 r3 y33 a5
+--10 1
+11-0 1
+00-0 1
+.names k0 l0 s3 z33 b5
+--10 1
+11-0 1
+00-0 1
+.names k0 l0 t3 a34 c5
+--10 1
+11-0 1
+00-0 1
+.names m3 m0 d5
+11 1
+.names n3 m0 e5
+11 1
+.names o3 m0 f5
+11 1
+.names p3 m0 g5
+11 1
+.names q3 m0 h5
+11 1
+.names r3 m0 i5
+11 1
+.names s3 m0 j5
+11 1
+.names t3 m0 k5
+11 1
+.names j4 g1 l5
+01 1
+.names b34 n5
+0 1
+.names c34 o5
+0 1
+.names d34 p5
+0 1
+.names e34 q5
+0 1
+.names f34 r5
+0 1
+.names g30 g34 h34 n1 i34 s5
+0--1- 1
+-0-1- 1
+--01- 1
+0---0 1
+-0--0 1
+--0-0 1
+.names g30 g34 h34 n1 i34 t5
+0--1- 1
+-0-1- 1
+--01- 1
+0---0 1
+-0--0 1
+--0-0 1
+.names g30 g34 h34 n1 i34 u5
+0--1- 1
+-0-1- 1
+--01- 1
+0---0 1
+-0--0 1
+--0-0 1
+.names g30 g34 h34 n1 i34 v5
+0--1- 1
+-0-1- 1
+--01- 1
+0---0 1
+-0--0 1
+--0-0 1
+.names g30 g34 h34 n1 i34 w5
+0--1- 1
+-0-1- 1
+--01- 1
+0---0 1
+-0--0 1
+--0-0 1
+.names k34 x5
+0 1
+.names l34 y5
+0 1
+.names m34 z5
+0 1
+.names n34 a6
+0 1
+.names o34 b6
+0 1
+.names p34 c6
+0 1
+.names q34 d6
+0 1
+.names r34 e6
+0 1
+.names s34 f6
+0 1
+.names t34 g6
+0 1
+.names h1 h6
+0 1
+.names i1 i6
+0 1
+.names j1 j6
+0 1
+.names k1 k6
+0 1
+.names l1 l6
+0 1
+.names f1 i4 n6
+11 1
+00 1
+.names c4 b4 v34 o6
+000 1
+.names g15 q0 o0 p6
+001 1
+.names n0 j15 k15 o0 l15 m15 q6
+000--- 1
+---100 1
+.names t15 n0 w15 g1 x15 y15 r6
+0--0-0 1
+-1-0-0 1
+--00-0 1
+0---00 1
+-1--00 1
+--0-00 1
+.names t15 n0 b16 g1 x15 c16 s6
+0--0-0 1
+-1-0-0 1
+--00-0 1
+0---00 1
+-1--00 1
+--0-00 1
+.names t15 n0 f16 g1 x15 g16 t6
+0--0-0 1
+-1-0-0 1
+--00-0 1
+0---00 1
+-1--00 1
+--0-00 1
+.names g1 j16 l1 l16 u6
+-0-0 1
+0-10 1
+.names n0 m1 p0 p16 v6
+-1-0 1
+0-10 1
+.names a17 r16 s16 n1 n0 u16 v16 w6
+1--1--0 1
+-0-1--0 1
+--01--0 1
+1---0-0 1
+-0--0-0 1
+--0-0-0 1
+1----00 1
+-0---00 1
+--0--00 1
+.names a17 b17 s16 o1 n0 u16 d17 x6
+1--1--0 1
+-0-1--0 1
+--01--0 1
+1---0-0 1
+-0--0-0 1
+--0-0-0 1
+1----00 1
+-0---00 1
+--0--00 1
+.names a17 g17 s16 p1 n0 u16 i17 y6
+1--1--0 1
+-0-1--0 1
+--01--0 1
+1---0-0 1
+-0--0-0 1
+--0-0-0 1
+1----00 1
+-0---00 1
+--0--00 1
+.names a17 l17 s16 q1 n0 u16 n17 z6
+1--1--0 1
+-0-1--0 1
+--01--0 1
+1---0-0 1
+-0--0-0 1
+--0-0-0 1
+1----00 1
+-0---00 1
+--0--00 1
+.names a17 q17 s16 r1 n0 u16 s17 a7
+1--1--0 1
+-0-1--0 1
+--01--0 1
+1---0-0 1
+-0--0-0 1
+--0-0-0 1
+1----00 1
+-0---00 1
+--0--00 1
+.names a17 v17 s16 s1 n0 u16 x17 b7
+1--1--0 1
+-0-1--0 1
+--01--0 1
+1---0-0 1
+-0--0-0 1
+--0-0-0 1
+1----00 1
+-0---00 1
+--0--00 1
+.names a17 a18 s16 t1 n0 u16 c18 c7
+1--1--0 1
+-0-1--0 1
+--01--0 1
+1---0-0 1
+-0--0-0 1
+--0-0-0 1
+1----00 1
+-0---00 1
+--0--00 1
+.names a17 f18 s16 u1 n0 u16 h18 d7
+1--1--0 1
+-0-1--0 1
+--01--0 1
+1---0-0 1
+-0--0-0 1
+--0-0-0 1
+1----00 1
+-0---00 1
+--0--00 1
+.names a17 k18 s16 v1 n0 u16 m18 e7
+1--1--0 1
+-0-1--0 1
+--01--0 1
+1---0-0 1
+-0--0-0 1
+--0-0-0 1
+1----00 1
+-0---00 1
+--0--00 1
+.names a17 p18 s16 w1 n0 u16 r18 f7
+1--1--0 1
+-0-1--0 1
+--01--0 1
+1---0-0 1
+-0--0-0 1
+--0-0-0 1
+1----00 1
+-0---00 1
+--0--00 1
+.names a17 u18 s16 x1 n0 u16 w18 g7
+1--1--0 1
+-0-1--0 1
+--01--0 1
+1---0-0 1
+-0--0-0 1
+--0-0-0 1
+1----00 1
+-0---00 1
+--0--00 1
+.names a17 z18 s16 y1 n0 u16 b19 h7
+1--1--0 1
+-0-1--0 1
+--01--0 1
+1---0-0 1
+-0--0-0 1
+--0-0-0 1
+1----00 1
+-0---00 1
+--0--00 1
+.names a17 e19 s16 z1 n0 u16 g19 i7
+1--1--0 1
+-0-1--0 1
+--01--0 1
+1---0-0 1
+-0--0-0 1
+--0-0-0 1
+1----00 1
+-0---00 1
+--0--00 1
+.names a17 j19 s16 a2 n0 u16 l19 j7
+1--1--0 1
+-0-1--0 1
+--01--0 1
+1---0-0 1
+-0--0-0 1
+--0-0-0 1
+1----00 1
+-0---00 1
+--0--00 1
+.names a17 o19 s16 b2 n0 u16 q19 k7
+1--1--0 1
+-0-1--0 1
+--01--0 1
+1---0-0 1
+-0--0-0 1
+--0-0-0 1
+1----00 1
+-0---00 1
+--0--00 1
+.names a17 t19 s16 c2 n0 u16 v19 l7
+1--1--0 1
+-0-1--0 1
+--01--0 1
+1---0-0 1
+-0--0-0 1
+--0-0-0 1
+1----00 1
+-0---00 1
+--0--00 1
+.names a17 y19 s16 d2 n0 u16 a20 m7
+1--1--0 1
+-0-1--0 1
+--01--0 1
+1---0-0 1
+-0--0-0 1
+--0-0-0 1
+1----00 1
+-0---00 1
+--0--00 1
+.names a17 d20 s16 e2 n0 u16 f20 n7
+1--1--0 1
+-0-1--0 1
+--01--0 1
+1---0-0 1
+-0--0-0 1
+--0-0-0 1
+1----00 1
+-0---00 1
+--0--00 1
+.names a17 i20 s16 f2 n0 u16 k20 o7
+1--1--0 1
+-0-1--0 1
+--01--0 1
+1---0-0 1
+-0--0-0 1
+--0-0-0 1
+1----00 1
+-0---00 1
+--0--00 1
+.names a17 n20 s16 g2 n0 u16 p20 p7
+1--1--0 1
+-0-1--0 1
+--01--0 1
+1---0-0 1
+-0--0-0 1
+--0-0-0 1
+1----00 1
+-0---00 1
+--0--00 1
+.names a17 s20 s16 h2 n0 u16 u20 q7
+1--1--0 1
+-0-1--0 1
+--01--0 1
+1---0-0 1
+-0--0-0 1
+--0-0-0 1
+1----00 1
+-0---00 1
+--0--00 1
+.names a17 x20 s16 i2 n0 u16 z20 r7
+1--1--0 1
+-0-1--0 1
+--01--0 1
+1---0-0 1
+-0--0-0 1
+--0-0-0 1
+1----00 1
+-0---00 1
+--0--00 1
+.names a17 c21 s16 j2 n0 u16 e21 s7
+1--1--0 1
+-0-1--0 1
+--01--0 1
+1---0-0 1
+-0--0-0 1
+--0-0-0 1
+1----00 1
+-0---00 1
+--0--00 1
+.names q0 o0 h21 t7
+010 1
+.names q0 o0 q21 u7
+010 1
+.names q0 o0 x21 v7
+010 1
+.names q0 o0 e22 w7
+010 1
+.names q0 o0 l22 x7
+010 1
+.names q0 o0 s22 y7
+010 1
+.names q0 o0 z22 z7
+010 1
+.names q0 o0 g23 a8
+010 1
+.names q0 o0 n23 b8
+010 1
+.names q0 o0 y23 c8
+010 1
+.names q0 o0 c24 d8
+010 1
+.names q0 o0 g24 e8
+010 1
+.names q0 o0 k24 f8
+010 1
+.names q0 o0 o24 g8
+010 1
+.names q0 o0 s24 h8
+010 1
+.names w24 x24 s23 o0 y24 z24 i8
+000--- 1
+---100 1
+.names a25 b25 c25 o0 d25 e25 j8
+000--- 1
+---100 1
+.names a25 w25 c25 o0 x25 e25 k8
+000--- 1
+---100 1
+.names a25 z25 c25 o0 a26 e25 l8
+000--- 1
+---100 1
+.names a25 c26 c25 o0 d26 e25 m8
+000--- 1
+---100 1
+.names a25 f26 c25 o0 g26 e25 n8
+000--- 1
+---100 1
+.names a25 i26 c25 o0 j26 e25 o8
+000--- 1
+---100 1
+.names a25 l26 c25 o0 m26 e25 p8
+000--- 1
+---100 1
+.names a25 o26 c25 o0 p26 e25 q8
+000--- 1
+---100 1
+.names a25 r26 c25 o0 s26 e25 r8
+000--- 1
+---100 1
+.names a25 u26 c25 o0 v26 e25 s8
+000--- 1
+---100 1
+.names a25 x26 c25 o0 y26 e25 t8
+000--- 1
+---100 1
+.names a25 a27 c25 o0 b27 e25 u8
+000--- 1
+---100 1
+.names a25 d27 c25 o0 e27 e25 v8
+000--- 1
+---100 1
+.names a25 g27 c25 o0 h27 e25 w8
+000--- 1
+---100 1
+.names a25 j27 c25 o0 k27 e25 x8
+000--- 1
+---100 1
+.names a25 m27 c25 o0 n27 e25 y8
+000--- 1
+---100 1
+.names a25 p27 c25 o0 q27 e25 z8
+000--- 1
+---100 1
+.names a25 s27 c25 o0 t27 e25 a9
+000--- 1
+---100 1
+.names a25 v27 c25 o0 w27 e25 b9
+000--- 1
+---100 1
+.names a25 y27 c25 o0 z27 e25 c9
+000--- 1
+---100 1
+.names a25 b28 c25 o0 c28 e25 d9
+000--- 1
+---100 1
+.names a25 e28 c25 o0 f28 e25 e9
+000--- 1
+---100 1
+.names h28 i28 j28 o0 k28 l28 f9
+000--- 1
+---100 1
+.names l4 a17 x3 a29 g9
+--10 1
+10-0 1
+.names y3 d29 o0 e29 h9
+--0- 1
+---0 1
+10-- 1
+.names z3 i29 o0 j29 i9
+--0- 1
+---0 1
+10-- 1
+.names q0 o0 n29 j9
+010 1
+.names x29 y29 z29 o0 a30 b30 c30 k9
+------0 1
+000---- 1
+---100- 1
+.names j30 y29 z29 o0 k30 l30 m30 l9
+------0 1
+000---- 1
+---100- 1
+.names n0 o0 t30 u30 v30 m9
+-100- 1
+01--0 1
+.names e4 b31 o0 c31 n9
+--0- 1
+---0 1
+10-- 1
+.names f4 h31 o0 i31 o9
+--0- 1
+---0 1
+10-- 1
+.names n31 o31 z29 o0 p31 q31 r31 p9
+------0 1
+000---- 1
+---100- 1
+.names y31 a25 z29 o0 z31 a32 c30 q9
+------0 1
+000---- 1
+---100- 1
+.names i4 n1 l4 i32 r9
+1-10 1
+-110 1
+.names k32 l32 j28 o0 m32 n32 s9
+000--- 1
+---100 1
+.names c4 b4 a33 t9
+000 1
+.names m1 c33 u9
+10 1
+.names g15 d33 e33 v9
+0-0 1
+-00 1
+.names k4 a25 w9
+10 1
+.names x0 y0 h1 i1 g35 h35 g15
+-----0 1
+0-1-0- 1
+-0-10- 1
+.names c1 q0 o0 j15
+1-- 1
+-1- 1
+--0 1
+.names t15 e1 d1 k15
+0-- 1
+-1- 1
+--1 1
+.names h1 g1 q0 l15
+0-- 1
+-1- 1
+--1 1
+.names t15 n0 o15 m15
+100 1
+.names d1 e1 c1 o15
+11- 1
+-11 1
+.names l1 k1 p35 t15
+000 1
+.names c1 d1 e1 w15
+00- 1
+0-0 1
+-10 1
+.names t15 e1 n0 x15
+0-- 1
+-1- 1
+--1 1
+.names q0 o0 z15 y15
+1-- 1
+-0- 1
+--0 1
+.names n0 e1 t15 i1 z15
+---1 1
+001- 1
+.names c1 d1 e1 b16
+00- 1
+1-0 1
+-00 1
+.names q0 o0 d16 c16
+1-- 1
+-0- 1
+--0 1
+.names n0 e1 t15 j1 d16
+---1 1
+001- 1
+.names c1 d1 e1 f16
+00- 1
+0-0 1
+-00 1
+.names q0 o0 h16 g16
+1-- 1
+-0- 1
+--0 1
+.names n0 e1 t15 k1 h16
+---1 1
+001- 1
+.names c1 n0 m16 j16
+1-- 1
+-1- 1
+--0 1
+.names n0 e1 t15 q0 o0 l16
+---1- 1
+----0 1
+001-- 1
+.names t15 d1 m16
+10 1
+.names g15 m1 q0 o0 p16
+--1- 1
+---0 1
+01-- 1
+.names l4 o1 r16
+10 1
+.names t15 n0 s16
+0- 1
+-1 1
+.names l4 a17 u16
+0- 1
+-1 1
+.names n1 t15 w16 x16 v16
+---0 1
+000- 1
+.names l4 a17 w16
+10 1
+.names j0 n0 t15 o0 q0 x16
+1--10 1
+-1-10 1
+--010 1
+.names c4 b4 q35 a17
+000 1
+.names l4 p1 b17
+10 1
+.names o1 t15 w16 e17 d17
+---0 1
+000- 1
+.names i0 n0 t15 o0 q0 e17
+1--10 1
+-1-10 1
+--010 1
+.names l4 q1 g17
+10 1
+.names p1 t15 w16 j17 i17
+---0 1
+000- 1
+.names h0 n0 t15 o0 q0 j17
+1--10 1
+-1-10 1
+--010 1
+.names l4 r1 l17
+10 1
+.names q1 t15 w16 o17 n17
+---0 1
+000- 1
+.names g0 n0 t15 o0 q0 o17
+1--10 1
+-1-10 1
+--010 1
+.names l4 s1 q17
+10 1
+.names r1 t15 w16 t17 s17
+---0 1
+000- 1
+.names f0 n0 t15 o0 q0 t17
+1--10 1
+-1-10 1
+--010 1
+.names l4 t1 v17
+10 1
+.names s1 t15 w16 y17 x17
+---0 1
+000- 1
+.names e0 n0 t15 o0 q0 y17
+1--10 1
+-1-10 1
+--010 1
+.names l4 u1 a18
+10 1
+.names t1 t15 w16 d18 c18
+---0 1
+000- 1
+.names d0 n0 t15 o0 q0 d18
+1--10 1
+-1-10 1
+--010 1
+.names l4 v1 f18
+10 1
+.names u1 t15 w16 i18 h18
+---0 1
+000- 1
+.names m0 n0 t15 o0 q0 i18
+1--10 1
+-1-10 1
+--010 1
+.names l4 w1 k18
+10 1
+.names v1 t15 w16 n18 m18
+---0 1
+000- 1
+.names k0 n0 t15 o0 q0 n18
+1--10 1
+-1-10 1
+--010 1
+.names l4 x1 p18
+10 1
+.names w1 t15 w16 s18 r18
+---0 1
+000- 1
+.names l0 n0 t15 o0 q0 s18
+1--10 1
+-1-10 1
+--010 1
+.names l4 y1 u18
+10 1
+.names x1 t15 w16 x18 w18
+---0 1
+000- 1
+.names q n0 t15 o0 q0 x18
+1--10 1
+-1-10 1
+--010 1
+.names l4 z1 z18
+10 1
+.names y1 t15 w16 c19 b19
+---0 1
+000- 1
+.names r n0 t15 o0 q0 c19
+1--10 1
+-1-10 1
+--010 1
+.names l4 a2 e19
+10 1
+.names z1 t15 w16 h19 g19
+---0 1
+000- 1
+.names s n0 t15 o0 q0 h19
+1--10 1
+-1-10 1
+--010 1
+.names l4 b2 j19
+10 1
+.names a2 t15 w16 m19 l19
+---0 1
+000- 1
+.names t n0 t15 o0 q0 m19
+1--10 1
+-1-10 1
+--010 1
+.names l4 c2 o19
+10 1
+.names b2 t15 w16 r19 q19
+---0 1
+000- 1
+.names u n0 t15 o0 q0 r19
+1--10 1
+-1-10 1
+--010 1
+.names l4 d2 t19
+10 1
+.names c2 t15 w16 w19 v19
+---0 1
+000- 1
+.names v n0 t15 o0 q0 w19
+1--10 1
+-1-10 1
+--010 1
+.names l4 e2 y19
+10 1
+.names d2 t15 w16 b20 a20
+---0 1
+000- 1
+.names w n0 t15 o0 q0 b20
+1--10 1
+-1-10 1
+--010 1
+.names l4 f2 d20
+10 1
+.names e2 t15 w16 g20 f20
+---0 1
+000- 1
+.names x n0 t15 o0 q0 g20
+1--10 1
+-1-10 1
+--010 1
+.names l4 g2 i20
+10 1
+.names f2 t15 w16 l20 k20
+---0 1
+000- 1
+.names y n0 t15 o0 q0 l20
+1--10 1
+-1-10 1
+--010 1
+.names l4 h2 n20
+10 1
+.names g2 t15 w16 q20 p20
+---0 1
+000- 1
+.names z n0 t15 o0 q0 q20
+1--10 1
+-1-10 1
+--010 1
+.names l4 i2 s20
+10 1
+.names h2 t15 w16 v20 u20
+---0 1
+000- 1
+.names a0 n0 t15 o0 q0 v20
+1--10 1
+-1-10 1
+--010 1
+.names l4 j2 x20
+10 1
+.names i2 t15 w16 a21 z20
+---0 1
+000- 1
+.names b0 n0 t15 o0 q0 a21
+1--10 1
+-1-10 1
+--010 1
+.names l4 k2 c21
+10 1
+.names j2 t15 w16 f21 e21
+---0 1
+000- 1
+.names c0 n0 t15 o0 q0 f21
+1--10 1
+-1-10 1
+--010 1
+.names m0 s16 i21 a17 j21 k21 l21 h21
+------0 1
+000---- 1
+---000- 1
+.names k0 l0 i a i21
+0--1 1
+-1-1 1
+101- 1
+.names l4 l2 j21
+0- 1
+-1 1
+.names t15 n0 m0 k21
+100 1
+.names m0 n0 t15 a17 l4 k2 l21
+-----1 1
+---01- 1
+001--- 1
+.names m0 s16 r21 a17 s21 k21 t21 q21
+------0 1
+000---- 1
+---000- 1
+.names k0 l0 j b r21
+0--1 1
+-1-1 1
+101- 1
+.names l4 m2 s21
+0- 1
+-1 1
+.names m0 n0 t15 a17 l4 l2 t21
+-----1 1
+---01- 1
+001--- 1
+.names m0 s16 y21 a17 z21 k21 a22 x21
+------0 1
+000---- 1
+---000- 1
+.names k0 l0 k c y21
+0--1 1
+-1-1 1
+101- 1
+.names l4 n2 z21
+0- 1
+-1 1
+.names m0 n0 t15 a17 l4 m2 a22
+-----1 1
+---01- 1
+001--- 1
+.names m0 s16 f22 a17 g22 k21 h22 e22
+------0 1
+000---- 1
+---000- 1
+.names k0 l0 l d f22
+0--1 1
+-1-1 1
+101- 1
+.names l4 o2 g22
+0- 1
+-1 1
+.names m0 n0 t15 a17 l4 n2 h22
+-----1 1
+---01- 1
+001--- 1
+.names m0 s16 m22 a17 n22 k21 o22 l22
+------0 1
+000---- 1
+---000- 1
+.names k0 l0 m e m22
+0--1 1
+-1-1 1
+101- 1
+.names l4 p2 n22
+0- 1
+-1 1
+.names m0 n0 t15 a17 l4 o2 o22
+-----1 1
+---01- 1
+001--- 1
+.names m0 s16 t22 a17 u22 k21 v22 s22
+------0 1
+000---- 1
+---000- 1
+.names k0 l0 n f t22
+0--1 1
+-1-1 1
+101- 1
+.names l4 q2 u22
+0- 1
+-1 1
+.names m0 n0 t15 a17 l4 p2 v22
+-----1 1
+---01- 1
+001--- 1
+.names m0 s16 a23 a17 b23 k21 c23 z22
+------0 1
+000---- 1
+---000- 1
+.names k0 l0 o g a23
+0--1 1
+-1-1 1
+101- 1
+.names l4 r2 b23
+0- 1
+-1 1
+.names m0 n0 t15 a17 l4 q2 c23
+-----1 1
+---01- 1
+001--- 1
+.names m0 s16 h23 a17 i23 k21 j23 g23
+------0 1
+000---- 1
+---000- 1
+.names k0 l0 p h h23
+0--1 1
+-1-1 1
+101- 1
+.names l4 s2 i23
+0- 1
+-1 1
+.names m0 n0 t15 a17 l4 r2 j23
+-----1 1
+---01- 1
+001--- 1
+.names s2 a17 o23 p23 q23 n23
+---00 1
+01--0 1
+0-0-0 1
+-00-0 1
+.names l4 t2 o23
+11 1
+.names n0 m0 w23 p23
+1-- 1
+-1- 1
+--0 1
+.names i r23 s23 l4 s2 t23 q23
+100--- 1
+---010 1
+.names t15 n0 m0 r23
+0-- 1
+-1- 1
+--1 1
+.names k0 l0 s23
+01 1
+10 1
+.names n0 m0 u23 t23
+000 1
+.names k0 l0 t15 u23
+--0 1
+01- 1
+10- 1
+.names k0 l0 t15 w23
+111 1
+001 1
+.names t2 a17 p23 z23 a24 y23
+--0-0 1
+01--0 1
+0--00 1
+-0-00 1
+.names l4 u2 z23
+11 1
+.names j r23 s23 l4 t2 t23 a24
+100--- 1
+---010 1
+.names u2 a17 p23 d24 e24 c24
+--0-0 1
+01--0 1
+0--00 1
+-0-00 1
+.names l4 v2 d24
+11 1
+.names k r23 s23 l4 u2 t23 e24
+100--- 1
+---010 1
+.names v2 a17 p23 h24 i24 g24
+--0-0 1
+01--0 1
+0--00 1
+-0-00 1
+.names l4 w2 h24
+11 1
+.names l r23 s23 l4 v2 t23 i24
+100--- 1
+---010 1
+.names w2 a17 p23 l24 m24 k24
+--0-0 1
+01--0 1
+0--00 1
+-0-00 1
+.names l4 x2 l24
+11 1
+.names m r23 s23 l4 w2 t23 m24
+100--- 1
+---010 1
+.names x2 a17 p23 p24 q24 o24
+--0-0 1
+01--0 1
+0--00 1
+-0-00 1
+.names l4 y2 p24
+11 1
+.names n r23 s23 l4 x2 t23 q24
+100--- 1
+---010 1
+.names y2 a17 p23 t24 u24 s24
+--0-0 1
+01--0 1
+0--00 1
+-0-00 1
+.names l4 z2 t24
+11 1
+.names o r23 s23 l4 y2 t23 u24
+100--- 1
+---010 1
+.names n0 m0 p w24
+1-- 1
+-1- 1
+--0 1
+.names t15 q0 o0 x24
+0-- 1
+-1- 1
+--0 1
+.names z2 q0 y24
+0- 1
+-1 1
+.names m0 s16 s23 l4 a17 z24
+---10 1
+000-- 1
+.names l25 q0 o0 a25
+0-- 1
+-1- 1
+--0 1
+.names k4 b3 j25 b25
+0-- 1
+-0- 1
+--1 1
+.names h1 p25 i1 q25 r25 c25
+----0 1
+10--- 1
+--10- 1
+.names a3 q0 d25
+0- 1
+-1 1
+.names h1 f25 i1 g25 h25 e25
+0-0-0 1
+-00-0 1
+0--00 1
+-0-00 1
+.names j1 i1 o25 f25
+1-- 1
+-1- 1
+--0 1
+.names l1 k1 j1 g25
+1-- 1
+-1- 1
+--1 1
+.names l1 k1 k4 i25 h25
+--0- 1
+---0 1
+11-- 1
+.names l1 j1 j25 k25 i25
+0-00 1
+-000 1
+.names h4 r35 j25
+00 1
+.names k1 j1 l25 m25 k25
+--0- 1
+---0 1
+11-- 1
+.names h1 x0 i1 y0 x34 l25
+----0 1
+10--- 1
+--10- 1
+.names l1 k1 n25 m25
+1-- 1
+-1- 1
+--0 1
+.names j1 i1 h1 n25
+000 1
+.names l1 k1 o25
+00 1
+.names j1 i1 t25 p25
+000 1
+.names l1 k1 j1 q25
+000 1
+.names i1 h1 q25 s25 r25
+1--0 1
+-1-0 1
+--00 1
+.names j1 k1 l1 s25
+11- 1
+1-1 1
+-11 1
+.names l1 k1 t25
+1- 1
+-1 1
+.names k4 c3 j25 w25
+0-- 1
+-0- 1
+--1 1
+.names b3 q0 x25
+0- 1
+-1 1
+.names k4 d3 j25 z25
+0-- 1
+-0- 1
+--1 1
+.names c3 q0 a26
+0- 1
+-1 1
+.names k4 e3 j25 c26
+0-- 1
+-0- 1
+--1 1
+.names d3 q0 d26
+0- 1
+-1 1
+.names k4 f3 j25 f26
+0-- 1
+-0- 1
+--1 1
+.names e3 q0 g26
+0- 1
+-1 1
+.names k4 g3 j25 i26
+0-- 1
+-0- 1
+--1 1
+.names f3 q0 j26
+0- 1
+-1 1
+.names k4 h3 j25 l26
+0-- 1
+-0- 1
+--1 1
+.names g3 q0 m26
+0- 1
+-1 1
+.names k4 i3 j25 o26
+0-- 1
+-0- 1
+--1 1
+.names h3 q0 p26
+0- 1
+-1 1
+.names k4 j3 j25 r26
+0-- 1
+-0- 1
+--1 1
+.names i3 q0 s26
+0- 1
+-1 1
+.names k4 k3 j25 u26
+0-- 1
+-0- 1
+--1 1
+.names j3 q0 v26
+0- 1
+-1 1
+.names k4 l3 j25 x26
+0-- 1
+-0- 1
+--1 1
+.names k3 q0 y26
+0- 1
+-1 1
+.names k4 m3 j25 a27
+0-- 1
+-0- 1
+--1 1
+.names l3 q0 b27
+0- 1
+-1 1
+.names k4 n3 j25 d27
+0-- 1
+-0- 1
+--1 1
+.names m3 q0 e27
+0- 1
+-1 1
+.names k4 o3 j25 g27
+0-- 1
+-0- 1
+--1 1
+.names n3 q0 h27
+0- 1
+-1 1
+.names k4 p3 j25 j27
+0-- 1
+-0- 1
+--1 1
+.names o3 q0 k27
+0- 1
+-1 1
+.names k4 q3 j25 m27
+0-- 1
+-0- 1
+--1 1
+.names p3 q0 n27
+0- 1
+-1 1
+.names k4 r3 j25 p27
+0-- 1
+-0- 1
+--1 1
+.names q3 q0 q27
+0- 1
+-1 1
+.names k4 s3 j25 s27
+0-- 1
+-0- 1
+--1 1
+.names r3 q0 t27
+0- 1
+-1 1
+.names k4 t3 j25 v27
+0-- 1
+-0- 1
+--1 1
+.names s3 q0 w27
+0- 1
+-1 1
+.names k4 u3 j25 y27
+0-- 1
+-0- 1
+--1 1
+.names t3 q0 z27
+0- 1
+-1 1
+.names k4 v3 j25 b28
+0-- 1
+-0- 1
+--1 1
+.names u3 q0 c28
+0- 1
+-1 1
+.names k4 w3 j25 e28
+0-- 1
+-0- 1
+--1 1
+.names v3 q0 f28
+0- 1
+-1 1
+.names q0 o0 h28
+1- 1
+-0 1
+.names k4 j25 l25 i28
+0-- 1
+-1- 1
+--0 1
+.names s0 r28 q25 h1 s28 j28
+0--1- 1
+-0-1- 1
+--01- 1
+0---0 1
+-0--0 1
+--0-0 1
+.names w3 q0 k28
+0- 1
+-1 1
+.names h1 f25 i1 g25 m28 l28
+0-0-0 1
+-00-0 1
+0--00 1
+-0-00 1
+.names k4 j25 n28 m28
+0-- 1
+-1- 1
+--0 1
+.names l1 k1 l25 p28 n28
+0-10 1
+-010 1
+.names i1 h1 g25 q28 p28
+---0 1
+000- 1
+.names k1 l1 j1 q28
+--0 1
+00- 1
+.names i1 h1 r28
+01 1
+.names i1 g25 t0 u28 s28
+0--0 1
+101- 1
+.names u0 w0 j1 o25 v28 w28 u28
+00---0 1
+0-1--0 1
+-00--0 1
+-0-0-0 1
+--10-0 1
+0---00 1
+--0-00 1
+---000 1
+.names l1 k1 v28
+10 1
+.names l1 x28 w28
+00 1
+.names k1 j1 v0 x28
+0-- 1
+-1- 1
+--0 1
+.names l4 x3 a17 b29 a29
+---0 1
+110- 1
+.names n0 t15 o0 q0 b29
+1-10 1
+-010 1
+.names l4 x3 a17 d29
+100 1
+.names t15 n0 q0 f29 e29
+0-00 1
+-100 1
+.names l4 g29 f29
+10 1
+.names y3 x3 a17 g29
+1-- 1
+-1- 1
+--1 1
+.names x3 a17 m29 i29
+000 1
+.names t15 n0 q0 k29 j29
+0-00 1
+-100 1
+.names l4 z3 l29 k29
+100 1
+.names y3 x3 a17 l29
+0-- 1
+-1- 1
+--1 1
+.names l4 y3 m29
+0- 1
+-0 1
+.names o29 p29 s16 a4 n0 q29 r29 n29
+0--0--0 1
+-0-0--0 1
+--00--0 1
+0---0-0 1
+-0--0-0 1
+--0-0-0 1
+0----00 1
+-0---00 1
+--0--00 1
+.names y3 x3 a17 o29
+100 1
+.names l4 a4 z3 p29
+101 1
+.names x3 a17 w29 q29
+1-- 1
+-1- 1
+--0 1
+.names m0 a4 t15 s23 t29 r29
+11--0 1
+1-1-0 1
+-10-0 1
+-1-00 1
+--100 1
+.names t15 n0 u29 v29 t29
+11-- 1
+0-00 1
+.names x3 a17 u29
+1- 1
+-1 1
+.names l4 z3 y3 v29
+0-- 1
+-0- 1
+--0 1
+.names l4 z3 y3 w29
+111 1
+.names o0 g30 h30 x29
+0-- 1
+-0- 1
+--0 1
+.names x3 a17 q0 y29
+1-- 1
+-1- 1
+--1 1
+.names t15 n0 z29
+10 1
+.names b4 q0 a30
+0- 1
+-1 1
+.names a17 e30 f30 t15 n0 b30
+---10 1
+000-- 1
+.names t15 q0 d30 c30
+0-- 1
+-1- 1
+--0 1
+.names o0 n0 m0 d30
+101 1
+.names y3 x3 e30
+0- 1
+-1 1
+.names l4 a4 z3 f30
+0-- 1
+-1- 1
+--0 1
+.names z3 y3 g30
+11 1
+.names l4 b4 a4 h30
+100 1
+.names o0 q30 r30 j30
+0-- 1
+-0- 1
+--0 1
+.names c4 q0 k30
+0- 1
+-1 1
+.names a17 o30 p30 t15 n0 l30
+---10 1
+000-- 1
+.names t15 q0 n30 m30
+0-- 1
+-1- 1
+--0 1
+.names o0 n0 m0 n30
+100 1
+.names z3 y3 x3 o30
+0-- 1
+-0- 1
+--1 1
+.names l4 b4 a4 p30
+0-- 1
+-1- 1
+--1 1
+.names a4 z3 y3 q30
+011 1
+.names l4 c4 b4 r30
+100 1
+.names g1 q0 t30
+1- 1
+-1 1
+.names j25 l25 w30 d4 x30 u30
+---00 1
+010-- 1
+.names t15 q0 v30
+0- 1
+-1 1
+.names k4 d4 w30
+0- 1
+-0 1
+.names k4 j25 l25 x30
+101 1
+.names j25 l25 f31 b31
+010 1
+.names q0 g1 d31 c31
+000 1
+.names j25 l25 e31 t15 n0 d31
+---10 1
+010-- 1
+.names k4 e4 d4 e31
+0-- 1
+-1- 1
+--1 1
+.names k4 d4 f31
+0- 1
+-1 1
+.names j25 l25 m31 h31
+010 1
+.names q0 g1 j31 i31
+000 1
+.names l25 k31 l31 t15 n0 j31
+---10 1
+100-- 1
+.names d4 j25 k31
+1- 1
+-1 1
+.names k4 f4 e4 l31
+0-- 1
+-1- 1
+--0 1
+.names k4 e4 d4 m31
+0-- 1
+-0- 1
+--1 1
+.names o0 v31 w31 n31
+0-- 1
+-0- 1
+--0 1
+.names j25 l25 q0 o31
+1-- 1
+-0- 1
+--1 1
+.names g4 g1 q0 p31
+0-- 1
+-1- 1
+--1 1
+.names l25 k31 u31 t15 n0 q31
+---10 1
+100-- 1
+.names t15 q0 s31 r31
+0-- 1
+-1- 1
+--0 1
+.names o0 t31 s31
+10 1
+.names k0 l0 m0 n0 t31
+---1 1
+011- 1
+101- 1
+.names k4 f4 e4 u31
+0-- 1
+-0- 1
+--0 1
+.names e4 d4 g1 v31
+100 1
+.names k4 g4 f4 w31
+101 1
+.names d32 e32 f32 y31
+0-- 1
+-0- 1
+--0 1
+.names h4 g1 q0 z31
+0-- 1
+-1- 1
+--1 1
+.names l25 b32 c32 t15 n0 a32
+---10 1
+100-- 1
+.names e4 d4 j25 b32
+0-- 1
+-1- 1
+--1 1
+.names k4 g4 f4 c32
+0-- 1
+-1- 1
+--0 1
+.names g1 j25 d32
+00 1
+.names f4 e4 d4 e32
+110 1
+.names k4 h4 g4 f32
+100 1
+.names i4 n1 j32 i32
+--0 1
+11- 1
+.names a17 q0 o0 j32
+001 1
+.names q0 o0 y32 k32
+1-- 1
+-0- 1
+--0 1
+.names g1 j25 l25 l32
+1-- 1
+-1- 1
+--0 1
+.names j4 g1 q0 m32
+0-- 1
+-1- 1
+--1 1
+.names h1 o32 p32 q32 r32 n32
+00--0 1
+0-0-0 1
+1--00 1
+-0-00 1
+--000 1
+.names j1 i1 o32
+00 1
+.names v0 w0 k1 l1 p32
+-00- 1
+0--0 1
+--00 1
+.names i1 s0 q25 q32
+1-- 1
+-0- 1
+--0 1
+.names h1 u0 s32 i1 t32 u32 r32
+-----0 1
+---10- 1
+000--- 1
+.names l1 k1 i1 s32
+1-- 1
+-1- 1
+--1 1
+.names j1 t0 t25 t32
+010 1
+.names n4 k4 w32 u32
+110 1
+.names l1 k1 l25 x32 w32
+--0- 1
+---0 1
+11-- 1
+.names j1 k1 l1 j25 x32
+0--0 1
+-000 1
+.names n4 k4 j4 y32
+110 1
+.names o0 b33 q30 a33
+0-- 1
+-0- 1
+--0 1
+.names m1 q0 b33
+10 1
+.names a17 q0 o0 c33
+1-- 1
+-1- 1
+--0 1
+.names h1 x0 n4 b35 d33
+--0- 1
+---0 1
+10-- 1
+.names l25 d33 q0 o0 e33
+--1- 1
+---0 1
+01-- 1
+.names l0 d3 l3 h33
+00- 1
+1-0 1
+-00 1
+.names l0 d3 l3 i33
+10- 1
+0-0 1
+-00 1
+.names t3 m0 j33
+00 1
+.names l0 c3 k3 k33
+00- 1
+1-0 1
+-00 1
+.names l0 c3 k3 l33
+10- 1
+0-0 1
+-00 1
+.names s3 m0 m33
+00 1
+.names l0 b3 j3 n33
+00- 1
+1-0 1
+-00 1
+.names l0 b3 j3 o33
+10- 1
+0-0 1
+-00 1
+.names r3 m0 p33
+00 1
+.names l0 a3 i3 q33
+00- 1
+1-0 1
+-00 1
+.names l0 a3 i3 r33
+10- 1
+0-0 1
+-00 1
+.names q3 m0 s33
+00 1
+.names k0 l0 m0 e3 t33
+--0- 1
+11-0 1
+00-0 1
+.names k0 l0 m0 f3 u33
+--0- 1
+11-0 1
+00-0 1
+.names k0 l0 m0 g3 v33
+--0- 1
+11-0 1
+00-0 1
+.names k0 l0 m0 h3 w33
+--0- 1
+11-0 1
+00-0 1
+.names k0 l0 m0 i3 x33
+--0- 1
+11-0 1
+00-0 1
+.names k0 l0 m0 j3 y33
+--0- 1
+11-0 1
+00-0 1
+.names k0 l0 m0 k3 z33
+--0- 1
+11-0 1
+00-0 1
+.names k0 l0 m0 l3 a34
+--0- 1
+11-0 1
+00-0 1
+.names k4 h1 n0 b34
+010 1
+.names k4 i1 n0 c34
+010 1
+.names k4 j1 n0 d34
+010 1
+.names k4 k1 n0 e34
+010 1
+.names k4 l1 n0 f34
+010 1
+.names c4 b4 a4 g34
+000 1
+.names f1 i4 h34
+01 1
+10 1
+.names z3 y3 g34 i34
+0-- 1
+-0- 1
+--0 1
+.names k4 m1 h1 k34
+011 1
+.names k4 m1 i1 l34
+011 1
+.names k4 m1 j1 m34
+011 1
+.names k4 m1 k1 n34
+011 1
+.names k4 m1 l1 o34
+011 1
+.names l4 h1 p34
+11 1
+.names l4 i1 q34
+11 1
+.names l4 j1 r34
+11 1
+.names l4 k1 s34
+11 1
+.names l4 l1 t34
+11 1
+.names y3 x3 w34 v34
+0-- 1
+-0- 1
+--0 1
+.names a4 z3 w34
+01 1
+.names z0 j1 a1 k1 b1 l1 x34
+1-1-1- 1
+-01-1- 1
+1--01- 1
+-0-01- 1
+1-1--0 1
+-01--0 1
+1--0-0 1
+-0-0-0 1
+.names i1 y0 j1 z0 d35 b35
+0-0-0 1
+-10-0 1
+0--10 1
+-1-10 1
+.names k1 a1 l1 b1 e35 d35
+----0 1
+10--- 1
+--10- 1
+.names h4 f35 e35
+1- 1
+-0 1
+.names g4 f4 e4 f35
+011 1
+.names f4 e4 o35 g35
+110 1
+.names j1 k1 z0 a1 i35 j35 h35
+----00 1
+00---0 1
+-01--0 1
+0--1-0 1
+--11-0 1
+.names f4 e4 n35 i35
+0-- 1
+-0- 1
+--0 1
+.names b1 n4 l1 i35 k35 j35
+-0--0 1
+---00 1
+0-1-0 1
+.names h4 g4 l35 k35
+000 1
+.names d4 g1 m35 l35
+0-- 1
+-1- 1
+--0 1
+.names f4 e4 m35
+11 1
+.names h4 g4 n35
+00 1
+.names h4 g4 o35
+1- 1
+-1 1
+.names j1 i1 h1 p35
+1-- 1
+-1- 1
+--1 1
+.names y3 x3 w34 q35
+0-- 1
+-1- 1
+--0 1
+.names g4 f4 e4 r35
+1-- 1
+-0- 1
+--0 1
+.names u3 t4
+1 1
+.names v3 u4
+1 1
+.names m4 m5
+1 1
+.names k4 m6
+1 1
+.end
diff --git a/abc70930/examples/i10.blif b/abc70930/examples/i10.blif
new file mode 100644
index 00000000..98100836
--- /dev/null
+++ b/abc70930/examples/i10.blif
@@ -0,0 +1,5679 @@
+.model i10
+.inputs V32(0) V32(1) V32(2) V32(3) V56(0) V289(0) V10(0) V13(0) \
+ V35(0) V203(0) V288(6) V288(7) V248(0) V249(0) V62(0) V59(0) \
+ V174(0) V215(0) V66(0) V70(0) V43(0) V214(0) V37(0) V271(0) V40(0) \
+ V45(0) V149(7) V149(6) V149(5) V149(4) V1(0) V7(0) V34(0) V243(0) \
+ V244(0) V245(0) V246(0) V247(0) V293(0) V302(0) V270(0) V269(0) \
+ V274(0) V202(0) V275(0) V257(7) V257(5) V257(3) V257(1) V257(2) \
+ V257(4) V257(6) V9(0) V149(0) V149(1) V149(2) V149(3) V169(1) \
+ V165(0) V165(2) V165(4) V165(5) V165(6) V165(7) V165(1) V88(2) \
+ V88(3) V55(0) V169(0) V52(0) V5(0) V6(0) V12(0) V11(0) V4(0) \
+ V165(3) V51(0) V65(0) V290(0) V279(0) V280(0) V288(4) V288(2) \
+ V288(0) V258(0) V229(5) V229(4) V229(3) V229(2) V229(1) V229(0) \
+ V223(5) V223(4) V223(3) V223(2) V223(1) V223(0) V189(5) V189(4) \
+ V189(3) V189(2) V189(1) V189(0) V183(5) V183(4) V183(3) V183(2) \
+ V183(1) V183(0) V239(4) V239(3) V239(2) V239(1) V239(0) V234(4) \
+ V234(3) V234(2) V234(1) V234(0) V199(4) V199(3) V199(2) V199(1) \
+ V199(0) V194(4) V194(3) V194(2) V194(1) V194(0) V257(0) V32(8) \
+ V32(7) V32(6) V32(5) V32(4) V32(11) V32(10) V32(9) V88(1) V88(0) \
+ V84(5) V84(4) V84(3) V84(2) V84(1) V84(0) V78(5) V78(4) V2(0) V3(0) \
+ V14(0) V213(0) V213(5) V213(4) V213(3) V213(2) V213(1) V268(5) \
+ V268(3) V268(1) V268(2) V268(4) V8(0) V60(0) V53(0) V57(0) V109(0) \
+ V277(0) V278(0) V259(0) V260(0) V67(0) V68(0) V69(0) V216(0) \
+ V175(0) V177(0) V172(0) V171(0) V50(0) V63(0) V71(0) V292(0) \
+ V291(0) V91(0) V91(1) V294(0) V207(0) V295(0) V204(0) V205(0) \
+ V261(0) V262(0) V100(0) V100(5) V100(4) V100(3) V100(2) V100(1) \
+ V240(0) V242(0) V241(0) V33(0) V16(0) V15(0) V101(0) V268(0) \
+ V288(1) V288(3) V288(5) V301(0) V108(0) V108(1) V108(2) V108(3) \
+ V108(4) V108(5) V124(5) V124(4) V124(3) V124(2) V124(1) V124(0) \
+ V132(7) V132(6) V132(5) V132(4) V132(3) V132(2) V132(1) V132(0) \
+ V118(5) V118(4) V118(3) V118(2) V118(1) V118(0) V118(7) V118(6) \
+ V46(0) V48(0) V102(0) V110(0) V134(1) V134(0) V272(0) V78(2) V78(3) \
+ V39(0) V38(0) V42(0) V44(0) V41(0) V78(1) V78(0) V94(0) V94(1)
+.outputs V321(2) V356 V357 V373 V375(0) V377 V393(0) V398(0) V410(0) \
+ V423(0) V432 V435(0) V500(0) V508(0) V511(0) V512 V527 V537 V538 \
+ V539 V540 V541 V542 V543 V544 V545 V546 V547 V548 V572(9) V572(8) \
+ V572(7) V572(6) V572(5) V572(4) V572(3) V572(2) V572(1) V572(0) \
+ V585(0) V587 V591(0) V597(0) V603(0) V609(0) V620 V621 V630 V634(0) \
+ V640(0) V657 V707 V763 V775 V778 V779 V780 V781 V782 V783 V784 V787 \
+ V789 V798(0) V801 V802(0) V821(0) V826(0) V966 V986 V1213(11) \
+ V1213(10) V1213(9) V1213(8) V1213(7) V1213(6) V1213(5) V1213(4) \
+ V1213(3) V1213(2) V1213(1) V1213(0) V1243(9) V1243(8) V1243(7) \
+ V1243(6) V1243(5) V1243(4) V1243(3) V1243(2) V1243(1) V1243(0) \
+ V1256 V1257 V1258 V1259 V1260 V1261 V1262 V1263 V1264 V1265 V1266 \
+ V1267 V1274(0) V1281(0) V1297(4) V1297(3) V1297(2) V1297(1) \
+ V1297(0) V1365 V1375 V1378 V1380 V1382 V1384 V1386 V1387 V1392(0) \
+ V1423 V1426 V1428 V1429 V1431 V1432 V1439(0) V1440(0) V1451(0) \
+ V1459(0) V1467(0) V1470 V1480(0) V1481(0) V1492(0) V1495(0) \
+ V1512(3) V1512(2) V1512(1) V1536(0) V1537 V1539 V1552(1) V1552(0) \
+ V1613(0) V1613(1) V1620(0) V1629(0) V1645(0) V1652(0) V1669 \
+ V1671(0) V1679(0) V1693(0) V1709(4) V1709(3) V1709(2) V1709(1) \
+ V1709(0) V1717(0) V1719 V1726(0) V1736 V1741(0) V1745(0) V1757(0) \
+ V1758(0) V1759(0) V1760(0) V1771(1) V1771(0) V1781(1) V1781(0) \
+ V1829(9) V1829(8) V1829(7) V1829(6) V1829(5) V1829(4) V1829(3) \
+ V1829(2) V1829(1) V1829(0) V1832 V1833(0) V1863(0) V1864(0) \
+ V1896(0) V1897(0) V1898(0) V1899(0) V1900(0) V1901(0) V1921(5) \
+ V1921(4) V1921(3) V1921(2) V1921(1) V1921(0) V1953(1) V1953(7) \
+ V1953(6) V1953(5) V1953(4) V1953(3) V1953(2) V1953(0) V1960(1) \
+ V1960(0) V1968(0) V1992(1) V1992(0) V650 V651 V652 V653 V654 V655 \
+ V656 V1370 V1371 V1372 V1373 V1374
+.names V1243(0) V321(2)
+0 1
+.names V451 V322
+0 1
+.names V322 V32(0) V323
+11 1
+.names V446 V327
+0 1
+.names V324 V328
+0 1
+.names V328 V32(1) V327 V329
+111 1
+.names V441 V330
+0 1
+.names V325 V331
+0 1
+.names V331 V330 V32(2) V328 V332
+1111 1
+.names V437 V333
+0 1
+.names V326 V334
+0 1
+.names V334 V328 V32(3) V333 V331 V335
+11111 1
+.names V335 V329 V323 V332 V336(0)
+---1 1
+--1- 1
+-1-- 1
+1--- 1
+.names V1476 V337
+0 1
+.names V695 V338
+0 1
+.names V338 V56(0) V744 V337 V339
+1111 1
+.names V802(0) V721 V340
+11 1
+.names V2011(0) V802(0) V341
+11 1
+.names V339 V342(0)
+0 1
+.names V802(0) V343
+0 1
+.names V289(0) V344
+0 1
+.names V56(0) V759(0) V345
+11 1
+.names V345 V346(0)
+0 1
+.names V434(0) V1685(0) V347
+11 1
+.names V1685(0) V937(0) V947(0) V348
+111 1
+.names V1685(0) V917(0) V927(0) V349
+111 1
+.names V1685(0) V897(0) V907(0) V350
+111 1
+.names V1685(0) V877(0) V887(0) V351
+111 1
+.names V1685(0) V857(0) V867(0) V352
+111 1
+.names V1685(0) V837(0) V847(0) V353
+111 1
+.names V1685(0) V958(0) V959(0) V354
+111 1
+.names V1685(0) V956(0) V957(0) V355
+111 1
+.names V347 V917(0) V877(0) V837(0) V956(0) V958(0) V857(0) V897(0) \
+ V937(0) V356
+111111111 1
+.names V1685(0) V927(0) V887(0) V847(0) V957(0) V959(0) V867(0) \
+ V907(0) V947(0) V357
+111111111 1
+.names V947(0) V927(0) V907(0) V887(0) V877(0) V897(0) V917(0) \
+ V937(0) V358
+11111111 1
+.names V947(0) V927(0) V867(0) V847(0) V837(0) V857(0) V917(0) \
+ V937(0) V359
+11111111 1
+.names V947(0) V907(0) V867(0) V959(0) V958(0) V857(0) V897(0) \
+ V937(0) V360
+11111111 1
+.names V348 V361(0)
+0 1
+.names V349 V362(0)
+0 1
+.names V350 V363(0)
+0 1
+.names V351 V364(0)
+0 1
+.names V352 V365(0)
+0 1
+.names V353 V366(0)
+0 1
+.names V354 V367(0)
+0 1
+.names V355 V368(0)
+0 1
+.names V358 V369(0)
+0 1
+.names V359 V370(0)
+0 1
+.names V360 V371(0)
+0 1
+.names V658(0) V10(0) V372
+11 1
+.names V13(0) V10(0) V373
+11 1
+.names V372 V374(0)
+0 1
+.names V789 V1263 V1259 V1387 V780 V778 V787 V1431 V1258 V1425 V1423 \
+ V375(0)
+----------1 1
+---------1- 1
+--------1-- 1
+-------1--- 1
+------1---- 1
+-----1----- 1
+----1------ 1
+---1------- 1
+--1-------- 1
+-1--------- 1
+1---------- 1
+.names V35(0) V697 V376(0)
+-1 1
+1- 1
+.names V203(0) V376(0) V377
+11 1
+.names V701 V766 V378(0)
+-1 1
+1- 1
+.names V802(0) V378(0) V379
+11 1
+.names V721 V379 V380(0)
+-1 1
+1- 1
+.names V380(0) V381(0)
+0 1
+.names V741 V740 V382(0)
+-1 1
+1- 1
+.names V362(0) V361(0) V383(0)
+-1 1
+1- 1
+.names V364(0) V363(0) V384(0)
+-1 1
+1- 1
+.names V366(0) V365(0) V385(0)
+-1 1
+1- 1
+.names V368(0) V367(0) V386(0)
+-1 1
+1- 1
+.names V383(0) V1838 V387
+11 1
+.names V384(0) V1839 V388
+11 1
+.names V385(0) V1840 V389
+11 1
+.names V386(0) V288(6) V288(7) V390
+111 1
+.names V390 V388 V387 V389 V391(0)
+---1 1
+--1- 1
+-1-- 1
+1--- 1
+.names V394(0) V391(0) V1243(8) V1243(7) V1243(9) V1719 V392
+111111 1
+.names V1572 V392 V1571 V393(0)
+--1 1
+-1- 1
+1-- 1
+.names V248(0) V394(0)
+0 1
+.names V1719 V248(0) V395
+11 1
+.names V423(0) V395 V396(0)
+-1 1
+1- 1
+.names V431 V426 V396(0) V430 V1577 V1575 V1573 V1574 V1576 V1578 \
+ V397
+1111111111 1
+.names V397 V398(0)
+0 1
+.names V249(0) V399(0)
+0 1
+.names V733(0) V730(0) V400
+11 1
+.names V687 V729(0) V401
+11 1
+.names V62(0) V401 V402
+11 1
+.names V1757(0) V403
+0 1
+.names V728 V400 V404(0)
+-1 1
+1- 1
+.names V731(0) V515 V734 V405(0)
+--1 1
+-1- 1
+1-- 1
+.names V56(0) V404(0) V406
+11 1
+.names V59(0) V405(0) V407
+11 1
+.names V402 V406 V407 V408(0)
+--1 1
+-1- 1
+1-- 1
+.names V408(0) V338 V403 V409
+111 1
+.names V409 V410(0)
+0 1
+.names V729(0) V687 V411
+11 1
+.names V731(0) V1681(0) V710 V729(0) V721 V412(0)
+----1 1
+---1- 1
+--1-- 1
+-1--- 1
+1---- 1
+.names V404(0) V411 V502 V174(0) V413(0)
+---1 1
+--1- 1
+-1-- 1
+1--- 1
+.names V405(0) V1681(0) V414(0)
+-1 1
+1- 1
+.names V802(0) V412(0) V415
+11 1
+.names V56(0) V413(0) V416
+11 1
+.names V59(0) V414(0) V417
+11 1
+.names V215(0) V418
+0 1
+.names V338 V66(0) V763 V418 V419
+1111 1
+.names V70(0) V1681(0) V420
+11 1
+.names V1446(0) V2011(0) V421
+11 1
+.names V802(0) V421 V422
+11 1
+.names V422 V1719 V419 V416 V415 V417 V402 V420 V423(0)
+-------1 1
+------1- 1
+-----1-- 1
+----1--- 1
+---1---- 1
+--1----- 1
+-1------ 1
+1------- 1
+.names V687 V763 V424(0)
+-1 1
+1- 1
+.names V802(0) V424(0) V425
+11 1
+.names V425 V426
+0 1
+.names V1643 V427
+0 1
+.names V1646 V428
+0 1
+.names V1491 V429
+0 1
+.names V43(0) V430
+0 1
+.names V214(0) V431
+0 1
+.names V431 V430 V1685(0) V429 V427 V403 V426 V337 V428 V423(0) \
+ V1577 V1575 V1573 V1574 V1576 V1578 V432
+1111111111111111 1
+.names V803(0) V749 V433
+11 1
+.names V433 V434(0)
+0 1
+.names V630 V432 V435(0)
+-1 1
+1- 1
+.names V37(0) V436(0)
+0 1
+.names V1850 V1298 V438
+11 1
+.names V1852 V438 V442
+11 1
+.names V1302 V438 V443
+11 1
+.names V1302 V1852 V444
+11 1
+.names V444 V442 V443 V445(0)
+--1 1
+-1- 1
+1-- 1
+.names V1838 V445(0) V447
+11 1
+.names V1307 V445(0) V448
+11 1
+.names V1307 V1838 V449
+11 1
+.names V449 V447 V448 V450(0)
+--1 1
+-1- 1
+1-- 1
+.names V330 V333 V453
+11 1
+.names V327 V453 V455
+11 1
+.names V333 V457
+0 1
+.names V452 V458
+0 1
+.names V454 V459
+0 1
+.names V458 V457 V461
+11 1
+.names V459 V461 V463
+11 1
+.names V451 V1852 V2088 V465
+111 1
+.names V464 V2089 V1862(0) V466
+111 1
+.names V465 V466 V467(0)
+-1 1
+1- 1
+.names V446 V1852 V2090 V468
+111 1
+.names V462 V2091 V1862(0) V469
+111 1
+.names V468 V469 V470(0)
+-1 1
+1- 1
+.names V441 V1852 V2092 V471
+111 1
+.names V460 V2093 V1862(0) V472
+111 1
+.names V471 V472 V473(0)
+-1 1
+1- 1
+.names V437 V1852 V2094 V474
+111 1
+.names V457 V2095 V1862(0) V475
+111 1
+.names V474 V475 V476(0)
+-1 1
+1- 1
+.names V476(0) V477
+0 1
+.names V473(0) V478
+0 1
+.names V470(0) V479
+0 1
+.names V478 V477 V481
+11 1
+.names V479 V481 V483
+11 1
+.names V451 V1850 V2096 V485
+111 1
+.names V484 V2097 V1861(0) V486
+111 1
+.names V485 V486 V487(0)
+-1 1
+1- 1
+.names V446 V1850 V2098 V488
+111 1
+.names V482 V2099 V1861(0) V489
+111 1
+.names V488 V489 V490(0)
+-1 1
+1- 1
+.names V441 V1850 V2100 V491
+111 1
+.names V480 V2101 V1861(0) V492
+111 1
+.names V491 V492 V493(0)
+-1 1
+1- 1
+.names V437 V1850 V2102 V494
+111 1
+.names V477 V2103 V1861(0) V495
+111 1
+.names V494 V495 V496(0)
+-1 1
+1- 1
+.names V271(0) V497
+0 1
+.names V1630(0) V498
+0 1
+.names V498 V497 V499
+11 1
+.names V499 V500(0)
+0 1
+.names V733(0) V710 V501
+11 1
+.names V687 V710 V502
+11 1
+.names V611 V769 V501 V721 V727 V503(0)
+----1 1
+---1- 1
+--1-- 1
+-1--- 1
+1---- 1
+.names V741 V740 V504(0)
+-1 1
+1- 1
+.names V56(0) V503(0) V505
+11 1
+.names V56(0) V504(0) V506
+11 1
+.names V502 V59(0) V507
+11 1
+.names V507 V505 V613 V506 V508(0)
+---1 1
+--1- 1
+-1-- 1
+1--- 1
+.names V509 V510(0)
+0 1
+.names V529 V40(0) V511(0)
+-1 1
+1- 1
+.names V532(0) V510(0) V512
+11 1
+.names V56(0) V400 V513
+11 1
+.names V728 V56(0) V514
+11 1
+.names V687 V730(0) V515
+11 1
+.names V59(0) V515 V516
+11 1
+.names V733(0) V59(0) V729(0) V517
+111 1
+.names V731(0) V59(0) V518
+11 1
+.names V513 V519
+0 1
+.names V514 V520
+0 1
+.names V516 V521
+0 1
+.names V517 V522
+0 1
+.names V518 V523
+0 1
+.names V402 V524
+0 1
+.names V524 V522 V520 V519 V521 V523 V525
+111111 1
+.names V525 V526
+0 1
+.names V428 V431 V526 V338 V430 V527
+11111 1
+.names V43(0) V528(0)
+0 1
+.names V45(0) V528(0) V529
+11 1
+.names V530 V532(0)
+0 1
+.names V531 V533(0)
+0 1
+.names V56(0) V701 V149(7) V534
+111 1
+.names V1646 V701 V535
+11 1
+.names V535 V534 V536(0)
+-1 1
+1- 1
+.names V1213(0) V769 V537
+11 1
+.names V1213(1) V769 V538
+11 1
+.names V1213(2) V769 V539
+11 1
+.names V1213(3) V769 V540
+11 1
+.names V1213(4) V769 V541
+11 1
+.names V1213(5) V769 V542
+11 1
+.names V1213(6) V769 V543
+11 1
+.names V1213(7) V769 V544
+11 1
+.names V1213(8) V769 V545
+11 1
+.names V1213(9) V769 V546
+11 1
+.names V1213(10) V769 V547
+11 1
+.names V1213(11) V769 V548
+11 1
+.names V149(7) V802(0) V727 V2105 V2104 V549
+11111 1
+.names V149(6) V802(0) V727 V2105 V2104 V550
+11111 1
+.names V149(5) V802(0) V727 V2105 V2104 V551
+11111 1
+.names V149(4) V802(0) V727 V2105 V2104 V552
+11111 1
+.names V1884 V2107 V2019(0) V2106 V553
+1111 1
+.names V573 V553 V572(9)
+-1 1
+1- 1
+.names V1883 V2107 V2019(0) V2106 V555
+1111 1
+.names V574 V555 V572(8)
+-1 1
+1- 1
+.names V1882 V2107 V2019(0) V2106 V557
+1111 1
+.names V575 V557 V572(7)
+-1 1
+1- 1
+.names V1881 V2107 V2019(0) V2106 V559
+1111 1
+.names V576 V559 V572(6)
+-1 1
+1- 1
+.names V1880 V2107 V2019(0) V2106 V561
+1111 1
+.names V577 V561 V572(5)
+-1 1
+1- 1
+.names V1879 V2107 V2019(0) V2106 V563
+1111 1
+.names V578 V563 V572(4)
+-1 1
+1- 1
+.names V1878 V2107 V2019(0) V2106 V565
+1111 1
+.names V549 V565 V579 V572(3)
+--1 1
+-1- 1
+1-- 1
+.names V1877 V2107 V2019(0) V2106 V567
+1111 1
+.names V550 V567 V580 V572(2)
+--1 1
+-1- 1
+1-- 1
+.names V1876 V2107 V2019(0) V2106 V569
+1111 1
+.names V551 V569 V581 V572(1)
+--1 1
+-1- 1
+1-- 1
+.names V1875 V2107 V2019(0) V2106 V571
+1111 1
+.names V552 V571 V582 V572(0)
+--1 1
+-1- 1
+1-- 1
+.names V1243(9) V802(0) V769 V2108 V2109 V573
+11111 1
+.names V1243(8) V802(0) V769 V2108 V2109 V574
+11111 1
+.names V1243(7) V802(0) V769 V2108 V2109 V575
+11111 1
+.names V1243(6) V802(0) V769 V2108 V2109 V576
+11111 1
+.names V1243(5) V802(0) V769 V2108 V2109 V577
+11111 1
+.names V1243(4) V802(0) V769 V2108 V2109 V578
+11111 1
+.names V1243(3) V802(0) V769 V2108 V2109 V579
+11111 1
+.names V1243(2) V802(0) V769 V2108 V2109 V580
+11111 1
+.names V1243(1) V802(0) V769 V2108 V2109 V581
+11111 1
+.names V1243(0) V802(0) V769 V2108 V2109 V582
+11111 1
+.names V1(0) V583(0)
+0 1
+.names V7(0) V584(0)
+0 1
+.names V34(0) V585(0)
+0 1
+.names V341 V586
+0 1
+.names V588(0) V586 V587
+11 1
+.names V243(0) V588(0)
+0 1
+.names V592(0) V243(0) V586 V589
+111 1
+.names V244(0) V588(0) V586 V590
+111 1
+.names V590 V589 V591(0)
+-1 1
+1- 1
+.names V244(0) V592(0)
+0 1
+.names V243(0) V244(0) V593
+11 1
+.names V598(0) V593 V586 V594
+111 1
+.names V593 V595
+0 1
+.names V245(0) V595 V586 V596
+111 1
+.names V596 V594 V597(0)
+-1 1
+1- 1
+.names V245(0) V598(0)
+0 1
+.names V593 V245(0) V599
+11 1
+.names V604(0) V599 V586 V600
+111 1
+.names V599 V601
+0 1
+.names V246(0) V601 V586 V602
+111 1
+.names V602 V600 V603(0)
+-1 1
+1- 1
+.names V246(0) V604(0)
+0 1
+.names V599 V246(0) V605
+11 1
+.names V610(0) V605 V586 V606
+111 1
+.names V605 V607
+0 1
+.names V247(0) V607 V586 V608
+111 1
+.names V608 V606 V609(0)
+-1 1
+1- 1
+.names V247(0) V610(0)
+0 1
+.names V337 V739 V611
+11 1
+.names V502 V611 V612(0)
+-1 1
+1- 1
+.names V741 V62(0) V613
+11 1
+.names V428 V338 V505 V1481(0) V614
+1111 1
+.names V1481(0) V59(0) V612(0) V338 V615
+1111 1
+.names V1481(0) V62(0) V741 V338 V616
+1111 1
+.names V614 V617
+0 1
+.names V615 V618
+0 1
+.names V616 V619
+0 1
+.names V619 V617 V618 V620
+111 1
+.names V533(0) V293(0) V621
+11 1
+.names V533(0) V622(0)
+0 1
+.names V745 V62(0) V623
+11 1
+.names V302(0) V624
+0 1
+.names V623 V625
+0 1
+.names V1445 V1647(0) V802(0) V626
+111 1
+.names V59(0) V1445 V1737 V627
+111 1
+.names V745 V56(0) V628
+11 1
+.names V628 V627 V626 V270(0) V629(0)
+---1 1
+--1- 1
+-1-- 1
+1--- 1
+.names V629(0) V624 V625 V630
+111 1
+.names V271(0) V639(0) V269(0) V631
+111 1
+.names V641(0) V639(0) V274(0) V632
+111 1
+.names V632 V631 V633(0)
+-1 1
+1- 1
+.names V633(0) V634(0)
+0 1
+.names V202(0) V635
+0 1
+.names V642(0) V636
+0 1
+.names V636 V635 V497 V637
+111 1
+.names V639(0) V641(0) V638
+11 1
+.names V637 V639(0)
+0 1
+.names V638 V640(0)
+0 1
+.names V271(0) V641(0)
+0 1
+.names V274(0) V642(0)
+0 1
+.names V275(0) V643
+0 1
+.names V257(7) V257(5) V257(3) V257(1) V257(2) V257(4) V257(6) V644
+1111111 1
+.names V257(7) V257(5) V257(3) V257(2) V257(4) V257(6) V645
+111111 1
+.names V257(7) V257(5) V257(3) V257(4) V257(6) V646
+11111 1
+.names V257(7) V257(5) V257(4) V257(6) V647
+1111 1
+.names V257(7) V257(5) V257(6) V648
+111 1
+.names V257(7) V257(6) V649
+11 1
+.names V257(7) V657
+0 1
+.names V13(0) V658(0)
+0 1
+.names V9(0) V659(0)
+0 1
+.names V149(0) V667(0)
+0 1
+.names V149(1) V667(1)
+0 1
+.names V149(2) V667(2)
+0 1
+.names V149(3) V667(3)
+0 1
+.names V149(4) V667(4)
+0 1
+.names V149(5) V667(5)
+0 1
+.names V149(6) V667(6)
+0 1
+.names V149(7) V667(7)
+0 1
+.names V149(3) V672(3)
+0 1
+.names V149(4) V672(4)
+0 1
+.names V149(5) V672(5)
+0 1
+.names V149(6) V672(6)
+0 1
+.names V149(7) V672(7)
+0 1
+.names V667(4) V676(4)
+0 1
+.names V667(5) V676(5)
+0 1
+.names V667(6) V676(6)
+0 1
+.names V667(7) V676(7)
+0 1
+.names V149(3) V681(3)
+0 1
+.names V149(4) V681(4)
+0 1
+.names V149(5) V681(5)
+0 1
+.names V149(6) V681(6)
+0 1
+.names V149(7) V681(7)
+0 1
+.names V667(3) V686(3)
+0 1
+.names V667(4) V686(4)
+0 1
+.names V667(5) V686(5)
+0 1
+.names V667(6) V686(6)
+0 1
+.names V667(7) V686(7)
+0 1
+.names V1395(0) V169(1) V687
+11 1
+.names V687 V688
+0 1
+.names V165(0) V694(0)
+0 1
+.names V165(2) V694(2)
+0 1
+.names V165(4) V694(4)
+0 1
+.names V165(5) V694(5)
+0 1
+.names V165(6) V694(6)
+0 1
+.names V165(7) V694(7)
+0 1
+.names V694(2) V165(0) V165(1) V695
+111 1
+.names V1747(0) V695 V165(7) V696
+111 1
+.names V203(0) V165(1) V694(0) V165(2) V697
+1111 1
+.names V694(7) V695 V698
+11 1
+.names V698 V699(0)
+0 1
+.names V667(2) V667(0) V667(1) V700
+111 1
+.names V667(1) V149(2) V667(0) V701
+111 1
+.names V667(2) V149(1) V667(0) V702
+111 1
+.names V667(1) V149(0) V149(2) V703
+111 1
+.names V149(2) V149(0) V149(1) V704
+111 1
+.names V149(2) V705
+0 1
+.names V705 V149(0) V149(1) V706
+111 1
+.names V667(3) V766 V707
+11 1
+.names V88(2) V709(0)
+0 1
+.names V88(3) V709(1)
+0 1
+.names V149(3) V766 V710
+11 1
+.names V667(5) V707 V149(4) V711
+111 1
+.names V149(5) V707 V149(4) V712
+111 1
+.names V667(5) V667(4) V707 V709(0) V88(3) V713
+11111 1
+.names V667(5) V667(4) V707 V88(2) V709(1) V714
+11111 1
+.names V667(5) V667(4) V707 V88(2) V88(3) V715
+11111 1
+.names V667(4) V667(3) V766 V149(5) V709(0) V709(1) V716
+111111 1
+.names V667(4) V667(3) V766 V149(5) V709(0) V88(3) V717
+111111 1
+.names V667(4) V667(3) V766 V149(5) V88(2) V709(1) V718
+111111 1
+.names V667(5) V667(4) V707 V709(0) V709(1) V719
+11111 1
+.names V667(4) V667(3) V766 V149(5) V88(2) V88(3) V720
+111111 1
+.names V149(3) V701 V721
+11 1
+.names V667(5) V667(3) V701 V667(4) V722
+1111 1
+.names V149(5) V667(3) V701 V667(4) V723
+1111 1
+.names V667(5) V667(3) V701 V149(4) V724
+1111 1
+.names V149(4) V667(0) V149(1) V149(2) V667(3) V725
+11111 1
+.names V667(4) V667(0) V149(1) V149(2) V667(3) V726
+11111 1
+.names V149(3) V149(2) V149(1) V667(0) V727
+1111 1
+.names V149(5) V667(3) V701 V149(4) V728
+1111 1
+.names V718 V716 V714 V712 V711 V713 V715 V717 V729(0)
+-------1 1
+------1- 1
+-----1-- 1
+----1--- 1
+---1---- 1
+--1----- 1
+-1------ 1
+1------- 1
+.names V720 V719 V730(0)
+-1 1
+1- 1
+.names V724 V722 V723 V731(0)
+--1 1
+-1- 1
+1-- 1
+.names V687 V732
+0 1
+.names V688 V732 V733(0)
+-1 1
+1- 1
+.names V729(0) V733(0) V734
+11 1
+.names V676(7) V672(5) V672(3) V702 V672(4) V672(6) V735
+111111 1
+.names V672(7) V672(5) V672(3) V702 V672(4) V676(6) V736
+111111 1
+.names V676(7) V672(5) V672(3) V702 V672(4) V676(6) V737
+111111 1
+.names V672(7) V676(5) V672(3) V702 V672(4) V672(6) V738
+111111 1
+.names V676(7) V676(5) V672(3) V702 V672(4) V672(6) V739
+111111 1
+.names V672(7) V676(5) V672(3) V702 V672(4) V676(6) V740
+111111 1
+.names V676(7) V676(5) V672(3) V702 V672(4) V676(6) V741
+111111 1
+.names V672(7) V672(5) V672(3) V702 V676(4) V672(6) V742
+111111 1
+.names V686(7) V681(5) V681(3) V702 V686(4) V681(6) V743
+111111 1
+.names V681(7) V681(5) V681(3) V702 V686(4) V686(6) V744
+111111 1
+.names V686(7) V681(5) V681(3) V702 V686(4) V686(6) V745
+111111 1
+.names V686(7) V686(5) V681(3) V702 V686(4) V681(6) V746
+111111 1
+.names V681(7) V686(5) V681(3) V702 V686(4) V686(6) V747
+111111 1
+.names V686(7) V686(5) V681(3) V702 V686(4) V686(6) V748
+111111 1
+.names V681(7) V681(5) V686(3) V702 V681(4) V681(6) V749
+111111 1
+.names V686(7) V681(5) V686(3) V702 V681(4) V681(6) V750
+111111 1
+.names V681(7) V681(5) V686(3) V702 V681(4) V686(6) V751
+111111 1
+.names V750 V752
+0 1
+.names V751 V753
+0 1
+.names V749 V754
+0 1
+.names V754 V752 V702 V686(3) V753 V755
+11111 1
+.names V681(7) V681(5) V681(3) V702 V681(4) V681(6) V756
+111111 1
+.names V681(7) V681(3) V686(4) V702 V686(5) V681(6) V757
+111111 1
+.names V757 V755 V756 V758(0)
+--1 1
+-1- 1
+1-- 1
+.names V748 V747 V759(0)
+-1 1
+1- 1
+.names V55(0) V760
+0 1
+.names V760 V759(0) V343 V761
+111 1
+.names V725 V700 V761 V762(0)
+--1 1
+-1- 1
+1-- 1
+.names V169(0) V762(0) V1618 V1617 V1619 V763
+11111 1
+.names V763 V764(0)
+0 1
+.names V174(0) V765(0)
+0 1
+.names V700 V765(0) V766
+11 1
+.names V747 V765(0) V767
+11 1
+.names V748 V765(0) V768
+11 1
+.names V725 V765(0) V769
+11 1
+.names V758(0) V770
+0 1
+.names V703 V771
+0 1
+.names V706 V772
+0 1
+.names V704 V773
+0 1
+.names V773 V771 V770 V772 V774
+1111 1
+.names V70(0) V1674(0) V763 V964 V498 V775
+11111 1
+.names V765(0) V345 V776
+11 1
+.names V776 V52(0) V777(0)
+-1 1
+1- 1
+.names V5(0) V9(0) V778
+11 1
+.names V372 V6(0) V779
+11 1
+.names V9(0) V6(0) V780
+11 1
+.names V777(0) V12(0) V6(0) V781
+111 1
+.names V372 V7(0) V782
+11 1
+.names V5(0) V11(0) V783
+11 1
+.names V7(0) V11(0) V784
+11 1
+.names V584(0) V785
+0 1
+.names V659(0) V786
+0 1
+.names V786 V785 V787
+11 1
+.names V13(0) V1565(0) V788(0)
+-1 1
+1- 1
+.names V9(0) V788(0) V4(0) V789
+111 1
+.names V1864(0) V758(0) V790
+11 1
+.names V790 V791
+0 1
+.names V774 V792
+0 1
+.names V1681(0) V793
+0 1
+.names V793 V791 V792 V794
+111 1
+.names V794 V795
+0 1
+.names V817(0) V796
+0 1
+.names V498 V795 V796 V797
+111 1
+.names V797 V798(0)
+0 1
+.names V70(0) V694(5) V165(3) V694(4) V694(6) V799
+11111 1
+.names V759(0) V800(0)
+0 1
+.names V800(0) V799 V801
+11 1
+.names V52(0) V51(0) V802(0)
+-1 1
+1- 1
+.names V802(0) V803(0)
+0 1
+.names V740 V804
+0 1
+.names V739 V805
+0 1
+.names V805 V804 V806
+11 1
+.names V696 V302(0) V698 V2002(0) V807(0)
+---1 1
+--1- 1
+-1-- 1
+1--- 1
+.names V65(0) V741 V808
+11 1
+.names V806 V809
+0 1
+.names V1275(0) V810
+0 1
+.names V810 V809 V811
+11 1
+.names V981 V808 V811 V812(0)
+--1 1
+-1- 1
+1-- 1
+.names V697 V807(0) V813(0)
+-1 1
+1- 1
+.names V699(0) V812(0) V1746(0) V814
+111 1
+.names V1737 V813(0) V815
+11 1
+.names V338 V290(0) V816
+11 1
+.names V816 V302(0) V289(0) V814 V815 V214(0) V696 V817(0)
+------1 1
+-----1- 1
+----1-- 1
+---1--- 1
+--1---- 1
+-1----- 1
+1------ 1
+.names V149(5) V340 V818
+11 1
+.names V340 V819
+0 1
+.names V822(0) V819 V820
+11 1
+.names V820 V818 V821(0)
+-1 1
+1- 1
+.names V279(0) V822(0)
+0 1
+.names V149(4) V340 V823
+11 1
+.names V827(0) V820 V824
+11 1
+.names V280(0) V819 V279(0) V825
+111 1
+.names V825 V823 V824 V826(0)
+--1 1
+-1- 1
+1-- 1
+.names V280(0) V827(0)
+0 1
+.names V828 V832(0)
+0 1
+.names V829 V833(0)
+0 1
+.names V830 V834(0)
+0 1
+.names V831 V835(0)
+0 1
+.names V434(0) V835(0) V833(0) V832(0) V834(0) V1855(0) V836
+111111 1
+.names V836 V837(0)
+0 1
+.names V838 V842(0)
+0 1
+.names V839 V843(0)
+0 1
+.names V840 V844(0)
+0 1
+.names V841 V845(0)
+0 1
+.names V434(0) V845(0) V843(0) V842(0) V844(0) V288(4) V846
+111111 1
+.names V846 V847(0)
+0 1
+.names V848 V852(0)
+0 1
+.names V849 V853(0)
+0 1
+.names V850 V854(0)
+0 1
+.names V851 V855(0)
+0 1
+.names V434(0) V855(0) V853(0) V852(0) V854(0) V1840 V856
+111111 1
+.names V856 V857(0)
+0 1
+.names V858 V862(0)
+0 1
+.names V859 V863(0)
+0 1
+.names V860 V864(0)
+0 1
+.names V861 V865(0)
+0 1
+.names V434(0) V865(0) V863(0) V862(0) V864(0) V1840 V866
+111111 1
+.names V866 V867(0)
+0 1
+.names V868 V872(0)
+0 1
+.names V869 V873(0)
+0 1
+.names V870 V874(0)
+0 1
+.names V871 V875(0)
+0 1
+.names V434(0) V875(0) V873(0) V872(0) V874(0) V1854(0) V876
+111111 1
+.names V876 V877(0)
+0 1
+.names V878 V882(0)
+0 1
+.names V879 V883(0)
+0 1
+.names V880 V884(0)
+0 1
+.names V881 V885(0)
+0 1
+.names V434(0) V885(0) V883(0) V882(0) V884(0) V288(2) V886
+111111 1
+.names V886 V887(0)
+0 1
+.names V888 V892(0)
+0 1
+.names V889 V893(0)
+0 1
+.names V890 V894(0)
+0 1
+.names V891 V895(0)
+0 1
+.names V434(0) V895(0) V893(0) V892(0) V894(0) V1839 V896
+111111 1
+.names V896 V897(0)
+0 1
+.names V898 V902(0)
+0 1
+.names V899 V903(0)
+0 1
+.names V900 V904(0)
+0 1
+.names V901 V905(0)
+0 1
+.names V434(0) V905(0) V903(0) V902(0) V904(0) V1839 V906
+111111 1
+.names V906 V907(0)
+0 1
+.names V908 V912(0)
+0 1
+.names V909 V913(0)
+0 1
+.names V910 V914(0)
+0 1
+.names V911 V915(0)
+0 1
+.names V434(0) V915(0) V913(0) V912(0) V914(0) V1853(0) V916
+111111 1
+.names V916 V917(0)
+0 1
+.names V918 V922(0)
+0 1
+.names V919 V923(0)
+0 1
+.names V920 V924(0)
+0 1
+.names V921 V925(0)
+0 1
+.names V434(0) V925(0) V923(0) V922(0) V924(0) V288(0) V926
+111111 1
+.names V926 V927(0)
+0 1
+.names V928 V932(0)
+0 1
+.names V929 V933(0)
+0 1
+.names V930 V934(0)
+0 1
+.names V931 V935(0)
+0 1
+.names V434(0) V935(0) V933(0) V932(0) V934(0) V1838 V936
+111111 1
+.names V936 V937(0)
+0 1
+.names V938 V942(0)
+0 1
+.names V939 V943(0)
+0 1
+.names V940 V944(0)
+0 1
+.names V941 V945(0)
+0 1
+.names V434(0) V945(0) V943(0) V942(0) V944(0) V1838 V946
+111111 1
+.names V946 V947(0)
+0 1
+.names V1255(0) V948
+0 1
+.names V1255(1) V949
+0 1
+.names V1255(2) V950
+0 1
+.names V1255(3) V951
+0 1
+.names V434(0) V951 V949 V948 V950 V1856(0) V952
+111111 1
+.names V434(0) V1255(3) V949 V948 V950 V288(6) V953
+111111 1
+.names V434(0) V1255(2) V949 V948 V951 V288(6) V288(7) V954
+1111111 1
+.names V434(0) V949 V948 V1255(2) V1255(3) V288(6) V288(7) V955
+1111111 1
+.names V952 V956(0)
+0 1
+.names V953 V957(0)
+0 1
+.names V954 V958(0)
+0 1
+.names V955 V959(0)
+0 1
+.names V802(0) V795 V960
+11 1
+.names V56(0) V763 V759(0) V777(0) V961
+1111 1
+.names V56(0) V758(0) V962
+11 1
+.names V799 V759(0) V963
+11 1
+.names V807(0) V964
+0 1
+.names V963 V961 V960 V962 V965(0)
+---1 1
+--1- 1
+-1-- 1
+1--- 1
+.names V965(0) V964 V498 V966
+111 1
+.names V56(0) V967(0)
+0 1
+.names V987(0) V1681(0) V1460(0) V1468(0) V258(0) V968
+11111 1
+.names V968 V969
+0 1
+.names V736 V970
+0 1
+.names V742 V971
+0 1
+.names V743 V972
+0 1
+.names V744 V973
+0 1
+.names V735 V974
+0 1
+.names V746 V975
+0 1
+.names V975 V973 V971 V969 V970 V972 V974 V976
+1111111 1
+.names V961 V977
+0 1
+.names V56(0) V770 V976 V977 V978
+1111 1
+.names V976 V979
+0 1
+.names V967(0) V980
+0 1
+.names V980 V979 V981
+11 1
+.names V721 V2011(0) V501 V982(0)
+--1 1
+-1- 1
+1-- 1
+.names V59(0) V982(0) V983
+11 1
+.names V62(0) V1681(0) V984
+11 1
+.names V984 V978 V983 V985(0)
+--1 1
+-1- 1
+1-- 1
+.names V985(0) V964 V498 V986
+111 1
+.names V59(0) V987(0)
+0 1
+.names V229(5) V1395(0) V2110 V988
+111 1
+.names V229(4) V1395(0) V2110 V989
+111 1
+.names V229(3) V1395(0) V2110 V990
+111 1
+.names V229(2) V1395(0) V2110 V991
+111 1
+.names V229(1) V1395(0) V2110 V992
+111 1
+.names V229(0) V1395(0) V2110 V993
+111 1
+.names V223(5) V1395(0) V2110 V994
+111 1
+.names V223(4) V1395(0) V2110 V995
+111 1
+.names V223(3) V1395(0) V2110 V996
+111 1
+.names V223(2) V1395(0) V2110 V997
+111 1
+.names V223(1) V1395(0) V2110 V998
+111 1
+.names V223(0) V1395(0) V2110 V999
+111 1
+.names V189(5) V2111 V2011(0) V1000
+111 1
+.names V988 V1000 V1023(11)
+-1 1
+1- 1
+.names V189(4) V2111 V2011(0) V1002
+111 1
+.names V989 V1002 V1023(10)
+-1 1
+1- 1
+.names V189(3) V2111 V2011(0) V1004
+111 1
+.names V990 V1004 V1023(9)
+-1 1
+1- 1
+.names V189(2) V2111 V2011(0) V1006
+111 1
+.names V991 V1006 V1023(8)
+-1 1
+1- 1
+.names V189(1) V2111 V2011(0) V1008
+111 1
+.names V992 V1008 V1023(7)
+-1 1
+1- 1
+.names V189(0) V2111 V2011(0) V1010
+111 1
+.names V993 V1010 V1023(6)
+-1 1
+1- 1
+.names V183(5) V2111 V2011(0) V1012
+111 1
+.names V994 V1012 V1023(5)
+-1 1
+1- 1
+.names V183(4) V2111 V2011(0) V1014
+111 1
+.names V995 V1014 V1023(4)
+-1 1
+1- 1
+.names V183(3) V2111 V2011(0) V1016
+111 1
+.names V996 V1016 V1023(3)
+-1 1
+1- 1
+.names V183(2) V2111 V2011(0) V1018
+111 1
+.names V997 V1018 V1023(2)
+-1 1
+1- 1
+.names V183(1) V2111 V2011(0) V1020
+111 1
+.names V998 V1020 V1023(1)
+-1 1
+1- 1
+.names V183(0) V2111 V2011(0) V1022
+111 1
+.names V999 V1022 V1023(0)
+-1 1
+1- 1
+.names V239(4) V1395(0) V2112 V1024
+111 1
+.names V239(3) V1395(0) V2112 V1025
+111 1
+.names V239(2) V1395(0) V2112 V1026
+111 1
+.names V239(1) V1395(0) V2112 V1027
+111 1
+.names V239(0) V1395(0) V2112 V1028
+111 1
+.names V234(4) V1395(0) V2112 V1029
+111 1
+.names V234(3) V1395(0) V2112 V1030
+111 1
+.names V234(2) V1395(0) V2112 V1031
+111 1
+.names V234(1) V1395(0) V2112 V1032
+111 1
+.names V234(0) V1395(0) V2112 V1033
+111 1
+.names V199(4) V2113 V2011(0) V1034
+111 1
+.names V1024 V1034 V1053(9)
+-1 1
+1- 1
+.names V199(3) V2113 V2011(0) V1036
+111 1
+.names V1025 V1036 V1053(8)
+-1 1
+1- 1
+.names V199(2) V2113 V2011(0) V1038
+111 1
+.names V1026 V1038 V1053(7)
+-1 1
+1- 1
+.names V199(1) V2113 V2011(0) V1040
+111 1
+.names V1027 V1040 V1053(6)
+-1 1
+1- 1
+.names V199(0) V2113 V2011(0) V1042
+111 1
+.names V1028 V1042 V1053(5)
+-1 1
+1- 1
+.names V194(4) V2113 V2011(0) V1044
+111 1
+.names V1029 V1044 V1053(4)
+-1 1
+1- 1
+.names V194(3) V2113 V2011(0) V1046
+111 1
+.names V1030 V1046 V1053(3)
+-1 1
+1- 1
+.names V194(2) V2113 V2011(0) V1048
+111 1
+.names V1031 V1048 V1053(2)
+-1 1
+1- 1
+.names V194(1) V2113 V2011(0) V1050
+111 1
+.names V1032 V1050 V1053(1)
+-1 1
+1- 1
+.names V194(0) V2113 V2011(0) V1052
+111 1
+.names V1033 V1052 V1053(0)
+-1 1
+1- 1
+.names V257(6) V1681(0) V2115 V2114 V1054
+1111 1
+.names V257(5) V1681(0) V2115 V2114 V1055
+1111 1
+.names V257(4) V1681(0) V2115 V2114 V1056
+1111 1
+.names V257(3) V1681(0) V2115 V2114 V1057
+1111 1
+.names V257(2) V1681(0) V2115 V2114 V1058
+1111 1
+.names V257(1) V1681(0) V2115 V2114 V1059
+1111 1
+.names V257(0) V1681(0) V2115 V2114 V1060
+1111 1
+.names V257(6) V1681(0) V2115 V2114 V1061
+1111 1
+.names V1054 V1070 V1069(11)
+-1 1
+1- 1
+.names V1055 V1071 V1069(10)
+-1 1
+1- 1
+.names V1056 V1072 V1069(9)
+-1 1
+1- 1
+.names V1057 V1073 V1069(8)
+-1 1
+1- 1
+.names V1058 V1074 V1069(7)
+-1 1
+1- 1
+.names V1059 V1075 V1069(6)
+-1 1
+1- 1
+.names V1060 V1076 V1069(5)
+-1 1
+1- 1
+.names V1061 V1077 V1069(4)
+-1 1
+1- 1
+.names V1023(11) V2117 V2116 V1421(0) V1070
+1111 1
+.names V1023(10) V2117 V2116 V1421(0) V1071
+1111 1
+.names V1023(9) V2117 V2116 V1421(0) V1072
+1111 1
+.names V1023(8) V2117 V2116 V1421(0) V1073
+1111 1
+.names V1023(7) V2117 V2116 V1421(0) V1074
+1111 1
+.names V1023(6) V2117 V2116 V1421(0) V1075
+1111 1
+.names V1023(5) V2117 V2116 V1421(0) V1076
+1111 1
+.names V1023(4) V2117 V2116 V1421(0) V1077
+1111 1
+.names V257(7) V1681(0) V2119 V2118 V1078
+1111 1
+.names V149(7) V987(0) V1446(0) V727 V2121 V2120 V1079
+111111 1
+.names V1087 V1079 V1086(3)
+-1 1
+1- 1
+.names V149(6) V987(0) V1446(0) V727 V2121 V2120 V1081
+111111 1
+.names V1088 V1081 V1086(2)
+-1 1
+1- 1
+.names V149(5) V987(0) V1446(0) V727 V2121 V2120 V1083
+111111 1
+.names V1089 V1083 V1086(1)
+-1 1
+1- 1
+.names V149(4) V987(0) V1446(0) V727 V2121 V2120 V1085
+111111 1
+.names V1078 V1085 V1090 V1086(0)
+--1 1
+-1- 1
+1-- 1
+.names V1053(3) V2123 V2122 V1421(0) V1087
+1111 1
+.names V1053(2) V2123 V2122 V1421(0) V1088
+1111 1
+.names V1053(1) V2123 V2122 V1421(0) V1089
+1111 1
+.names V1053(0) V2123 V2122 V1421(0) V1090
+1111 1
+.names V1124(1) V32(8) V1092
+11 1
+.names V1124(1) V32(7) V1093
+11 1
+.names V1124(1) V32(6) V1094
+11 1
+.names V1124(1) V32(5) V1095
+11 1
+.names V1124(1) V32(4) V1096
+11 1
+.names V1124(1) V32(3) V1097
+11 1
+.names V1124(1) V32(2) V1098
+11 1
+.names V1124(1) V32(1) V1099
+11 1
+.names V1124(1) V32(0) V1100
+11 1
+.names V32(11) V2125 V1408(0) V1101
+111 1
+.names V1092 V1101 V1124(13)
+-1 1
+1- 1
+.names V32(10) V2125 V1408(0) V1103
+111 1
+.names V1093 V1103 V1124(12)
+-1 1
+1- 1
+.names V32(9) V2125 V1408(0) V1105
+111 1
+.names V1094 V1105 V1124(11)
+-1 1
+1- 1
+.names V32(8) V2125 V1408(0) V1107
+111 1
+.names V1095 V1107 V1124(10)
+-1 1
+1- 1
+.names V32(7) V2125 V1408(0) V1109
+111 1
+.names V1096 V1109 V1124(9)
+-1 1
+1- 1
+.names V32(6) V2125 V1408(0) V1111
+111 1
+.names V1097 V1111 V1124(8)
+-1 1
+1- 1
+.names V32(5) V2125 V1408(0) V1113
+111 1
+.names V1098 V1113 V1124(7)
+-1 1
+1- 1
+.names V32(4) V2125 V1408(0) V1115
+111 1
+.names V1099 V1115 V1124(6)
+-1 1
+1- 1
+.names V32(3) V2125 V1408(0) V1117
+111 1
+.names V1100 V1117 V1124(5)
+-1 1
+1- 1
+.names V32(2) V2125 V1408(0) V1119
+111 1
+.names V1124(1) V1119 V1124(4)
+-1 1
+1- 1
+.names V32(1) V2125 V1408(0) V1121
+111 1
+.names V1124(1) V1121 V1124(3)
+-1 1
+1- 1
+.names V32(0) V2125 V1408(0) V1123
+111 1
+.names V1124(1) V1123 V1124(2)
+-1 1
+1- 1
+.names V1685(0) V763 V1125
+11 1
+.names V1125 V1126(1)
+0 1
+.names V1069(11) V1126(1) V2126 V1127
+111 1
+.names V1069(10) V1126(1) V2126 V1128
+111 1
+.names V1069(9) V1126(1) V2126 V1129
+111 1
+.names V1069(8) V1126(1) V2126 V1130
+111 1
+.names V1069(7) V1126(1) V2126 V1131
+111 1
+.names V1069(6) V1126(1) V2126 V1132
+111 1
+.names V1069(5) V1126(1) V2126 V1133
+111 1
+.names V1124(6) V2127 V1125 V1134
+111 1
+.names V1127 V1134 V1147(11)
+-1 1
+1- 1
+.names V1124(5) V2127 V1125 V1136
+111 1
+.names V1128 V1136 V1147(10)
+-1 1
+1- 1
+.names V1124(4) V2127 V1125 V1138
+111 1
+.names V1129 V1138 V1147(9)
+-1 1
+1- 1
+.names V1124(3) V2127 V1125 V1140
+111 1
+.names V1130 V1140 V1147(8)
+-1 1
+1- 1
+.names V1124(2) V2127 V1125 V1142
+111 1
+.names V1131 V1142 V1147(7)
+-1 1
+1- 1
+.names V1124(1) V2127 V1125 V1144
+111 1
+.names V1132 V1144 V1147(6)
+-1 1
+1- 1
+.names V1408(0) V2125 V2127 V1125 V1146
+1111 1
+.names V1133 V1146 V1147(5)
+-1 1
+1- 1
+.names V1421(0) V1053(9) V2123 V2122 V1126(1) V2128 V1148
+111111 1
+.names V1421(0) V1053(8) V2123 V2122 V1126(1) V2128 V1149
+111111 1
+.names V1421(0) V1053(7) V2123 V2122 V1126(1) V2128 V1150
+111111 1
+.names V1421(0) V1053(6) V2123 V2122 V1126(1) V2128 V1151
+111111 1
+.names V1421(0) V1053(5) V2123 V2122 V1126(1) V2128 V1152
+111111 1
+.names V1421(0) V1053(4) V2123 V2122 V1126(1) V2128 V1153
+111111 1
+.names V1086(3) V1126(1) V2128 V1154
+111 1
+.names V1086(2) V1126(1) V2128 V1155
+111 1
+.names V1086(1) V1126(1) V2128 V1156
+111 1
+.names V1086(0) V1126(1) V2128 V1157
+111 1
+.names V1124(1) V32(11) V2129 V1125 V1158
+1111 1
+.names V1148 V1158 V1177(9)
+-1 1
+1- 1
+.names V1124(1) V32(10) V2129 V1125 V1160
+1111 1
+.names V1149 V1160 V1177(8)
+-1 1
+1- 1
+.names V1124(1) V32(9) V2129 V1125 V1162
+1111 1
+.names V1150 V1162 V1177(7)
+-1 1
+1- 1
+.names V1124(13) V2129 V1125 V1164
+111 1
+.names V1151 V1164 V1177(6)
+-1 1
+1- 1
+.names V1124(12) V2129 V1125 V1166
+111 1
+.names V1152 V1166 V1177(5)
+-1 1
+1- 1
+.names V1124(11) V2129 V1125 V1168
+111 1
+.names V1153 V1168 V1177(4)
+-1 1
+1- 1
+.names V1124(10) V2129 V1125 V1170
+111 1
+.names V1154 V1170 V1177(3)
+-1 1
+1- 1
+.names V1124(9) V2129 V1125 V1172
+111 1
+.names V1155 V1172 V1177(2)
+-1 1
+1- 1
+.names V1124(8) V2129 V1125 V1174
+111 1
+.names V1156 V1174 V1177(1)
+-1 1
+1- 1
+.names V1124(7) V2129 V1125 V1176
+111 1
+.names V1157 V1176 V1177(0)
+-1 1
+1- 1
+.names V1147(11) V1422(0) V2130 V1178
+111 1
+.names V1147(10) V1422(0) V2130 V1179
+111 1
+.names V1147(9) V1422(0) V2130 V1180
+111 1
+.names V1147(8) V1422(0) V2130 V1181
+111 1
+.names V1147(7) V1422(0) V2130 V1182
+111 1
+.names V1147(6) V1422(0) V2130 V1183
+111 1
+.names V1147(5) V1422(0) V2130 V1184
+111 1
+.names V2126 V1069(4) V1126(1) V1422(0) V2130 V1185
+11111 1
+.names V2126 V1421(0) V1023(3) V2117 V2116 V1126(1) V1422(0) V2130 \
+ V1186
+11111111 1
+.names V2126 V1421(0) V1023(2) V2117 V2116 V1126(1) V1422(0) V2130 \
+ V1187
+11111111 1
+.names V2126 V1421(0) V1023(1) V2117 V2116 V1126(1) V1422(0) V2130 \
+ V1188
+11111111 1
+.names V2126 V1421(0) V1023(0) V2117 V2116 V1126(1) V1422(0) V2130 \
+ V1189
+11111111 1
+.names V32(11) V2131 V1417(0) V1190
+111 1
+.names V1178 V1190 V1213(11)
+-1 1
+1- 1
+.names V32(10) V2131 V1417(0) V1192
+111 1
+.names V1179 V1192 V1213(10)
+-1 1
+1- 1
+.names V32(9) V2131 V1417(0) V1194
+111 1
+.names V1180 V1194 V1213(9)
+-1 1
+1- 1
+.names V32(8) V2131 V1417(0) V1196
+111 1
+.names V1181 V1196 V1213(8)
+-1 1
+1- 1
+.names V32(7) V2131 V1417(0) V1198
+111 1
+.names V1182 V1198 V1213(7)
+-1 1
+1- 1
+.names V32(6) V2131 V1417(0) V1200
+111 1
+.names V1183 V1200 V1213(6)
+-1 1
+1- 1
+.names V32(5) V2131 V1417(0) V1202
+111 1
+.names V1184 V1202 V1213(5)
+-1 1
+1- 1
+.names V32(4) V2131 V1417(0) V1204
+111 1
+.names V1185 V1204 V1213(4)
+-1 1
+1- 1
+.names V32(3) V2131 V1417(0) V1206
+111 1
+.names V1186 V1206 V1213(3)
+-1 1
+1- 1
+.names V32(2) V2131 V1417(0) V1208
+111 1
+.names V1187 V1208 V1213(2)
+-1 1
+1- 1
+.names V32(1) V2131 V1417(0) V1210
+111 1
+.names V1188 V1210 V1213(1)
+-1 1
+1- 1
+.names V32(0) V2131 V1417(0) V1212
+111 1
+.names V1189 V1212 V1213(0)
+-1 1
+1- 1
+.names V1177(9) V1422(0) V2132 V1214
+111 1
+.names V1177(8) V1422(0) V2132 V1215
+111 1
+.names V1177(7) V1422(0) V2132 V1216
+111 1
+.names V1177(6) V1422(0) V2132 V1217
+111 1
+.names V1177(5) V1422(0) V2132 V1218
+111 1
+.names V1177(4) V1422(0) V2132 V1219
+111 1
+.names V1177(3) V1422(0) V2132 V1220
+111 1
+.names V1177(2) V1422(0) V2132 V1221
+111 1
+.names V1177(1) V1422(0) V2132 V1222
+111 1
+.names V1177(0) V1422(0) V2132 V1223
+111 1
+.names V88(1) V2133 V1417(0) V1224
+111 1
+.names V1214 V1224 V1243(9)
+-1 1
+1- 1
+.names V88(0) V2133 V1417(0) V1226
+111 1
+.names V1215 V1226 V1243(8)
+-1 1
+1- 1
+.names V84(5) V2133 V1417(0) V1228
+111 1
+.names V1216 V1228 V1243(7)
+-1 1
+1- 1
+.names V84(4) V2133 V1417(0) V1230
+111 1
+.names V1217 V1230 V1243(6)
+-1 1
+1- 1
+.names V84(3) V2133 V1417(0) V1232
+111 1
+.names V1218 V1232 V1243(5)
+-1 1
+1- 1
+.names V84(2) V2133 V1417(0) V1234
+111 1
+.names V1219 V1234 V1243(4)
+-1 1
+1- 1
+.names V84(1) V2133 V1417(0) V1236
+111 1
+.names V1220 V1236 V1243(3)
+-1 1
+1- 1
+.names V84(0) V2133 V1417(0) V1238
+111 1
+.names V1221 V1238 V1243(2)
+-1 1
+1- 1
+.names V78(5) V2133 V1417(0) V1240
+111 1
+.names V1222 V1240 V1243(1)
+-1 1
+1- 1
+.names V78(4) V2133 V1417(0) V1242
+111 1
+.names V1223 V1242 V1243(0)
+-1 1
+1- 1
+.names V2126 V1421(0) V1023(3) V2117 V2116 V1126(1) V1422(0) V2134 \
+ V1244
+11111111 1
+.names V2126 V1421(0) V1023(2) V2117 V2116 V1126(1) V1422(0) V2134 \
+ V1245
+11111111 1
+.names V2126 V1421(0) V1023(1) V2117 V2116 V1126(1) V1422(0) V2134 \
+ V1246
+11111111 1
+.names V2126 V1421(0) V1023(0) V2117 V2116 V1126(1) V1422(0) V2134 \
+ V1247
+11111111 1
+.names V32(3) V2135 V1417(0) V1248
+111 1
+.names V1244 V1248 V1255(3)
+-1 1
+1- 1
+.names V32(2) V2135 V1417(0) V1250
+111 1
+.names V1245 V1250 V1255(2)
+-1 1
+1- 1
+.names V32(1) V2135 V1417(0) V1252
+111 1
+.names V1246 V1252 V1255(1)
+-1 1
+1- 1
+.names V32(0) V2135 V1417(0) V1254
+111 1
+.names V1247 V1254 V1255(0)
+-1 1
+1- 1
+.names V2(0) V372 V1256
+11 1
+.names V2(0) V12(0) V1562 V1560 V1559 V1561 V1563 V1257
+1111111 1
+.names V2(0) V9(0) V1258
+11 1
+.names V3(0) V9(0) V1259
+11 1
+.names V3(0) V11(0) V1260
+11 1
+.names V1275(0) V1260 V1261
+11 1
+.names V4(0) V372 V1262
+11 1
+.names V4(0) V9(0) V1263
+11 1
+.names V4(0) V12(0) V1264
+11 1
+.names V52(0) V1264 V1265
+11 1
+.names V4(0) V11(0) V1266
+11 1
+.names V2(0) V11(0) V1267
+11 1
+.names V728 V727 V769 V501 V400 V726 V721 V1268(0)
+------1 1
+-----1- 1
+----1-- 1
+---1--- 1
+--1---- 1
+-1----- 1
+1------ 1
+.names V1268(0) V1269
+0 1
+.names V767 V1270
+0 1
+.names V768 V1271
+0 1
+.names V498 V964 V502 V62(0) V1272
+1111 1
+.names V1720(0) V59(0) V1271 V1269 V1270 V754 V964 V498 V1273
+11111111 1
+.names V1272 V1273 V1274(0)
+-1 1
+1- 1
+.names V62(0) V1275(0)
+0 1
+.names V735 V56(0) V1276
+11 1
+.names V1276 V1277(0)
+0 1
+.names V1984(0) V1278(1)
+0 1
+.names V1277(0) V14(0) V213(0) V1278(1) V2136 V1279
+11111 1
+.names V1546(0) V2137 V1984(0) V1280
+111 1
+.names V1279 V1280 V1281(0)
+-1 1
+1- 1
+.names V1979 V1282(1)
+0 1
+.names V1277(0) V14(0) V213(5) V1282(1) V2138 V1283
+11111 1
+.names V1277(0) V14(0) V213(4) V1282(1) V2138 V1284
+11111 1
+.names V1277(0) V14(0) V213(3) V1282(1) V2138 V1285
+11111 1
+.names V1277(0) V14(0) V213(2) V1282(1) V2138 V1286
+11111 1
+.names V1277(0) V14(0) V213(1) V1282(1) V2138 V1287
+11111 1
+.names V165(7) V2139 V1979 V1288
+111 1
+.names V1283 V1288 V1297(4)
+-1 1
+1- 1
+.names V165(6) V2139 V1979 V1290
+111 1
+.names V1284 V1290 V1297(3)
+-1 1
+1- 1
+.names V165(5) V2139 V1979 V1292
+111 1
+.names V1285 V1292 V1297(2)
+-1 1
+1- 1
+.names V165(4) V2139 V1979 V1294
+111 1
+.names V1286 V1294 V1297(1)
+-1 1
+1- 1
+.names V165(3) V2139 V1979 V1296
+111 1
+.names V1287 V1296 V1297(0)
+-1 1
+1- 1
+.names V1846 V2025 V1299
+11 1
+.names V1848 V1299 V1303
+11 1
+.names V2029 V1299 V1304
+11 1
+.names V2029 V1848 V1305
+11 1
+.names V1305 V1303 V1304 V1306(0)
+--1 1
+-1- 1
+1-- 1
+.names V1839 V1306(0) V1308
+11 1
+.names V2034 V1306(0) V1309
+11 1
+.names V2034 V1839 V1310
+11 1
+.names V1310 V1308 V1309 V1311(0)
+--1 1
+-1- 1
+1-- 1
+.names V1298 V1313
+0 1
+.names V1302 V1314
+0 1
+.names V1307 V1315
+0 1
+.names V1314 V1313 V1317
+11 1
+.names V1315 V1317 V1319
+11 1
+.names V1313 V1321
+0 1
+.names V1316 V1322
+0 1
+.names V1318 V1323
+0 1
+.names V1322 V1321 V1325
+11 1
+.names V1323 V1325 V1327
+11 1
+.names V1312 V1848 V2140 V1329
+111 1
+.names V1328 V2141 V1860(0) V1330
+111 1
+.names V1329 V1330 V1331(0)
+-1 1
+1- 1
+.names V1307 V1848 V2142 V1332
+111 1
+.names V1326 V2143 V1860(0) V1333
+111 1
+.names V1332 V1333 V1334(0)
+-1 1
+1- 1
+.names V1302 V1848 V2144 V1335
+111 1
+.names V1324 V2145 V1860(0) V1336
+111 1
+.names V1335 V1336 V1337(0)
+-1 1
+1- 1
+.names V1298 V1848 V2146 V1338
+111 1
+.names V1321 V2147 V1860(0) V1339
+111 1
+.names V1338 V1339 V1340(0)
+-1 1
+1- 1
+.names V1340(0) V1341
+0 1
+.names V1337(0) V1342
+0 1
+.names V1334(0) V1343
+0 1
+.names V1342 V1341 V1345
+11 1
+.names V1343 V1345 V1347
+11 1
+.names V1312 V1846 V2148 V1349
+111 1
+.names V1348 V2149 V1859(0) V1350
+111 1
+.names V1349 V1350 V1351(0)
+-1 1
+1- 1
+.names V1307 V1846 V2150 V1352
+111 1
+.names V1346 V2151 V1859(0) V1353
+111 1
+.names V1352 V1353 V1354(0)
+-1 1
+1- 1
+.names V1302 V1846 V2152 V1355
+111 1
+.names V1344 V2153 V1859(0) V1356
+111 1
+.names V1355 V1356 V1357(0)
+-1 1
+1- 1
+.names V1298 V1846 V2154 V1358
+111 1
+.names V1341 V2155 V1859(0) V1359
+111 1
+.names V1358 V1359 V1360(0)
+-1 1
+1- 1
+.names V734 V1361
+0 1
+.names V515 V1362
+0 1
+.names V731(0) V1363
+0 1
+.names V738 V1364
+0 1
+.names V498 V964 V805 V1364 V1362 V793 V1361 V1363 V804 V62(0) V1365
+1111111111 1
+.names V268(5) V268(3) V268(1) V268(2) V268(4) V1366
+11111 1
+.names V268(5) V268(3) V268(2) V268(4) V1367
+1111 1
+.names V268(5) V268(3) V268(4) V1368
+111 1
+.names V268(5) V268(4) V1369
+11 1
+.names V268(5) V1375
+0 1
+.names V2010(0) V1376
+0 1
+.names V374(0) V1377
+0 1
+.names V1377 V785 V1376 V1378
+111 1
+.names V2020(0) V1379
+0 1
+.names V1377 V785 V1379 V1380
+111 1
+.names V381(0) V1381
+0 1
+.names V1381 V785 V1377 V1382
+111 1
+.names V342(0) V1383
+0 1
+.names V1383 V785 V1377 V1384
+111 1
+.names V1837(0) V1385
+0 1
+.names V1385 V785 V1377 V1386
+111 1
+.names V9(0) V8(0) V1387
+11 1
+.names V401 V1388(0)
+0 1
+.names V741 V1389(0)
+0 1
+.names V498 V964 V1389(0) V65(0) V1388(0) V1390
+11111 1
+.names V498 V964 V763 V694(5) V165(3) V694(4) V165(6) V70(0) V1391
+11111111 1
+.names V1391 V1390 V1392(0)
+-1 1
+1- 1
+.names V769 V1446(0) V1393
+11 1
+.names V59(0) V56(0) V60(0) V1394(0)
+--1 1
+-1- 1
+1-- 1
+.names V701 V700 V1395(0)
+-1 1
+1- 1
+.names V59(0) V60(0) V1396(0)
+-1 1
+1- 1
+.names V1393 V731(0) V710 V729(0) V721 V1397(0)
+----1 1
+---1- 1
+--1-- 1
+-1--- 1
+1---- 1
+.names V56(0) V53(0) V57(0) V1398(0)
+--1 1
+-1- 1
+1-- 1
+.names V769 V721 V1399(0)
+-1 1
+1- 1
+.names V727 V1400
+0 1
+.names V726 V1401
+0 1
+.names V763 V1394(0) V1402
+11 1
+.names V727 V1445 V1403
+11 1
+.names V727 V1446(0) V1396(0) V1404
+111 1
+.names V1415(0) V1405
+0 1
+.names V1405 V1397(0) V1398(0) V1406
+111 1
+.names V60(0) V1399(0) V1407
+11 1
+.names V1402 V1408(0)
+0 1
+.names V769 V1395(0) V726 V1409(0)
+--1 1
+-1- 1
+1-- 1
+.names V1407 V1410(0)
+0 1
+.names V56(0) V502 V1411
+11 1
+.names V60(0) V502 V1412
+11 1
+.names V56(0) V411 V1413
+11 1
+.names V60(0) V411 V1414
+11 1
+.names V1414 V1412 V1411 V1413 V1415(0)
+---1 1
+--1- 1
+-1-- 1
+1--- 1
+.names V793 V1401 V1400 V53(0) V967(0) V1416
+11111 1
+.names V745 V1406 V1416 V1417(0)
+--1 1
+-1- 1
+1-- 1
+.names V765(0) V1409(0) V1418
+11 1
+.names V1417(0) V1419
+0 1
+.names V1410(0) V1420
+0 1
+.names V1418 V1403 V1404 V1421(0)
+--1 1
+-1- 1
+1-- 1
+.names V1420 V1419 V1422(0)
+-1 1
+1- 1
+.names V1(0) V9(0) V1423
+11 1
+.names V583(0) V1424
+0 1
+.names V786 V1424 V1425
+11 1
+.names V1424 V1377 V1426
+11 1
+.names V658(0) V109(0) V1427
+11 1
+.names V11(0) V1(0) V1428
+11 1
+.names V12(0) V1(0) V1429
+11 1
+.names V1427 V1430
+0 1
+.names V786 V1424 V1430 V1431
+111 1
+.names V66(0) V964 V498 V1432
+111 1
+.names V769 V1433
+0 1
+.names V1441(0) V1434
+0 1
+.names V1434 V1433 V498 V1435
+111 1
+.names V277(0) V769 V1436
+11 1
+.names V1442(0) V1436 V1437(0)
+-1 1
+1- 1
+.names V14(0) V1437(0) V1438
+11 1
+.names V746 V1435 V1439(0)
+-1 1
+1- 1
+.names V1438 V1440(0)
+0 1
+.names V277(0) V1441(0)
+0 1
+.names V278(0) V1442(0)
+0 1
+.names V769 V277(0) V1443
+11 1
+.names V1443 V1444(0)
+0 1
+.names V278(0) V1444(0) V1445
+11 1
+.names V1445 V1446(0)
+0 1
+.names V1836 V1830 V1447(0)
+-1 1
+1- 1
+.names V1447(0) V14(0) V1452(0) V1448
+111 1
+.names V1447(0) V1449
+0 1
+.names V1449 V14(0) V258(0) V1450
+111 1
+.names V1450 V1448 V1451(0)
+-1 1
+1- 1
+.names V258(0) V1452(0)
+0 1
+.names V1830 V258(0) V1453
+11 1
+.names V1836 V1452(0) V1454
+11 1
+.names V1454 V1453 V1455(0)
+-1 1
+1- 1
+.names V1460(0) V1455(0) V14(0) V1456
+111 1
+.names V1455(0) V1457
+0 1
+.names V259(0) V1457 V14(0) V1458
+111 1
+.names V1458 V1456 V1459(0)
+-1 1
+1- 1
+.names V259(0) V1460(0)
+0 1
+.names V1453 V259(0) V1461
+11 1
+.names V1454 V1460(0) V1462
+11 1
+.names V1462 V1461 V1463(0)
+-1 1
+1- 1
+.names V1468(0) V1463(0) V14(0) V1464
+111 1
+.names V1463(0) V1465
+0 1
+.names V260(0) V1465 V14(0) V1466
+111 1
+.names V1466 V1464 V1467(0)
+-1 1
+1- 1
+.names V260(0) V1468(0)
+0 1
+.names V737 V1469
+0 1
+.names V1469 V498 V964 V67(0) V1470
+1111 1
+.names V701 V766 V769 V1471(0)
+--1 1
+-1- 1
+1-- 1
+.names V739 V745 V744 V1472(0)
+--1 1
+-1- 1
+1-- 1
+.names V802(0) V1471(0) V1473
+11 1
+.names V56(0) V1472(0) V1474
+11 1
+.names V1474 V1473 V1475(0)
+-1 1
+1- 1
+.names V1597(0) V1475(0) V1476
+11 1
+.names V701 V1477
+0 1
+.names V1477 V1757(0) V1478
+11 1
+.names V802(0) V1757(0) V701 V1479
+111 1
+.names V1479 V1476 V1478 V1480(0)
+--1 1
+-1- 1
+1-- 1
+.names V214(0) V1481(0)
+0 1
+.names V66(0) V1482
+0 1
+.names V68(0) V1483
+0 1
+.names V69(0) V1484
+0 1
+.names V70(0) V1485
+0 1
+.names V1485 V1483 V1482 V1484 V1486
+1111 1
+.names V1486 V1487
+0 1
+.names V1999(0) V1488
+0 1
+.names V1493(0) V1489
+0 1
+.names V1489 V498 V1487 V1488 V1490
+1111 1
+.names V215(0) V66(0) V1491
+11 1
+.names V1494 V1490 V1492(0)
+-1 1
+1- 1
+.names V215(0) V1493(0)
+0 1
+.names V1481(0) V216(0) V1494
+11 1
+.names V175(0) V1495(0)
+0 1
+.names V2002(0) V1496(0)
+0 1
+.names V346(0) V1496(0) V536(0) V1497
+111 1
+.names V1497 V1498(0)
+0 1
+.names V2002(0) V1499
+0 1
+.names V346(0) V1500
+0 1
+.names V1500 V1499 V1501
+11 1
+.names V1501 V1502(0)
+0 1
+.names V1536(0) V1496(0) V1503
+11 1
+.names V1536(0) V1498(0) V1504
+11 1
+.names V1536(0) V1502(0) V1505
+11 1
+.names V1536(0) V1506
+0 1
+.names V1506 V371(0) V1507
+11 1
+.names V1503 V1507 V1512(3)
+-1 1
+1- 1
+.names V1506 V370(0) V1509
+11 1
+.names V1504 V1509 V1512(2)
+-1 1
+1- 1
+.names V1506 V369(0) V1511
+11 1
+.names V1505 V1511 V1512(1)
+-1 1
+1- 1
+.names V2011(0) V278(0) V1513
+11 1
+.names V177(0) V1514(0)
+0 1
+.names V274(0) V1515
+0 1
+.names V1515 V497 V1516
+11 1
+.names V56(0) V172(0) V1517
+11 1
+.names V56(0) V1395(0) V171(0) V1518
+111 1
+.names V1514(0) V1519
+0 1
+.names V248(0) V1520
+0 1
+.names V1513 V1521
+0 1
+.names V1517 V1522
+0 1
+.names V1518 V1523
+0 1
+.names V1523 V1521 V1519 V1520 V1522 V1524
+11111 1
+.names V172(0) V1525
+0 1
+.names V1525 V406 V1526
+11 1
+.names V59(0) V515 V1527
+11 1
+.names V1516 V1528
+0 1
+.names V1524 V1529
+0 1
+.names V1526 V1530
+0 1
+.names V1527 V1531
+0 1
+.names V1531 V1529 V1528 V1530 V1532
+1111 1
+.names V1532 V1533
+0 1
+.names V536(0) V1534
+0 1
+.names V1499 V1533 V1534 V1535
+111 1
+.names V1535 V1536(0)
+0 1
+.names V68(0) V964 V498 V1537
+111 1
+.names V50(0) V69(0) V1538(0)
+-1 1
+1- 1
+.names V1538(0) V964 V498 V1539
+111 1
+.names V165(3) V1540
+0 1
+.names V165(4) V1541
+0 1
+.names V165(5) V1542
+0 1
+.names V165(6) V1543
+0 1
+.names V165(7) V1544
+0 1
+.names V1544 V1542 V1540 V1541 V1543 V1545
+11111 1
+.names V1646 V1545 V1546(0)
+-1 1
+1- 1
+.names V1985 V803(0) V721 V2156 V1547
+1111 1
+.names V1986 V803(0) V721 V2156 V1548
+1111 1
+.names V1243(9) V2157 V379 V1549
+111 1
+.names V1547 V1549 V1552(1)
+-1 1
+1- 1
+.names V1243(8) V2157 V379 V1551
+111 1
+.names V1548 V1551 V1552(0)
+-1 1
+1- 1
+.names V63(0) V60(0) V1553(0)
+-1 1
+1- 1
+.names V730(0) V721 V729(0) V710 V731(0) V769 V1554(0)
+-----1 1
+----1- 1
+---1-- 1
+--1--- 1
+-1---- 1
+1----- 1
+.names V57(0) V1554(0) V1555
+11 1
+.names V57(0) V1556
+0 1
+.names V1556 V739 V1557
+11 1
+.names V1553(0) V745 V1558
+11 1
+.names V1555 V1559
+0 1
+.names V1557 V1560
+0 1
+.names V1558 V1561
+0 1
+.names V174(0) V1562
+0 1
+.names V35(0) V1563
+0 1
+.names V202(0) V71(0) V1564
+11 1
+.names V1564 V1565(0)
+0 1
+.names V1864(0) V1566
+0 1
+.names V1720(0) V1567
+0 1
+.names V1567 V1566 V1568
+11 1
+.names V1719 V697 V1569
+11 1
+.names V698 V1719 V1570
+11 1
+.names V394(0) V1867 V1719 V1571
+111 1
+.names V394(0) V605 V1719 V247(0) V1572
+1111 1
+.names V1571 V1573
+0 1
+.names V392 V1574
+0 1
+.names V1568 V1575
+0 1
+.names V1569 V1576
+0 1
+.names V1570 V1577
+0 1
+.names V1572 V1578
+0 1
+.names V1593 V1596(0)
+0 1
+.names V1594 V1596(1)
+0 1
+.names V1596(1) V1596(0) V1597(0)
+-1 1
+1- 1
+.names V1610 V1613(0)
+0 1
+.names V1611 V1613(1)
+0 1
+.names V292(0) V1864(0) V1614
+11 1
+.names V695 V174(0) V1615
+11 1
+.names V2002(0) V174(0) V1616
+11 1
+.names V799 V1617
+0 1
+.names V291(0) V1618
+0 1
+.names V292(0) V1619
+0 1
+.names V799 V1615 V1614 V1616 V1620(0)
+---1 1
+--1- 1
+-1-- 1
+1--- 1
+.names V59(0) V91(0) V1621
+11 1
+.names V62(0) V91(1) V1622
+11 1
+.names V1622 V1621 V1623(0)
+-1 1
+1- 1
+.names V739 V1623(0) V1624
+11 1
+.names V294(0) V1625
+0 1
+.names V611 V1626
+0 1
+.names V741 V1627
+0 1
+.names V1627 V1625 V1626 V1628
+111 1
+.names V622(0) V1624 V1628 V1629(0)
+--1 1
+-1- 1
+1-- 1
+.names V14(0) V1630(0)
+0 1
+.names V769 V766 V1631(0)
+-1 1
+1- 1
+.names V768 V740 V741 V739 V1632(0)
+---1 1
+--1- 1
+-1-- 1
+1--- 1
+.names V802(0) V1631(0) V764(0) V1633
+111 1
+.names V701 V802(0) V1634
+11 1
+.names V66(0) V737 V1635
+11 1
+.names V56(0) V1632(0) V1636
+11 1
+.names V763 V66(0) V1637
+11 1
+.names V802(0) V701 V149(7) V1638
+111 1
+.names V687 V766 V1639
+11 1
+.names V1864(0) V701 V1640
+11 1
+.names V1637 V1635 V1633 V1634 V1636 V1641(0)
+----1 1
+---1- 1
+--1-- 1
+-1--- 1
+1---- 1
+.names V1640 V768 V1639 V738 V1642(0)
+---1 1
+--1- 1
+-1-- 1
+1--- 1
+.names V336(0) V1641(0) V1643
+11 1
+.names V14(0) V344 V1642(0) V1646 V338 V1644
+11111 1
+.names V1638 V1644 V1643 V1645(0)
+--1 1
+-1- 1
+1-- 1
+.names V207(0) V1522 V1646
+11 1
+.names V726 V769 V727 V1647(0)
+--1 1
+-1- 1
+1-- 1
+.names V1445 V1647(0) V1648
+11 1
+.names V1648 V1649(0)
+0 1
+.names V290(0) V1650
+0 1
+.names V344 V1685(0) V399(0) V1649(0) V295(0) V1650 V1651
+111111 1
+.names V1651 V1652(0)
+0 1
+.names V1687(0) V744 V742 V735 V736 V743 V746 V1653(0)
+------1 1
+-----1- 1
+----1-- 1
+---1--- 1
+--1---- 1
+-1----- 1
+1------ 1
+.names V1653(0) V1654
+0 1
+.names V1687(0) V1655
+0 1
+.names V805 V804 V1654 V1655 V1627 V1656
+11111 1
+.names V1656 V1657
+0 1
+.names V812(0) V1658
+0 1
+.names V696 V1659
+0 1
+.names V344 V1658 V1499 V1657 V1659 V1660
+11111 1
+.names V1746(0) V1661
+0 1
+.names V344 V1654 V1661 V1662
+111 1
+.names V344 V698 V803(0) V1663
+111 1
+.names V737 V66(0) V1664
+11 1
+.names V1660 V1665
+0 1
+.names V1662 V1666
+0 1
+.names V1663 V1667
+0 1
+.names V1664 V1668
+0 1
+.names V1668 V1666 V1665 V1667 V1669
+1111 1
+.names V204(0) V1670(0)
+0 1
+.names V205(0) V1671(0)
+0 1
+.names V165(7) V165(6) V165(5) V165(3) V165(4) V261(0) V1670(0) \
+ V165(0) V165(1) V165(2) V1672
+1111111111 1
+.names V70(0) V165(7) V261(0) V165(5) V165(3) V165(4) V165(6) \
+ V165(0) V165(1) V165(2) V763 V1673
+11111111111 1
+.names V1673 V1672 V1674(0)
+-1 1
+1- 1
+.names V987(0) V1460(0) V1468(0) V258(0) V1675
+1111 1
+.names V1680(0) V1676
+0 1
+.names V1675 V1677
+0 1
+.names V498 V1676 V1677 V1678
+111 1
+.names V1678 V1674(0) V1679(0)
+-1 1
+1- 1
+.names V262(0) V1680(0)
+0 1
+.names V1674(0) V262(0) V1681(0)
+-1 1
+1- 1
+.names V1678 V1682
+0 1
+.names V1676 V1682 V1835(0) V1683
+111 1
+.names V1683 V1684(0)
+0 1
+.names V1681(0) V1685(0)
+0 1
+.names V1678 V262(0) V1686
+11 1
+.names V1674(0) V1686 V1687(0)
+-1 1
+1- 1
+.names V736 V56(0) V1688
+11 1
+.names V1688 V1689(0)
+0 1
+.names V1983(0) V1690(1)
+0 1
+.names V1689(0) V14(0) V100(0) V1690(1) V2158 V1691
+11111 1
+.names V1546(0) V2159 V1983(0) V1692
+111 1
+.names V1691 V1692 V1693(0)
+-1 1
+1- 1
+.names V1978 V1694(1)
+0 1
+.names V1689(0) V14(0) V100(5) V1694(1) V2160 V1695
+11111 1
+.names V1689(0) V14(0) V100(4) V1694(1) V2160 V1696
+11111 1
+.names V1689(0) V14(0) V100(3) V1694(1) V2160 V1697
+11111 1
+.names V1689(0) V14(0) V100(2) V1694(1) V2160 V1698
+11111 1
+.names V1689(0) V14(0) V100(1) V1694(1) V2160 V1699
+11111 1
+.names V165(7) V2161 V1978 V1700
+111 1
+.names V1695 V1700 V1709(4)
+-1 1
+1- 1
+.names V165(6) V2161 V1978 V1702
+111 1
+.names V1696 V1702 V1709(3)
+-1 1
+1- 1
+.names V165(5) V2161 V1978 V1704
+111 1
+.names V1697 V1704 V1709(2)
+-1 1
+1- 1
+.names V165(4) V2161 V1978 V1706
+111 1
+.names V1698 V1706 V1709(1)
+-1 1
+1- 1
+.names V165(3) V2161 V1978 V1708
+111 1
+.names V1699 V1708 V1709(0)
+-1 1
+1- 1
+.names V827(0) V721 V1710
+11 1
+.names V721 V421 V1711(0)
+-1 1
+1- 1
+.names V802(0) V1711(0) V1712
+11 1
+.names V1710 V1713
+0 1
+.names V1718(0) V1714
+0 1
+.names V697 V1715
+0 1
+.names V338 V1715 V964 V1713 V1714 V1525 V1716
+111111 1
+.names V1716 V1712 V1717(0)
+-1 1
+1- 1
+.names V240(0) V1718(0)
+0 1
+.names V338 V240(0) V1525 V1719
+111 1
+.names V1719 V1720(0)
+0 1
+.names V194(0) V1867 V1721
+11 1
+.names V1536(0) V1722
+0 1
+.names V2011(0) V1721 V1722 V1723
+111 1
+.names V2007(0) V1724
+0 1
+.names V498 V242(0) V1724 V1725
+111 1
+.names V1725 V1723 V1726(0)
+-1 1
+1- 1
+.names V1721 V1727(0)
+0 1
+.names V727 V769 V1728(0)
+-1 1
+1- 1
+.names V241(0) V1728(0) V1729
+11 1
+.names V1477 V1646 V1271 V1364 V733(0) V1730
+11111 1
+.names V1477 V687 V1646 V59(0) V1731
+1111 1
+.names V1477 V59(0) V1646 V768 V1732
+1111 1
+.names V1477 V738 V1646 V62(0) V1733
+1111 1
+.names V1729 V1734
+0 1
+.names V1401 V1734 V1735
+11 1
+.names V344 V698 V1735 V803(0) V1747(0) V1736
+11111 1
+.names V1735 V1737
+0 1
+.names V338 V1730 V1738
+11 1
+.names V338 V1731 V1739
+11 1
+.names V1732 V338 V1740
+11 1
+.names V1733 V1739 V1748 V1738 V1740 V1741(0)
+----1 1
+---1- 1
+--1-- 1
+-1--- 1
+1---- 1
+.names V725 V1742
+0 1
+.names V747 V1743
+0 1
+.names V1743 V33(0) V289(0) V1742 V1744
+1111 1
+.names V1744 V1745(0)
+0 1
+.names V1741(0) V1746(0)
+0 1
+.names V290(0) V1747(0)
+0 1
+.names V695 V290(0) V1748
+11 1
+.names V56(0) V751 V1749
+11 1
+.names V16(0) V15(0) V1750
+11 1
+.names V1866(0) V15(0) V1751
+11 1
+.names V16(0) V1865(0) V1752
+11 1
+.names V1749 V1753(0)
+0 1
+.names V700 V1752 V1754
+11 1
+.names V101(0) V1753(0) V14(0) V1755
+111 1
+.names V725 V1752 V1756
+11 1
+.names V1751 V1750 V1757(0)
+-1 1
+1- 1
+.names V1752 V1751 V1758(0)
+-1 1
+1- 1
+.names V1756 V1754 V1755 V1759(0)
+--1 1
+-1- 1
+1-- 1
+.names V101(0) V1760(0)
+0 1
+.names V745 V1761(0)
+0 1
+.names V1763(1) V745 V2162 V1764
+111 1
+.names V1763(0) V745 V2162 V1765
+111 1
+.names V1767(1) V2163 V1761(0) V1768
+111 1
+.names V1764 V1768 V1771(1)
+-1 1
+1- 1
+.names V1767(0) V2163 V1761(0) V1770
+111 1
+.names V1765 V1770 V1771(0)
+-1 1
+1- 1
+.names V1773(1) V1761(0) V2164 V1774
+111 1
+.names V1773(0) V1761(0) V2164 V1775
+111 1
+.names V1777(1) V2165 V745 V1778
+111 1
+.names V1774 V1778 V1781(1)
+-1 1
+1- 1
+.names V1777(0) V2165 V745 V1780
+111 1
+.names V1775 V1780 V1781(0)
+-1 1
+1- 1
+.names V1213(2) V1782
+0 1
+.names V1791(8) V37(0) V2166 V1792
+111 1
+.names V1791(7) V37(0) V2166 V1793
+111 1
+.names V1791(6) V37(0) V2166 V1794
+111 1
+.names V1791(5) V37(0) V2166 V1795
+111 1
+.names V1791(4) V37(0) V2166 V1796
+111 1
+.names V1791(3) V37(0) V2166 V1797
+111 1
+.names V1791(2) V37(0) V2166 V1798
+111 1
+.names V1791(1) V37(0) V2166 V1799
+111 1
+.names V1791(0) V37(0) V2166 V1800
+111 1
+.names V1782 V37(0) V2166 V1801
+111 1
+.names V321(2) V2167 V436(0) V1810
+111 1
+.names V1792 V1810 V1829(9)
+-1 1
+1- 1
+.names V1809(7) V2167 V436(0) V1812
+111 1
+.names V1793 V1812 V1829(8)
+-1 1
+1- 1
+.names V1809(6) V2167 V436(0) V1814
+111 1
+.names V1794 V1814 V1829(7)
+-1 1
+1- 1
+.names V1809(5) V2167 V436(0) V1816
+111 1
+.names V1795 V1816 V1829(6)
+-1 1
+1- 1
+.names V1809(4) V2167 V436(0) V1818
+111 1
+.names V1796 V1818 V1829(5)
+-1 1
+1- 1
+.names V1809(3) V2167 V436(0) V1820
+111 1
+.names V1797 V1820 V1829(4)
+-1 1
+1- 1
+.names V1809(2) V2167 V436(0) V1822
+111 1
+.names V1798 V1822 V1829(3)
+-1 1
+1- 1
+.names V1809(1) V2167 V436(0) V1824
+111 1
+.names V1799 V1824 V1829(2)
+-1 1
+1- 1
+.names V1809(0) V2167 V436(0) V1826
+111 1
+.names V1800 V1826 V1829(1)
+-1 1
+1- 1
+.names V321(2) V2167 V436(0) V1828
+111 1
+.names V1801 V1828 V1829(0)
+-1 1
+1- 1
+.names V268(0) V1366 V1830
+11 1
+.names V1834 V1830 V1831(0)
+-1 1
+1- 1
+.names V14(0) V1831(0) V1832
+11 1
+.names V261(0) V1833(0)
+0 1
+.names V1684(0) V261(0) V1834
+11 1
+.names V50(0) V56(0) V62(0) V1835(0)
+--1 1
+-1- 1
+1-- 1
+.names V1681(0) V1835(0) V1836
+11 1
+.names V1836 V1837(0)
+0 1
+.names V288(1) V288(0) V1838
+11 1
+.names V288(3) V288(2) V1839
+11 1
+.names V288(5) V288(4) V1840
+11 1
+.names V288(4) V1841
+0 1
+.names V288(5) V1841 V1842
+11 1
+.names V288(5) V1843
+0 1
+.names V1843 V288(4) V1844
+11 1
+.names V288(2) V1845
+0 1
+.names V288(3) V1845 V1846
+11 1
+.names V288(3) V1847
+0 1
+.names V1847 V288(2) V1848
+11 1
+.names V288(0) V1849
+0 1
+.names V288(1) V1849 V1850
+11 1
+.names V288(1) V1851
+0 1
+.names V1851 V288(0) V1852
+11 1
+.names V288(1) V288(0) V1853(0)
+-1 1
+1- 1
+.names V288(3) V288(2) V1854(0)
+-1 1
+1- 1
+.names V288(5) V288(4) V1855(0)
+-1 1
+1- 1
+.names V288(7) V288(6) V1856(0)
+-1 1
+1- 1
+.names V1842 V1857(0)
+0 1
+.names V1844 V1858(0)
+0 1
+.names V1846 V1859(0)
+0 1
+.names V1848 V1860(0)
+0 1
+.names V1850 V1861(0)
+0 1
+.names V1852 V1862(0)
+0 1
+.names V301(0) V1863(0)
+0 1
+.names V302(0) V1864(0)
+0 1
+.names V15(0) V1865(0)
+0 1
+.names V16(0) V1866(0)
+0 1
+.names V199(4) V199(2) V199(0) V194(3) V194(1) V194(2) V194(4) \
+ V199(1) V199(3) V1867
+111111111 1
+.names V199(4) V199(2) V199(0) V194(3) V194(2) V194(4) V199(1) \
+ V199(3) V1868
+11111111 1
+.names V199(4) V199(2) V199(0) V194(3) V194(4) V199(1) V199(3) V1869
+1111111 1
+.names V199(4) V199(2) V199(0) V194(4) V199(1) V199(3) V1870
+111111 1
+.names V199(4) V199(2) V199(0) V199(1) V199(3) V1871
+11111 1
+.names V199(4) V199(2) V199(1) V199(3) V1872
+1111 1
+.names V199(4) V199(2) V199(3) V1873
+111 1
+.names V199(4) V199(3) V1874
+11 1
+.names V199(4) V1884
+0 1
+.names V769 V1476 V1885
+11 1
+.names V725 V1995 V1886
+11 1
+.names V700 V1995 V1887
+11 1
+.names V1902 V1888
+0 1
+.names V108(0) V1888 V1889
+11 1
+.names V108(1) V1888 V1890
+11 1
+.names V108(2) V1888 V1891
+11 1
+.names V108(3) V1888 V1892
+11 1
+.names V108(4) V1888 V1893
+11 1
+.names V1749 V1894
+0 1
+.names V108(5) V1894 V1895
+11 1
+.names V1889 V1476 V1750 V1896(0)
+--1 1
+-1- 1
+1-- 1
+.names V1885 V1890 V1897(0)
+-1 1
+1- 1
+.names V1886 V1891 V1898(0)
+-1 1
+1- 1
+.names V1887 V1892 V1899(0)
+-1 1
+1- 1
+.names V1751 V1893 V1900(0)
+-1 1
+1- 1
+.names V1752 V1895 V1901(0)
+-1 1
+1- 1
+.names V56(0) V750 V1902
+11 1
+.names V100(5) V736 V2170 V2169 V2168 V1904
+11111 1
+.names V100(4) V736 V2170 V2169 V2168 V1905
+11111 1
+.names V100(3) V736 V2170 V2169 V2168 V1906
+11111 1
+.names V100(2) V736 V2170 V2169 V2168 V1907
+11111 1
+.names V100(1) V736 V2170 V2169 V2168 V1908
+11111 1
+.names V100(0) V736 V2170 V2169 V2168 V1909
+11111 1
+.names V213(5) V2173 V735 V2172 V2171 V1910
+11111 1
+.names V1904 V1910 V1922 V1921(5)
+--1 1
+-1- 1
+1-- 1
+.names V213(4) V2173 V735 V2172 V2171 V1912
+11111 1
+.names V1905 V1912 V1923 V1928 V1921(4)
+---1 1
+--1- 1
+-1-- 1
+1--- 1
+.names V213(3) V2173 V735 V2172 V2171 V1914
+11111 1
+.names V1906 V1914 V1924 V1929 V1921(3)
+---1 1
+--1- 1
+-1-- 1
+1--- 1
+.names V213(2) V2173 V735 V2172 V2171 V1916
+11111 1
+.names V1907 V1916 V1925 V1930 V1921(2)
+---1 1
+--1- 1
+-1-- 1
+1--- 1
+.names V213(1) V2173 V735 V2172 V2171 V1918
+11111 1
+.names V1908 V1918 V1926 V1931 V1921(1)
+---1 1
+--1- 1
+-1-- 1
+1--- 1
+.names V213(0) V2173 V735 V2172 V2171 V1920
+11111 1
+.names V1909 V1920 V1927 V1932 V1921(0)
+---1 1
+--1- 1
+-1-- 1
+1--- 1
+.names V124(5) V2176 V2175 V742 V2174 V1922
+11111 1
+.names V124(4) V2176 V2175 V742 V2174 V1923
+11111 1
+.names V124(3) V2176 V2175 V742 V2174 V1924
+11111 1
+.names V124(2) V2176 V2175 V742 V2174 V1925
+11111 1
+.names V124(1) V2176 V2175 V742 V2174 V1926
+11111 1
+.names V124(0) V2176 V2175 V742 V2174 V1927
+11111 1
+.names V108(4) V2179 V2178 V2177 V750 V1928
+11111 1
+.names V108(3) V2179 V2178 V2177 V750 V1929
+11111 1
+.names V108(2) V2179 V2178 V2177 V750 V1930
+11111 1
+.names V108(1) V2179 V2178 V2177 V750 V1931
+11111 1
+.names V108(0) V2179 V2178 V2177 V750 V1932
+11111 1
+.names V132(7) V742 V2181 V2180 V1933
+1111 1
+.names V132(6) V742 V2181 V2180 V1934
+1111 1
+.names V132(5) V742 V2181 V2180 V1935
+1111 1
+.names V132(4) V742 V2181 V2180 V1936
+1111 1
+.names V132(3) V742 V2181 V2180 V1937
+1111 1
+.names V132(2) V742 V2181 V2180 V1938
+1111 1
+.names V132(1) V742 V2181 V2180 V1953(1)
+1111 1
+.names V132(0) V742 V2181 V2180 V1940
+1111 1
+.names V118(5) V2183 V743 V2182 V1941
+1111 1
+.names V1933 V1941 V1953(7)
+-1 1
+1- 1
+.names V118(4) V2183 V743 V2182 V1943
+1111 1
+.names V1934 V1943 V1953(6)
+-1 1
+1- 1
+.names V118(3) V2183 V743 V2182 V1945
+1111 1
+.names V1935 V1945 V1953(5)
+-1 1
+1- 1
+.names V118(2) V2183 V743 V2182 V1947
+1111 1
+.names V1936 V1947 V1953(4)
+-1 1
+1- 1
+.names V118(1) V2183 V743 V2182 V1949
+1111 1
+.names V1937 V1949 V1953(3)
+-1 1
+1- 1
+.names V118(0) V2183 V743 V2182 V1951
+1111 1
+.names V1938 V1951 V1953(2)
+-1 1
+1- 1
+.names V1940 V1954 V1953(0)
+-1 1
+1- 1
+.names V108(5) V2185 V2184 V751 V1954
+1111 1
+.names V118(7) V743 V2186 V1955
+111 1
+.names V118(6) V743 V2186 V1956
+111 1
+.names V46(0) V2187 V382(0) V1957
+111 1
+.names V1955 V1957 V1960(1)
+-1 1
+1- 1
+.names V48(0) V2187 V382(0) V1959
+111 1
+.names V1956 V1959 V1960(0)
+-1 1
+1- 1
+.names V56(0) V743 V1961
+11 1
+.names V1903(4) V1751 V101(0) V1962
+111 1
+.names V102(0) V1758(0) V1963(0)
+-1 1
+1- 1
+.names V1961 V1964(0)
+0 1
+.names V1962 V1965(0)
+0 1
+.names V1969 V1963(0) V700 V1966
+111 1
+.names V110(0) V1965(0) V1964(0) V14(0) V1967
+1111 1
+.names V1967 V1966 V1968(0)
+-1 1
+1- 1
+.names V110(0) V1969
+0 1
+.names V288(6) V1970
+0 1
+.names V288(7) V1971
+0 1
+.names V1971 V1970 V1972
+11 1
+.names V288(7) V1970 V1974
+11 1
+.names V1973 V1975(0)
+0 1
+.names V1974 V1976(0)
+0 1
+.names V702 V700 V1977(0)
+-1 1
+1- 1
+.names V695 V1977(0) V290(0) V1978
+111 1
+.names V695 V290(0) V725 V1979
+111 1
+.names V1644 V1980
+0 1
+.names V1977(0) V338 V1646 V1980 V1743 V1981
+11111 1
+.names V725 V338 V1646 V1980 V1743 V1982
+11111 1
+.names V1978 V1981 V1983(0)
+-1 1
+1- 1
+.names V1982 V1979 V1984(0)
+-1 1
+1- 1
+.names V239(4) V1985
+0 1
+.names V1993 V2010(0) V2006 V2188 V1987
+1111 1
+.names V1994 V2010(0) V2006 V2188 V1988
+1111 1
+.names V134(1) V2017 V2016 V2189 V1989
+1111 1
+.names V1987 V1989 V1992(1)
+-1 1
+1- 1
+.names V134(0) V2017 V2016 V2189 V1991
+1111 1
+.names V1988 V1991 V1992(0)
+-1 1
+1- 1
+.names V134(1) V1993
+0 1
+.names V67(0) V172(0) V215(0) V1995
+111 1
+.names V1446(0) V261(0) V1737 V803(0) V1996
+1111 1
+.names V261(0) V1737 V803(0) V272(0) V643 V1445 V1997
+111111 1
+.names V1996 V1998(0)
+0 1
+.names V1733 V1731 V214(0) V1995 V1730 V1732 V1999(0)
+-----1 1
+----1- 1
+---1-- 1
+--1--- 1
+-1---- 1
+1----- 1
+.names V2004(0) V1446(0) V242(0) V803(0) V2011(0) V2000
+11111 1
+.names V134(1) V134(0) V242(0) V803(0) V272(0) V643 V1445 V2001
+1111111 1
+.names V1997 V1999(0) V2000 V1996 V2001 V2002(0)
+----1 1
+---1- 1
+--1-- 1
+-1--- 1
+1---- 1
+.names V2007(0) V56(0) V2003
+11 1
+.names V2003 V2004(0)
+0 1
+.names V803(0) V1445 V2005
+11 1
+.names V1761(0) V271(0) V642(0) V2006
+111 1
+.names V727 V769 V2007(0)
+-1 1
+1- 1
+.names V2005 V2008(0)
+0 1
+.names V802(0) V2007(0) V2009
+11 1
+.names V2009 V2010(0)
+0 1
+.names V726 V2007(0) V2011(0)
+-1 1
+1- 1
+.names V1998(0) V2022(0) V2007(0) V2008(0) V2024(0) V2012
+11111 1
+.names V1998(0) V2024(0) V1446(0) V803(0) V726 V1727(0) V2013
+111111 1
+.names V1727(0) V2006 V1445 V134(0) V134(1) V2014
+11111 1
+.names V803(0) V1446(0) V2011(0) V2015
+111 1
+.names V2009 V2016
+0 1
+.names V2006 V2017
+0 1
+.names V2014 V2012 V2013 V2018(0)
+--1 1
+-1- 1
+1-- 1
+.names V2015 V2014 V2019(0)
+-1 1
+1- 1
+.names V2018(0) V2020(0)
+0 1
+.names V803(0) V1721 V2021
+11 1
+.names V2021 V2022(0)
+0 1
+.names V803(0) V248(0) V2023
+11 1
+.names V2023 V2024(0)
+0 1
+.names V1842 V1976(0) V2026
+11 1
+.names V1844 V2026 V2030
+11 1
+.names V1975(0) V2026 V2031
+11 1
+.names V1975(0) V1844 V2032
+11 1
+.names V2032 V2030 V2031 V2033(0)
+--1 1
+-1- 1
+1-- 1
+.names V1840 V2033(0) V2035
+11 1
+.names V1972 V2033(0) V2036
+11 1
+.names V1972 V1840 V2037
+11 1
+.names V2037 V2035 V2036 V2038(0)
+--1 1
+-1- 1
+1-- 1
+.names V2025 V2040
+0 1
+.names V2029 V2041
+0 1
+.names V2034 V2042
+0 1
+.names V2041 V2040 V2044
+11 1
+.names V2042 V2044 V2046
+11 1
+.names V2040 V2048
+0 1
+.names V2043 V2049
+0 1
+.names V2045 V2050
+0 1
+.names V2049 V2048 V2052
+11 1
+.names V2050 V2052 V2054
+11 1
+.names V2039 V1844 V2190 V2056
+111 1
+.names V2055 V2191 V1858(0) V2057
+111 1
+.names V2056 V2057 V2058(0)
+-1 1
+1- 1
+.names V2034 V1844 V2192 V2059
+111 1
+.names V2053 V2193 V1858(0) V2060
+111 1
+.names V2059 V2060 V2061(0)
+-1 1
+1- 1
+.names V2029 V1844 V2194 V2062
+111 1
+.names V2051 V2195 V1858(0) V2063
+111 1
+.names V2062 V2063 V2064(0)
+-1 1
+1- 1
+.names V2025 V1844 V2196 V2065
+111 1
+.names V2048 V2197 V1858(0) V2066
+111 1
+.names V2065 V2066 V2067(0)
+-1 1
+1- 1
+.names V2067(0) V2068
+0 1
+.names V2064(0) V2069
+0 1
+.names V2061(0) V2070
+0 1
+.names V2069 V2068 V2072
+11 1
+.names V2070 V2072 V2074
+11 1
+.names V2039 V1842 V2198 V2076
+111 1
+.names V2075 V2199 V1857(0) V2077
+111 1
+.names V2076 V2077 V2078(0)
+-1 1
+1- 1
+.names V2034 V1842 V2200 V2079
+111 1
+.names V2073 V2201 V1857(0) V2080
+111 1
+.names V2079 V2080 V2081(0)
+-1 1
+1- 1
+.names V2029 V1842 V2202 V2082
+111 1
+.names V2071 V2203 V1857(0) V2083
+111 1
+.names V2082 V2083 V2084(0)
+-1 1
+1- 1
+.names V2025 V1842 V2204 V2085
+111 1
+.names V2068 V2205 V1857(0) V2086
+111 1
+.names V2085 V2086 V2087(0)
+-1 1
+1- 1
+.names V1862(0) V2088
+0 1
+.names V1852 V2089
+0 1
+.names V1862(0) V2090
+0 1
+.names V1852 V2091
+0 1
+.names V1862(0) V2092
+0 1
+.names V1852 V2093
+0 1
+.names V1862(0) V2094
+0 1
+.names V1852 V2095
+0 1
+.names V1861(0) V2096
+0 1
+.names V1850 V2097
+0 1
+.names V1861(0) V2098
+0 1
+.names V1850 V2099
+0 1
+.names V1861(0) V2100
+0 1
+.names V1850 V2101
+0 1
+.names V1861(0) V2102
+0 1
+.names V1850 V2103
+0 1
+.names V769 V802(0) V2104
+-0 1
+0- 1
+.names V2019(0) V2105
+0 1
+.names V769 V802(0) V2106
+-0 1
+0- 1
+.names V727 V802(0) V2107
+-0 1
+0- 1
+.names V2019(0) V2108
+0 1
+.names V727 V802(0) V2109
+-0 1
+0- 1
+.names V2011(0) V2110
+0 1
+.names V1395(0) V2111
+0 1
+.names V2011(0) V2112
+0 1
+.names V1395(0) V2113
+0 1
+.names V1421(0) V2114
+0 1
+.names V727 V1446(0) V987(0) V2115
+--0 1
+-0- 1
+0-- 1
+.names V727 V1446(0) V987(0) V2116
+--0 1
+-0- 1
+0-- 1
+.names V1681(0) V2117
+0 1
+.names V1421(0) V2118
+0 1
+.names V727 V1446(0) V987(0) V2119
+--0 1
+-0- 1
+0-- 1
+.names V1421(0) V2120
+0 1
+.names V1681(0) V2121
+0 1
+.names V727 V1446(0) V987(0) V2122
+--0 1
+-0- 1
+0-- 1
+.names V1681(0) V2123
+0 1
+.names V1408(0) V2124
+0 1
+.names V2124 V1402 V1124(1)
+11 1
+.names V1402 V2125
+0 1
+.names V1125 V2126
+0 1
+.names V1126(1) V2127
+0 1
+.names V1125 V2128
+0 1
+.names V1126(1) V2129
+0 1
+.names V1417(0) V2130
+0 1
+.names V1422(0) V2131
+0 1
+.names V1417(0) V2132
+0 1
+.names V1422(0) V2133
+0 1
+.names V1417(0) V2134
+0 1
+.names V1422(0) V2135
+0 1
+.names V1984(0) V2136
+0 1
+.names V1278(1) V2137
+0 1
+.names V1979 V2138
+0 1
+.names V1282(1) V2139
+0 1
+.names V1860(0) V2140
+0 1
+.names V1848 V2141
+0 1
+.names V1860(0) V2142
+0 1
+.names V1848 V2143
+0 1
+.names V1860(0) V2144
+0 1
+.names V1848 V2145
+0 1
+.names V1860(0) V2146
+0 1
+.names V1848 V2147
+0 1
+.names V1859(0) V2148
+0 1
+.names V1846 V2149
+0 1
+.names V1859(0) V2150
+0 1
+.names V1846 V2151
+0 1
+.names V1859(0) V2152
+0 1
+.names V1846 V2153
+0 1
+.names V1859(0) V2154
+0 1
+.names V1846 V2155
+0 1
+.names V379 V2156
+0 1
+.names V721 V803(0) V2157
+-0 1
+0- 1
+.names V1983(0) V2158
+0 1
+.names V1690(1) V2159
+0 1
+.names V1978 V2160
+0 1
+.names V1694(1) V2161
+0 1
+.names V1761(0) V2162
+0 1
+.names V88(2) V1763(0)
+0 1
+.names V88(3) V1763(1)
+0 1
+.names V745 V2163
+0 1
+.names V134(0) V1767(0)
+0 1
+.names V134(1) V1767(1)
+0 1
+.names V745 V2164
+0 1
+.names V1213(10) V1773(0)
+0 1
+.names V1213(11) V1773(1)
+0 1
+.names V1761(0) V2165
+0 1
+.names V78(2) V1777(0)
+0 1
+.names V78(3) V1777(1)
+0 1
+.names V436(0) V2166
+0 1
+.names V1243(1) V1791(0)
+0 1
+.names V1243(2) V1791(1)
+0 1
+.names V1243(3) V1791(2)
+0 1
+.names V1243(4) V1791(3)
+0 1
+.names V1243(5) V1791(4)
+0 1
+.names V1243(6) V1791(5)
+0 1
+.names V1243(7) V1791(6)
+0 1
+.names V1243(8) V1791(7)
+0 1
+.names V1243(9) V1791(8)
+0 1
+.names V37(0) V2167
+0 1
+.names V1213(4) V1809(0)
+0 1
+.names V1213(5) V1809(1)
+0 1
+.names V1213(6) V1809(2)
+0 1
+.names V1213(7) V1809(3)
+0 1
+.names V1213(8) V1809(4)
+0 1
+.names V1213(9) V1809(5)
+0 1
+.names V1213(10) V1809(6)
+0 1
+.names V1213(11) V1809(7)
+0 1
+.names V108(4) V1903(4)
+0 1
+.names V750 V2168
+0 1
+.names V742 V2169
+0 1
+.names V735 V2170
+0 1
+.names V750 V2171
+0 1
+.names V742 V2172
+0 1
+.names V736 V2173
+0 1
+.names V750 V2174
+0 1
+.names V735 V2175
+0 1
+.names V736 V2176
+0 1
+.names V742 V2177
+0 1
+.names V735 V2178
+0 1
+.names V736 V2179
+0 1
+.names V751 V2180
+0 1
+.names V743 V2181
+0 1
+.names V751 V2182
+0 1
+.names V742 V2183
+0 1
+.names V743 V2184
+0 1
+.names V742 V2185
+0 1
+.names V382(0) V2186
+0 1
+.names V743 V2187
+0 1
+.names V2016 V2017 V2188
+-0 1
+0- 1
+.names V2006 V2010(0) V2189
+-0 1
+0- 1
+.names V1858(0) V2190
+0 1
+.names V1844 V2191
+0 1
+.names V1858(0) V2192
+0 1
+.names V1844 V2193
+0 1
+.names V1858(0) V2194
+0 1
+.names V1844 V2195
+0 1
+.names V1858(0) V2196
+0 1
+.names V1844 V2197
+0 1
+.names V1857(0) V2198
+0 1
+.names V1842 V2199
+0 1
+.names V1857(0) V2200
+0 1
+.names V1842 V2201
+0 1
+.names V1857(0) V2202
+0 1
+.names V1842 V2203
+0 1
+.names V1857(0) V2204
+0 1
+.names V1842 V2205
+0 1
+.names V451 V2206
+0 1
+.names V32(0) V2207
+0 1
+.names V451 V2207 V2208
+11 1
+.names V2206 V32(0) V2209
+11 1
+.names V2208 V2209 V324
+-1 1
+1- 1
+.names V446 V2210
+0 1
+.names V32(1) V2211
+0 1
+.names V446 V2211 V2212
+11 1
+.names V2210 V32(1) V2213
+11 1
+.names V2212 V2213 V325
+-1 1
+1- 1
+.names V441 V2214
+0 1
+.names V32(2) V2215
+0 1
+.names V441 V2215 V2216
+11 1
+.names V2214 V32(2) V2217
+11 1
+.names V2216 V2217 V326
+-1 1
+1- 1
+.names V1850 V2218
+0 1
+.names V1298 V2219
+0 1
+.names V1850 V2219 V2220
+11 1
+.names V2218 V1298 V2221
+11 1
+.names V2220 V2221 V437
+-1 1
+1- 1
+.names V1852 V2222
+0 1
+.names V1302 V2223
+0 1
+.names V1852 V2223 V2224
+11 1
+.names V2222 V1302 V2225
+11 1
+.names V2224 V2225 V439
+-1 1
+1- 1
+.names V1838 V2226
+0 1
+.names V1307 V2227
+0 1
+.names V1838 V2227 V2228
+11 1
+.names V2226 V1307 V2229
+11 1
+.names V2228 V2229 V440
+-1 1
+1- 1
+.names V439 V2230
+0 1
+.names V438 V2231
+0 1
+.names V439 V2231 V2232
+11 1
+.names V2230 V438 V2233
+11 1
+.names V2232 V2233 V441
+-1 1
+1- 1
+.names V445(0) V2234
+0 1
+.names V440 V2235
+0 1
+.names V445(0) V2235 V2236
+11 1
+.names V2234 V440 V2237
+11 1
+.names V2236 V2237 V446
+-1 1
+1- 1
+.names V1312 V2238
+0 1
+.names V450(0) V2239
+0 1
+.names V1312 V2239 V2240
+11 1
+.names V2238 V450(0) V2241
+11 1
+.names V2240 V2241 V451
+-1 1
+1- 1
+.names V441 V2242
+0 1
+.names V333 V2243
+0 1
+.names V441 V2243 V2244
+11 1
+.names V2242 V333 V2245
+11 1
+.names V2244 V2245 V452
+-1 1
+1- 1
+.names V446 V2246
+0 1
+.names V453 V2247
+0 1
+.names V446 V2247 V2248
+11 1
+.names V2246 V453 V2249
+11 1
+.names V2248 V2249 V454
+-1 1
+1- 1
+.names V451 V2250
+0 1
+.names V455 V2251
+0 1
+.names V451 V2251 V2252
+11 1
+.names V2250 V455 V2253
+11 1
+.names V2252 V2253 V456
+-1 1
+1- 1
+.names V452 V2254
+0 1
+.names V457 V2255
+0 1
+.names V452 V2255 V2256
+11 1
+.names V2254 V457 V2257
+11 1
+.names V2256 V2257 V460
+-1 1
+1- 1
+.names V454 V2258
+0 1
+.names V461 V2259
+0 1
+.names V454 V2259 V2260
+11 1
+.names V2258 V461 V2261
+11 1
+.names V2260 V2261 V462
+-1 1
+1- 1
+.names V456 V2262
+0 1
+.names V463 V2263
+0 1
+.names V456 V2263 V2264
+11 1
+.names V2262 V463 V2265
+11 1
+.names V2264 V2265 V464
+-1 1
+1- 1
+.names V473(0) V2266
+0 1
+.names V477 V2267
+0 1
+.names V473(0) V2267 V2268
+11 1
+.names V2266 V477 V2269
+11 1
+.names V2268 V2269 V480
+-1 1
+1- 1
+.names V470(0) V2270
+0 1
+.names V481 V2271
+0 1
+.names V470(0) V2271 V2272
+11 1
+.names V2270 V481 V2273
+11 1
+.names V2272 V2273 V482
+-1 1
+1- 1
+.names V467(0) V2274
+0 1
+.names V483 V2275
+0 1
+.names V467(0) V2275 V2276
+11 1
+.names V2274 V483 V2277
+11 1
+.names V2276 V2277 V484
+-1 1
+1- 1
+.names V39(0) V2278
+0 1
+.names V38(0) V2279
+0 1
+.names V39(0) V2279 V2280
+11 1
+.names V2278 V38(0) V2281
+11 1
+.names V2280 V2281 V509
+-1 1
+1- 1
+.names V42(0) V2282
+0 1
+.names V44(0) V2283
+0 1
+.names V42(0) V2283 V2284
+11 1
+.names V2282 V44(0) V2285
+11 1
+.names V2284 V2285 V530
+-1 1
+1- 1
+.names V41(0) V2286
+0 1
+.names V45(0) V2287
+0 1
+.names V41(0) V2287 V2288
+11 1
+.names V2286 V45(0) V2289
+11 1
+.names V2288 V2289 V531
+-1 1
+1- 1
+.names V257(0) V2290
+0 1
+.names V644 V2291
+0 1
+.names V257(0) V2291 V2292
+11 1
+.names V2290 V644 V2293
+11 1
+.names V2292 V2293 V650
+-1 1
+1- 1
+.names V257(1) V2294
+0 1
+.names V645 V2295
+0 1
+.names V257(1) V2295 V2296
+11 1
+.names V2294 V645 V2297
+11 1
+.names V2296 V2297 V651
+-1 1
+1- 1
+.names V257(2) V2298
+0 1
+.names V646 V2299
+0 1
+.names V257(2) V2299 V2300
+11 1
+.names V2298 V646 V2301
+11 1
+.names V2300 V2301 V652
+-1 1
+1- 1
+.names V257(3) V2302
+0 1
+.names V647 V2303
+0 1
+.names V257(3) V2303 V2304
+11 1
+.names V2302 V647 V2305
+11 1
+.names V2304 V2305 V653
+-1 1
+1- 1
+.names V257(4) V2306
+0 1
+.names V648 V2307
+0 1
+.names V257(4) V2307 V2308
+11 1
+.names V2306 V648 V2309
+11 1
+.names V2308 V2309 V654
+-1 1
+1- 1
+.names V257(5) V2310
+0 1
+.names V649 V2311
+0 1
+.names V257(5) V2311 V2312
+11 1
+.names V2310 V649 V2313
+11 1
+.names V2312 V2313 V655
+-1 1
+1- 1
+.names V257(6) V2314
+0 1
+.names V257(7) V2315
+0 1
+.names V257(6) V2315 V2316
+11 1
+.names V2314 V257(7) V2317
+11 1
+.names V2316 V2317 V656
+-1 1
+1- 1
+.names V2078(0) V2318
+0 1
+.names V1255(0) V2319
+0 1
+.names V2078(0) V2319 V2320
+11 1
+.names V2318 V1255(0) V2321
+11 1
+.names V2320 V2321 V828
+-1 1
+1- 1
+.names V2081(0) V2322
+0 1
+.names V1255(1) V2323
+0 1
+.names V2081(0) V2323 V2324
+11 1
+.names V2322 V1255(1) V2325
+11 1
+.names V2324 V2325 V829
+-1 1
+1- 1
+.names V2084(0) V2326
+0 1
+.names V1255(2) V2327
+0 1
+.names V2084(0) V2327 V2328
+11 1
+.names V2326 V1255(2) V2329
+11 1
+.names V2328 V2329 V830
+-1 1
+1- 1
+.names V2087(0) V2330
+0 1
+.names V1255(3) V2331
+0 1
+.names V2087(0) V2331 V2332
+11 1
+.names V2330 V1255(3) V2333
+11 1
+.names V2332 V2333 V831
+-1 1
+1- 1
+.names V2058(0) V2334
+0 1
+.names V1255(0) V2335
+0 1
+.names V2058(0) V2335 V2336
+11 1
+.names V2334 V1255(0) V2337
+11 1
+.names V2336 V2337 V838
+-1 1
+1- 1
+.names V2061(0) V2338
+0 1
+.names V1255(1) V2339
+0 1
+.names V2061(0) V2339 V2340
+11 1
+.names V2338 V1255(1) V2341
+11 1
+.names V2340 V2341 V839
+-1 1
+1- 1
+.names V2064(0) V2342
+0 1
+.names V1255(2) V2343
+0 1
+.names V2064(0) V2343 V2344
+11 1
+.names V2342 V1255(2) V2345
+11 1
+.names V2344 V2345 V840
+-1 1
+1- 1
+.names V2067(0) V2346
+0 1
+.names V1255(3) V2347
+0 1
+.names V2067(0) V2347 V2348
+11 1
+.names V2346 V1255(3) V2349
+11 1
+.names V2348 V2349 V841
+-1 1
+1- 1
+.names V2047 V2350
+0 1
+.names V1255(0) V2351
+0 1
+.names V2047 V2351 V2352
+11 1
+.names V2350 V1255(0) V2353
+11 1
+.names V2352 V2353 V848
+-1 1
+1- 1
+.names V2045 V2354
+0 1
+.names V1255(1) V2355
+0 1
+.names V2045 V2355 V2356
+11 1
+.names V2354 V1255(1) V2357
+11 1
+.names V2356 V2357 V849
+-1 1
+1- 1
+.names V2043 V2358
+0 1
+.names V1255(2) V2359
+0 1
+.names V2043 V2359 V2360
+11 1
+.names V2358 V1255(2) V2361
+11 1
+.names V2360 V2361 V850
+-1 1
+1- 1
+.names V2040 V2362
+0 1
+.names V1255(3) V2363
+0 1
+.names V2040 V2363 V2364
+11 1
+.names V2362 V1255(3) V2365
+11 1
+.names V2364 V2365 V851
+-1 1
+1- 1
+.names V2039 V2366
+0 1
+.names V1255(0) V2367
+0 1
+.names V2039 V2367 V2368
+11 1
+.names V2366 V1255(0) V2369
+11 1
+.names V2368 V2369 V858
+-1 1
+1- 1
+.names V2034 V2370
+0 1
+.names V1255(1) V2371
+0 1
+.names V2034 V2371 V2372
+11 1
+.names V2370 V1255(1) V2373
+11 1
+.names V2372 V2373 V859
+-1 1
+1- 1
+.names V2029 V2374
+0 1
+.names V1255(2) V2375
+0 1
+.names V2029 V2375 V2376
+11 1
+.names V2374 V1255(2) V2377
+11 1
+.names V2376 V2377 V860
+-1 1
+1- 1
+.names V2025 V2378
+0 1
+.names V1255(3) V2379
+0 1
+.names V2025 V2379 V2380
+11 1
+.names V2378 V1255(3) V2381
+11 1
+.names V2380 V2381 V861
+-1 1
+1- 1
+.names V1351(0) V2382
+0 1
+.names V1255(0) V2383
+0 1
+.names V1351(0) V2383 V2384
+11 1
+.names V2382 V1255(0) V2385
+11 1
+.names V2384 V2385 V868
+-1 1
+1- 1
+.names V1354(0) V2386
+0 1
+.names V1255(1) V2387
+0 1
+.names V1354(0) V2387 V2388
+11 1
+.names V2386 V1255(1) V2389
+11 1
+.names V2388 V2389 V869
+-1 1
+1- 1
+.names V1357(0) V2390
+0 1
+.names V1255(2) V2391
+0 1
+.names V1357(0) V2391 V2392
+11 1
+.names V2390 V1255(2) V2393
+11 1
+.names V2392 V2393 V870
+-1 1
+1- 1
+.names V1360(0) V2394
+0 1
+.names V1255(3) V2395
+0 1
+.names V1360(0) V2395 V2396
+11 1
+.names V2394 V1255(3) V2397
+11 1
+.names V2396 V2397 V871
+-1 1
+1- 1
+.names V1331(0) V2398
+0 1
+.names V1255(0) V2399
+0 1
+.names V1331(0) V2399 V2400
+11 1
+.names V2398 V1255(0) V2401
+11 1
+.names V2400 V2401 V878
+-1 1
+1- 1
+.names V1334(0) V2402
+0 1
+.names V1255(1) V2403
+0 1
+.names V1334(0) V2403 V2404
+11 1
+.names V2402 V1255(1) V2405
+11 1
+.names V2404 V2405 V879
+-1 1
+1- 1
+.names V1337(0) V2406
+0 1
+.names V1255(2) V2407
+0 1
+.names V1337(0) V2407 V2408
+11 1
+.names V2406 V1255(2) V2409
+11 1
+.names V2408 V2409 V880
+-1 1
+1- 1
+.names V1340(0) V2410
+0 1
+.names V1255(3) V2411
+0 1
+.names V1340(0) V2411 V2412
+11 1
+.names V2410 V1255(3) V2413
+11 1
+.names V2412 V2413 V881
+-1 1
+1- 1
+.names V1320 V2414
+0 1
+.names V1255(0) V2415
+0 1
+.names V1320 V2415 V2416
+11 1
+.names V2414 V1255(0) V2417
+11 1
+.names V2416 V2417 V888
+-1 1
+1- 1
+.names V1318 V2418
+0 1
+.names V1255(1) V2419
+0 1
+.names V1318 V2419 V2420
+11 1
+.names V2418 V1255(1) V2421
+11 1
+.names V2420 V2421 V889
+-1 1
+1- 1
+.names V1316 V2422
+0 1
+.names V1255(2) V2423
+0 1
+.names V1316 V2423 V2424
+11 1
+.names V2422 V1255(2) V2425
+11 1
+.names V2424 V2425 V890
+-1 1
+1- 1
+.names V1313 V2426
+0 1
+.names V1255(3) V2427
+0 1
+.names V1313 V2427 V2428
+11 1
+.names V2426 V1255(3) V2429
+11 1
+.names V2428 V2429 V891
+-1 1
+1- 1
+.names V1312 V2430
+0 1
+.names V1255(0) V2431
+0 1
+.names V1312 V2431 V2432
+11 1
+.names V2430 V1255(0) V2433
+11 1
+.names V2432 V2433 V898
+-1 1
+1- 1
+.names V1307 V2434
+0 1
+.names V1255(1) V2435
+0 1
+.names V1307 V2435 V2436
+11 1
+.names V2434 V1255(1) V2437
+11 1
+.names V2436 V2437 V899
+-1 1
+1- 1
+.names V1302 V2438
+0 1
+.names V1255(2) V2439
+0 1
+.names V1302 V2439 V2440
+11 1
+.names V2438 V1255(2) V2441
+11 1
+.names V2440 V2441 V900
+-1 1
+1- 1
+.names V1298 V2442
+0 1
+.names V1255(3) V2443
+0 1
+.names V1298 V2443 V2444
+11 1
+.names V2442 V1255(3) V2445
+11 1
+.names V2444 V2445 V901
+-1 1
+1- 1
+.names V487(0) V2446
+0 1
+.names V1255(0) V2447
+0 1
+.names V487(0) V2447 V2448
+11 1
+.names V2446 V1255(0) V2449
+11 1
+.names V2448 V2449 V908
+-1 1
+1- 1
+.names V490(0) V2450
+0 1
+.names V1255(1) V2451
+0 1
+.names V490(0) V2451 V2452
+11 1
+.names V2450 V1255(1) V2453
+11 1
+.names V2452 V2453 V909
+-1 1
+1- 1
+.names V493(0) V2454
+0 1
+.names V1255(2) V2455
+0 1
+.names V493(0) V2455 V2456
+11 1
+.names V2454 V1255(2) V2457
+11 1
+.names V2456 V2457 V910
+-1 1
+1- 1
+.names V496(0) V2458
+0 1
+.names V1255(3) V2459
+0 1
+.names V496(0) V2459 V2460
+11 1
+.names V2458 V1255(3) V2461
+11 1
+.names V2460 V2461 V911
+-1 1
+1- 1
+.names V467(0) V2462
+0 1
+.names V1255(0) V2463
+0 1
+.names V467(0) V2463 V2464
+11 1
+.names V2462 V1255(0) V2465
+11 1
+.names V2464 V2465 V918
+-1 1
+1- 1
+.names V470(0) V2466
+0 1
+.names V1255(1) V2467
+0 1
+.names V470(0) V2467 V2468
+11 1
+.names V2466 V1255(1) V2469
+11 1
+.names V2468 V2469 V919
+-1 1
+1- 1
+.names V473(0) V2470
+0 1
+.names V1255(2) V2471
+0 1
+.names V473(0) V2471 V2472
+11 1
+.names V2470 V1255(2) V2473
+11 1
+.names V2472 V2473 V920
+-1 1
+1- 1
+.names V476(0) V2474
+0 1
+.names V1255(3) V2475
+0 1
+.names V476(0) V2475 V2476
+11 1
+.names V2474 V1255(3) V2477
+11 1
+.names V2476 V2477 V921
+-1 1
+1- 1
+.names V456 V2478
+0 1
+.names V1255(0) V2479
+0 1
+.names V456 V2479 V2480
+11 1
+.names V2478 V1255(0) V2481
+11 1
+.names V2480 V2481 V928
+-1 1
+1- 1
+.names V454 V2482
+0 1
+.names V1255(1) V2483
+0 1
+.names V454 V2483 V2484
+11 1
+.names V2482 V1255(1) V2485
+11 1
+.names V2484 V2485 V929
+-1 1
+1- 1
+.names V452 V2486
+0 1
+.names V1255(2) V2487
+0 1
+.names V452 V2487 V2488
+11 1
+.names V2486 V1255(2) V2489
+11 1
+.names V2488 V2489 V930
+-1 1
+1- 1
+.names V333 V2490
+0 1
+.names V1255(3) V2491
+0 1
+.names V333 V2491 V2492
+11 1
+.names V2490 V1255(3) V2493
+11 1
+.names V2492 V2493 V931
+-1 1
+1- 1
+.names V451 V2494
+0 1
+.names V1255(0) V2495
+0 1
+.names V451 V2495 V2496
+11 1
+.names V2494 V1255(0) V2497
+11 1
+.names V2496 V2497 V938
+-1 1
+1- 1
+.names V446 V2498
+0 1
+.names V1255(1) V2499
+0 1
+.names V446 V2499 V2500
+11 1
+.names V2498 V1255(1) V2501
+11 1
+.names V2500 V2501 V939
+-1 1
+1- 1
+.names V441 V2502
+0 1
+.names V1255(2) V2503
+0 1
+.names V441 V2503 V2504
+11 1
+.names V2502 V1255(2) V2505
+11 1
+.names V2504 V2505 V940
+-1 1
+1- 1
+.names V437 V2506
+0 1
+.names V1255(3) V2507
+0 1
+.names V437 V2507 V2508
+11 1
+.names V2506 V1255(3) V2509
+11 1
+.names V2508 V2509 V941
+-1 1
+1- 1
+.names V1846 V2510
+0 1
+.names V2025 V2511
+0 1
+.names V1846 V2511 V2512
+11 1
+.names V2510 V2025 V2513
+11 1
+.names V2512 V2513 V1298
+-1 1
+1- 1
+.names V1848 V2514
+0 1
+.names V2029 V2515
+0 1
+.names V1848 V2515 V2516
+11 1
+.names V2514 V2029 V2517
+11 1
+.names V2516 V2517 V1300
+-1 1
+1- 1
+.names V1839 V2518
+0 1
+.names V2034 V2519
+0 1
+.names V1839 V2519 V2520
+11 1
+.names V2518 V2034 V2521
+11 1
+.names V2520 V2521 V1301
+-1 1
+1- 1
+.names V1300 V2522
+0 1
+.names V1299 V2523
+0 1
+.names V1300 V2523 V2524
+11 1
+.names V2522 V1299 V2525
+11 1
+.names V2524 V2525 V1302
+-1 1
+1- 1
+.names V1306(0) V2526
+0 1
+.names V1301 V2527
+0 1
+.names V1306(0) V2527 V2528
+11 1
+.names V2526 V1301 V2529
+11 1
+.names V2528 V2529 V1307
+-1 1
+1- 1
+.names V2039 V2530
+0 1
+.names V1311(0) V2531
+0 1
+.names V2039 V2531 V2532
+11 1
+.names V2530 V1311(0) V2533
+11 1
+.names V2532 V2533 V1312
+-1 1
+1- 1
+.names V1302 V2534
+0 1
+.names V1313 V2535
+0 1
+.names V1302 V2535 V2536
+11 1
+.names V2534 V1313 V2537
+11 1
+.names V2536 V2537 V1316
+-1 1
+1- 1
+.names V1307 V2538
+0 1
+.names V1317 V2539
+0 1
+.names V1307 V2539 V2540
+11 1
+.names V2538 V1317 V2541
+11 1
+.names V2540 V2541 V1318
+-1 1
+1- 1
+.names V1312 V2542
+0 1
+.names V1319 V2543
+0 1
+.names V1312 V2543 V2544
+11 1
+.names V2542 V1319 V2545
+11 1
+.names V2544 V2545 V1320
+-1 1
+1- 1
+.names V1316 V2546
+0 1
+.names V1321 V2547
+0 1
+.names V1316 V2547 V2548
+11 1
+.names V2546 V1321 V2549
+11 1
+.names V2548 V2549 V1324
+-1 1
+1- 1
+.names V1318 V2550
+0 1
+.names V1325 V2551
+0 1
+.names V1318 V2551 V2552
+11 1
+.names V2550 V1325 V2553
+11 1
+.names V2552 V2553 V1326
+-1 1
+1- 1
+.names V1320 V2554
+0 1
+.names V1327 V2555
+0 1
+.names V1320 V2555 V2556
+11 1
+.names V2554 V1327 V2557
+11 1
+.names V2556 V2557 V1328
+-1 1
+1- 1
+.names V1337(0) V2558
+0 1
+.names V1341 V2559
+0 1
+.names V1337(0) V2559 V2560
+11 1
+.names V2558 V1341 V2561
+11 1
+.names V2560 V2561 V1344
+-1 1
+1- 1
+.names V1334(0) V2562
+0 1
+.names V1345 V2563
+0 1
+.names V1334(0) V2563 V2564
+11 1
+.names V2562 V1345 V2565
+11 1
+.names V2564 V2565 V1346
+-1 1
+1- 1
+.names V1331(0) V2566
+0 1
+.names V1347 V2567
+0 1
+.names V1331(0) V2567 V2568
+11 1
+.names V2566 V1347 V2569
+11 1
+.names V2568 V2569 V1348
+-1 1
+1- 1
+.names V268(0) V2570
+0 1
+.names V1366 V2571
+0 1
+.names V268(0) V2571 V2572
+11 1
+.names V2570 V1366 V2573
+11 1
+.names V2572 V2573 V1370
+-1 1
+1- 1
+.names V268(1) V2574
+0 1
+.names V1367 V2575
+0 1
+.names V268(1) V2575 V2576
+11 1
+.names V2574 V1367 V2577
+11 1
+.names V2576 V2577 V1371
+-1 1
+1- 1
+.names V268(2) V2578
+0 1
+.names V1368 V2579
+0 1
+.names V268(2) V2579 V2580
+11 1
+.names V2578 V1368 V2581
+11 1
+.names V2580 V2581 V1372
+-1 1
+1- 1
+.names V268(3) V2582
+0 1
+.names V1369 V2583
+0 1
+.names V268(3) V2583 V2584
+11 1
+.names V2582 V1369 V2585
+11 1
+.names V2584 V2585 V1373
+-1 1
+1- 1
+.names V268(4) V2586
+0 1
+.names V268(5) V2587
+0 1
+.names V268(4) V2587 V2588
+11 1
+.names V2586 V268(5) V2589
+11 1
+.names V2588 V2589 V1374
+-1 1
+1- 1
+.names V78(1) V2590
+0 1
+.names V78(0) V2591
+0 1
+.names V78(1) V2591 V2592
+11 1
+.names V2590 V78(0) V2593
+11 1
+.names V2592 V2593 V1579
+-1 1
+1- 1
+.names V78(3) V2594
+0 1
+.names V78(2) V2595
+0 1
+.names V78(3) V2595 V2596
+11 1
+.names V2594 V78(2) V2597
+11 1
+.names V2596 V2597 V1580
+-1 1
+1- 1
+.names V78(5) V2598
+0 1
+.names V78(4) V2599
+0 1
+.names V78(5) V2599 V2600
+11 1
+.names V2598 V78(4) V2601
+11 1
+.names V2600 V2601 V1581
+-1 1
+1- 1
+.names V84(1) V2602
+0 1
+.names V84(0) V2603
+0 1
+.names V84(1) V2603 V2604
+11 1
+.names V2602 V84(0) V2605
+11 1
+.names V2604 V2605 V1582
+-1 1
+1- 1
+.names V84(3) V2606
+0 1
+.names V84(2) V2607
+0 1
+.names V84(3) V2607 V2608
+11 1
+.names V2606 V84(2) V2609
+11 1
+.names V2608 V2609 V1583
+-1 1
+1- 1
+.names V84(5) V2610
+0 1
+.names V84(4) V2611
+0 1
+.names V84(5) V2611 V2612
+11 1
+.names V2610 V84(4) V2613
+11 1
+.names V2612 V2613 V1584
+-1 1
+1- 1
+.names V88(1) V2614
+0 1
+.names V88(0) V2615
+0 1
+.names V88(1) V2615 V2616
+11 1
+.names V2614 V88(0) V2617
+11 1
+.names V2616 V2617 V1585
+-1 1
+1- 1
+.names V88(3) V2618
+0 1
+.names V88(2) V2619
+0 1
+.names V88(3) V2619 V2620
+11 1
+.names V2618 V88(2) V2621
+11 1
+.names V2620 V2621 V1586
+-1 1
+1- 1
+.names V1580 V2622
+0 1
+.names V1579 V2623
+0 1
+.names V1580 V2623 V2624
+11 1
+.names V2622 V1579 V2625
+11 1
+.names V2624 V2625 V1587
+-1 1
+1- 1
+.names V1582 V2626
+0 1
+.names V1581 V2627
+0 1
+.names V1582 V2627 V2628
+11 1
+.names V2626 V1581 V2629
+11 1
+.names V2628 V2629 V1588
+-1 1
+1- 1
+.names V1584 V2630
+0 1
+.names V1583 V2631
+0 1
+.names V1584 V2631 V2632
+11 1
+.names V2630 V1583 V2633
+11 1
+.names V2632 V2633 V1589
+-1 1
+1- 1
+.names V1586 V2634
+0 1
+.names V1585 V2635
+0 1
+.names V1586 V2635 V2636
+11 1
+.names V2634 V1585 V2637
+11 1
+.names V2636 V2637 V1590
+-1 1
+1- 1
+.names V1588 V2638
+0 1
+.names V1587 V2639
+0 1
+.names V1588 V2639 V2640
+11 1
+.names V2638 V1587 V2641
+11 1
+.names V2640 V2641 V1591
+-1 1
+1- 1
+.names V1590 V2642
+0 1
+.names V1589 V2643
+0 1
+.names V1590 V2643 V2644
+11 1
+.names V2642 V1589 V2645
+11 1
+.names V2644 V2645 V1592
+-1 1
+1- 1
+.names V94(0) V2646
+0 1
+.names V1591 V2647
+0 1
+.names V94(0) V2647 V2648
+11 1
+.names V2646 V1591 V2649
+11 1
+.names V2648 V2649 V1593
+-1 1
+1- 1
+.names V94(1) V2650
+0 1
+.names V1592 V2651
+0 1
+.names V94(1) V2651 V2652
+11 1
+.names V2650 V1592 V2653
+11 1
+.names V2652 V2653 V1594
+-1 1
+1- 1
+.names V1921(1) V2654
+0 1
+.names V1921(0) V2655
+0 1
+.names V1921(1) V2655 V2656
+11 1
+.names V2654 V1921(0) V2657
+11 1
+.names V2656 V2657 V1598
+-1 1
+1- 1
+.names V1921(3) V2658
+0 1
+.names V1921(2) V2659
+0 1
+.names V1921(3) V2659 V2660
+11 1
+.names V2658 V1921(2) V2661
+11 1
+.names V2660 V2661 V1599
+-1 1
+1- 1
+.names V1921(5) V2662
+0 1
+.names V1921(4) V2663
+0 1
+.names V1921(5) V2663 V2664
+11 1
+.names V2662 V1921(4) V2665
+11 1
+.names V2664 V2665 V1600
+-1 1
+1- 1
+.names V1953(1) V2666
+0 1
+.names V1953(0) V2667
+0 1
+.names V1953(1) V2667 V2668
+11 1
+.names V2666 V1953(0) V2669
+11 1
+.names V2668 V2669 V1601
+-1 1
+1- 1
+.names V1953(3) V2670
+0 1
+.names V1953(2) V2671
+0 1
+.names V1953(3) V2671 V2672
+11 1
+.names V2670 V1953(2) V2673
+11 1
+.names V2672 V2673 V1602
+-1 1
+1- 1
+.names V1953(5) V2674
+0 1
+.names V1953(4) V2675
+0 1
+.names V1953(5) V2675 V2676
+11 1
+.names V2674 V1953(4) V2677
+11 1
+.names V2676 V2677 V1603
+-1 1
+1- 1
+.names V1953(7) V2678
+0 1
+.names V1953(6) V2679
+0 1
+.names V1953(7) V2679 V2680
+11 1
+.names V2678 V1953(6) V2681
+11 1
+.names V2680 V2681 V1604
+-1 1
+1- 1
+.names V1960(1) V2682
+0 1
+.names V1960(0) V2683
+0 1
+.names V1960(1) V2683 V2684
+11 1
+.names V2682 V1960(0) V2685
+11 1
+.names V2684 V2685 V1605
+-1 1
+1- 1
+.names V1599 V2686
+0 1
+.names V1598 V2687
+0 1
+.names V1599 V2687 V2688
+11 1
+.names V2686 V1598 V2689
+11 1
+.names V2688 V2689 V1606
+-1 1
+1- 1
+.names V1601 V2690
+0 1
+.names V1600 V2691
+0 1
+.names V1601 V2691 V2692
+11 1
+.names V2690 V1600 V2693
+11 1
+.names V2692 V2693 V1607
+-1 1
+1- 1
+.names V1603 V2694
+0 1
+.names V1602 V2695
+0 1
+.names V1603 V2695 V2696
+11 1
+.names V2694 V1602 V2697
+11 1
+.names V2696 V2697 V1608
+-1 1
+1- 1
+.names V1605 V2698
+0 1
+.names V1604 V2699
+0 1
+.names V1605 V2699 V2700
+11 1
+.names V2698 V1604 V2701
+11 1
+.names V2700 V2701 V1609
+-1 1
+1- 1
+.names V1607 V2702
+0 1
+.names V1606 V2703
+0 1
+.names V1607 V2703 V2704
+11 1
+.names V2702 V1606 V2705
+11 1
+.names V2704 V2705 V1610
+-1 1
+1- 1
+.names V1609 V2706
+0 1
+.names V1608 V2707
+0 1
+.names V1609 V2707 V2708
+11 1
+.names V2706 V1608 V2709
+11 1
+.names V2708 V2709 V1611
+-1 1
+1- 1
+.names V194(0) V2710
+0 1
+.names V1867 V2711
+0 1
+.names V194(0) V2711 V2712
+11 1
+.names V2710 V1867 V2713
+11 1
+.names V2712 V2713 V1875
+-1 1
+1- 1
+.names V194(1) V2714
+0 1
+.names V1868 V2715
+0 1
+.names V194(1) V2715 V2716
+11 1
+.names V2714 V1868 V2717
+11 1
+.names V2716 V2717 V1876
+-1 1
+1- 1
+.names V194(2) V2718
+0 1
+.names V1869 V2719
+0 1
+.names V194(2) V2719 V2720
+11 1
+.names V2718 V1869 V2721
+11 1
+.names V2720 V2721 V1877
+-1 1
+1- 1
+.names V194(3) V2722
+0 1
+.names V1870 V2723
+0 1
+.names V194(3) V2723 V2724
+11 1
+.names V2722 V1870 V2725
+11 1
+.names V2724 V2725 V1878
+-1 1
+1- 1
+.names V194(4) V2726
+0 1
+.names V1871 V2727
+0 1
+.names V194(4) V2727 V2728
+11 1
+.names V2726 V1871 V2729
+11 1
+.names V2728 V2729 V1879
+-1 1
+1- 1
+.names V199(0) V2730
+0 1
+.names V1872 V2731
+0 1
+.names V199(0) V2731 V2732
+11 1
+.names V2730 V1872 V2733
+11 1
+.names V2732 V2733 V1880
+-1 1
+1- 1
+.names V199(1) V2734
+0 1
+.names V1873 V2735
+0 1
+.names V199(1) V2735 V2736
+11 1
+.names V2734 V1873 V2737
+11 1
+.names V2736 V2737 V1881
+-1 1
+1- 1
+.names V199(2) V2738
+0 1
+.names V1874 V2739
+0 1
+.names V199(2) V2739 V2740
+11 1
+.names V2738 V1874 V2741
+11 1
+.names V2740 V2741 V1882
+-1 1
+1- 1
+.names V199(3) V2742
+0 1
+.names V199(4) V2743
+0 1
+.names V199(3) V2743 V2744
+11 1
+.names V2742 V199(4) V2745
+11 1
+.names V2744 V2745 V1883
+-1 1
+1- 1
+.names V288(7) V2746
+0 1
+.names V288(6) V2747
+0 1
+.names V288(7) V2747 V2748
+11 1
+.names V2746 V288(6) V2749
+11 1
+.names V2748 V2749 V1973
+-1 1
+1- 1
+.names V239(4) V2750
+0 1
+.names V239(3) V2751
+0 1
+.names V239(4) V2751 V2752
+11 1
+.names V2750 V239(3) V2753
+11 1
+.names V2752 V2753 V1986
+-1 1
+1- 1
+.names V134(1) V2754
+0 1
+.names V134(0) V2755
+0 1
+.names V134(1) V2755 V2756
+11 1
+.names V2754 V134(0) V2757
+11 1
+.names V2756 V2757 V1994
+-1 1
+1- 1
+.names V1842 V2758
+0 1
+.names V1976(0) V2759
+0 1
+.names V1842 V2759 V2760
+11 1
+.names V2758 V1976(0) V2761
+11 1
+.names V2760 V2761 V2025
+-1 1
+1- 1
+.names V1844 V2762
+0 1
+.names V1975(0) V2763
+0 1
+.names V1844 V2763 V2764
+11 1
+.names V2762 V1975(0) V2765
+11 1
+.names V2764 V2765 V2027
+-1 1
+1- 1
+.names V1840 V2766
+0 1
+.names V1972 V2767
+0 1
+.names V1840 V2767 V2768
+11 1
+.names V2766 V1972 V2769
+11 1
+.names V2768 V2769 V2028
+-1 1
+1- 1
+.names V2027 V2770
+0 1
+.names V2026 V2771
+0 1
+.names V2027 V2771 V2772
+11 1
+.names V2770 V2026 V2773
+11 1
+.names V2772 V2773 V2029
+-1 1
+1- 1
+.names V2033(0) V2774
+0 1
+.names V2028 V2775
+0 1
+.names V2033(0) V2775 V2776
+11 1
+.names V2774 V2028 V2777
+11 1
+.names V2776 V2777 V2034
+-1 1
+1- 1
+.names V1972 V2778
+0 1
+.names V2038(0) V2779
+0 1
+.names V1972 V2779 V2780
+11 1
+.names V2778 V2038(0) V2781
+11 1
+.names V2780 V2781 V2039
+-1 1
+1- 1
+.names V2029 V2782
+0 1
+.names V2040 V2783
+0 1
+.names V2029 V2783 V2784
+11 1
+.names V2782 V2040 V2785
+11 1
+.names V2784 V2785 V2043
+-1 1
+1- 1
+.names V2034 V2786
+0 1
+.names V2044 V2787
+0 1
+.names V2034 V2787 V2788
+11 1
+.names V2786 V2044 V2789
+11 1
+.names V2788 V2789 V2045
+-1 1
+1- 1
+.names V2039 V2790
+0 1
+.names V2046 V2791
+0 1
+.names V2039 V2791 V2792
+11 1
+.names V2790 V2046 V2793
+11 1
+.names V2792 V2793 V2047
+-1 1
+1- 1
+.names V2043 V2794
+0 1
+.names V2048 V2795
+0 1
+.names V2043 V2795 V2796
+11 1
+.names V2794 V2048 V2797
+11 1
+.names V2796 V2797 V2051
+-1 1
+1- 1
+.names V2045 V2798
+0 1
+.names V2052 V2799
+0 1
+.names V2045 V2799 V2800
+11 1
+.names V2798 V2052 V2801
+11 1
+.names V2800 V2801 V2053
+-1 1
+1- 1
+.names V2047 V2802
+0 1
+.names V2054 V2803
+0 1
+.names V2047 V2803 V2804
+11 1
+.names V2802 V2054 V2805
+11 1
+.names V2804 V2805 V2055
+-1 1
+1- 1
+.names V2064(0) V2806
+0 1
+.names V2068 V2807
+0 1
+.names V2064(0) V2807 V2808
+11 1
+.names V2806 V2068 V2809
+11 1
+.names V2808 V2809 V2071
+-1 1
+1- 1
+.names V2061(0) V2810
+0 1
+.names V2072 V2811
+0 1
+.names V2061(0) V2811 V2812
+11 1
+.names V2810 V2072 V2813
+11 1
+.names V2812 V2813 V2073
+-1 1
+1- 1
+.names V2058(0) V2814
+0 1
+.names V2074 V2815
+0 1
+.names V2058(0) V2815 V2816
+11 1
+.names V2814 V2074 V2817
+11 1
+.names V2816 V2817 V2075
+-1 1
+1- 1
diff --git a/abc70930/examples/pj1.blif b/abc70930/examples/pj1.blif
new file mode 100644
index 00000000..efc7e645
--- /dev/null
+++ b/abc70930/examples/pj1.blif
@@ -0,0 +1,120216 @@
+.model exCombCkt
+.inputs 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 \
+27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 \
+53 54 55 56 57 58 59 60 61 62 63 64 65 66 67 68 69 70 71 72 73 74 75 76 77 78 \
+79 80 81 82 83 84 85 86 87 88 89 90 91 92 93 94 95 96 97 98 99 100 101 102 103 \
+104 105 106 107 108 109 110 111 112 113 114 115 116 117 118 119 120 121 122 \
+123 124 125 126 127 128 129 130 131 132 133 134 135 136 137 138 139 140 141 \
+142 143 144 145 146 147 148 149 150 151 152 153 154 155 156 157 158 159 160 \
+161 162 163 164 165 166 167 168 169 170 171 172 173 174 175 176 177 178 179 \
+180 181 182 183 184 185 186 187 188 189 190 191 192 193 194 195 196 197 198 \
+199 200 201 202 203 204 205 206 207 208 209 210 211 212 213 214 215 216 217 \
+218 219 220 221 222 223 224 225 226 227 228 229 230 231 232 233 234 235 236 \
+237 238 239 240 241 242 243 244 245 246 247 248 249 250 251 252 253 254 255 \
+256 257 258 259 260 261 262 263 264 265 266 267 268 269 270 271 272 273 274 \
+275 276 277 278 279 280 281 282 283 284 285 286 287 288 289 290 291 292 293 \
+294 295 296 297 298 299 300 301 302 303 304 305 306 307 308 309 310 311 312 \
+313 314 315 316 317 318 319 320 321 322 323 324 325 326 327 328 329 330 331 \
+332 333 334 335 336 337 338 339 340 341 342 343 344 345 346 347 348 349 350 \
+351 352 353 354 355 356 357 358 359 360 361 362 363 364 365 366 367 368 369 \
+370 371 372 373 374 375 376 377 378 379 380 381 382 383 384 385 386 387 388 \
+389 390 391 392 393 394 395 396 397 398 399 400 401 402 403 404 405 406 407 \
+408 409 410 411 412 413 414 415 416 417 418 419 420 421 422 423 424 425 426 \
+427 428 429 430 431 432 433 434 435 436 437 438 439 440 441 442 443 444 445 \
+446 447 448 449 450 451 452 453 454 455 456 457 458 459 460 461 462 463 464 \
+465 466 467 468 469 470 471 472 473 474 475 476 477 478 479 480 481 482 483 \
+484 485 486 487 488 489 490 491 492 493 494 495 496 497 498 499 500 501 502 \
+503 504 505 506 507 508 509 510 511 512 513 514 515 516 517 518 519 520 521 \
+522 523 524 525 526 527 528 529 530 531 532 533 534 535 536 537 538 539 540 \
+541 542 543 544 545 546 547 548 549 550 551 552 553 554 555 556 557 558 559 \
+560 561 562 563 564 565 566 567 568 569 570 571 572 573 574 575 576 577 578 \
+579 580 581 582 583 584 585 586 587 588 589 590 591 592 593 594 595 596 597 \
+598 599 600 601 602 603 604 605 606 607 608 609 610 611 612 613 614 615 616 \
+617 618 619 620 621 622 623 624 625 626 627 628 629 630 631 632 633 634 635 \
+636 637 638 639 640 641 642 643 644 645 646 647 648 649 650 651 652 653 654 \
+655 656 657 658 659 660 661 662 663 664 665 666 667 668 669 670 671 672 673 \
+674 675 676 677 678 679 680 681 682 683 684 685 686 687 688 689 690 691 692 \
+693 694 695 696 697 698 699 700 701 702 703 704 705 706 707 708 709 710 711 \
+712 713 714 715 716 717 718 719 720 721 722 723 724 725 726 727 728 729 730 \
+731 732 733 734 735 736 737 738 739 740 741 742 743 744 745 746 747 748 749 \
+750 751 752 753 754 755 756 757 758 759 760 761 762 763 764 765 766 767 768 \
+769 770 771 772 773 774 775 776 777 778 779 780 781 782 783 784 785 786 787 \
+788 789 790 791 792 793 794 795 796 797 798 799 800 801 802 803 804 805 806 \
+807 808 809 810 811 812 813 814 815 816 817 818 819 820 821 822 823 824 825 \
+826 827 828 829 830 831 832 833 834 835 836 837 838 839 840 841 842 843 844 \
+845 846 847 848 849 850 851 852 853 854 855 856 857 858 859 860 861 862 863 \
+864 865 866 867 868 869 870 871 872 873 874 875 876 877 878 879 880 881 882 \
+883 884 885 886 887 888 889 890 891 892 893 894 895 896 897 898 899 900 901 \
+902 903 904 905 906 907 908 909 910 911 912 913 914 915 916 917 918 919 920 \
+921 922 923 924 925 926 927 928 929 930 931 932 933 934 935 936 937 938 939 \
+940 941 942 943 944 945 946 947 948 949 950 951 952 953 954 955 956 957 958 \
+959 960 961 962 963 964 965 966 967 968 969 970 971 972 973 974 975 976 977 \
+978 979 980 981 982 983 984 985 986 987 988 989 990 991 992 993 994 995 996 \
+997 998 999 1000 1001 1002 1003 1004 1005 1006 1007 1008 1009 1010 1011 1012 \
+1013 1014 1015 1016 1017 1018 1019 1020 1021 1022 1023 1024 1025 1026 1027 \
+1028 1029 1030 1031 1032 1033 1034 1035 1036 1037 1038 1039 1040 1041 1042 \
+1043 1044 1045 1046 1047 1048 1049 1050 1051 1052 1053 1054 1055 1056 1057 \
+1058 1059 1060 1061 1062 1063 1064 1065 1066 1067 1068 1069 1070 1071 1072 \
+1073 1074 1075 1076 1077 1078 1079 1080 1081 1082 1083 1084 1085 1086 1087 \
+1088 1089 1090 1091 1092 1093 1094 1095 1096 1097 1098 1099 1100 1101 1102 \
+1103 1104 1105 1106 1107 1108 1109 1110 1111 1112 1113 1114 1115 1116 1117 \
+1118 1119 1120 1121 1122 1123 1124 1125 1126 1127 1128 1129 1130 1131 1132 \
+1133 1134 1135 1136 1137 1138 1139 1140 1141 1142 1143 1144 1145 1146 1147 \
+1148 1149 1150 1151 1152 1153 1154 1155 1156 1157 1158 1159 1160 1161 1162 \
+1163 1164 1165 1166 1167 1168 1169 1170 1171 1172 1173 1174 1175 1176 1177 \
+1178 1179 1180 1181 1182 1183 1184 1185 1186 1187 1188 1189 1190 1191 1192 \
+1193 1194 1195 1196 1197 1198 1199 1200 1201 1202 1203 1204 1205 1206 1207 \
+1208 1209 1210 1211 1212 1213 1214 1215 1216 1217 1218 1219 1220 1221 1222 \
+1223 1224 1225 1226 1227 1228 1229 1230 1231 1232 1233 1234 1235 1236 1237 \
+1238 1239 1240 1241 1242 1243 1244 1245 1246 1247 1248 1249 1250 1251 1252 \
+1253 1254 1255 1256 1257 1258 1259 1260 1261 1262 1263 1264 1265 1266 1267 \
+1268 1269 1270 1271 1272 1273 1274 1275 1276 1277 1278 1279 1280 1281 1282 \
+1283 1284 1285 1286 1287 1288 1289 1290 1291 1292 1293 1294 1295 1296 1297 \
+1298 1299 1300 1301 1302 1303 1304 1305 1306 1307 1308 1309 1310 1311 1312 \
+1313 1314 1315 1316 1317 1318 1319 1320 1321 1322 1323 1324 1325 1326 1327 \
+1328 1329 1330 1331 1332 1333 1334 1335 1336 1337 1338 1339 1340 1341 1342 \
+1343 1344 1345 1346 1347 1348 1349 1350 1351 1352 1353 1354 1355 1356 1357 \
+1358 1359 1360 1361 1362 1363 1364 1365 1366 1367 1368 1369 1370 1371 1372 \
+1373 1374 1375 1376 1377 1378 1379 1380 1381 1382 1383 1384 1385 1386 1387 \
+1388 1389 1390 1391 1392 1393 1394 1395 1396 1397 1398 1399 1400 1401 1402 \
+1403 1404 1405 1406 1407 1408 1409 1410 1411 1412 1413 1414 1415 1416 1417 \
+1418 1419 1420 1421 1422 1423 1424 1425 1426 1427 1428 1429 1430 1431 1432 \
+1433 1434 1435 1436 1437 1438 1439 1440 1441 1442 1443 1444 1445 1446 1447 \
+1448 1449 1450 1451 1452 1453 1454 1455 1456 1457 1458 1459 1460 1461 1462 \
+1463 1464 1465 1466 1467 1468 1469 1470 1471 1472 1473 1474 1475 1476 1477 \
+1478 1479 1480 1481 1482 1483 1484 1485 1486 1487 1488 1489 1490 1491 1492 \
+1493 1494 1495 1496 1497 1498 1499 1500 1501 1502 1503 1504 1505 1506 1507 \
+1508 1509 1510 1511 1512 1513 1514 1515 1516 1517 1518 1519 1520 1521 1522 \
+1523 1524 1525 1526 1527 1528 1529 1530 1531 1532 1533 1534 1535 1536 1537 \
+1538 1539 1540 1541 1542 1543 1544 1545 1546 1547 1548 1549 1550 1551 1552 \
+1553 1554 1555 1556 1557 1558 1559 1560 1561 1562 1563 1564 1565 1566 1567 \
+1568 1569 1570 1571 1572 1573 1574 1575 1576 1577 1578 1579 1580 1581 1582 \
+1583 1584 1585 1586 1587 1588 1589 1590 1591 1592 1593 1594 1595 1596 1597 \
+1598 1599 1600 1601 1602 1603 1604 1605 1606 1607 1608 1609 1610 1611 1612 \
+1613 1614 1615 1616 1617 1618 1619 1620 1621 1622 1623 1624 1625 1626 1627 \
+1628 1629 1630 1631 1632 1633 1634 1635 1636 1637 1638 1639 1640 1641 1642 \
+1643 1644 1645 1646 1647 1648 1649 1650 1651 1652 1653 1654 1655 1656 1657 \
+1658 1659 1660 1661 1662 1663 1664 1665 1666 1667 1668 1669 1670 1671 1672 \
+1673 1674 1675 1676 1677 1678 1679 1680 1681 1682 1683 1684 1685 1686 1687 \
+1688 1689 1690 1691 1692 1693 1694 1695 1696 1697 1698 1699 1700 1701 1702 \
+1703 1704 1705 1706 1707 1708 1709 1710 1711 1712 1713 1714 1715 1716 1717 \
+1718 1719 1720 1721 1722 1723 1724 1725 1726 1727 1728 1729 1730 1731 1732 \
+1733 1734 1735 1736 1737 1738 1739 1740 1741 1742 1743 1744 1745 1746 1747 \
+1748 1749 1750 1751 1752 1753 1754 1755 1756 1757 1758 1759 1760 1761 1762 \
+1763 1764 1765 1766 1767 1768
+.outputs 56405 56406 56407 56408 56409 56410 56411 56412 56413 56414 56415 \
+56416 56417 56418 56419 56420 56421 56422 56423 56424 56425 56426 56427 56428 \
+56429 56430 56431 56432 56433 56434 56435 56436 56437 56438 56439 56440 56441 \
+56442 56443 56444 56445 56446 56447 56448 56449 56450 56451 56452 56453 56454 \
+56455 56456 56457 56458 56459 56460 56461 56462 56463 56464 56465 56466 56467 \
+56468 56469 56470 56471 56472 56473 56474 56475 56476 56477 56478 56479 56480 \
+56481 56482 56483 56484 56485 56486 56487 56488 56489 56490 56491 56492 56493 \
+56494 56495 56496 56497 56498 56499 56500 56501 56502 56503 56504 56505 56506 \
+56507 56508 56509 56510 56511 56512 56513 56514 56515 56516 56517 56518 56519 \
+56520 56521 56522 56523 56524 56525 56526 56527 56528 56529 56530 56531 56532 \
+56533 56534 56535 56536 56537 56538 56539 56540 56541 56542 56543 56544 56545 \
+56546 56547 56548 56549 56550 56551 56552 56553 56554 56555 56556 56557 56558 \
+56559 56560 56561 56562 56563 56564 56565 56566 56567 56568 56569 56570 56571 \
+56572 56573 56574 56575 56576 56577 56578 56579 56580 56581 56582 56583 56584 \
+56585 56586 56587 56588 56589 56590 56591 56592 56593 56594 56595 56596 56597 \
+56598 56599 56600 56601 56602 56603 56604 56605 56606 56607 56608 56609 56610 \
+56611 56612 56613 56614 56615 56616 56617 56618 56619 56620 56621 56622 56623 \
+56624 56625 56626 56627 56628 56629 56630 56631 56632 56633 56634 56635 56636 \
+56637 56638 56639 56640 56641 56642 56643 56644 56645 56646 56647 56648 56649 \
+56650 56651 56652 56653 56654 56655 56656 56657 56658 56659 56660 56661 56662 \
+56663 56664 56665 56666 56667 56668 56669 56670 56671 56672 56673 56674 56675 \
+56676 56677 56678 56679 56680 56681 56682 56683 56684 56685 56686 56687 56688 \
+56689 56690 56691 56692 56693 56694 56695 56696 56697 56698 56699 56700 56701 \
+56702 56703 56704 56705 56706 56707 56708 56709 56710 56711 56712 56713 56714 \
+56715 56716 56717 56718 56719 56720 56721 56722 56723 56724 56725 56726 56727 \
+56728 56729 56730 56731 56732 56733 56734 56735 56736 56737 56738 56739 56740 \
+56741 56742 56743 56744 56745 56746 56747 56748 56749 56750 56751 56752 56753 \
+56754 56755 56756 56757 56758 56759 56760 56761 56762 56763 56764 56765 56766 \
+56767 56768 56769 56770 56771 56772 56773 56774 56775 56776 56777 56778 56779 \
+56780 56781 56782 56783 56784 56785 56786 56787 56788 56789 56790 56791 56792 \
+56793 56794 56795 56796 56797 56798 56799 56800 56801 56802 56803 56804 56805 \
+56806 56807 56808 56809 56810 56811 56812 56813 56814 56815 56816 56817 56818 \
+56819 56820 56821 56822 56823 56824 56825 56826 56827 56828 56829 56830 56831 \
+56832 56833 56834 56835 56836 56837 56838 56839 56840 56841 56842 56843 56844 \
+56845 56846 56847 56848 56849 56850 56851 56852 56853 56854 56855 56856 56857 \
+56858 56859 56860 56861 56862 56863 56864 56865 56866 56867 56868 56869 56870 \
+56871 56872 56873 56874 56875 56876 56877 56878 56879 56880 56881 56882 56883 \
+56884 56885 56886 56887 56888 56889 56890 56891 56892 56893 56894 56895 56896 \
+56897 56898 56899 56900 56901 56902 56903 56904 56905 56906 56907 56908 56909 \
+56910 56911 56912 56913 56914 56915 56916 56917 56918 56919 56920 56921 56922 \
+56923 56924 56925 56926 56927 56928 56929 56930 56931 56932 56933 56934 56935 \
+56936 56937 56938 56939 56940 56941 56942 56943 56944 56945 56946 56947 56948 \
+56949 56950 56951 56952 56953 56954 56955 56956 56957 56958 56959 56960 56961 \
+56962 56963 56964 56965 56966 56967 56968 56969 56970 56971 56972 56973 56974 \
+56975 56976 56977 56978 56979 56980 56981 56982 56983 56984 56985 56986 56987 \
+56988 56989 56990 56991 56992 56993 56994 56995 56996 56997 56998 56999 57000 \
+57001 57002 57003 57004 57005 57006 57007 57008 57009 57010 57011 57012 57013 \
+57014 57015 57016 57017 57018 57019 57020 57021 57022 57023 57024 57025 57026 \
+57027 57028 57029 57030 57031 57032 57033 57034 57035 57036 57037 57038 57039 \
+57040 57041 57042 57043 57044 57045 57046 57047 57048 57049 57050 57051 57052 \
+57053 57054 57055 57056 57057 57058 57059 57060 57061 57062 57063 57064 57065 \
+57066 57067 57068 57069 57070 57071 57072 57073 57074 57075 57076 57077 57078 \
+57079 57080 57081 57082 57083 57084 57085 57086 57087 57088 57089 57090 57091 \
+57092 57093 57094 57095 57096 57097 57098 57099 57100 57101 57102 57103 57104 \
+57105 57106 57107 57108 57109 57110 57111 57112 57113 57114 57115 57116 57117 \
+57118 57119 57120 57121 57122 57123 57124 57125 57126 57127 57128 57129 57130 \
+57131 57132 57133 57134 57135 57136 57137 57138 57139 57140 57141 57142 57143 \
+57144 57145 57146 57147 57148 57149 57150 57151 57152 57153 57154 57155 57156 \
+57157 57158 57159 57160 57161 57162 57163 57164 57165 57166 57167 57168 57169 \
+57170 57171 57172 57173 57174 57175 57176 57177 57178 57179 57180 57181 57182 \
+57183 57184 57185 57186 57187 57188 57189 57190 57191 57192 57193 57194 57195 \
+57196 57197 57198 57199 57200 57201 57202 57203 57204 57205 57206 57207 57208 \
+57209 57210 57211 57212 57213 57214 57215 57216 57217 57218 57219 57220 57221 \
+57222 57223 57224 57225 57226 57227 57228 57229 57230 57231 57232 57233 57234 \
+57235 57236 57237 57238 57239 57240 57241 57242 57243 57244 57245 57246 57247 \
+57248 57249 57250 57251 57252 57253 57254 57255 57256 57257 57258 57259 57260 \
+57261 57262 57263 57264 57265 57266 57267 57268 57269 57270 57271 57272 57273 \
+57274 57275 57276 57277 57278 57279 57280 57281 57282 57283 57284 57285 57286 \
+57287 57288 57289 57290 57291 57292 57293 57294 57295 57296 57297 57298 57299 \
+57300 57301 57302 57303 57304 57305 57306 57307 57308 57309 57310 57311 57312 \
+57313 57314 57315 57316 57317 57318 57319 57320 57321 57322 57323 57324 57325 \
+57326 57327 57328 57329 57330 57331 57332 57333 57334 57335 57336 57337 57338 \
+57339 57340 57341 57342 57343 57344 57345 57346 57347 57348 57349 57350 57351 \
+57352 57353 57354 57355 57356 57357 57358 57359 57360 57361 57362 57363 57364 \
+57365 57366 57367 57368 57369 57370 57371 57372 57373 57374 57375 57376 57377 \
+57378 57379 57380 57381 57382 57383 57384 57385 57386 57387 57388 57389 57390 \
+57391 57392 57393 57394 57395 57396 57397 57398 57399 57400 57401 57402 57403 \
+57404 57405 57406 57407 57408 57409 57410 57411 57412 57413 57414 57415 57416 \
+57417 57418 57419 57420 57421 57422 57423 57424 57425 57426 57427 57428 57429 \
+57430 57431 57432 57433 57434 57435 57436 57437 57438 57439 57440 57441 57442 \
+57443 57444 57445 57446 57447 57448 57449 57450 57451 57452 57453 57454 57455 \
+57456 57457 57458 57459 57460 57461 57462 57463 57464 57465 57466 57467
+.names 1771 1769
+1 1
+.names 1769 1770
+1 1
+.names 9415 9401 1771
+11 1
+.names 1774 1772
+1 1
+.names 1772 1773
+1 1
+.names 1769 1774
+0 1
+.names 1775
+ 1
+.names 1775 1776
+1 1
+.names 1779 1777
+1 1
+.names 1777 1778
+1 1
+.names 9429 9417 1779
+11 1
+.names 1782 1780
+1 1
+.names 1780 1781
+1 1
+.names 1777 1782
+0 1
+.names 1783
+.names 1783 1784
+1 1
+.names 1787 1785
+1 1
+.names 1785 1786
+1 1
+.names 9370 9360 1787
+11 1
+.names 1790 1788
+1 1
+.names 1788 1789
+1 1
+.names 1785 1790
+0 1
+.names 1793 1791
+1 1
+.names 1791 1792
+1 1
+.names 9386 9374 1793
+11 1
+.names 1796 1794
+1 1
+.names 1794 1795
+1 1
+.names 1791 1796
+0 1
+.names 1799 1797
+1 1
+.names 1797 1798
+1 1
+.names 9312 9302 1799
+11 1
+.names 1802 1800
+1 1
+.names 1800 1801
+1 1
+.names 1797 1802
+0 1
+.names 1805 1803
+1 1
+.names 1803 1804
+1 1
+.names 9328 9316 1805
+11 1
+.names 1808 1806
+1 1
+.names 1806 1807
+1 1
+.names 1803 1808
+0 1
+.names 57131 1809
+1 1
+.names 1809 1810
+1 1
+.names 714 57131
+0 1
+.names 714 1812
+1 1
+.names 1809 1813
+1 1
+.names 714 1814
+1 1
+.names 1809 1815
+1 1
+.names 714 1816
+1 1
+.names 1819 1817
+1 1
+.names 1817 1818
+1 1
+.names 9250 9243 1819
+11 1
+.names 1822 1820
+1 1
+.names 1820 1821
+1 1
+.names 1817 1822
+0 1
+.names 1825 1823
+1 1
+.names 1823 1824
+1 1
+.names 9228 9221 1825
+11 1
+.names 1828 1826
+1 1
+.names 1826 1827
+1 1
+.names 1823 1828
+0 1
+.names 715 1829
+1 1
+.names 1832 1830
+1 1
+.names 1830 1831
+1 1
+.names 715 1832
+0 1
+.names 56408 1833
+1 1
+.names 2285 2286 56408
+1- 1
+-1 1
+.names 56407 1835
+1 1
+.names 2302 2303 56407
+1- 1
+-1 1
+.names 56406 1837
+1 1
+.names 2319 2320 56406
+1- 1
+-1 1
+.names 56405 1839
+1 1
+.names 2336 2337 56405
+1- 1
+-1 1
+.names 1783 1841
+1 1
+.names 1844 1842
+1 1
+.names 1842 1843
+1 1
+.names 9126 9118 1844
+11 1
+.names 1847 1845
+1 1
+.names 1845 1846
+1 1
+.names 1842 1847
+0 1
+.names 1850 1848
+1 1
+.names 1848 1849
+1 1
+.names 9033 9026 1850
+11 1
+.names 1853 1851
+1 1
+.names 1851 1852
+1 1
+.names 1848 1853
+0 1
+.names 1856 1854
+1 1
+.names 1854 1855
+1 1
+.names 924 925 1856
+1- 1
+-1 1
+.names 1859 1857
+1 1
+.names 1857 1858
+1 1
+.names 1854 1859
+0 1
+.names 716 1860
+1 1
+.names 1863 1861
+1 1
+.names 1861 1862
+1 1
+.names 8575 0 1863
+11 1
+.names 1866 1864
+1 1
+.names 1864 1865
+1 1
+.names 1861 1866
+0 1
+.names 717 1867
+1 1
+.names 1870 1868
+1 1
+.names 1868 1869
+1 1
+.names 8569 0 1870
+11 1
+.names 1873 1871
+1 1
+.names 1871 1872
+1 1
+.names 1868 1873
+0 1
+.names 1876 1874
+1 1
+.names 1874 1875
+1 1
+.names 8582 2360 1876
+1- 1
+-1 1
+.names 718 1877
+1 1
+.names 1880 1878
+1 1
+.names 1878 1879
+1 1
+.names 8698 8562 1880
+11 1
+.names 1883 1881
+1 1
+.names 1881 1882
+1 1
+.names 8688 8681 1883
+11 1
+.names 1886 1884
+1 1
+.names 1884 1885
+1 1
+.names 8680 8672 1886
+11 1
+.names 1889 1887
+1 1
+.names 1887 1888
+1 1
+.names 8671 8663 1889
+11 1
+.names 1892 1890
+1 1
+.names 1890 1891
+1 1
+.names 8662 8653 1892
+11 1
+.names 1895 1893
+1 1
+.names 1893 1894
+1 1
+.names 8652 8643 1895
+11 1
+.names 1898 1896
+1 1
+.names 1896 1897
+1 1
+.names 8642 8634 1898
+11 1
+.names 1901 1899
+1 1
+.names 1899 1900
+1 1
+.names 8633 8623 1901
+11 1
+.names 1904 1902
+1 1
+.names 1902 1903
+1 1
+.names 8622 8620 1904
+1- 1
+-1 1
+.names 4 1905
+1 1
+.names 3 1906
+1 1
+.names 2 1907
+1 1
+.names 1 1908
+1 1
+.names 0 1909
+1 1
+.names 1912 1910
+1 1
+.names 1910 1911
+1 1
+.names 2401 2403 1912
+01 1
+10 1
+.names 1915 1913
+1 1
+.names 1913 1914
+1 1
+.names 2404 2406 1915
+01 1
+10 1
+.names 1918 1916
+1 1
+.names 1916 1917
+1 1
+.names 2416 2418 1918
+01 1
+10 1
+.names 1921 1919
+1 1
+.names 1919 1920
+1 1
+.names 2427 2429 1921
+01 1
+10 1
+.names 1924 1922
+1 1
+.names 1922 1923
+1 1
+.names 2438 1783 1924
+01 1
+10 1
+.names 1878 1925
+1 1
+.names 1881 1926
+1 1
+.names 1884 1927
+1 1
+.names 1887 1928
+1 1
+.names 1890 1929
+1 1
+.names 1893 1930
+1 1
+.names 1896 1931
+1 1
+.names 1899 1932
+1 1
+.names 1902 1933
+1 1
+.names 1939 1902 1934
+1- 1
+-1 1
+.names 1934 1935
+1 1
+.names 1878 1881 1936
+1- 1
+-1 1
+.names 1936 1884 1937
+1- 1
+-1 1
+.names 1937 1887 1938
+1- 1
+-1 1
+.names 1938 1896 1939
+1- 1
+-1 1
+.names 1890 1940
+1 1
+.names 1893 1941
+1 1
+.names 1899 1942
+1 1
+.names 715 1943
+1 1
+.names 1878 1944
+1 1
+.names 1952 1902 1945
+1- 1
+-1 1
+.names 1945 1946
+1 1
+.names 1881 1884 1947
+1- 1
+-1 1
+.names 1947 1887 1948
+1- 1
+-1 1
+.names 1948 1890 1949
+1- 1
+-1 1
+.names 1949 1893 1950
+1- 1
+-1 1
+.names 1950 1896 1951
+1- 1
+-1 1
+.names 1951 1899 1952
+1- 1
+-1 1
+.names 1878 1953
+1 1
+.names 1961 1902 1954
+1- 1
+-1 1
+.names 1954 1955
+1 1
+.names 1881 1884 1956
+1- 1
+-1 1
+.names 1956 1887 1957
+1- 1
+-1 1
+.names 1957 1890 1958
+1- 1
+-1 1
+.names 1958 1893 1959
+1- 1
+-1 1
+.names 1959 1896 1960
+1- 1
+-1 1
+.names 1960 1899 1961
+1- 1
+-1 1
+.names 1878 1962
+1 1
+.names 1970 1902 1963
+1- 1
+-1 1
+.names 1963 1964
+1 1
+.names 1881 1884 1965
+1- 1
+-1 1
+.names 1965 1887 1966
+1- 1
+-1 1
+.names 1966 1890 1967
+1- 1
+-1 1
+.names 1967 1893 1968
+1- 1
+-1 1
+.names 1968 1896 1969
+1- 1
+-1 1
+.names 1969 1899 1970
+1- 1
+-1 1
+.names 1890 1971
+1 1
+.names 1893 1972
+1 1
+.names 1884 1973
+1 1
+.names 1976 1974
+1 1
+.names 1974 1975
+1 1
+.names 5363 5361 1976
+1- 1
+-1 1
+.names 1979 1977
+1 1
+.names 1977 1978
+1 1
+.names 1974 1979
+0 1
+.names 1982 1980
+1 1
+.names 1980 1981
+1 1
+.names 719 1982
+0 1
+.names 719 1983
+1 1
+.names 1986 1984
+1 1
+.names 1984 1985
+1 1
+.names 726 56666 1986
+11 1
+.names 1984 1987
+0 1
+.names 1987 1988
+1 1
+.names 719 1989
+1 1
+.names 1992 1990
+1 1
+.names 1990 1991
+1 1
+.names 3075 3073 1992
+11 1
+.names 1990 1993
+0 1
+.names 1993 1994
+1 1
+.names 1998 2001 1995
+1- 1
+-1 1
+.names 1995 1996
+1 1
+.names 1770 1783 1997
+11 1
+.names 1783 1997 1998
+1- 1
+-1 1
+.names 9399 715 1999
+11 1
+.names 1999 2000
+1 1
+.names 1773 2000 2001
+11 1
+.names 2005 2006 2002
+1- 1
+-1 1
+.names 2002 2003
+1 1
+.names 1778 1775 2004
+11 1
+.names 1783 2004 2005
+1- 1
+-1 1
+.names 1781 1769 2006
+11 1
+.names 2010 2011 2007
+1- 1
+-1 1
+.names 2007 2008
+1 1
+.names 1778 1776 2009
+11 1
+.names 1783 2009 2010
+1- 1
+-1 1
+.names 1781 1996 2011
+11 1
+.names 2015 2018 2012
+1- 1
+-1 1
+.names 2012 2013
+1 1
+.names 1786 1784 2014
+11 1
+.names 1783 2014 2015
+1- 1
+-1 1
+.names 9356 9330 2016
+11 1
+.names 2016 2017
+1 1
+.names 1789 2017 2018
+11 1
+.names 2022 2023 2019
+1- 1
+-1 1
+.names 2019 2020
+1 1
+.names 1792 1775 2021
+11 1
+.names 1783 2021 2022
+1- 1
+-1 1
+.names 1795 1785 2023
+11 1
+.names 2027 2028 2024
+1- 1
+-1 1
+.names 2024 2025
+1 1
+.names 1792 1775 2026
+11 1
+.names 1783 2026 2027
+1- 1
+-1 1
+.names 1795 2013 2028
+11 1
+.names 2032 2035 2029
+1- 1
+-1 1
+.names 2029 2030
+1 1
+.names 1798 1783 2031
+11 1
+.names 1783 2031 2032
+1- 1
+-1 1
+.names 9298 715 2033
+11 1
+.names 2033 2034
+1 1
+.names 1801 2034 2035
+11 1
+.names 2039 2040 2036
+1- 1
+-1 1
+.names 2036 2037
+1 1
+.names 1804 1775 2038
+11 1
+.names 1783 2038 2039
+1- 1
+-1 1
+.names 1807 1797 2040
+11 1
+.names 2044 2045 2041
+1- 1
+-1 1
+.names 2041 2042
+1 1
+.names 1804 1775 2043
+11 1
+.names 1783 2043 2044
+1- 1
+-1 1
+.names 1807 2030 2045
+11 1
+.names 2048 2049 56596
+1- 1
+-1 1
+.names 1810 1783 2047
+11 1
+.names 1783 2047 2048
+1- 1
+-1 1
+.names 1812 2003 2049
+11 1
+.names 2052 2053 56595
+1- 1
+-1 1
+.names 1810 1783 2051
+11 1
+.names 1783 2051 2052
+1- 1
+-1 1
+.names 1812 2008 2053
+11 1
+.names 2056 2057 56598
+1- 1
+-1 1
+.names 1813 1783 2055
+11 1
+.names 1783 2055 2056
+1- 1
+-1 1
+.names 1814 2020 2057
+11 1
+.names 2060 2061 56597
+1- 1
+-1 1
+.names 1813 1783 2059
+11 1
+.names 1783 2059 2060
+1- 1
+-1 1
+.names 1814 2025 2061
+11 1
+.names 2064 2065 56558
+1- 1
+-1 1
+.names 1815 1783 2063
+11 1
+.names 1783 2063 2064
+1- 1
+-1 1
+.names 1816 2037 2065
+11 1
+.names 2068 2069 56557
+1- 1
+-1 1
+.names 1815 1783 2067
+11 1
+.names 1783 2067 2068
+1- 1
+-1 1
+.names 1816 2042 2069
+11 1
+.names 2074 2077 56766
+1- 1
+-1 1
+.names 9285 9273 2071
+11 1
+.names 2071 2072
+1 1
+.names 1818 2072 2073
+11 1
+.names 1783 2073 2074
+1- 1
+-1 1
+.names 9242 936 2075
+11 1
+.names 2075 2076
+1 1
+.names 1821 2076 2077
+11 1
+.names 2082 2085 56767
+1- 1
+-1 1
+.names 9268 9256 2079
+11 1
+.names 2079 2080
+1 1
+.names 1824 2080 2081
+11 1
+.names 1783 2081 2082
+1- 1
+-1 1
+.names 9220 935 2083
+11 1
+.names 2083 2084
+1 1
+.names 1827 2084 2085
+11 1
+.names 2091 2094 2086
+1- 1
+-1 1
+.names 2086 2087
+1 1
+.names 9206 9199 2088
+11 1
+.names 2088 2089
+1 1
+.names 1829 2089 2090
+11 1
+.names 1783 2090 2091
+1- 1
+-1 1
+.names 9198 8562 2092
+11 1
+.names 2092 2093
+1 1
+.names 1831 2093 2094
+11 1
+.names 2097 2098 56413
+1- 1
+-1 1
+.names 1843 1833 2096
+11 1
+.names 1783 2096 2097
+1- 1
+-1 1
+.names 1846 1783 2098
+11 1
+.names 2101 2102 56412
+1- 1
+-1 1
+.names 1843 1835 2100
+11 1
+.names 1783 2100 2101
+1- 1
+-1 1
+.names 1846 1841 2102
+11 1
+.names 2105 2106 56411
+1- 1
+-1 1
+.names 1843 1837 2104
+11 1
+.names 1783 2104 2105
+1- 1
+-1 1
+.names 1846 1783 2106
+11 1
+.names 2109 2110 56410
+1- 1
+-1 1
+.names 1843 1839 2108
+11 1
+.names 1783 2108 2109
+1- 1
+-1 1
+.names 1846 1783 2110
+11 1
+.names 2114 2117 56768
+1- 1
+-1 1
+.names 7168 56630
+0 1
+.names 1849 56630 2113
+11 1
+.names 1783 2113 2114
+1- 1
+-1 1
+.names 9025 937 2115
+11 1
+.names 2115 2116
+1 1
+.names 1852 2116 2117
+11 1
+.names 2121 2122 2118
+1- 1
+-1 1
+.names 2118 2119
+1 1
+.names 1855 1775 2120
+11 1
+.names 1783 2120 2121
+1- 1
+-1 1
+.names 1858 715 2122
+11 1
+.names 2126 2127 2123
+1- 1
+-1 1
+.names 2123 2124
+1 1
+.names 1862 716 2125
+11 1
+.names 1783 2125 2126
+1- 1
+-1 1
+.names 1865 1783 2127
+11 1
+.names 2131 2134 2128
+1- 1
+-1 1
+.names 2128 2129
+1 1
+.names 1862 1783 2130
+11 1
+.names 1783 2130 2131
+1- 1
+-1 1
+.names 717 2132
+0 1
+.names 2132 2133
+1 1
+.names 1865 2133 2134
+11 1
+.names 2138 2139 2135
+1- 1
+-1 1
+.names 2135 2136
+1 1
+.names 1862 1860 2137
+11 1
+.names 1783 2137 2138
+1- 1
+-1 1
+.names 1865 717 2139
+11 1
+.names 2145 2146 2140
+1- 1
+-1 1
+.names 2140 2141
+1 1
+.names 716 2142
+0 1
+.names 2142 2143
+1 1
+.names 1862 2143 2144
+11 1
+.names 1783 2144 2145
+1- 1
+-1 1
+.names 1865 1783 2146
+11 1
+.names 2150 2151 2147
+1- 1
+-1 1
+.names 2147 2148
+1 1
+.names 1869 716 2149
+11 1
+.names 1783 2149 2150
+1- 1
+-1 1
+.names 1872 1783 2151
+11 1
+.names 2155 2157 2152
+1- 1
+-1 1
+.names 2152 2153
+1 1
+.names 1869 1783 2154
+11 1
+.names 1783 2154 2155
+1- 1
+-1 1
+.names 2132 2156
+1 1
+.names 1872 2156 2157
+11 1
+.names 2161 2162 2158
+1- 1
+-1 1
+.names 2158 2159
+1 1
+.names 1869 716 2160
+11 1
+.names 1783 2160 2161
+1- 1
+-1 1
+.names 1872 1867 2162
+11 1
+.names 2167 2168 2163
+1- 1
+-1 1
+.names 2163 2164
+1 1
+.names 2142 2165
+1 1
+.names 1869 2165 2166
+11 1
+.names 1783 2166 2167
+1- 1
+-1 1
+.names 1872 1783 2168
+11 1
+.names 2187 2188 56414
+1- 1
+-1 1
+.names 1879 1874 2170
+11 1
+.names 1783 2170 2171
+1- 1
+-1 1
+.names 1882 1854 2172
+11 1
+.names 2171 2172 2173
+1- 1
+-1 1
+.names 923 8580 2174
+11 1
+.names 2174 2175
+1 1
+.names 1885 2175 2176
+11 1
+.names 2173 2176 2177
+1- 1
+-1 1
+.names 1888 718 2178
+11 1
+.names 2177 2178 2179
+1- 1
+-1 1
+.names 1891 2124 2180
+11 1
+.names 2179 2180 2181
+1- 1
+-1 1
+.names 1894 2148 2182
+11 1
+.names 2181 2182 2183
+1- 1
+-1 1
+.names 1897 718 2184
+11 1
+.names 2183 2184 2185
+1- 1
+-1 1
+.names 1900 1783 2186
+11 1
+.names 2185 2186 2187
+1- 1
+-1 1
+.names 1903 1783 2188
+11 1
+.names 2205 2206 56415
+1- 1
+-1 1
+.names 1879 1875 2190
+11 1
+.names 1783 2190 2191
+1- 1
+-1 1
+.names 1882 2119 2192
+11 1
+.names 2191 2192 2193
+1- 1
+-1 1
+.names 1885 1775 2194
+11 1
+.names 2193 2194 2195
+1- 1
+-1 1
+.names 1888 1877 2196
+11 1
+.names 2195 2196 2197
+1- 1
+-1 1
+.names 1891 2129 2198
+11 1
+.names 2197 2198 2199
+1- 1
+-1 1
+.names 1894 2153 2200
+11 1
+.names 2199 2200 2201
+1- 1
+-1 1
+.names 1897 1783 2202
+11 1
+.names 2201 2202 2203
+1- 1
+-1 1
+.names 1900 1783 2204
+11 1
+.names 2203 2204 2205
+1- 1
+-1 1
+.names 1903 1783 2206
+11 1
+.names 2225 2226 56416
+1- 1
+-1 1
+.names 1879 1874 2208
+11 1
+.names 1783 2208 2209
+1- 1
+-1 1
+.names 1882 1857 2210
+11 1
+.names 2209 2210 2211
+1- 1
+-1 1
+.names 2175 2212
+0 1
+.names 2212 2213
+1 1
+.names 1885 2213 2214
+11 1
+.names 2211 2214 2215
+1- 1
+-1 1
+.names 1888 1783 2216
+11 1
+.names 2215 2216 2217
+1- 1
+-1 1
+.names 1891 2136 2218
+11 1
+.names 2217 2218 2219
+1- 1
+-1 1
+.names 1894 2159 2220
+11 1
+.names 2219 2220 2221
+1- 1
+-1 1
+.names 1897 718 2222
+11 1
+.names 2221 2222 2223
+1- 1
+-1 1
+.names 1900 1783 2224
+11 1
+.names 2223 2224 2225
+1- 1
+-1 1
+.names 1903 1783 2226
+11 1
+.names 2250 2251 2227
+1- 1
+-1 1
+.names 2227 2228
+1 1
+.names 1874 2229
+0 1
+.names 2229 2230
+1 1
+.names 1879 2230 2231
+11 1
+.names 1783 2231 2232
+1- 1
+-1 1
+.names 1882 1783 2233
+11 1
+.names 2232 2233 2234
+1- 1
+-1 1
+.names 1885 1783 2235
+11 1
+.names 2234 2235 2236
+1- 1
+-1 1
+.names 718 2237
+0 1
+.names 2237 2238
+1 1
+.names 1888 2238 2239
+11 1
+.names 2236 2239 2240
+1- 1
+-1 1
+.names 1891 1783 2241
+11 1
+.names 2240 2241 2242
+1- 1
+-1 1
+.names 1894 1783 2243
+11 1
+.names 2242 2243 2244
+1- 1
+-1 1
+.names 2237 2245
+1 1
+.names 1897 2245 2246
+11 1
+.names 2244 2246 2247
+1- 1
+-1 1
+.names 2237 2248
+1 1
+.names 1900 2248 2249
+11 1
+.names 2247 2249 2250
+1- 1
+-1 1
+.names 1903 1775 2251
+11 1
+.names 2268 2269 56409
+1- 1
+-1 1
+.names 1925 4 2253
+11 1
+.names 1783 2253 2254
+1- 1
+-1 1
+.names 1926 1783 2255
+11 1
+.names 2254 2255 2256
+1- 1
+-1 1
+.names 1927 1910 2257
+11 1
+.names 2256 2257 2258
+1- 1
+-1 1
+.names 1928 1905 2259
+11 1
+.names 2258 2259 2260
+1- 1
+-1 1
+.names 1929 1911 2261
+11 1
+.names 2260 2261 2262
+1- 1
+-1 1
+.names 1930 1910 2263
+11 1
+.names 2262 2263 2264
+1- 1
+-1 1
+.names 1931 4 2265
+11 1
+.names 2264 2265 2266
+1- 1
+-1 1
+.names 1932 4 2267
+11 1
+.names 2266 2267 2268
+1- 1
+-1 1
+.names 1933 4 2269
+11 1
+.names 1925 3 2270
+11 1
+.names 1783 2270 2271
+1- 1
+-1 1
+.names 1926 1783 2272
+11 1
+.names 2271 2272 2273
+1- 1
+-1 1
+.names 1927 1913 2274
+11 1
+.names 2273 2274 2275
+1- 1
+-1 1
+.names 1928 1906 2276
+11 1
+.names 2275 2276 2277
+1- 1
+-1 1
+.names 1929 1914 2278
+11 1
+.names 2277 2278 2279
+1- 1
+-1 1
+.names 1930 1913 2280
+11 1
+.names 2279 2280 2281
+1- 1
+-1 1
+.names 1931 3 2282
+11 1
+.names 2281 2282 2283
+1- 1
+-1 1
+.names 1932 3 2284
+11 1
+.names 2283 2284 2285
+1- 1
+-1 1
+.names 1933 3 2286
+11 1
+.names 1925 2 2287
+11 1
+.names 1783 2287 2288
+1- 1
+-1 1
+.names 1926 1783 2289
+11 1
+.names 2288 2289 2290
+1- 1
+-1 1
+.names 1927 1916 2291
+11 1
+.names 2290 2291 2292
+1- 1
+-1 1
+.names 1928 1907 2293
+11 1
+.names 2292 2293 2294
+1- 1
+-1 1
+.names 1929 1917 2295
+11 1
+.names 2294 2295 2296
+1- 1
+-1 1
+.names 1930 1916 2297
+11 1
+.names 2296 2297 2298
+1- 1
+-1 1
+.names 1931 2 2299
+11 1
+.names 2298 2299 2300
+1- 1
+-1 1
+.names 1932 2 2301
+11 1
+.names 2300 2301 2302
+1- 1
+-1 1
+.names 1933 2 2303
+11 1
+.names 1925 1 2304
+11 1
+.names 1783 2304 2305
+1- 1
+-1 1
+.names 1926 1783 2306
+11 1
+.names 2305 2306 2307
+1- 1
+-1 1
+.names 1927 1919 2308
+11 1
+.names 2307 2308 2309
+1- 1
+-1 1
+.names 1928 1908 2310
+11 1
+.names 2309 2310 2311
+1- 1
+-1 1
+.names 1929 1920 2312
+11 1
+.names 2311 2312 2313
+1- 1
+-1 1
+.names 1930 1919 2314
+11 1
+.names 2313 2314 2315
+1- 1
+-1 1
+.names 1931 1 2316
+11 1
+.names 2315 2316 2317
+1- 1
+-1 1
+.names 1932 1 2318
+11 1
+.names 2317 2318 2319
+1- 1
+-1 1
+.names 1933 1 2320
+11 1
+.names 1925 0 2321
+11 1
+.names 1783 2321 2322
+1- 1
+-1 1
+.names 1926 1775 2323
+11 1
+.names 2322 2323 2324
+1- 1
+-1 1
+.names 1927 1922 2325
+11 1
+.names 2324 2325 2326
+1- 1
+-1 1
+.names 1928 1909 2327
+11 1
+.names 2326 2327 2328
+1- 1
+-1 1
+.names 1929 1923 2329
+11 1
+.names 2328 2329 2330
+1- 1
+-1 1
+.names 1930 1922 2331
+11 1
+.names 2330 2331 2332
+1- 1
+-1 1
+.names 1931 0 2333
+11 1
+.names 2332 2333 2334
+1- 1
+-1 1
+.names 1932 0 2335
+11 1
+.names 2334 2335 2336
+1- 1
+-1 1
+.names 1933 0 2337
+11 1
+.names 2344 2345 56769
+1- 1
+-1 1
+.names 1935 1783 2339
+11 1
+.names 1783 2339 2340
+1- 1
+-1 1
+.names 1940 2141 2341
+11 1
+.names 2340 2341 2342
+1- 1
+-1 1
+.names 1941 2164 2343
+11 1
+.names 2342 2343 2344
+1- 1
+-1 1
+.names 1942 718 2345
+11 1
+.names 2350 2351 56770
+1- 1
+-1 1
+.names 4171 9432 2347
+11 1
+.names 2347 2348
+1 1
+.names 1944 2348 2349
+11 1
+.names 1783 2349 2350
+1- 1
+-1 1
+.names 1946 1943 2351
+11 1
+.names 2356 2357 56771
+1- 1
+-1 1
+.names 4169 9430 2353
+11 1
+.names 2353 2354
+1 1
+.names 1953 2354 2355
+11 1
+.names 1783 2355 2356
+1- 1
+-1 1
+.names 1955 716 2357
+11 1
+.names 2362 2363 56772
+1- 1
+-1 1
+.names 4167 9431 2359
+11 1
+.names 2359 2360
+1 1
+.names 1962 2360 2361
+11 1
+.names 1783 2361 2362
+1- 1
+-1 1
+.names 1964 720 2363
+11 1
+.names 2369 2372 2364
+1- 1
+-1 1
+.names 2364 2365
+1 1
+.names 1971 1775 2366
+11 1
+.names 1783 2366 2367
+1- 1
+-1 1
+.names 1972 1775 2368
+11 1
+.names 2367 2368 2369
+1- 1
+-1 1
+.names 8579 2370
+0 1
+.names 2370 2371
+1 1
+.names 1973 2371 2372
+11 1
+.names 2376 2379 2373
+1- 1
+-1 1
+.names 2373 2374
+1 1
+.names 1975 1783 2375
+11 1
+.names 1783 2375 2376
+1- 1
+-1 1
+.names 2385 2377
+0 1
+.names 2377 2378
+1 1
+.names 1978 2378 2379
+11 1
+.names 2383 2386 2380
+1- 1
+-1 1
+.names 2380 2381
+1 1
+.names 1975 1783 2382
+11 1
+.names 1783 2382 2383
+1- 1
+-1 1
+.names 5357 5351 2384
+1- 1
+-1 1
+.names 2384 2385
+1 1
+.names 1978 2385 2386
+11 1
+.names 2391 2394 56773
+1- 1
+-1 1
+.names 2396 2397 2388
+1- 1
+-1 1
+.names 2388 2389
+1 1
+.names 1981 2389 2390
+11 1
+.names 1783 2390 2391
+1- 1
+-1 1
+.names 2399 2400 2392
+1- 1
+-1 1
+.names 2392 2393
+1 1
+.names 1983 2393 2394
+11 1
+.names 1985 1775 2395
+11 1
+.names 1783 2395 2396
+1- 1
+-1 1
+.names 1988 719 2397
+11 1
+.names 1991 1783 2398
+11 1
+.names 1783 2398 2399
+1- 1
+-1 1
+.names 1994 1989 2400
+11 1
+.names 1783 4 2401
+01 1
+10 1
+.names 2411 2415 2402
+1- 1
+-1 1
+.names 2402 2403
+1 1
+.names 1783 3 2404
+01 1
+10 1
+.names 2423 2426 2405
+1- 1
+-1 1
+.names 2405 2406
+1 1
+.names 2406 1783 2407
+1- 1
+-1 1
+.names 2407 2408
+0 1
+.names 3 2409
+0 1
+.names 2408 2409 2410
+1- 1
+-1 1
+.names 2410 2411
+0 1
+.names 2406 2412
+0 1
+.names 1783 2413
+0 1
+.names 2412 2413 2414
+1- 1
+-1 1
+.names 2414 2415
+0 1
+.names 1783 2 2416
+01 1
+10 1
+.names 2434 2437 2417
+1- 1
+-1 1
+.names 2417 2418
+1 1
+.names 2418 1783 2419
+1- 1
+-1 1
+.names 2419 2420
+0 1
+.names 2 2421
+0 1
+.names 2420 2421 2422
+1- 1
+-1 1
+.names 2422 2423
+0 1
+.names 2418 2424
+0 1
+.names 2424 2413 2425
+1- 1
+-1 1
+.names 2425 2426
+0 1
+.names 1783 1 2427
+01 1
+10 1
+.names 2443 2446 2428
+1- 1
+-1 1
+.names 2428 2429
+1 1
+.names 2429 1783 2430
+1- 1
+-1 1
+.names 2430 2431
+0 1
+.names 1 2432
+0 1
+.names 2431 2432 2433
+1- 1
+-1 1
+.names 2433 2434
+0 1
+.names 2429 2435
+0 1
+.names 2435 2413 2436
+1- 1
+-1 1
+.names 2436 2437
+0 1
+.names 2365 0 2438
+01 1
+10 1
+.names 1783 2365 2439
+1- 1
+-1 1
+.names 2439 2440
+0 1
+.names 0 2441
+0 1
+.names 2440 2441 2442
+1- 1
+-1 1
+.names 2442 2443
+0 1
+.names 2365 2444
+0 1
+.names 2413 2444 2445
+1- 1
+-1 1
+.names 2445 2446
+0 1
+.names 721 2447
+0 1
+.names 56775 56776 2448
+1- 1
+-1 1
+.names 2448 2449
+1 1
+.names 2478 56782 56775
+1- 1
+-1 1
+.names 2470 2476 56776
+1- 1
+-1 1
+.names 2449 56777 2452
+1- 1
+-1 1
+.names 2452 2453
+1 1
+.names 2462 2468 56777
+1- 1
+-1 1
+.names 2453 56778 2455
+1- 1
+-1 1
+.names 2455 2456
+1 1
+.names 56780 56781 56778
+1- 1
+-1 1
+.names 2456 56779
+0 1
+.names 10138 56780
+0 1
+.names 10136 56781
+0 1
+.names 2464 2466 2461
+1- 1
+-1 1
+.names 2461 2462
+1 1
+.names 10062 2463
+0 1
+.names 2463 2464
+1 1
+.names 10060 2465
+0 1
+.names 2465 2466
+1 1
+.names 10052 2467
+0 1
+.names 2467 2468
+1 1
+.names 2472 2474 2469
+1- 1
+-1 1
+.names 2469 2470
+1 1
+.names 10032 2471
+0 1
+.names 2471 2472
+1 1
+.names 10064 2473
+0 1
+.names 2473 2474
+1 1
+.names 10054 2475
+0 1
+.names 2475 2476
+1 1
+.names 2480 2482 2477
+1- 1
+-1 1
+.names 2477 2478
+1 1
+.names 10066 2479
+0 1
+.names 2479 2480
+1 1
+.names 10058 2481
+0 1
+.names 2481 2482
+1 1
+.names 10056 56782
+0 1
+.names 2487 722 2484
+11 1
+.names 2484 2485
+1 1
+.names 9819 2486
+0 1
+.names 2486 2487
+1 1
+.names 2491 722 2488
+11 1
+.names 2488 2489
+1 1
+.names 9869 2490
+0 1
+.names 2490 2491
+1 1
+.names 2495 723 2492
+11 1
+.names 2492 2493
+1 1
+.names 9857 2494
+0 1
+.names 2494 2495
+1 1
+.names 2493 2489 2496
+1- 1
+-1 1
+.names 2496 2497
+1 1
+.names 2497 2485 56784
+1- 1
+-1 1
+.names 56786 56787 2499
+1- 1
+-1 1
+.names 2499 2500
+1 1
+.names 2495 723 56786
+11 1
+.names 2522 2505 56787
+1- 1
+-1 1
+.names 2500 56788
+0 1
+.names 2487 723 2504
+11 1
+.names 2504 2505
+1 1
+.names 2491 723 2506
+11 1
+.names 2506 2507
+1 1
+.names 2495 722 2508
+11 1
+.names 2508 2509
+1 1
+.names 2513 2509 2510
+1- 1
+-1 1
+.names 2510 2511
+1 1
+.names 9897 2512
+0 1
+.names 2512 2513
+1 1
+.names 2511 56789 2514
+1- 1
+-1 1
+.names 2514 2515
+1 1
+.names 9885 56789
+0 1
+.names 2515 2520 2517
+1- 1
+-1 1
+.names 2517 2518
+1 1
+.names 9799 2519
+0 1
+.names 2519 2520
+1 1
+.names 2518 2507 2521
+1- 1
+-1 1
+.names 2521 2522
+1 1
+.names 56791 56789 2523
+1- 1
+-1 1
+.names 2523 2524
+1 1
+.names 2536 723 56791
+11 1
+.names 2524 56792 2526
+1- 1
+-1 1
+.names 2526 2527
+1 1
+.names 2534 722 56792
+11 1
+.names 2527 56793 2529
+1- 1
+-1 1
+.names 2529 2530
+1 1
+.names 2495 723 56793
+11 1
+.names 2530 56794
+0 1
+.names 2491 2487 2533
+1- 1
+-1 1
+.names 2533 2534
+1 1
+.names 2491 2487 2535
+1- 1
+-1 1
+.names 2535 2536
+1 1
+.names 56796 56797 2537
+1- 1
+-1 1
+.names 2537 2538
+1 1
+.names 10238 56796
+0 1
+.names 10240 56797
+0 1
+.names 2538 56798 2541
+1- 1
+-1 1
+.names 2541 2542
+1 1
+.names 10242 56798
+0 1
+.names 2542 56799 2544
+1- 1
+-1 1
+.names 2544 2545
+1 1
+.names 10254 56799
+0 1
+.names 2545 56800
+0 1
+.names 56802 56803 2548
+1- 1
+-1 1
+.names 2548 2549
+1 1
+.names 2577 2579 56802
+1- 1
+-1 1
+.names 56807 56781 56803
+1- 1
+-1 1
+.names 2549 56804 2552
+1- 1
+-1 1
+.names 2552 2553
+1 1
+.names 2574 2570 56804
+1- 1
+-1 1
+.names 2553 56805 2555
+1- 1
+-1 1
+.names 2555 2556
+1 1
+.names 2566 2562 56805
+1- 1
+-1 1
+.names 2556 56806
+0 1
+.names 722 723 2559
+1- 1
+-1 1
+.names 2559 2560
+1 1
+.names 2564 2560 2561
+11 1
+.names 2561 2562
+1 1
+.names 9793 2563
+0 1
+.names 2563 2564
+1 1
+.names 9843 2565
+0 1
+.names 2565 2566
+1 1
+.names 722 723 2567
+1- 1
+-1 1
+.names 2567 2568
+1 1
+.names 2572 2568 2569
+11 1
+.names 2569 2570
+1 1
+.names 10256 2571
+0 1
+.names 2571 2572
+1 1
+.names 10258 2573
+0 1
+.names 2573 2574
+1 1
+.names 10195 56807
+0 1
+.names 9827 2576
+0 1
+.names 2576 2577
+1 1
+.names 9811 2578
+0 1
+.names 2578 2579
+1 1
+.names 724 2580
+0 1
+.names 2580 2581
+1 1
+.names 725 2581 2582
+11 1
+.names 2582 2583
+1 1
+.names 726 2584
+0 1
+.names 616 2585
+0 1
+.names 2585 2586
+1 1
+.names 725 617 2587
+11 1
+.names 2587 2588
+1 1
+.names 2588 2586 2589
+11 1
+.names 2589 2590
+1 1
+.names 725 2591
+0 1
+.names 2591 2592
+1 1
+.names 727 2592 2593
+11 1
+.names 2593 2594
+1 1
+.names 2597 2599 56810
+1- 1
+-1 1
+.names 9893 2596
+0 1
+.names 2596 2597
+1 1
+.names 9877 2598
+0 1
+.names 2598 2599
+1 1
+.names 617 2600
+0 1
+.names 2600 2601
+1 1
+.names 2601 616 2602
+11 1
+.names 2602 2603
+1 1
+.names 728 2603 2604
+1- 1
+-1 1
+.names 2604 2605
+1 1
+.names 56813 56814
+0 1
+.names 2623 2611 56813
+1- 1
+-1 1
+.names 722 723 2608
+1- 1
+-1 1
+.names 2608 2609
+1 1
+.names 2597 2609 2610
+11 1
+.names 2610 2611
+1 1
+.names 722 723 2612
+1- 1
+-1 1
+.names 2612 2613
+1 1
+.names 2617 2613 2614
+11 1
+.names 2614 2615
+1 1
+.names 9875 2616
+0 1
+.names 2616 2617
+1 1
+.names 2621 2599 2618
+1- 1
+-1 1
+.names 2618 2619
+1 1
+.names 10262 2620
+0 1
+.names 2620 2621
+1 1
+.names 2619 2615 2622
+1- 1
+-1 1
+.names 2622 2623
+1 1
+.names 56816 2624
+0 1
+.names 2624 2625
+1 1
+.names 2653 56829 56816
+1- 1
+-1 1
+.names 56817 2627
+0 1
+.names 2627 2628
+1 1
+.names 56819 56820 56817
+1- 1
+-1 1
+.names 2628 2625 56818
+11 1
+.names 9837 56819
+0 1
+.names 9823 56820
+0 1
+.names 56821 56822 2633
+1- 1
+-1 1
+.names 2633 2634
+1 1
+.names 9871 56821
+0 1
+.names 10230 56822
+0 1
+.names 2634 56823 2637
+1- 1
+-1 1
+.names 2637 2638
+1 1
+.names 10228 56823
+0 1
+.names 2638 56824 2640
+1- 1
+-1 1
+.names 2640 2641
+1 1
+.names 10171 56824
+0 1
+.names 2641 56825 2643
+1- 1
+-1 1
+.names 2643 2644
+1 1
+.names 10226 56825
+0 1
+.names 2644 56826 2646
+1- 1
+-1 1
+.names 2646 2647
+1 1
+.names 10224 56826
+0 1
+.names 2647 56827 2649
+1- 1
+-1 1
+.names 2649 2650
+1 1
+.names 10084 56827
+0 1
+.names 2650 56828 2652
+1- 1
+-1 1
+.names 2652 2653
+1 1
+.names 10142 56828
+0 1
+.names 10140 56829
+0 1
+.names 56632 56631
+0 1
+.names 2664 2661 56632
+1- 1
+-1 1
+.names 729 2658
+0 1
+.names 2658 2659
+1 1
+.names 56830 2659 2660
+11 1
+.names 2660 2661
+1 1
+.names 849 733 56830
+11 1
+.names 730 731 2663
+1- 1
+-1 1
+.names 2663 2664
+1 1
+.names 732 733 56832
+11 1
+.names 56835 56836 2666
+1- 1
+-1 1
+.names 2666 2667
+1 1
+.names 2674 2676 56835
+1- 1
+-1 1
+.names 56838 56839 56836
+1- 1
+-1 1
+.names 2667 56837
+0 1
+.names 4221 4417 56838
+11 1
+.names 4221 4415 56839
+11 1
+.names 4221 4403 2673
+11 1
+.names 2673 2674
+1 1
+.names 4221 4401 2675
+11 1
+.names 2675 2676
+1 1
+.names 734 2677
+0 1
+.names 2677 2678
+1 1
+.names 735 2679
+0 1
+.names 2679 2680
+1 1
+.names 2680 2678 2681
+11 1
+.names 2681 2682
+1 1
+.names 2584 2683
+1 1
+.names 736 2683 2684
+11 1
+.names 2684 2685
+1 1
+.names 2689 2685 2686
+11 1
+.names 2686 2687
+1 1
+.names 750 2866 2688
+1- 1
+-1 1
+.names 2688 2689
+1 1
+.names 2687 2682 2690
+11 1
+.names 2690 2691
+1 1
+.names 2677 2692
+1 1
+.names 2679 2693
+1 1
+.names 2693 2692 2694
+11 1
+.names 2694 2695
+1 1
+.names 2584 2696
+1 1
+.names 736 2696 2697
+11 1
+.names 2697 2698
+1 1
+.names 2702 2698 2699
+11 1
+.names 2699 2700
+1 1
+.names 751 2868 2701
+1- 1
+-1 1
+.names 2701 2702
+1 1
+.names 2700 2695 2703
+11 1
+.names 2703 2704
+1 1
+.names 2677 2705
+1 1
+.names 2679 2706
+1 1
+.names 2706 2705 2707
+11 1
+.names 2707 2708
+1 1
+.names 2584 2709
+1 1
+.names 736 2709 2710
+11 1
+.names 2710 2711
+1 1
+.names 737 2711 2712
+11 1
+.names 2712 2713
+1 1
+.names 2713 2708 2714
+11 1
+.names 2714 2715
+1 1
+.names 2677 2716
+1 1
+.names 2679 2717
+1 1
+.names 2717 2716 2718
+11 1
+.names 2718 2719
+1 1
+.names 2584 2720
+1 1
+.names 736 2720 2721
+11 1
+.names 2721 2722
+1 1
+.names 2726 2722 2723
+11 1
+.names 2723 2724
+1 1
+.names 752 2870 2725
+1- 1
+-1 1
+.names 2725 2726
+1 1
+.names 2724 2719 2727
+11 1
+.names 2727 2728
+1 1
+.names 2677 2729
+1 1
+.names 2679 2730
+1 1
+.names 2730 2729 2731
+11 1
+.names 2731 2732
+1 1
+.names 2584 2733
+1 1
+.names 736 2733 2734
+11 1
+.names 2734 2735
+1 1
+.names 2739 2735 2736
+11 1
+.names 2736 2737
+1 1
+.names 753 2872 2738
+1- 1
+-1 1
+.names 2738 2739
+1 1
+.names 2737 2732 56840
+11 1
+.names 2677 2741
+1 1
+.names 2679 2742
+1 1
+.names 2742 2741 2743
+11 1
+.names 2743 2744
+1 1
+.names 2584 2745
+1 1
+.names 736 2745 2746
+11 1
+.names 2746 2747
+1 1
+.names 738 2747 2748
+11 1
+.names 2748 2749
+1 1
+.names 2749 2744 56841
+11 1
+.names 2677 2751
+1 1
+.names 2679 2752
+1 1
+.names 2752 2751 2753
+11 1
+.names 2753 2754
+1 1
+.names 2584 2755
+1 1
+.names 736 2755 2756
+11 1
+.names 2756 2757
+1 1
+.names 739 2757 2758
+11 1
+.names 2758 2759
+1 1
+.names 2759 2754 56842
+11 1
+.names 2677 2761
+1 1
+.names 2679 2762
+1 1
+.names 2762 2761 2763
+11 1
+.names 2763 2764
+1 1
+.names 2584 2765
+1 1
+.names 736 2765 2766
+11 1
+.names 2766 2767
+1 1
+.names 740 2767 2768
+11 1
+.names 2768 2769
+1 1
+.names 2769 2764 56843
+11 1
+.names 2677 2771
+1 1
+.names 2679 2772
+1 1
+.names 2772 2771 2773
+11 1
+.names 2773 2774
+1 1
+.names 2584 2775
+1 1
+.names 736 2775 2776
+11 1
+.names 2776 2777
+1 1
+.names 741 2777 2778
+11 1
+.names 2778 2779
+1 1
+.names 2779 2774 2780
+11 1
+.names 2780 2781
+1 1
+.names 2677 2782
+1 1
+.names 2679 2783
+1 1
+.names 2783 2782 2784
+11 1
+.names 2784 2785
+1 1
+.names 2584 2786
+1 1
+.names 736 2786 2787
+11 1
+.names 2787 2788
+1 1
+.names 742 2788 2789
+11 1
+.names 2789 2790
+1 1
+.names 2790 2785 2791
+11 1
+.names 2791 2792
+1 1
+.names 2677 2793
+1 1
+.names 2679 2794
+1 1
+.names 2794 2793 2795
+11 1
+.names 2795 2796
+1 1
+.names 2584 2797
+1 1
+.names 736 2797 2798
+11 1
+.names 2798 2799
+1 1
+.names 743 2799 2800
+11 1
+.names 2800 2801
+1 1
+.names 2801 2796 56844
+11 1
+.names 2677 2803
+1 1
+.names 2679 2804
+1 1
+.names 2804 2803 2805
+11 1
+.names 2805 2806
+1 1
+.names 2584 2807
+1 1
+.names 736 2807 2808
+11 1
+.names 2808 2809
+1 1
+.names 744 2809 2810
+11 1
+.names 2810 2811
+1 1
+.names 2811 2806 2812
+11 1
+.names 2812 2813
+1 1
+.names 2677 2814
+1 1
+.names 2679 2815
+1 1
+.names 2815 2814 2816
+11 1
+.names 2816 2817
+1 1
+.names 2584 2818
+1 1
+.names 736 2818 2819
+11 1
+.names 2819 2820
+1 1
+.names 745 2820 2821
+11 1
+.names 2821 2822
+1 1
+.names 2822 2817 56845
+11 1
+.names 2677 2824
+1 1
+.names 2679 2825
+1 1
+.names 2825 2824 2826
+11 1
+.names 2826 2827
+1 1
+.names 2584 2828
+1 1
+.names 736 2828 2829
+11 1
+.names 2829 2830
+1 1
+.names 746 2830 2831
+11 1
+.names 2831 2832
+1 1
+.names 2832 2827 56846
+11 1
+.names 2677 2834
+1 1
+.names 2679 2835
+1 1
+.names 2835 2834 2836
+11 1
+.names 2836 2837
+1 1
+.names 2584 2838
+1 1
+.names 736 2838 2839
+11 1
+.names 2839 2840
+1 1
+.names 747 2840 2841
+11 1
+.names 2841 2842
+1 1
+.names 2842 2837 2843
+11 1
+.names 2843 2844
+1 1
+.names 2677 2845
+1 1
+.names 2679 2846
+1 1
+.names 2846 2845 2847
+11 1
+.names 2847 2848
+1 1
+.names 2584 2849
+1 1
+.names 736 2849 2850
+11 1
+.names 2850 2851
+1 1
+.names 748 2851 2852
+11 1
+.names 2852 2853
+1 1
+.names 2853 2848 56847
+11 1
+.names 2677 2855
+1 1
+.names 2679 2856
+1 1
+.names 2856 2855 2857
+11 1
+.names 2857 2858
+1 1
+.names 2584 2859
+1 1
+.names 736 2859 2860
+11 1
+.names 2860 2861
+1 1
+.names 749 2861 2862
+11 1
+.names 2862 2863
+1 1
+.names 2863 2858 56848
+11 1
+.names 2914 2911 2865
+11 1
+.names 2865 2866
+1 1
+.names 2910 472 2867
+11 1
+.names 2867 2868
+1 1
+.names 2906 2903 2869
+11 1
+.names 2869 2870
+1 1
+.names 2890 472 2871
+11 1
+.names 2871 2872
+1 1
+.names 754 2876 2873
+1- 1
+-1 1
+.names 2873 2874
+1 1
+.names 2946 2943 2875
+11 1
+.names 2875 2876
+1 1
+.names 755 2880 2877
+1- 1
+-1 1
+.names 2877 2878
+1 1
+.names 2942 201 2879
+11 1
+.names 2879 2880
+1 1
+.names 756 2884 2881
+1- 1
+-1 1
+.names 2881 2882
+1 1
+.names 2938 2935 2883
+11 1
+.names 2883 2884
+1 1
+.names 757 2888 2885
+1- 1
+-1 1
+.names 2885 2886
+1 1
+.names 2950 201 2887
+11 1
+.names 2887 2888
+1 1
+.names 474 473 2889
+11 1
+.names 2889 2890
+1 1
+.names 472 2891
+0 1
+.names 2891 2892
+1 1
+.names 474 473 2893
+11 1
+.names 2893 2894
+1 1
+.names 2894 2892 2895
+11 1
+.names 2895 2896
+1 1
+.names 473 2897
+0 1
+.names 2897 2898
+1 1
+.names 474 2898 2899
+11 1
+.names 2899 2900
+1 1
+.names 2900 472 2901
+11 1
+.names 2901 2902
+1 1
+.names 2891 2903
+1 1
+.names 2897 2904
+1 1
+.names 474 2904 2905
+11 1
+.names 2905 2906
+1 1
+.names 474 2907
+0 1
+.names 2907 2908
+1 1
+.names 2908 473 2909
+11 1
+.names 2909 2910
+1 1
+.names 2891 2911
+1 1
+.names 2907 2912
+1 1
+.names 2912 473 2913
+11 1
+.names 2913 2914
+1 1
+.names 2897 2915
+1 1
+.names 2907 2916
+1 1
+.names 2916 2915 2917
+11 1
+.names 2917 2918
+1 1
+.names 2918 472 2919
+11 1
+.names 2919 2920
+1 1
+.names 203 202 2921
+11 1
+.names 2921 2922
+1 1
+.names 2922 201 56850
+11 1
+.names 201 2924
+0 1
+.names 2924 2925
+1 1
+.names 203 202 2926
+11 1
+.names 2926 2927
+1 1
+.names 2927 2925 56851
+11 1
+.names 202 2929
+0 1
+.names 2929 2930
+1 1
+.names 203 2930 2931
+11 1
+.names 2931 2932
+1 1
+.names 2932 201 2933
+11 1
+.names 2933 2934
+1 1
+.names 2924 2935
+1 1
+.names 2929 2936
+1 1
+.names 203 2936 2937
+11 1
+.names 2937 2938
+1 1
+.names 203 2939
+0 1
+.names 2939 2940
+1 1
+.names 2940 202 2941
+11 1
+.names 2941 2942
+1 1
+.names 2924 2943
+1 1
+.names 2939 2944
+1 1
+.names 2944 202 2945
+11 1
+.names 2945 2946
+1 1
+.names 2929 2947
+1 1
+.names 2939 2948
+1 1
+.names 2948 2947 2949
+11 1
+.names 2949 2950
+1 1
+.names 56853 56854 2951
+1- 1
+-1 1
+.names 2951 2952
+1 1
+.names 4221 4223 56853
+11 1
+.names 4221 4225 56854
+11 1
+.names 2952 56855 2955
+1- 1
+-1 1
+.names 2955 2956
+1 1
+.names 4221 4227 56855
+11 1
+.names 2956 56856 2958
+1- 1
+-1 1
+.names 2958 2959
+1 1
+.names 4221 4229 56856
+11 1
+.names 2959 56857 2961
+1- 1
+-1 1
+.names 2961 2962
+1 1
+.names 4221 4231 56857
+11 1
+.names 2962 56858 2964
+1- 1
+-1 1
+.names 2964 2965
+1 1
+.names 4221 4233 56858
+11 1
+.names 2965 56859 2967
+1- 1
+-1 1
+.names 2967 2968
+1 1
+.names 4221 4235 56859
+11 1
+.names 2968 56860 2970
+1- 1
+-1 1
+.names 2970 2971
+1 1
+.names 4221 4237 56860
+11 1
+.names 2971 56861 2973
+1- 1
+-1 1
+.names 2973 2974
+1 1
+.names 4221 4239 56861
+11 1
+.names 2974 56862 2976
+1- 1
+-1 1
+.names 2976 2977
+1 1
+.names 4221 4241 56862
+11 1
+.names 2977 56863 2979
+1- 1
+-1 1
+.names 2979 2980
+1 1
+.names 4221 4243 56863
+11 1
+.names 2980 56864 2982
+1- 1
+-1 1
+.names 2982 2983
+1 1
+.names 4221 4245 56864
+11 1
+.names 2983 56865 2985
+1- 1
+-1 1
+.names 2985 2986
+1 1
+.names 4221 4247 56865
+11 1
+.names 2986 56866 2988
+1- 1
+-1 1
+.names 2988 2989
+1 1
+.names 4221 4249 56866
+11 1
+.names 2989 56867 2991
+1- 1
+-1 1
+.names 2991 2992
+1 1
+.names 3012 3010 56867
+1- 1
+-1 1
+.names 2992 56868 2994
+1- 1
+-1 1
+.names 2994 2995
+1 1
+.names 3008 3005 56868
+1- 1
+-1 1
+.names 2995 56869 2997
+1- 1
+-1 1
+.names 2997 2998
+1 1
+.names 4221 4255 56869
+11 1
+.names 2998 56870 3000
+1- 1
+-1 1
+.names 3000 3001
+1 1
+.names 4221 4257 56870
+11 1
+.names 3001 56871
+0 1
+.names 56872 722 3004
+11 1
+.names 3004 3005
+1 1
+.names 4221 4319 56872
+11 1
+.names 4221 4253 3007
+11 1
+.names 3007 3008
+1 1
+.names 56872 723 3009
+11 1
+.names 3009 3010
+1 1
+.names 4221 4251 3011
+11 1
+.names 3011 3012
+1 1
+.names 56874 56875 3013
+1- 1
+-1 1
+.names 3013 3014
+1 1
+.names 4221 4277 56874
+11 1
+.names 4221 4279 56875
+11 1
+.names 3014 56876 3017
+1- 1
+-1 1
+.names 3017 3018
+1 1
+.names 4221 4281 56876
+11 1
+.names 3018 56877 3020
+1- 1
+-1 1
+.names 3020 3021
+1 1
+.names 4221 4283 56877
+11 1
+.names 3021 56878 3023
+1- 1
+-1 1
+.names 3023 3024
+1 1
+.names 4221 4285 56878
+11 1
+.names 3024 56879 3026
+1- 1
+-1 1
+.names 3026 3027
+1 1
+.names 4221 4287 56879
+11 1
+.names 3027 56880 3029
+1- 1
+-1 1
+.names 3029 3030
+1 1
+.names 4221 4289 56880
+11 1
+.names 3030 56881 3032
+1- 1
+-1 1
+.names 3032 3033
+1 1
+.names 4221 4291 56881
+11 1
+.names 3033 56882 3035
+1- 1
+-1 1
+.names 3035 3036
+1 1
+.names 4221 4293 56882
+11 1
+.names 3036 56883 3038
+1- 1
+-1 1
+.names 3038 3039
+1 1
+.names 4221 4295 56883
+11 1
+.names 3039 56884 3041
+1- 1
+-1 1
+.names 3041 3042
+1 1
+.names 4221 4297 56884
+11 1
+.names 3042 56885 3044
+1- 1
+-1 1
+.names 3044 3045
+1 1
+.names 4221 4299 56885
+11 1
+.names 3045 56886 3047
+1- 1
+-1 1
+.names 3047 3048
+1 1
+.names 4221 4301 56886
+11 1
+.names 3048 56887 3050
+1- 1
+-1 1
+.names 3050 3051
+1 1
+.names 4221 4303 56887
+11 1
+.names 3051 56888 3053
+1- 1
+-1 1
+.names 3053 3054
+1 1
+.names 4221 4305 56888
+11 1
+.names 3054 56889 3056
+1- 1
+-1 1
+.names 3056 3057
+1 1
+.names 4221 4307 56889
+11 1
+.names 3057 56890 3059
+1- 1
+-1 1
+.names 3059 3060
+1 1
+.names 4221 4309 56890
+11 1
+.names 3060 56891 3062
+1- 1
+-1 1
+.names 3062 3063
+1 1
+.names 4221 4311 56891
+11 1
+.names 3063 56892 3065
+1- 1
+-1 1
+.names 3065 3066
+1 1
+.names 4221 4313 56892
+11 1
+.names 3066 56893 3068
+1- 1
+-1 1
+.names 3068 3069
+1 1
+.names 4221 4315 56893
+11 1
+.names 3069 56894
+0 1
+.names 56666 3072
+0 1
+.names 3072 3073
+1 1
+.names 3079 760 56666
+1- 1
+-1 1
+.names 2584 3075
+1 1
+.names 758 3076
+0 1
+.names 3076 3077
+1 1
+.names 759 3077 3078
+11 1
+.names 3078 3079
+1 1
+.names 3076 3080
+1 1
+.names 2584 3081
+1 1
+.names 3081 56830 3082
+11 1
+.names 3082 3083
+1 1
+.names 3083 733 3084
+11 1
+.names 3084 3085
+1 1
+.names 3085 3080 56665
+11 1
+.names 761 3087
+0 1
+.names 3087 3088
+1 1
+.names 3092 3094 3089
+11 1
+.names 3089 3090
+1 1
+.names 3233 3231 3091
+11 1
+.names 3091 3092
+1 1
+.names 21896 21897 3093
+11 1
+.names 3093 3094
+1 1
+.names 3090 762 3095
+11 1
+.names 3095 3096
+1 1
+.names 3096 3088 3097
+11 1
+.names 3097 3098
+1 1
+.names 763 3099
+0 1
+.names 3099 3100
+1 1
+.names 3104 3106 3101
+11 1
+.names 3101 3102
+1 1
+.names 3239 3237 3103
+11 1
+.names 3103 3104
+1 1
+.names 21795 21796 3105
+11 1
+.names 3105 3106
+1 1
+.names 3102 764 3107
+11 1
+.names 3107 3108
+1 1
+.names 3108 3100 3109
+11 1
+.names 3109 3110
+1 1
+.names 3114 3111
+0 1
+.names 3111 3112
+1 1
+.names 20679 20682 3113
+11 1
+.names 3113 3114
+1 1
+.names 2447 3115
+1 1
+.names 204 3116
+0 1
+.names 3116 3117
+1 1
+.names 3117 3115 3118
+11 1
+.names 3118 3119
+1 1
+.names 3119 3123 3120
+11 1
+.names 3120 3121
+1 1
+.names 3186 3175 3122
+11 1
+.names 3122 3123
+1 1
+.names 3121 3112 3124
+11 1
+.names 3124 3125
+1 1
+.names 3125 3129 3126
+11 1
+.names 3126 3127
+1 1
+.names 20787 20790 3128
+11 1
+.names 3128 3129
+1 1
+.names 3127 3133 3130
+11 1
+.names 3130 3131
+1 1
+.names 4219 4217 3132
+11 1
+.names 3132 3133
+1 1
+.names 2447 3134
+1 1
+.names 3116 3135
+1 1
+.names 3135 3134 3136
+11 1
+.names 3136 3137
+1 1
+.names 3137 3123 3138
+11 1
+.names 3138 3139
+1 1
+.names 3139 3114 3140
+11 1
+.names 3140 3141
+1 1
+.names 3141 3133 3142
+11 1
+.names 3142 3143
+1 1
+.names 3147 3149 3144
+1- 1
+-1 1
+.names 3144 3145
+1 1
+.names 3154 763 3146
+11 1
+.names 3146 3147
+1 1
+.names 3152 761 3148
+11 1
+.names 3148 3149
+1 1
+.names 3145 736 56895
+11 1
+.names 3094 3092 3151
+11 1
+.names 3151 3152
+1 1
+.names 3106 3104 3153
+11 1
+.names 3153 3154
+1 1
+.names 3157 3123 56896
+11 1
+.names 4216 4214 3156
+11 1
+.names 3156 3157
+1 1
+.names 2447 3158
+1 1
+.names 3114 3129 3159
+1- 1
+-1 1
+.names 3159 3160
+1 1
+.names 3160 3161
+0 1
+.names 3161 3162
+1 1
+.names 3114 3129 3163
+1- 1
+-1 1
+.names 3163 3164
+1 1
+.names 3164 204 3165
+11 1
+.names 3165 3166
+1 1
+.names 3166 3162 3167
+1- 1
+-1 1
+.names 3167 3168
+1 1
+.names 3123 3168 3169
+11 1
+.names 3169 3170
+1 1
+.names 3170 3158 3171
+11 1
+.names 3171 3172
+1 1
+.names 3172 3133 56897
+11 1
+.names 56898 3174
+0 1
+.names 3174 3175
+1 1
+.names 11054 11057 56898
+11 1
+.names 3180 3177
+0 1
+.names 3177 3178
+1 1
+.names 21195 21196 3179
+11 1
+.names 3179 3180
+1 1
+.names 3184 3181
+0 1
+.names 3181 3182
+1 1
+.names 21004 21005 3183
+11 1
+.names 3183 3184
+1 1
+.names 3182 3178 3185
+11 1
+.names 3185 3186
+1 1
+.names 2447 3187
+1 1
+.names 3191 3193 3188
+1- 1
+-1 1
+.names 3188 3189
+1 1
+.names 3212 3214 3190
+11 1
+.names 3190 3191
+1 1
+.names 3104 3210 3192
+11 1
+.names 3192 3193
+1 1
+.names 3189 3197 3194
+1- 1
+-1 1
+.names 3194 3195
+1 1
+.names 3206 3208 3196
+11 1
+.names 3196 3197
+1 1
+.names 3195 3201 3198
+1- 1
+-1 1
+.names 3198 3199
+1 1
+.names 3092 3204 3200
+11 1
+.names 3200 3201
+1 1
+.names 3199 3187 56899
+11 1
+.names 21330 21331 3203
+11 1
+.names 3203 3204
+1 1
+.names 3236 3234 3205
+11 1
+.names 3205 3206
+1 1
+.names 21580 21581 3207
+11 1
+.names 3207 3208
+1 1
+.names 21245 21246 3209
+11 1
+.names 3209 3210
+1 1
+.names 3242 3240 3211
+11 1
+.names 3211 3212
+1 1
+.names 21397 21398 3213
+11 1
+.names 3213 3214
+1 1
+.names 2447 3215
+1 1
+.names 3206 3092 3216
+1- 1
+-1 1
+.names 3216 3217
+1 1
+.names 3217 56843 3218
+1- 1
+-1 1
+.names 3218 3219
+1 1
+.names 3219 3131 3220
+1- 1
+-1 1
+.names 3220 3221
+1 1
+.names 3221 3215 56900
+11 1
+.names 2447 3223
+1 1
+.names 3212 3104 3224
+1- 1
+-1 1
+.names 3224 3225
+1 1
+.names 3225 56842 3226
+1- 1
+-1 1
+.names 3226 3227
+1 1
+.names 3227 3143 3228
+1- 1
+-1 1
+.names 3228 3229
+1 1
+.names 3229 3223 56901
+11 1
+.names 3174 3231
+1 1
+.names 3157 3180 3232
+11 1
+.names 3232 3233
+1 1
+.names 3174 3234
+1 1
+.names 3133 3180 3235
+11 1
+.names 3235 3236
+1 1
+.names 3174 3237
+1 1
+.names 3157 3184 3238
+11 1
+.names 3238 3239
+1 1
+.names 3174 3240
+1 1
+.names 3133 3184 3241
+11 1
+.names 3241 3242
+1 1
+.names 2679 3243
+1 1
+.names 765 766 3244
+1- 1
+-1 1
+.names 3244 3245
+1 1
+.names 3245 767 3246
+1- 1
+-1 1
+.names 3246 3247
+1 1
+.names 3247 56898 3248
+11 1
+.names 3248 3249
+1 1
+.names 3249 3243 3250
+11 1
+.names 3250 3251
+1 1
+.names 3251 736 56902
+11 1
+.names 768 3253
+0 1
+.names 3253 3254
+1 1
+.names 3257 3254 56903
+11 1
+.names 3271 774 3256
+11 1
+.names 3256 3257
+1 1
+.names 769 770 3258
+11 1
+.names 3258 3259
+1 1
+.names 3259 3260
+0 1
+.names 3260 3261
+1 1
+.names 3265 771 3262
+11 1
+.names 3262 3263
+1 1
+.names 3291 3295 3264
+11 1
+.names 3264 3265
+1 1
+.names 3263 3261 3266
+11 1
+.names 3266 3267
+1 1
+.names 3267 772 3268
+11 1
+.names 3268 3269
+1 1
+.names 3269 773 3270
+11 1
+.names 3270 3271
+1 1
+.names 3275 3277 3272
+11 1
+.names 3272 3273
+1 1
+.names 3323 3321 3274
+1- 1
+-1 1
+.names 3274 3275
+1 1
+.names 3319 3317 3276
+1- 1
+-1 1
+.names 3276 3277
+1 1
+.names 3273 3281 3278
+11 1
+.names 3278 3279
+1 1
+.names 3315 3313 3280
+1- 1
+-1 1
+.names 3280 3281
+1 1
+.names 3279 3285 3282
+11 1
+.names 3282 3283
+1 1
+.names 3311 3309 3284
+1- 1
+-1 1
+.names 3284 3285
+1 1
+.names 3283 3289 3286
+11 1
+.names 3286 3287
+1 1
+.names 3307 3305 3288
+1- 1
+-1 1
+.names 3288 3289
+1 1
+.names 3287 3293 3290
+11 1
+.names 3290 3291
+1 1
+.names 3303 3301 3292
+1- 1
+-1 1
+.names 3292 3293
+1 1
+.names 3299 3297 3294
+1- 1
+-1 1
+.names 3294 3295
+1 1
+.names 775 3296
+0 1
+.names 3296 3297
+1 1
+.names 6386 3298
+0 1
+.names 3298 3299
+1 1
+.names 776 3300
+0 1
+.names 3300 3301
+1 1
+.names 6388 3302
+0 1
+.names 3302 3303
+1 1
+.names 777 3304
+0 1
+.names 3304 3305
+1 1
+.names 6390 3306
+0 1
+.names 3306 3307
+1 1
+.names 778 3308
+0 1
+.names 3308 3309
+1 1
+.names 6392 3310
+0 1
+.names 3310 3311
+1 1
+.names 779 3312
+0 1
+.names 3312 3313
+1 1
+.names 20545 20546 3314
+11 1
+.names 3314 3315
+1 1
+.names 780 3316
+0 1
+.names 3316 3317
+1 1
+.names 6394 3318
+0 1
+.names 3318 3319
+1 1
+.names 781 3320
+0 1
+.names 3320 3321
+1 1
+.names 20501 20502 3322
+11 1
+.names 3322 3323
+1 1
+.names 3253 3324
+1 1
+.names 3327 3324 56904
+11 1
+.names 3341 785 3326
+11 1
+.names 3326 3327
+1 1
+.names 769 782 3328
+11 1
+.names 3328 3329
+1 1
+.names 3329 3330
+0 1
+.names 3330 3331
+1 1
+.names 3335 771 3332
+11 1
+.names 3332 3333
+1 1
+.names 3361 3365 3334
+11 1
+.names 3334 3335
+1 1
+.names 3333 3331 3336
+11 1
+.names 3336 3337
+1 1
+.names 3337 783 3338
+11 1
+.names 3338 3339
+1 1
+.names 3339 784 3340
+11 1
+.names 3340 3341
+1 1
+.names 3345 3347 3342
+11 1
+.names 3342 3343
+1 1
+.names 3393 3391 3344
+1- 1
+-1 1
+.names 3344 3345
+1 1
+.names 3389 3387 3346
+1- 1
+-1 1
+.names 3346 3347
+1 1
+.names 3343 3351 3348
+11 1
+.names 3348 3349
+1 1
+.names 3385 3383 3350
+1- 1
+-1 1
+.names 3350 3351
+1 1
+.names 3349 3355 3352
+11 1
+.names 3352 3353
+1 1
+.names 3381 3379 3354
+1- 1
+-1 1
+.names 3354 3355
+1 1
+.names 3353 3359 3356
+11 1
+.names 3356 3357
+1 1
+.names 3377 3375 3358
+1- 1
+-1 1
+.names 3358 3359
+1 1
+.names 3357 3363 3360
+11 1
+.names 3360 3361
+1 1
+.names 3373 3371 3362
+1- 1
+-1 1
+.names 3362 3363
+1 1
+.names 3369 3367 3364
+1- 1
+-1 1
+.names 3364 3365
+1 1
+.names 786 3366
+0 1
+.names 3366 3367
+1 1
+.names 6396 3368
+0 1
+.names 3368 3369
+1 1
+.names 787 3370
+0 1
+.names 3370 3371
+1 1
+.names 6398 3372
+0 1
+.names 3372 3373
+1 1
+.names 788 3374
+0 1
+.names 3374 3375
+1 1
+.names 6400 3376
+0 1
+.names 3376 3377
+1 1
+.names 789 3378
+0 1
+.names 3378 3379
+1 1
+.names 6402 3380
+0 1
+.names 3380 3381
+1 1
+.names 790 3382
+0 1
+.names 3382 3383
+1 1
+.names 20355 20356 3384
+11 1
+.names 3384 3385
+1 1
+.names 791 3386
+0 1
+.names 3386 3387
+1 1
+.names 6404 3388
+0 1
+.names 3388 3389
+1 1
+.names 792 3390
+0 1
+.names 3390 3391
+1 1
+.names 20310 20311 3392
+11 1
+.names 3392 3393
+1 1
+.names 793 3394
+0 1
+.names 3394 3395
+1 1
+.names 714 3395 56905
+11 1
+.names 2584 3397
+1 1
+.names 3397 794 56906
+1- 1
+-1 1
+.names 3401 3403 56907
+11 1
+.names 3446 3450 3400
+11 1
+.names 3400 3401
+1 1
+.names 3426 736 3402
+11 1
+.names 3402 3403
+1 1
+.names 772 3404
+0 1
+.names 3404 3405
+1 1
+.names 769 770 3406
+11 1
+.names 3406 3407
+1 1
+.names 3407 3408
+0 1
+.names 3408 3409
+1 1
+.names 3413 773 3410
+11 1
+.names 3410 3411
+1 1
+.names 3574 56731 3412
+1- 1
+-1 1
+.names 3412 3413
+1 1
+.names 773 3414
+0 1
+.names 3414 3415
+1 1
+.names 56419 3415 3416
+11 1
+.names 3416 3417
+1 1
+.names 6747 736 56419
+11 1
+.names 3417 3411 3419
+1- 1
+-1 1
+.names 3419 3420
+1 1
+.names 3420 3409 3421
+11 1
+.names 3421 3422
+1 1
+.names 3422 774 3423
+11 1
+.names 3423 3424
+1 1
+.names 3424 3405 3425
+11 1
+.names 3425 3426
+1 1
+.names 3430 3432 3427
+11 1
+.names 3427 3428
+1 1
+.names 3471 3469 3429
+1- 1
+-1 1
+.names 3429 3430
+1 1
+.names 3468 3466 3431
+1- 1
+-1 1
+.names 3431 3432
+1 1
+.names 3428 3436 3433
+11 1
+.names 3433 3434
+1 1
+.names 3465 3463 3435
+1- 1
+-1 1
+.names 3435 3436
+1 1
+.names 3434 3440 3437
+11 1
+.names 3437 3438
+1 1
+.names 3462 3460 3439
+1- 1
+-1 1
+.names 3439 3440
+1 1
+.names 3438 3444 3441
+11 1
+.names 3441 3442
+1 1
+.names 3459 3457 3443
+1- 1
+-1 1
+.names 3443 3444
+1 1
+.names 3442 3448 3445
+11 1
+.names 3445 3446
+1 1
+.names 3456 3454 3447
+1- 1
+-1 1
+.names 3447 3448
+1 1
+.names 3453 3451 3449
+1- 1
+-1 1
+.names 3449 3450
+1 1
+.names 3296 3451
+1 1
+.names 6406 3452
+0 1
+.names 3452 3453
+1 1
+.names 3300 3454
+1 1
+.names 6409 3455
+0 1
+.names 3455 3456
+1 1
+.names 3304 3457
+1 1
+.names 6412 3458
+0 1
+.names 3458 3459
+1 1
+.names 3308 3460
+1 1
+.names 6415 3461
+0 1
+.names 3461 3462
+1 1
+.names 3312 3463
+1 1
+.names 20164 20165 3464
+11 1
+.names 3464 3465
+1 1
+.names 3316 3466
+1 1
+.names 6418 3467
+0 1
+.names 3467 3468
+1 1
+.names 3320 3469
+1 1
+.names 20120 20121 3470
+11 1
+.names 3470 3471
+1 1
+.names 3394 3472
+1 1
+.names 714 3472 56908
+11 1
+.names 2584 3474
+1 1
+.names 3474 794 56909
+1- 1
+-1 1
+.names 3478 3480 56910
+11 1
+.names 3520 3524 3477
+11 1
+.names 3477 3478
+1 1
+.names 3500 736 3479
+11 1
+.names 3479 3480
+1 1
+.names 783 3481
+0 1
+.names 3481 3482
+1 1
+.names 769 782 3483
+11 1
+.names 3483 3484
+1 1
+.names 3484 3485
+0 1
+.names 3485 3486
+1 1
+.names 3413 784 3487
+11 1
+.names 3487 3488
+1 1
+.names 784 3489
+0 1
+.names 3489 3490
+1 1
+.names 56419 3490 3491
+11 1
+.names 3491 3492
+1 1
+.names 3492 3488 3493
+1- 1
+-1 1
+.names 3493 3494
+1 1
+.names 3494 3486 3495
+11 1
+.names 3495 3496
+1 1
+.names 3496 785 3497
+11 1
+.names 3497 3498
+1 1
+.names 3498 3482 3499
+11 1
+.names 3499 3500
+1 1
+.names 3504 3506 3501
+11 1
+.names 3501 3502
+1 1
+.names 3545 3543 3503
+1- 1
+-1 1
+.names 3503 3504
+1 1
+.names 3542 3540 3505
+1- 1
+-1 1
+.names 3505 3506
+1 1
+.names 3502 3510 3507
+11 1
+.names 3507 3508
+1 1
+.names 3539 3537 3509
+1- 1
+-1 1
+.names 3509 3510
+1 1
+.names 3508 3514 3511
+11 1
+.names 3511 3512
+1 1
+.names 3536 3534 3513
+1- 1
+-1 1
+.names 3513 3514
+1 1
+.names 3512 3518 3515
+11 1
+.names 3515 3516
+1 1
+.names 3533 3531 3517
+1- 1
+-1 1
+.names 3517 3518
+1 1
+.names 3516 3522 3519
+11 1
+.names 3519 3520
+1 1
+.names 3530 3528 3521
+1- 1
+-1 1
+.names 3521 3522
+1 1
+.names 3527 3525 3523
+1- 1
+-1 1
+.names 3523 3524
+1 1
+.names 3366 3525
+1 1
+.names 6421 3526
+0 1
+.names 3526 3527
+1 1
+.names 3370 3528
+1 1
+.names 6423 3529
+0 1
+.names 3529 3530
+1 1
+.names 3374 3531
+1 1
+.names 6425 3532
+0 1
+.names 3532 3533
+1 1
+.names 3378 3534
+1 1
+.names 6427 3535
+0 1
+.names 3535 3536
+1 1
+.names 3382 3537
+1 1
+.names 19973 19974 3538
+11 1
+.names 3538 3539
+1 1
+.names 3386 3540
+1 1
+.names 6429 3541
+0 1
+.names 3541 3542
+1 1
+.names 3390 3543
+1 1
+.names 19920 19921 3544
+11 1
+.names 3544 3545
+1 1
+.names 3549 795 3546
+11 1
+.names 3546 3547
+1 1
+.names 3570 3560 3548
+11 1
+.names 3548 3549
+1 1
+.names 3547 796 3550
+11 1
+.names 3550 3551
+1 1
+.names 3551 733 56911
+11 1
+.names 3556 3558 3553
+1- 1
+-1 1
+.names 3553 3554
+1 1
+.names 17212 17213 3555
+11 1
+.names 3555 3556
+1 1
+.names 17039 16936 3557
+11 1
+.names 3557 3558
+1 1
+.names 3554 3562 3559
+1- 1
+-1 1
+.names 3559 3560
+1 1
+.names 16523 16524 3561
+11 1
+.names 3561 3562
+1 1
+.names 3566 3568 3563
+1- 1
+-1 1
+.names 3563 3564
+1 1
+.names 16045 16046 3565
+11 1
+.names 3565 3566
+1 1
+.names 15867 15762 3567
+11 1
+.names 3567 3568
+1 1
+.names 3564 3572 3569
+1- 1
+-1 1
+.names 3569 3570
+1 1
+.names 15349 15350 3571
+11 1
+.names 3571 3572
+1 1
+.names 56420 56912 3573
+1- 1
+-1 1
+.names 3573 3574
+1 1
+.names 6755 736 56420
+11 1
+.names 843 736 56912
+11 1
+.names 5325 841 56731
+11 1
+.names 56419 56420 3578
+1- 1
+-1 1
+.names 3578 3579
+1 1
+.names 3579 56912 3580
+1- 1
+-1 1
+.names 3580 3581
+1 1
+.names 3581 56731 3582
+1- 1
+-1 1
+.names 3582 3583
+1 1
+.names 797 3583 3584
+11 1
+.names 3584 3585
+1 1
+.names 798 799 3586
+1- 1
+-1 1
+.names 3586 3587
+1 1
+.names 3587 3585 56914
+1- 1
+-1 1
+.names 3592 795 3589
+11 1
+.names 3589 3590
+1 1
+.names 3613 3603 3591
+11 1
+.names 3591 3592
+1 1
+.names 3590 797 3593
+11 1
+.names 3593 3594
+1 1
+.names 3594 800 56915
+11 1
+.names 3599 3601 3596
+1- 1
+-1 1
+.names 3596 3597
+1 1
+.names 19518 19519 3598
+11 1
+.names 3598 3599
+1 1
+.names 19345 19242 3600
+11 1
+.names 3600 3601
+1 1
+.names 3597 3605 3602
+1- 1
+-1 1
+.names 3602 3603
+1 1
+.names 18840 18841 3604
+11 1
+.names 3604 3605
+1 1
+.names 3609 3611 3606
+1- 1
+-1 1
+.names 3606 3607
+1 1
+.names 18366 18367 3608
+11 1
+.names 3608 3609
+1 1
+.names 18188 18083 3610
+11 1
+.names 3610 3611
+1 1
+.names 3607 3615 3612
+1- 1
+-1 1
+.names 3612 3613
+1 1
+.names 17681 17682 3614
+11 1
+.names 3614 3615
+1 1
+.names 801 802 56916
+1- 1
+-1 1
+.names 3620 3622 3617
+1- 1
+-1 1
+.names 3617 3618
+1 1
+.names 808 3636 3619
+11 1
+.names 3619 3620
+1 1
+.names 3634 3636 3621
+11 1
+.names 3621 3622
+1 1
+.names 3626 803 3623
+1- 1
+-1 1
+.names 3623 3624
+1 1
+.names 808 3642 3625
+11 1
+.names 3625 3626
+1 1
+.names 3624 3630 3627
+1- 1
+-1 1
+.names 3627 3628
+1 1
+.names 3640 3642 3629
+11 1
+.names 3629 3630
+1 1
+.names 804 805 3631
+11 1
+.names 3631 3632
+1 1
+.names 3632 806 3633
+11 1
+.names 3633 3634
+1 1
+.names 56383 56387 3635
+1- 1
+-1 1
+.names 3635 3636
+1 1
+.names 804 805 3637
+11 1
+.names 3637 3638
+1 1
+.names 3638 806 3639
+11 1
+.names 3639 3640
+1 1
+.names 56376 56380 3641
+1- 1
+-1 1
+.names 3641 3642
+1 1
+.names 804 807 3643
+11 1
+.names 3643 3644
+1 1
+.names 3644 3647 56917
+11 1
+.names 56391 56395 3646
+1- 1
+-1 1
+.names 3646 3647
+1 1
+.names 804 807 3648
+11 1
+.names 3648 3649
+1 1
+.names 3649 3636 56919
+11 1
+.names 804 807 3651
+11 1
+.names 3651 3652
+1 1
+.names 3652 3642 56921
+11 1
+.names 56928 56929
+0 1
+.names 4792 827 56928
+1- 1
+-1 1
+.names 475 3656
+0 1
+.names 3656 3657
+1 1
+.names 809 3658
+0 1
+.names 3658 3659
+1 1
+.names 3659 476 3660
+11 1
+.names 3660 3661
+1 1
+.names 3661 3657 3662
+11 1
+.names 3662 3663
+1 1
+.names 3667 3669 3664
+1- 1
+-1 1
+.names 3664 3665
+1 1
+.names 3683 3680 3666
+11 1
+.names 3666 3667
+1 1
+.names 3676 810 3668
+11 1
+.names 3668 3669
+1 1
+.names 3665 3673 3670
+1- 1
+-1 1
+.names 3670 3671
+1 1
+.names 3679 475 3672
+11 1
+.names 3672 3673
+1 1
+.names 3671 3674
+0 1
+.names 3674 3675
+1 1
+.names 3658 3676
+1 1
+.names 3658 3677
+1 1
+.names 3677 476 3678
+11 1
+.names 3678 3679
+1 1
+.names 3656 3680
+1 1
+.names 3658 3681
+1 1
+.names 3681 476 3682
+11 1
+.names 3682 3683
+1 1
+.names 56821 56822 3684
+1- 1
+-1 1
+.names 3684 3685
+1 1
+.names 3685 56823 3686
+1- 1
+-1 1
+.names 3686 3687
+1 1
+.names 3687 56824 3688
+1- 1
+-1 1
+.names 3688 3689
+1 1
+.names 3689 56825 3690
+1- 1
+-1 1
+.names 3690 3691
+1 1
+.names 3691 56826 3692
+1- 1
+-1 1
+.names 3692 3693
+1 1
+.names 3693 56931 3694
+1- 1
+-1 1
+.names 3694 3695
+1 1
+.names 10222 56931
+0 1
+.names 3695 56932 3697
+1- 1
+-1 1
+.names 3697 3698
+1 1
+.names 10199 56932
+0 1
+.names 3698 56933 3700
+1- 1
+-1 1
+.names 3700 3701
+1 1
+.names 9873 56933
+0 1
+.names 3701 56934 3703
+1- 1
+-1 1
+.names 3703 3704
+1 1
+.names 9903 56934
+0 1
+.names 3704 56935 3706
+1- 1
+-1 1
+.names 3706 3707
+1 1
+.names 9853 56935
+0 1
+.names 3707 56936 3709
+1- 1
+-1 1
+.names 3709 3710
+1 1
+.names 10169 56936
+0 1
+.names 3710 56819 3712
+1- 1
+-1 1
+.names 3712 3713
+1 1
+.names 3713 56820 3714
+1- 1
+-1 1
+.names 3714 3715
+1 1
+.names 3715 56937 3716
+1- 1
+-1 1
+.names 3716 3717
+1 1
+.names 9813 56937
+0 1
+.names 3717 56807 3719
+1- 1
+-1 1
+.names 3719 3720
+1 1
+.names 3720 56828 3721
+1- 1
+-1 1
+.names 3721 3722
+1 1
+.names 3722 56829 3723
+1- 1
+-1 1
+.names 3723 3724
+1 1
+.names 3724 56780 3725
+1- 1
+-1 1
+.names 3725 3726
+1 1
+.names 3726 56781 3727
+1- 1
+-1 1
+.names 3727 3728
+1 1
+.names 3728 2480 3729
+1- 1
+-1 1
+.names 3729 3730
+1 1
+.names 3730 2472 3731
+1- 1
+-1 1
+.names 3731 3732
+1 1
+.names 3732 2474 3733
+1- 1
+-1 1
+.names 3733 3734
+1 1
+.names 3734 2464 3735
+1- 1
+-1 1
+.names 3735 3736
+1 1
+.names 3736 2466 3737
+1- 1
+-1 1
+.names 3737 3738
+1 1
+.names 3738 2482 3739
+1- 1
+-1 1
+.names 3739 3740
+1 1
+.names 3740 56782 3741
+1- 1
+-1 1
+.names 3741 3742
+1 1
+.names 3742 2476 3743
+1- 1
+-1 1
+.names 3743 3744
+1 1
+.names 3744 2468 3745
+1- 1
+-1 1
+.names 3745 3746
+1 1
+.names 56929 3747
+1 1
+.names 3747 3746 56938
+11 1
+.names 56940 2480 3749
+1- 1
+-1 1
+.names 3749 3750
+1 1
+.names 9891 56940
+0 1
+.names 3750 2472 3752
+1- 1
+-1 1
+.names 3752 3753
+1 1
+.names 3753 2474 3754
+1- 1
+-1 1
+.names 3754 3755
+1 1
+.names 3755 2464 3756
+1- 1
+-1 1
+.names 3756 3757
+1 1
+.names 3757 2466 3758
+1- 1
+-1 1
+.names 3758 3759
+1 1
+.names 3759 2482 3760
+1- 1
+-1 1
+.names 3760 3761
+1 1
+.names 3761 56782 3762
+1- 1
+-1 1
+.names 3762 3763
+1 1
+.names 3763 2476 3764
+1- 1
+-1 1
+.names 3764 3765
+1 1
+.names 3765 2468 3766
+1- 1
+-1 1
+.names 3766 3767
+1 1
+.names 3767 3771 3768
+1- 1
+-1 1
+.names 3768 3769
+1 1
+.names 4221 4431 3770
+11 1
+.names 3770 3771
+1 1
+.names 3769 3775 3772
+1- 1
+-1 1
+.names 3772 3773
+1 1
+.names 4221 4429 3774
+11 1
+.names 3774 3775
+1 1
+.names 3773 3779 3776
+1- 1
+-1 1
+.names 3776 3777
+1 1
+.names 4221 4427 3778
+11 1
+.names 3778 3779
+1 1
+.names 3777 3783 3780
+1- 1
+-1 1
+.names 3780 3781
+1 1
+.names 4221 4425 3782
+11 1
+.names 3782 3783
+1 1
+.names 3781 3787 3784
+1- 1
+-1 1
+.names 3784 3785
+1 1
+.names 4221 4423 3786
+11 1
+.names 3786 3787
+1 1
+.names 3785 56838 3788
+1- 1
+-1 1
+.names 3788 3789
+1 1
+.names 3789 56839 3790
+1- 1
+-1 1
+.names 3790 3791
+1 1
+.names 3791 3795 3792
+1- 1
+-1 1
+.names 3792 3793
+1 1
+.names 4221 4413 3794
+11 1
+.names 3794 3795
+1 1
+.names 3793 3799 3796
+1- 1
+-1 1
+.names 3796 3797
+1 1
+.names 4221 4411 3798
+11 1
+.names 3798 3799
+1 1
+.names 3797 3803 3800
+1- 1
+-1 1
+.names 3800 3801
+1 1
+.names 4221 4409 3802
+11 1
+.names 3802 3803
+1 1
+.names 3801 2674 3804
+1- 1
+-1 1
+.names 3804 3805
+1 1
+.names 3805 2676 3806
+1- 1
+-1 1
+.names 3806 3807
+1 1
+.names 3807 3811 3808
+1- 1
+-1 1
+.names 3808 3809
+1 1
+.names 4221 4399 3810
+11 1
+.names 3810 3811
+1 1
+.names 3809 3815 3812
+1- 1
+-1 1
+.names 3812 3813
+1 1
+.names 4221 4397 3814
+11 1
+.names 3814 3815
+1 1
+.names 3813 3819 3816
+1- 1
+-1 1
+.names 3816 3817
+1 1
+.names 4221 4395 3818
+11 1
+.names 3818 3819
+1 1
+.names 3817 3823 3820
+1- 1
+-1 1
+.names 3820 3821
+1 1
+.names 4221 4393 3822
+11 1
+.names 3822 3823
+1 1
+.names 3821 3827 3824
+1- 1
+-1 1
+.names 3824 3825
+1 1
+.names 4221 4391 3826
+11 1
+.names 3826 3827
+1 1
+.names 3825 56941 3828
+1- 1
+-1 1
+.names 3828 3829
+1 1
+.names 4221 4384 56941
+11 1
+.names 3829 3834 3831
+1- 1
+-1 1
+.names 3831 3832
+1 1
+.names 4221 4382 3833
+11 1
+.names 3833 3834
+1 1
+.names 3832 3838 3835
+1- 1
+-1 1
+.names 3835 3836
+1 1
+.names 4221 4380 3837
+11 1
+.names 3837 3838
+1 1
+.names 3836 3842 3839
+1- 1
+-1 1
+.names 3839 3840
+1 1
+.names 4221 4378 3841
+11 1
+.names 3841 3842
+1 1
+.names 3840 56942 3843
+1- 1
+-1 1
+.names 3843 3844
+1 1
+.names 4221 4372 56942
+11 1
+.names 3844 56943 3846
+1- 1
+-1 1
+.names 3846 3847
+1 1
+.names 4221 4370 56943
+11 1
+.names 3847 3852 3849
+1- 1
+-1 1
+.names 3849 3850
+1 1
+.names 4221 4368 3851
+11 1
+.names 3851 3852
+1 1
+.names 3850 3856 3853
+1- 1
+-1 1
+.names 3853 3854
+1 1
+.names 4221 4366 3855
+11 1
+.names 3855 3856
+1 1
+.names 3854 3860 3857
+1- 1
+-1 1
+.names 3857 3858
+1 1
+.names 4221 4364 3859
+11 1
+.names 3859 3860
+1 1
+.names 3858 56944 3861
+1- 1
+-1 1
+.names 3861 3862
+1 1
+.names 4221 4358 56944
+11 1
+.names 3862 56945 3864
+1- 1
+-1 1
+.names 3864 3865
+1 1
+.names 4221 4356 56945
+11 1
+.names 3865 3870 3867
+1- 1
+-1 1
+.names 3867 3868
+1 1
+.names 4221 4354 3869
+11 1
+.names 3869 3870
+1 1
+.names 3868 3874 3871
+1- 1
+-1 1
+.names 3871 3872
+1 1
+.names 4221 4352 3873
+11 1
+.names 3873 3874
+1 1
+.names 3872 56946 3875
+1- 1
+-1 1
+.names 3875 3876
+1 1
+.names 4221 4346 56946
+11 1
+.names 3876 56947 3878
+1- 1
+-1 1
+.names 3878 3879
+1 1
+.names 4221 4344 56947
+11 1
+.names 3879 3884 3881
+1- 1
+-1 1
+.names 3881 3882
+1 1
+.names 4221 4342 3883
+11 1
+.names 3883 3884
+1 1
+.names 3882 3888 3885
+1- 1
+-1 1
+.names 3885 3886
+1 1
+.names 4221 4340 3887
+11 1
+.names 3887 3888
+1 1
+.names 3886 3892 3889
+1- 1
+-1 1
+.names 3889 3890
+1 1
+.names 4221 4338 3891
+11 1
+.names 3891 3892
+1 1
+.names 3890 3896 3893
+1- 1
+-1 1
+.names 3893 3894
+1 1
+.names 4221 4329 3895
+11 1
+.names 3895 3896
+1 1
+.names 3894 3900 3897
+1- 1
+-1 1
+.names 3897 3898
+1 1
+.names 4221 4327 3899
+11 1
+.names 3899 3900
+1 1
+.names 3898 3904 3901
+1- 1
+-1 1
+.names 3901 3902
+1 1
+.names 4221 4321 3903
+11 1
+.names 3903 3904
+1 1
+.names 3902 56948 3905
+1- 1
+-1 1
+.names 3905 3906
+1 1
+.names 4221 4259 56948
+11 1
+.names 56929 3908
+1 1
+.names 3908 3906 56949
+11 1
+.names 2591 3910
+1 1
+.names 811 3910 3911
+11 1
+.names 3911 3912
+1 1
+.names 2591 3913
+1 1
+.names 812 3913 3914
+11 1
+.names 3914 3915
+1 1
+.names 2591 3916
+1 1
+.names 813 3916 3917
+11 1
+.names 3917 3918
+1 1
+.names 814 3922 3919
+1- 1
+-1 1
+.names 3919 3920
+1 1
+.names 3932 2884 3921
+1- 1
+-1 1
+.names 3921 3922
+1 1
+.names 2591 3923
+1 1
+.names 815 3923 3924
+11 1
+.names 3924 3925
+1 1
+.names 2591 3926
+1 1
+.names 816 3926 3927
+11 1
+.names 3927 3928
+1 1
+.names 2888 2876 3929
+1- 1
+-1 1
+.names 3929 3930
+1 1
+.names 3930 2880 3931
+1- 1
+-1 1
+.names 3931 3932
+1 1
+.names 56951 56952 3933
+1- 1
+-1 1
+.names 3933 3934
+1 1
+.names 56961 56962 56951
+1- 1
+-1 1
+.names 4055 56960 56952
+1- 1
+-1 1
+.names 3934 56953 3937
+1- 1
+-1 1
+.names 3937 3938
+1 1
+.names 4053 56874 56953
+1- 1
+-1 1
+.names 3938 56954 3940
+1- 1
+-1 1
+.names 3940 3941
+1 1
+.names 4013 2579 56954
+1- 1
+-1 1
+.names 3941 56955 3943
+1- 1
+-1 1
+.names 3943 3944
+1 1
+.names 3981 2495 56955
+1- 1
+-1 1
+.names 3944 56956 3946
+1- 1
+-1 1
+.names 3946 3947
+1 1
+.names 3973 2597 56956
+1- 1
+-1 1
+.names 3947 1783 3949
+1- 1
+-1 1
+.names 3949 3950
+1 1
+.names 3950 56957
+0 1
+.names 3955 2621 3952
+1- 1
+-1 1
+.names 3952 3953
+1 1
+.names 10203 3954
+0 1
+.names 3954 3955
+1 1
+.names 3953 2599 3956
+1- 1
+-1 1
+.names 3956 3957
+1 1
+.names 3957 3961 3958
+1- 1
+-1 1
+.names 3958 3959
+1 1
+.names 10181 3960
+0 1
+.names 3960 3961
+1 1
+.names 3959 56941 3962
+1- 1
+-1 1
+.names 3962 3963
+1 1
+.names 3963 56942 3964
+1- 1
+-1 1
+.names 3964 3965
+1 1
+.names 3965 56943 3966
+1- 1
+-1 1
+.names 3966 3967
+1 1
+.names 3967 3971 3968
+1- 1
+-1 1
+.names 3968 3969
+1 1
+.names 9911 3970
+0 1
+.names 3970 3971
+1 1
+.names 3969 2617 3972
+1- 1
+-1 1
+.names 3972 3973
+1 1
+.names 2513 56789 3974
+1- 1
+-1 1
+.names 3974 3975
+1 1
+.names 3975 2520 3976
+1- 1
+-1 1
+.names 3976 3977
+1 1
+.names 3977 2491 3978
+1- 1
+-1 1
+.names 3978 3979
+1 1
+.names 3979 2487 3980
+1- 1
+-1 1
+.names 3980 3981
+1 1
+.names 2566 3985 3982
+1- 1
+-1 1
+.names 3982 3983
+1 1
+.names 10201 3984
+0 1
+.names 3984 3985
+1 1
+.names 3983 2574 3986
+1- 1
+-1 1
+.names 3986 3987
+1 1
+.names 3987 56799 3988
+1- 1
+-1 1
+.names 3988 3989
+1 1
+.names 3989 56807 3990
+1- 1
+-1 1
+.names 3990 3991
+1 1
+.names 3991 56781 3992
+1- 1
+-1 1
+.names 3992 3993
+1 1
+.names 3993 56798 3994
+1- 1
+-1 1
+.names 3994 3995
+1 1
+.names 3995 56797 3996
+1- 1
+-1 1
+.names 3996 3997
+1 1
+.names 3997 56796 3998
+1- 1
+-1 1
+.names 3998 3999
+1 1
+.names 3999 4003 4000
+1- 1
+-1 1
+.names 4000 4001
+1 1
+.names 10068 4002
+0 1
+.names 4002 4003
+1 1
+.names 4001 4007 4004
+1- 1
+-1 1
+.names 4004 4005
+1 1
+.names 10260 4006
+0 1
+.names 4006 4007
+1 1
+.names 4005 2572 4008
+1- 1
+-1 1
+.names 4008 4009
+1 1
+.names 4009 2564 4010
+1- 1
+-1 1
+.names 4010 4011
+1 1
+.names 4011 2577 4012
+1- 1
+-1 1
+.names 4012 4013
+1 1
+.names 4017 56893 4014
+1- 1
+-1 1
+.names 4014 4015
+1 1
+.names 4221 4317 4016
+11 1
+.names 4016 4017
+1 1
+.names 4015 56892 4018
+1- 1
+-1 1
+.names 4018 4019
+1 1
+.names 4019 56891 4020
+1- 1
+-1 1
+.names 4020 4021
+1 1
+.names 4021 56890 4022
+1- 1
+-1 1
+.names 4022 4023
+1 1
+.names 4023 56889 4024
+1- 1
+-1 1
+.names 4024 4025
+1 1
+.names 4025 56888 4026
+1- 1
+-1 1
+.names 4026 4027
+1 1
+.names 4027 56887 4028
+1- 1
+-1 1
+.names 4028 4029
+1 1
+.names 4029 56886 4030
+1- 1
+-1 1
+.names 4030 4031
+1 1
+.names 4031 56885 4032
+1- 1
+-1 1
+.names 4032 4033
+1 1
+.names 4033 56884 4034
+1- 1
+-1 1
+.names 4034 4035
+1 1
+.names 4035 56883 4036
+1- 1
+-1 1
+.names 4036 4037
+1 1
+.names 4037 56882 4038
+1- 1
+-1 1
+.names 4038 4039
+1 1
+.names 4039 56881 4040
+1- 1
+-1 1
+.names 4040 4041
+1 1
+.names 4041 56880 4042
+1- 1
+-1 1
+.names 4042 4043
+1 1
+.names 4043 56879 4044
+1- 1
+-1 1
+.names 4044 4045
+1 1
+.names 4045 56878 4046
+1- 1
+-1 1
+.names 4046 4047
+1 1
+.names 4047 56877 4048
+1- 1
+-1 1
+.names 4048 4049
+1 1
+.names 4049 56876 4050
+1- 1
+-1 1
+.names 4050 4051
+1 1
+.names 4051 56875 4052
+1- 1
+-1 1
+.names 4052 4053
+1 1
+.names 56958 56959 4054
+1- 1
+-1 1
+.names 4054 4055
+1 1
+.names 10197 56958
+0 1
+.names 10175 56959
+0 1
+.names 9787 56960
+0 1
+.names 10173 56961
+0 1
+.names 4221 4389 56962
+11 1
+.names 56964 56965
+0 1
+.names 4090 4066 56964
+1- 1
+-1 1
+.names 722 723 4063
+1- 1
+-1 1
+.names 4063 4064
+1 1
+.names 2564 4064 4065
+11 1
+.names 4065 4066
+1 1
+.names 722 723 4067
+1- 1
+-1 1
+.names 4067 4068
+1 1
+.names 2572 4068 4069
+11 1
+.names 4069 4070
+1 1
+.names 722 723 4071
+1- 1
+-1 1
+.names 4071 4072
+1 1
+.names 4007 4072 4073
+11 1
+.names 4073 4074
+1 1
+.names 2566 3985 4075
+1- 1
+-1 1
+.names 4075 4076
+1 1
+.names 4076 2574 4077
+1- 1
+-1 1
+.names 4077 4078
+1 1
+.names 4078 56807 4079
+1- 1
+-1 1
+.names 4079 4080
+1 1
+.names 4080 56781 4081
+1- 1
+-1 1
+.names 4081 4082
+1 1
+.names 4082 2577 4083
+1- 1
+-1 1
+.names 4083 4084
+1 1
+.names 4084 2579 4085
+1- 1
+-1 1
+.names 4085 4086
+1 1
+.names 4086 4074 4087
+1- 1
+-1 1
+.names 4087 4088
+1 1
+.names 4088 4070 4089
+1- 1
+-1 1
+.names 4089 4090
+1 1
+.names 817 807 4091
+11 1
+.names 4091 4092
+1 1
+.names 2495 2491 4093
+1- 1
+-1 1
+.names 4093 4094
+1 1
+.names 4094 2487 56969
+1- 1
+-1 1
+.names 2513 56789 4096
+1- 1
+-1 1
+.names 4096 4097
+1 1
+.names 4097 2520 56970
+1- 1
+-1 1
+.names 818 736 4099
+11 1
+.names 4099 4100
+1 1
+.names 722 723 4101
+1- 1
+-1 1
+.names 4101 4102
+1 1
+.names 2491 4102 4103
+11 1
+.names 4103 4104
+1 1
+.names 56961 722 4105
+11 1
+.names 4105 4106
+1 1
+.names 56789 56827 4107
+1- 1
+-1 1
+.names 4107 4108
+1 1
+.names 4108 4106 4109
+1- 1
+-1 1
+.names 4109 4110
+1 1
+.names 4110 56821 4111
+1- 1
+-1 1
+.names 4111 4112
+1 1
+.names 4112 56822 4113
+1- 1
+-1 1
+.names 4113 4114
+1 1
+.names 4114 56823 4115
+1- 1
+-1 1
+.names 4115 4116
+1 1
+.names 4116 56824 4117
+1- 1
+-1 1
+.names 4117 4118
+1 1
+.names 4118 56825 4119
+1- 1
+-1 1
+.names 4119 4120
+1 1
+.names 4120 56826 4121
+1- 1
+-1 1
+.names 4121 4122
+1 1
+.names 4122 56931 4123
+1- 1
+-1 1
+.names 4123 4124
+1 1
+.names 4124 56932 4125
+1- 1
+-1 1
+.names 4125 4126
+1 1
+.names 4126 56933 4127
+1- 1
+-1 1
+.names 4127 4128
+1 1
+.names 4128 56934 4129
+1- 1
+-1 1
+.names 4129 4130
+1 1
+.names 4130 56935 4131
+1- 1
+-1 1
+.names 4131 4132
+1 1
+.names 4132 56936 4133
+1- 1
+-1 1
+.names 4133 4134
+1 1
+.names 4134 56931 4135
+1- 1
+-1 1
+.names 4135 4136
+1 1
+.names 4136 56932 4137
+1- 1
+-1 1
+.names 4137 4138
+1 1
+.names 4138 56819 4139
+1- 1
+-1 1
+.names 4139 4140
+1 1
+.names 4140 56820 4141
+1- 1
+-1 1
+.names 4141 4142
+1 1
+.names 4142 56828 4143
+1- 1
+-1 1
+.names 4143 4144
+1 1
+.names 4144 56829 4145
+1- 1
+-1 1
+.names 4145 4146
+1 1
+.names 4146 4104 56972
+1- 1
+-1 1
+.names 2513 56789 4148
+1- 1
+-1 1
+.names 4148 4149
+1 1
+.names 4149 2520 56974
+1- 1
+-1 1
+.names 819 736 4151
+11 1
+.names 4151 4152
+1 1
+.names 722 723 4153
+1- 1
+-1 1
+.names 4153 4154
+1 1
+.names 2487 4154 4155
+11 1
+.names 4155 4156
+1 1
+.names 722 723 4157
+1- 1
+-1 1
+.names 4157 4158
+1 1
+.names 2491 4158 4159
+11 1
+.names 4159 4160
+1 1
+.names 56789 4160 4161
+1- 1
+-1 1
+.names 4161 4162
+1 1
+.names 4162 2520 4163
+1- 1
+-1 1
+.names 4163 4164
+1 1
+.names 4164 4156 56976
+1- 1
+-1 1
+.names 820 736 4166
+11 1
+.names 4166 4167
+1 1
+.names 821 736 4168
+11 1
+.names 4168 4169
+1 1
+.names 822 736 4170
+11 1
+.names 4170 4171
+1 1
+.names 2600 4172
+1 1
+.names 4172 616 4173
+11 1
+.names 4173 4174
+1 1
+.names 2585 4175
+1 1
+.names 617 4175 4176
+11 1
+.names 4176 4177
+1 1
+.names 4177 4174 4178
+1- 1
+-1 1
+.names 4178 4179
+1 1
+.names 725 4179 4180
+11 1
+.names 4180 4181
+1 1
+.names 823 4181 4182
+1- 1
+-1 1
+.names 4182 4183
+1 1
+.names 4183 736 4184
+11 1
+.names 4184 4185
+1 1
+.names 56981 2597 4186
+11 1
+.names 4186 4187
+1 1
+.names 11217 11220 56981
+11 1
+.names 4187 723 4189
+11 1
+.names 4189 4190
+1 1
+.names 2597 722 4191
+11 1
+.names 4191 4192
+1 1
+.names 56981 3971 4193
+11 1
+.names 4193 4194
+1 1
+.names 4194 723 4195
+11 1
+.names 4195 4196
+1 1
+.names 56981 2617 4197
+11 1
+.names 4197 4198
+1 1
+.names 4198 723 4199
+11 1
+.names 4199 4200
+1 1
+.names 2617 722 4201
+11 1
+.names 4201 4202
+1 1
+.names 2621 2599 4203
+1- 1
+-1 1
+.names 4203 4204
+1 1
+.names 4204 4202 4205
+1- 1
+-1 1
+.names 4205 4206
+1 1
+.names 4206 4200 4207
+1- 1
+-1 1
+.names 4207 4208
+1 1
+.names 4208 4196 4209
+1- 1
+-1 1
+.names 4209 4210
+1 1
+.names 4210 4192 4211
+1- 1
+-1 1
+.names 4211 4212
+1 1
+.names 4212 4190 56982
+1- 1
+-1 1
+.names 2679 4214
+1 1
+.names 766 736 4215
+11 1
+.names 4215 4216
+1 1
+.names 2679 4217
+1 1
+.names 765 736 4218
+11 1
+.names 4218 4219
+1 1
+.names 10030 4220
+0 1
+.names 4220 4221
+1 1
+.names 10400 4222
+0 1
+.names 4222 4223
+1 1
+.names 10420 4224
+0 1
+.names 4224 4225
+1 1
+.names 10412 4226
+0 1
+.names 4226 4227
+1 1
+.names 10398 4228
+0 1
+.names 4228 4229
+1 1
+.names 10426 4230
+0 1
+.names 4230 4231
+1 1
+.names 10388 4232
+0 1
+.names 4232 4233
+1 1
+.names 10376 4234
+0 1
+.names 4234 4235
+1 1
+.names 10416 4236
+0 1
+.names 4236 4237
+1 1
+.names 10548 4238
+0 1
+.names 4238 4239
+1 1
+.names 10390 4240
+0 1
+.names 4240 4241
+1 1
+.names 10570 4242
+0 1
+.names 4242 4243
+1 1
+.names 10404 4244
+0 1
+.names 4244 4245
+1 1
+.names 10428 4246
+0 1
+.names 4246 4247
+1 1
+.names 10410 4248
+0 1
+.names 4248 4249
+1 1
+.names 10583 4250
+0 1
+.names 4250 4251
+1 1
+.names 10378 4252
+0 1
+.names 4252 4253
+1 1
+.names 10396 4254
+0 1
+.names 4254 4255
+1 1
+.names 10430 4256
+0 1
+.names 4256 4257
+1 1
+.names 10572 4258
+0 1
+.names 4258 4259
+1 1
+.names 4221 4263 4260
+11 1
+.names 4260 4261
+1 1
+.names 10585 4262
+0 1
+.names 4262 4263
+1 1
+.names 4221 4267 4264
+11 1
+.names 4264 4265
+1 1
+.names 10587 4266
+0 1
+.names 4266 4267
+1 1
+.names 4221 4271 4268
+11 1
+.names 4268 4269
+1 1
+.names 10589 4270
+0 1
+.names 4270 4271
+1 1
+.names 4221 4275 4272
+11 1
+.names 4272 4273
+1 1
+.names 10591 4274
+0 1
+.names 4274 4275
+1 1
+.names 10424 4276
+0 1
+.names 4276 4277
+1 1
+.names 10568 4278
+0 1
+.names 4278 4279
+1 1
+.names 10593 4280
+0 1
+.names 4280 4281
+1 1
+.names 10595 4282
+0 1
+.names 4282 4283
+1 1
+.names 10562 4284
+0 1
+.names 4284 4285
+1 1
+.names 10597 4286
+0 1
+.names 4286 4287
+1 1
+.names 10599 4288
+0 1
+.names 4288 4289
+1 1
+.names 10406 4290
+0 1
+.names 4290 4291
+1 1
+.names 10574 4292
+0 1
+.names 4292 4293
+1 1
+.names 10414 4294
+0 1
+.names 4294 4295
+1 1
+.names 10552 4296
+0 1
+.names 4296 4297
+1 1
+.names 10394 4298
+0 1
+.names 4298 4299
+1 1
+.names 10566 4300
+0 1
+.names 4300 4301
+1 1
+.names 10386 4302
+0 1
+.names 4302 4303
+1 1
+.names 10601 4304
+0 1
+.names 4304 4305
+1 1
+.names 10603 4306
+0 1
+.names 4306 4307
+1 1
+.names 10560 4308
+0 1
+.names 4308 4309
+1 1
+.names 10605 4310
+0 1
+.names 4310 4311
+1 1
+.names 10607 4312
+0 1
+.names 4312 4313
+1 1
+.names 10609 4314
+0 1
+.names 4314 4315
+1 1
+.names 10580 4316
+0 1
+.names 4316 4317
+1 1
+.names 10611 4318
+0 1
+.names 4318 4319
+1 1
+.names 10613 4320
+0 1
+.names 4320 4321
+1 1
+.names 4221 4325 4322
+11 1
+.names 4322 4323
+1 1
+.names 10615 4324
+0 1
+.names 4324 4325
+1 1
+.names 10617 4326
+0 1
+.names 4326 4327
+1 1
+.names 10619 4328
+0 1
+.names 4328 4329
+1 1
+.names 4221 4333 4330
+11 1
+.names 4330 4331
+1 1
+.names 10621 4332
+0 1
+.names 4332 4333
+1 1
+.names 4221 4336 56986
+11 1
+.names 10623 4335
+0 1
+.names 4335 4336
+1 1
+.names 10625 4337
+0 1
+.names 4337 4338
+1 1
+.names 10627 4339
+0 1
+.names 4339 4340
+1 1
+.names 10629 4341
+0 1
+.names 4341 4342
+1 1
+.names 10631 4343
+0 1
+.names 4343 4344
+1 1
+.names 10633 4345
+0 1
+.names 4345 4346
+1 1
+.names 4221 4350 4347
+11 1
+.names 4347 4348
+1 1
+.names 10635 4349
+0 1
+.names 4349 4350
+1 1
+.names 10556 4351
+0 1
+.names 4351 4352
+1 1
+.names 10637 4353
+0 1
+.names 4353 4354
+1 1
+.names 10432 4355
+0 1
+.names 4355 4356
+1 1
+.names 10639 4357
+0 1
+.names 4357 4358
+1 1
+.names 4221 4362 4359
+11 1
+.names 4359 4360
+1 1
+.names 10641 4361
+0 1
+.names 4361 4362
+1 1
+.names 10564 4363
+0 1
+.names 4363 4364
+1 1
+.names 10643 4365
+0 1
+.names 4365 4366
+1 1
+.names 10645 4367
+0 1
+.names 4367 4368
+1 1
+.names 10647 4369
+0 1
+.names 4369 4370
+1 1
+.names 10649 4371
+0 1
+.names 4371 4372
+1 1
+.names 4221 4376 4373
+11 1
+.names 4373 4374
+1 1
+.names 10651 4375
+0 1
+.names 4375 4376
+1 1
+.names 10554 4377
+0 1
+.names 4377 4378
+1 1
+.names 10653 4379
+0 1
+.names 4379 4380
+1 1
+.names 10655 4381
+0 1
+.names 4381 4382
+1 1
+.names 10657 4383
+0 1
+.names 4383 4384
+1 1
+.names 4221 4387 56987
+11 1
+.names 10659 4386
+0 1
+.names 4386 4387
+1 1
+.names 10661 4388
+0 1
+.names 4388 4389
+1 1
+.names 10558 4390
+0 1
+.names 4390 4391
+1 1
+.names 10663 4392
+0 1
+.names 4392 4393
+1 1
+.names 10665 4394
+0 1
+.names 4394 4395
+1 1
+.names 10382 4396
+0 1
+.names 4396 4397
+1 1
+.names 10578 4398
+0 1
+.names 4398 4399
+1 1
+.names 10392 4400
+0 1
+.names 4400 4401
+1 1
+.names 10667 4402
+0 1
+.names 4402 4403
+1 1
+.names 4221 4407 4404
+11 1
+.names 4404 4405
+1 1
+.names 10402 4406
+0 1
+.names 4406 4407
+1 1
+.names 10550 4408
+0 1
+.names 4408 4409
+1 1
+.names 10669 4410
+0 1
+.names 4410 4411
+1 1
+.names 10671 4412
+0 1
+.names 4412 4413
+1 1
+.names 10422 4414
+0 1
+.names 4414 4415
+1 1
+.names 10673 4416
+0 1
+.names 4416 4417
+1 1
+.names 4221 4421 4418
+11 1
+.names 4418 4419
+1 1
+.names 10675 4420
+0 1
+.names 4420 4421
+1 1
+.names 10677 4422
+0 1
+.names 4422 4423
+1 1
+.names 10679 4424
+0 1
+.names 4424 4425
+1 1
+.names 10681 4426
+0 1
+.names 4426 4427
+1 1
+.names 10683 4428
+0 1
+.names 4428 4429
+1 1
+.names 10576 4430
+0 1
+.names 4430 4431
+1 1
+.names 4435 4437 4432
+1- 1
+-1 1
+.names 4432 4433
+1 1
+.names 9851 4434
+0 1
+.names 4434 4435
+1 1
+.names 9791 4436
+0 1
+.names 4436 4437
+1 1
+.names 4433 4441 4438
+1- 1
+-1 1
+.names 4438 4439
+1 1
+.names 9909 4440
+0 1
+.names 4440 4441
+1 1
+.names 4439 4445 4442
+1- 1
+-1 1
+.names 4442 4443
+1 1
+.names 9863 4444
+0 1
+.names 4444 4445
+1 1
+.names 4443 4449 4446
+1- 1
+-1 1
+.names 4446 4447
+1 1
+.names 9817 4448
+0 1
+.names 4448 4449
+1 1
+.names 4447 4453 4450
+1- 1
+-1 1
+.names 4450 4451
+1 1
+.names 9797 4452
+0 1
+.names 4452 4453
+1 1
+.names 4451 4457 4454
+1- 1
+-1 1
+.names 4454 4455
+1 1
+.names 9801 4456
+0 1
+.names 4456 4457
+1 1
+.names 4455 4461 4458
+1- 1
+-1 1
+.names 4458 4459
+1 1
+.names 9815 4460
+0 1
+.names 4460 4461
+1 1
+.names 4459 4465 4462
+1- 1
+-1 1
+.names 4462 4463
+1 1
+.names 9899 4464
+0 1
+.names 4464 4465
+1 1
+.names 4463 4469 4466
+1- 1
+-1 1
+.names 4466 4467
+1 1
+.names 9855 4468
+0 1
+.names 4468 4469
+1 1
+.names 4467 4473 4470
+1- 1
+-1 1
+.names 4470 4471
+1 1
+.names 10252 4472
+0 1
+.names 4472 4473
+1 1
+.names 4471 4477 4474
+1- 1
+-1 1
+.names 4474 4475
+1 1
+.names 9879 4476
+0 1
+.names 4476 4477
+1 1
+.names 4475 4481 4478
+1- 1
+-1 1
+.names 4478 4479
+1 1
+.names 9901 4480
+0 1
+.names 4480 4481
+1 1
+.names 4479 4485 4482
+1- 1
+-1 1
+.names 4482 4483
+1 1
+.names 10250 4484
+0 1
+.names 4484 4485
+1 1
+.names 4483 4489 4486
+1- 1
+-1 1
+.names 4486 4487
+1 1
+.names 10248 4488
+0 1
+.names 4488 4489
+1 1
+.names 4487 4493 4490
+1- 1
+-1 1
+.names 4490 4491
+1 1
+.names 10179 4492
+0 1
+.names 4492 4493
+1 1
+.names 4491 4497 4494
+1- 1
+-1 1
+.names 4494 4495
+1 1
+.names 10246 4496
+0 1
+.names 4496 4497
+1 1
+.names 4495 4501 4498
+1- 1
+-1 1
+.names 4498 4499
+1 1
+.names 9829 4500
+0 1
+.names 4500 4501
+1 1
+.names 4499 4505 4502
+1- 1
+-1 1
+.names 4502 4503
+1 1
+.names 9847 4504
+0 1
+.names 4504 4505
+1 1
+.names 4503 4509 4506
+1- 1
+-1 1
+.names 4506 4507
+1 1
+.names 10244 4508
+0 1
+.names 4508 4509
+1 1
+.names 4507 4513 4510
+1- 1
+-1 1
+.names 4510 4511
+1 1
+.names 10234 4512
+0 1
+.names 4512 4513
+1 1
+.names 4511 4517 4514
+1- 1
+-1 1
+.names 4514 4515
+1 1
+.names 10236 4516
+0 1
+.names 4516 4517
+1 1
+.names 4515 4521 4518
+1- 1
+-1 1
+.names 4518 4519
+1 1
+.names 10191 4520
+0 1
+.names 4520 4521
+1 1
+.names 4519 4525 4522
+1- 1
+-1 1
+.names 4522 4523
+1 1
+.names 10232 4524
+0 1
+.names 4524 4525
+1 1
+.names 4523 771 56988
+11 1
+.names 824 736 56989
+11 1
+.names 825 736 56991
+11 1
+.names 56993 56994 4529
+1- 1
+-1 1
+.names 4529 4530
+1 1
+.names 5287 771 56993
+11 1
+.names 5665 771 56994
+11 1
+.names 4530 4536 4533
+1- 1
+-1 1
+.names 4533 4534
+1 1
+.names 10207 4535
+0 1
+.names 4535 4536
+1 1
+.names 4534 4540 4537
+1- 1
+-1 1
+.names 4537 4538
+1 1
+.names 10322 4539
+0 1
+.names 4539 4540
+1 1
+.names 4538 4544 4541
+1- 1
+-1 1
+.names 4541 4542
+1 1
+.names 10320 4543
+0 1
+.names 4543 4544
+1 1
+.names 4542 4548 4545
+1- 1
+-1 1
+.names 4545 4546
+1 1
+.names 10318 4547
+0 1
+.names 4547 4548
+1 1
+.names 4546 4552 4549
+1- 1
+-1 1
+.names 4549 4550
+1 1
+.names 10316 4551
+0 1
+.names 4551 4552
+1 1
+.names 4550 4556 4553
+1- 1
+-1 1
+.names 4553 4554
+1 1
+.names 10314 4555
+0 1
+.names 4555 4556
+1 1
+.names 4554 4560 4557
+1- 1
+-1 1
+.names 4557 4558
+1 1
+.names 10312 4559
+0 1
+.names 4559 4560
+1 1
+.names 4558 4564 4561
+1- 1
+-1 1
+.names 4561 4562
+1 1
+.names 9895 4563
+0 1
+.names 4563 4564
+1 1
+.names 4562 4568 4565
+1- 1
+-1 1
+.names 4565 4566
+1 1
+.names 10187 4567
+0 1
+.names 4567 4568
+1 1
+.names 4566 4572 4569
+1- 1
+-1 1
+.names 4569 4570
+1 1
+.names 9809 4571
+0 1
+.names 4571 4572
+1 1
+.names 4570 4576 4573
+1- 1
+-1 1
+.names 4573 4574
+1 1
+.names 10310 4575
+0 1
+.names 4575 4576
+1 1
+.names 4574 4580 4577
+1- 1
+-1 1
+.names 4577 4578
+1 1
+.names 10308 4579
+0 1
+.names 4579 4580
+1 1
+.names 4578 4584 4581
+1- 1
+-1 1
+.names 4581 4582
+1 1
+.names 10177 4583
+0 1
+.names 4583 4584
+1 1
+.names 4582 4588 4585
+1- 1
+-1 1
+.names 4585 4586
+1 1
+.names 9861 4587
+0 1
+.names 4587 4588
+1 1
+.names 4586 4592 4589
+1- 1
+-1 1
+.names 4589 4590
+1 1
+.names 10306 4591
+0 1
+.names 4591 4592
+1 1
+.names 4590 4596 4593
+1- 1
+-1 1
+.names 4593 4594
+1 1
+.names 9849 4595
+0 1
+.names 4595 4596
+1 1
+.names 4594 4600 4597
+1- 1
+-1 1
+.names 4597 4598
+1 1
+.names 10209 4599
+0 1
+.names 4599 4600
+1 1
+.names 4598 4604 4601
+1- 1
+-1 1
+.names 4601 4602
+1 1
+.names 9805 4603
+0 1
+.names 4603 4604
+1 1
+.names 4602 4608 4605
+1- 1
+-1 1
+.names 4605 4606
+1 1
+.names 10276 4607
+0 1
+.names 4607 4608
+1 1
+.names 4606 4612 4609
+1- 1
+-1 1
+.names 4609 4610
+1 1
+.names 10189 4611
+0 1
+.names 4611 4612
+1 1
+.names 4610 4616 4613
+1- 1
+-1 1
+.names 4613 4614
+1 1
+.names 10193 4615
+0 1
+.names 4615 4616
+1 1
+.names 4614 56937 4617
+1- 1
+-1 1
+.names 4617 4618
+1 1
+.names 4618 56807 4619
+1- 1
+-1 1
+.names 4619 4620
+1 1
+.names 4620 56780 4621
+1- 1
+-1 1
+.names 4621 4622
+1 1
+.names 4622 56781 4623
+1- 1
+-1 1
+.names 4623 4624
+1 1
+.names 4624 56987 4625
+1- 1
+-1 1
+.names 4625 4626
+1 1
+.names 4626 56986 4627
+1- 1
+-1 1
+.names 4627 4628
+1 1
+.names 4628 4017 4629
+1- 1
+-1 1
+.names 4629 4630
+1 1
+.names 4630 56893 4631
+1- 1
+-1 1
+.names 4631 4632
+1 1
+.names 4632 56892 4633
+1- 1
+-1 1
+.names 4633 4634
+1 1
+.names 4634 56891 4635
+1- 1
+-1 1
+.names 4635 4636
+1 1
+.names 4636 56890 4637
+1- 1
+-1 1
+.names 4637 4638
+1 1
+.names 4638 56889 4639
+1- 1
+-1 1
+.names 4639 4640
+1 1
+.names 4640 56888 4641
+1- 1
+-1 1
+.names 4641 4642
+1 1
+.names 4642 56887 4643
+1- 1
+-1 1
+.names 4643 4644
+1 1
+.names 4644 56886 4645
+1- 1
+-1 1
+.names 4645 4646
+1 1
+.names 4646 56885 4647
+1- 1
+-1 1
+.names 4647 4648
+1 1
+.names 4648 56884 4649
+1- 1
+-1 1
+.names 4649 4650
+1 1
+.names 4650 56883 4651
+1- 1
+-1 1
+.names 4651 4652
+1 1
+.names 4652 56882 4653
+1- 1
+-1 1
+.names 4653 4654
+1 1
+.names 4654 56881 4655
+1- 1
+-1 1
+.names 4655 4656
+1 1
+.names 4656 56880 4657
+1- 1
+-1 1
+.names 4657 4658
+1 1
+.names 4658 56879 4659
+1- 1
+-1 1
+.names 4659 4660
+1 1
+.names 4660 56878 4661
+1- 1
+-1 1
+.names 4661 4662
+1 1
+.names 4662 56877 4663
+1- 1
+-1 1
+.names 4663 4664
+1 1
+.names 4664 56876 4665
+1- 1
+-1 1
+.names 4665 4666
+1 1
+.names 4666 56875 4667
+1- 1
+-1 1
+.names 4667 4668
+1 1
+.names 4668 56874 4669
+1- 1
+-1 1
+.names 4669 4670
+1 1
+.names 4670 4273 4671
+1- 1
+-1 1
+.names 4671 4672
+1 1
+.names 4672 4269 4673
+1- 1
+-1 1
+.names 4673 4674
+1 1
+.names 4674 4265 4675
+1- 1
+-1 1
+.names 4675 4676
+1 1
+.names 4676 4360 4677
+1- 1
+-1 1
+.names 4677 4678
+1 1
+.names 4678 4261 4679
+1- 1
+-1 1
+.names 4679 4680
+1 1
+.names 4680 4681
+0 1
+.names 4681 4682
+1 1
+.names 4685 4682 56995
+1- 1
+-1 1
+.names 5158 771 4684
+11 1
+.names 4684 4685
+1 1
+.names 4685 56988 4686
+1- 1
+-1 1
+.names 4686 4687
+1 1
+.names 4687 3955 4688
+1- 1
+-1 1
+.names 4688 4689
+1 1
+.names 4689 3971 4690
+1- 1
+-1 1
+.names 4690 4691
+1 1
+.names 4691 2621 4692
+1- 1
+-1 1
+.names 4692 4693
+1 1
+.names 4693 2617 4694
+1- 1
+-1 1
+.names 4694 4695
+1 1
+.names 4695 56958 4696
+1- 1
+-1 1
+.names 4696 4697
+1 1
+.names 4697 56959 4698
+1- 1
+-1 1
+.names 4698 4699
+1 1
+.names 4699 56960 4700
+1- 1
+-1 1
+.names 4700 4701
+1 1
+.names 4701 2513 4702
+1- 1
+-1 1
+.names 4702 4703
+1 1
+.names 4703 2495 4704
+1- 1
+-1 1
+.names 4704 4705
+1 1
+.names 4705 56789 4706
+1- 1
+-1 1
+.names 4706 4707
+1 1
+.names 4707 2491 4708
+1- 1
+-1 1
+.names 4708 4709
+1 1
+.names 4709 2520 4710
+1- 1
+-1 1
+.names 4710 4711
+1 1
+.names 4711 2487 4712
+1- 1
+-1 1
+.names 4712 4713
+1 1
+.names 4713 2566 4714
+1- 1
+-1 1
+.names 4714 4715
+1 1
+.names 4715 2564 4716
+1- 1
+-1 1
+.names 4716 4717
+1 1
+.names 4717 3985 4718
+1- 1
+-1 1
+.names 4718 4719
+1 1
+.names 4719 4007 4720
+1- 1
+-1 1
+.names 4720 4721
+1 1
+.names 4721 2574 4722
+1- 1
+-1 1
+.names 4722 4723
+1 1
+.names 4723 2572 4724
+1- 1
+-1 1
+.names 4724 4725
+1 1
+.names 4725 56961 4726
+1- 1
+-1 1
+.names 4726 4727
+1 1
+.names 4727 56931 4728
+1- 1
+-1 1
+.names 4728 4729
+1 1
+.names 4729 56932 4730
+1- 1
+-1 1
+.names 4730 4731
+1 1
+.names 4731 56933 4732
+1- 1
+-1 1
+.names 4732 4733
+1 1
+.names 4733 56934 4734
+1- 1
+-1 1
+.names 4734 4735
+1 1
+.names 4735 56935 4736
+1- 1
+-1 1
+.names 4736 4737
+1 1
+.names 4737 56936 4738
+1- 1
+-1 1
+.names 4738 4739
+1 1
+.names 4739 56819 4740
+1- 1
+-1 1
+.names 4740 4741
+1 1
+.names 4741 56820 4742
+1- 1
+-1 1
+.names 4742 4743
+1 1
+.names 4743 2482 4744
+1- 1
+-1 1
+.names 4744 4745
+1 1
+.names 4745 56782 4746
+1- 1
+-1 1
+.names 4746 4747
+1 1
+.names 4747 2476 4748
+1- 1
+-1 1
+.names 4748 4749
+1 1
+.names 4749 2468 4750
+1- 1
+-1 1
+.names 4750 4751
+1 1
+.names 4751 56962 4752
+1- 1
+-1 1
+.names 4752 4753
+1 1
+.names 4753 56941 4754
+1- 1
+-1 1
+.names 4754 4755
+1 1
+.names 4755 56942 4756
+1- 1
+-1 1
+.names 4756 4757
+1 1
+.names 4757 56943 4758
+1- 1
+-1 1
+.names 4758 4759
+1 1
+.names 4759 3852 4760
+1- 1
+-1 1
+.names 4760 4761
+1 1
+.names 4761 3856 4762
+1- 1
+-1 1
+.names 4762 4763
+1 1
+.names 4763 3860 4764
+1- 1
+-1 1
+.names 4764 4765
+1 1
+.names 4765 56944 4766
+1- 1
+-1 1
+.names 4766 4767
+1 1
+.names 4767 56945 4768
+1- 1
+-1 1
+.names 4768 4769
+1 1
+.names 4769 3870 4770
+1- 1
+-1 1
+.names 4770 4771
+1 1
+.names 4771 3874 4772
+1- 1
+-1 1
+.names 4772 4773
+1 1
+.names 4773 56946 4774
+1- 1
+-1 1
+.names 4774 4775
+1 1
+.names 4775 56947 4776
+1- 1
+-1 1
+.names 4776 4777
+1 1
+.names 4777 3884 4778
+1- 1
+-1 1
+.names 4778 4779
+1 1
+.names 4779 3888 4780
+1- 1
+-1 1
+.names 4780 4781
+1 1
+.names 4781 3892 4782
+1- 1
+-1 1
+.names 4782 4783
+1 1
+.names 4783 3896 4784
+1- 1
+-1 1
+.names 4784 4785
+1 1
+.names 4785 3900 4786
+1- 1
+-1 1
+.names 4786 4787
+1 1
+.names 4787 56872 56996
+1- 1
+-1 1
+.names 826 4789
+0 1
+.names 4789 4790
+1 1
+.names 4790 4685 4791
+1- 1
+-1 1
+.names 4791 4792
+1 1
+.names 828 829 4793
+11 1
+.names 4793 4794
+1 1
+.names 830 829 4795
+1- 1
+-1 1
+.names 4795 4796
+1 1
+.names 831 4796 4797
+11 1
+.names 4797 4798
+1 1
+.names 4798 4794 4799
+1- 1
+-1 1
+.names 4799 4800
+1 1
+.names 4800 733 56997
+11 1
+.names 2480 3787 4802
+1- 1
+-1 1
+.names 4802 4803
+1 1
+.names 4803 3803 4804
+1- 1
+-1 1
+.names 4804 4805
+1 1
+.names 4805 3827 4806
+1- 1
+-1 1
+.names 4806 4807
+1 1
+.names 4807 3842 4808
+1- 1
+-1 1
+.names 4808 4809
+1 1
+.names 4809 2482 4810
+1- 1
+-1 1
+.names 4810 4811
+1 1
+.names 4811 56782 4812
+1- 1
+-1 1
+.names 4812 4813
+1 1
+.names 4813 3860 4814
+1- 1
+-1 1
+.names 4814 4815
+1 1
+.names 4815 3874 4816
+1- 1
+-1 1
+.names 4816 4817
+1 1
+.names 4817 3892 4818
+1- 1
+-1 1
+.names 4818 4819
+1 1
+.names 4819 3900 57000
+1- 1
+-1 1
+.names 2472 2474 4821
+1- 1
+-1 1
+.names 4821 4822
+1 1
+.names 4822 3779 4823
+1- 1
+-1 1
+.names 4823 4824
+1 1
+.names 4824 3783 4825
+1- 1
+-1 1
+.names 4825 4826
+1 1
+.names 4826 3795 4827
+1- 1
+-1 1
+.names 4827 4828
+1 1
+.names 4828 3799 4829
+1- 1
+-1 1
+.names 4829 4830
+1 1
+.names 4830 3819 4831
+1- 1
+-1 1
+.names 4831 4832
+1 1
+.names 4832 3823 4833
+1- 1
+-1 1
+.names 4833 4834
+1 1
+.names 4834 3834 4835
+1- 1
+-1 1
+.names 4835 4836
+1 1
+.names 4836 3838 4837
+1- 1
+-1 1
+.names 4837 4838
+1 1
+.names 4838 2476 4839
+1- 1
+-1 1
+.names 4839 4840
+1 1
+.names 4840 3856 4841
+1- 1
+-1 1
+.names 4841 4842
+1 1
+.names 4842 3870 4843
+1- 1
+-1 1
+.names 4843 4844
+1 1
+.names 4844 3888 4845
+1- 1
+-1 1
+.names 4845 4846
+1 1
+.names 4846 3896 57003
+1- 1
+-1 1
+.names 769 4848
+0 1
+.names 4848 4849
+1 1
+.names 4419 56838 4850
+1- 1
+-1 1
+.names 4850 4851
+1 1
+.names 4851 56839 4852
+1- 1
+-1 1
+.names 4852 4853
+1 1
+.names 4853 2674 4854
+1- 1
+-1 1
+.names 4854 4855
+1 1
+.names 4855 2676 4856
+1- 1
+-1 1
+.names 4856 4857
+1 1
+.names 4857 56987 4858
+1- 1
+-1 1
+.names 4858 4859
+1 1
+.names 4859 56944 4860
+1- 1
+-1 1
+.names 4860 4861
+1 1
+.names 4861 56945 4862
+1- 1
+-1 1
+.names 4862 4863
+1 1
+.names 4863 56946 4864
+1- 1
+-1 1
+.names 4864 4865
+1 1
+.names 4865 56947 4866
+1- 1
+-1 1
+.names 4866 4867
+1 1
+.names 4867 56986 4868
+1- 1
+-1 1
+.names 4868 4869
+1 1
+.names 4869 56872 4870
+1- 1
+-1 1
+.names 4870 4871
+1 1
+.names 4871 56890 4872
+1- 1
+-1 1
+.names 4872 4873
+1 1
+.names 4873 56888 4874
+1- 1
+-1 1
+.names 4874 4875
+1 1
+.names 4875 56887 4876
+1- 1
+-1 1
+.names 4876 4877
+1 1
+.names 4877 56886 4878
+1- 1
+-1 1
+.names 4878 4879
+1 1
+.names 4879 56885 4880
+1- 1
+-1 1
+.names 4880 4881
+1 1
+.names 4881 56884 4882
+1- 1
+-1 1
+.names 4882 4883
+1 1
+.names 4883 56883 4884
+1- 1
+-1 1
+.names 4884 4885
+1 1
+.names 4885 56882 4886
+1- 1
+-1 1
+.names 4886 4887
+1 1
+.names 4887 56881 4888
+1- 1
+-1 1
+.names 4888 4889
+1 1
+.names 4889 56880 4890
+1- 1
+-1 1
+.names 4890 4891
+1 1
+.names 4891 56879 4892
+1- 1
+-1 1
+.names 4892 4893
+1 1
+.names 4893 56878 4894
+1- 1
+-1 1
+.names 4894 4895
+1 1
+.names 4895 56877 4896
+1- 1
+-1 1
+.names 4896 4897
+1 1
+.names 4897 56876 4898
+1- 1
+-1 1
+.names 4898 4899
+1 1
+.names 4899 56875 4900
+1- 1
+-1 1
+.names 4900 4901
+1 1
+.names 4901 56874 4902
+1- 1
+-1 1
+.names 4902 4903
+1 1
+.names 4903 3012 4904
+1- 1
+-1 1
+.names 4904 4905
+1 1
+.names 4905 56865 4906
+1- 1
+-1 1
+.names 4906 4907
+1 1
+.names 4907 56864 4908
+1- 1
+-1 1
+.names 4908 4909
+1 1
+.names 4909 56863 4910
+1- 1
+-1 1
+.names 4910 4911
+1 1
+.names 4911 56862 4912
+1- 1
+-1 1
+.names 4912 4913
+1 1
+.names 4913 56861 4914
+1- 1
+-1 1
+.names 4914 4915
+1 1
+.names 4915 56860 4916
+1- 1
+-1 1
+.names 4916 4917
+1 1
+.names 4917 56859 4918
+1- 1
+-1 1
+.names 4918 4919
+1 1
+.names 4919 56858 4920
+1- 1
+-1 1
+.names 4920 4921
+1 1
+.names 4921 56857 4922
+1- 1
+-1 1
+.names 4922 4923
+1 1
+.names 4923 56856 4924
+1- 1
+-1 1
+.names 4924 4925
+1 1
+.names 4925 56855 4926
+1- 1
+-1 1
+.names 4926 4927
+1 1
+.names 4927 56854 4928
+1- 1
+-1 1
+.names 4928 4929
+1 1
+.names 4929 56853 4930
+1- 1
+-1 1
+.names 4930 4931
+1 1
+.names 4931 771 4932
+11 1
+.names 4932 4933
+1 1
+.names 4933 4849 57004
+11 1
+.names 4938 4940 4935
+1- 1
+-1 1
+.names 4935 4936
+1 1
+.names 10300 4937
+0 1
+.names 4937 4938
+1 1
+.names 10298 4939
+0 1
+.names 4939 4940
+1 1
+.names 4936 4944 4941
+1- 1
+-1 1
+.names 4941 4942
+1 1
+.names 10296 4943
+0 1
+.names 4943 4944
+1 1
+.names 4942 4948 4945
+1- 1
+-1 1
+.names 4945 4946
+1 1
+.names 10294 4947
+0 1
+.names 4947 4948
+1 1
+.names 4946 4952 4949
+1- 1
+-1 1
+.names 4949 4950
+1 1
+.names 10292 4951
+0 1
+.names 4951 4952
+1 1
+.names 4950 57005 4953
+1- 1
+-1 1
+.names 4953 4954
+1 1
+.names 10290 57005
+0 1
+.names 4954 57006 4956
+1- 1
+-1 1
+.names 4956 4957
+1 1
+.names 10288 57006
+0 1
+.names 4957 57007 4959
+1- 1
+-1 1
+.names 4959 4960
+1 1
+.names 10286 57007
+0 1
+.names 4960 4965 4962
+1- 1
+-1 1
+.names 4962 4963
+1 1
+.names 10100 4964
+0 1
+.names 4964 4965
+1 1
+.names 4963 4969 4966
+1- 1
+-1 1
+.names 4966 4967
+1 1
+.names 9803 4968
+0 1
+.names 4968 4969
+1 1
+.names 4967 4973 4970
+1- 1
+-1 1
+.names 4970 4971
+1 1
+.names 10205 4972
+0 1
+.names 4972 4973
+1 1
+.names 4971 4977 4974
+1- 1
+-1 1
+.names 4974 4975
+1 1
+.names 9865 4976
+0 1
+.names 4976 4977
+1 1
+.names 4975 4981 4978
+1- 1
+-1 1
+.names 4978 4979
+1 1
+.names 10284 4980
+0 1
+.names 4980 4981
+1 1
+.names 4979 57008 4982
+1- 1
+-1 1
+.names 4982 4983
+1 1
+.names 10185 57008
+0 1
+.names 4983 57009 4985
+1- 1
+-1 1
+.names 4985 4986
+1 1
+.names 10280 57009
+0 1
+.names 4986 57010 4988
+1- 1
+-1 1
+.names 4988 4989
+1 1
+.names 10278 57010
+0 1
+.names 4989 4994 4991
+1- 1
+-1 1
+.names 4991 4992
+1 1
+.names 10274 4993
+0 1
+.names 4993 4994
+1 1
+.names 4992 4998 4995
+1- 1
+-1 1
+.names 4995 4996
+1 1
+.names 10272 4997
+0 1
+.names 4997 4998
+1 1
+.names 4996 5002 4999
+1- 1
+-1 1
+.names 4999 5000
+1 1
+.names 10270 5001
+0 1
+.names 5001 5002
+1 1
+.names 5000 5006 5003
+1- 1
+-1 1
+.names 5003 5004
+1 1
+.names 10268 5005
+0 1
+.names 5005 5006
+1 1
+.names 5004 5010 5007
+1- 1
+-1 1
+.names 5007 5008
+1 1
+.names 10266 5009
+0 1
+.names 5009 5010
+1 1
+.names 5008 5014 5011
+1- 1
+-1 1
+.names 5011 5012
+1 1
+.names 10264 5013
+0 1
+.names 5013 5014
+1 1
+.names 5012 5018 5015
+1- 1
+-1 1
+.names 5015 5016
+1 1
+.names 9807 5017
+0 1
+.names 5017 5018
+1 1
+.names 5016 5022 5019
+1- 1
+-1 1
+.names 5019 5020
+1 1
+.names 10167 5021
+0 1
+.names 5021 5022
+1 1
+.names 5020 5026 5023
+1- 1
+-1 1
+.names 5023 5024
+1 1
+.names 9841 5025
+0 1
+.names 5025 5026
+1 1
+.names 5024 5030 5027
+1- 1
+-1 1
+.names 5027 5028
+1 1
+.names 9907 5029
+0 1
+.names 5029 5030
+1 1
+.names 5028 5034 5031
+1- 1
+-1 1
+.names 5031 5032
+1 1
+.names 9867 5033
+0 1
+.names 5033 5034
+1 1
+.names 5032 5038 5035
+1- 1
+-1 1
+.names 5035 5036
+1 1
+.names 10220 5037
+0 1
+.names 5037 5038
+1 1
+.names 5036 5042 5039
+1- 1
+-1 1
+.names 5039 5040
+1 1
+.names 9887 5041
+0 1
+.names 5041 5042
+1 1
+.names 5040 5046 5043
+1- 1
+-1 1
+.names 5043 5044
+1 1
+.names 9789 5045
+0 1
+.names 5045 5046
+1 1
+.names 5044 5050 5047
+1- 1
+-1 1
+.names 5047 5048
+1 1
+.names 10132 5049
+0 1
+.names 5049 5050
+1 1
+.names 5048 5054 5051
+1- 1
+-1 1
+.names 5051 5052
+1 1
+.names 10130 5053
+0 1
+.names 5053 5054
+1 1
+.names 5052 5058 5055
+1- 1
+-1 1
+.names 5055 5056
+1 1
+.names 10128 5057
+0 1
+.names 5057 5058
+1 1
+.names 5056 5062 5059
+1- 1
+-1 1
+.names 5059 5060
+1 1
+.names 10126 5061
+0 1
+.names 5061 5062
+1 1
+.names 5060 5066 5063
+1- 1
+-1 1
+.names 5063 5064
+1 1
+.names 10211 5065
+0 1
+.names 5065 5066
+1 1
+.names 5064 5070 5067
+1- 1
+-1 1
+.names 5067 5068
+1 1
+.names 10124 5069
+0 1
+.names 5069 5070
+1 1
+.names 5068 5074 5071
+1- 1
+-1 1
+.names 5071 5072
+1 1
+.names 10122 5073
+0 1
+.names 5073 5074
+1 1
+.names 5072 5078 5075
+1- 1
+-1 1
+.names 5075 5076
+1 1
+.names 10120 5077
+0 1
+.names 5077 5078
+1 1
+.names 5076 5082 5079
+1- 1
+-1 1
+.names 5079 5080
+1 1
+.names 10118 5081
+0 1
+.names 5081 5082
+1 1
+.names 5080 5086 5083
+1- 1
+-1 1
+.names 5083 5084
+1 1
+.names 10116 5085
+0 1
+.names 5085 5086
+1 1
+.names 5084 5090 5087
+1- 1
+-1 1
+.names 5087 5088
+1 1
+.names 10114 5089
+0 1
+.names 5089 5090
+1 1
+.names 5088 5094 5091
+1- 1
+-1 1
+.names 5091 5092
+1 1
+.names 10112 5093
+0 1
+.names 5093 5094
+1 1
+.names 5092 5098 5095
+1- 1
+-1 1
+.names 5095 5096
+1 1
+.names 10110 5097
+0 1
+.names 5097 5098
+1 1
+.names 5096 5102 5099
+1- 1
+-1 1
+.names 5099 5100
+1 1
+.names 10108 5101
+0 1
+.names 5101 5102
+1 1
+.names 5100 5106 5103
+1- 1
+-1 1
+.names 5103 5104
+1 1
+.names 10106 5105
+0 1
+.names 5105 5106
+1 1
+.names 5104 5110 5107
+1- 1
+-1 1
+.names 5107 5108
+1 1
+.names 10090 5109
+0 1
+.names 5109 5110
+1 1
+.names 5108 5114 5111
+1- 1
+-1 1
+.names 5111 5112
+1 1
+.names 10094 5113
+0 1
+.names 5113 5114
+1 1
+.names 5112 5118 5115
+1- 1
+-1 1
+.names 5115 5116
+1 1
+.names 10092 5117
+0 1
+.names 5117 5118
+1 1
+.names 5116 5122 5119
+1- 1
+-1 1
+.names 5119 5120
+1 1
+.names 10082 5121
+0 1
+.names 5121 5122
+1 1
+.names 5120 5126 5123
+1- 1
+-1 1
+.names 5123 5124
+1 1
+.names 10080 5125
+0 1
+.names 5125 5126
+1 1
+.names 5124 5130 5127
+1- 1
+-1 1
+.names 5127 5128
+1 1
+.names 10078 5129
+0 1
+.names 5129 5130
+1 1
+.names 5128 5134 5131
+1- 1
+-1 1
+.names 5131 5132
+1 1
+.names 10076 5133
+0 1
+.names 5133 5134
+1 1
+.names 5132 5138 5135
+1- 1
+-1 1
+.names 5135 5136
+1 1
+.names 10074 5137
+0 1
+.names 5137 5138
+1 1
+.names 5136 5142 5139
+1- 1
+-1 1
+.names 5139 5140
+1 1
+.names 10072 5141
+0 1
+.names 5141 5142
+1 1
+.names 5140 5146 5143
+1- 1
+-1 1
+.names 5143 5144
+1 1
+.names 10070 5145
+0 1
+.names 5145 5146
+1 1
+.names 5144 4419 5147
+1- 1
+-1 1
+.names 5147 5148
+1 1
+.names 5148 4405 5149
+1- 1
+-1 1
+.names 5149 5150
+1 1
+.names 5150 4374 5151
+1- 1
+-1 1
+.names 5151 5152
+1 1
+.names 5152 4348 5153
+1- 1
+-1 1
+.names 5153 5154
+1 1
+.names 5154 4331 5155
+1- 1
+-1 1
+.names 5155 5156
+1 1
+.names 5156 4323 5157
+1- 1
+-1 1
+.names 5157 5158
+1 1
+.names 4360 771 57011
+11 1
+.names 4469 832 5160
+11 1
+.names 5160 5161
+1 1
+.names 5165 5167 5162
+1- 1
+-1 1
+.names 5162 5163
+1 1
+.names 9883 5164
+0 1
+.names 5164 5165
+1 1
+.names 9845 5166
+0 1
+.names 5166 5167
+1 1
+.names 5163 5171 5168
+1- 1
+-1 1
+.names 5168 5169
+1 1
+.names 9835 5170
+0 1
+.names 5170 5171
+1 1
+.names 5169 5175 5172
+1- 1
+-1 1
+.names 5172 5173
+1 1
+.names 10304 5174
+0 1
+.names 5174 5175
+1 1
+.names 5173 5179 5176
+1- 1
+-1 1
+.names 5176 5177
+1 1
+.names 10302 5178
+0 1
+.names 5178 5179
+1 1
+.names 5177 5183 5180
+1- 1
+-1 1
+.names 5180 5181
+1 1
+.names 10183 5182
+0 1
+.names 5182 5183
+1 1
+.names 5181 5187 5184
+1- 1
+-1 1
+.names 5184 5185
+1 1
+.names 9821 5186
+0 1
+.names 5186 5187
+1 1
+.names 5185 5191 5188
+1- 1
+-1 1
+.names 5188 5189
+1 1
+.names 9795 5190
+0 1
+.names 5190 5191
+1 1
+.names 5189 5195 5192
+1- 1
+-1 1
+.names 5192 5193
+1 1
+.names 10218 5194
+0 1
+.names 5194 5195
+1 1
+.names 5193 5199 5196
+1- 1
+-1 1
+.names 5196 5197
+1 1
+.names 9859 5198
+0 1
+.names 5198 5199
+1 1
+.names 5197 5203 5200
+1- 1
+-1 1
+.names 5200 5201
+1 1
+.names 9785 5202
+0 1
+.names 5202 5203
+1 1
+.names 5201 5207 5204
+1- 1
+-1 1
+.names 5204 5205
+1 1
+.names 10214 5206
+0 1
+.names 5206 5207
+1 1
+.names 5205 5211 5208
+1- 1
+-1 1
+.names 5208 5209
+1 1
+.names 9905 5210
+0 1
+.names 5210 5211
+1 1
+.names 5209 5215 5212
+1- 1
+-1 1
+.names 5212 5213
+1 1
+.names 10156 5214
+0 1
+.names 5214 5215
+1 1
+.names 5213 5219 5216
+1- 1
+-1 1
+.names 5216 5217
+1 1
+.names 10154 5218
+0 1
+.names 5218 5219
+1 1
+.names 5217 5223 5220
+1- 1
+-1 1
+.names 5220 5221
+1 1
+.names 10144 5222
+0 1
+.names 5222 5223
+1 1
+.names 5221 5227 5224
+1- 1
+-1 1
+.names 5224 5225
+1 1
+.names 10098 5226
+0 1
+.names 5226 5227
+1 1
+.names 5225 5231 5228
+1- 1
+-1 1
+.names 5228 5229
+1 1
+.names 10096 5230
+0 1
+.names 5230 5231
+1 1
+.names 5229 5235 5232
+1- 1
+-1 1
+.names 5232 5233
+1 1
+.names 10034 5234
+0 1
+.names 5234 5235
+1 1
+.names 5233 5239 5236
+1- 1
+-1 1
+.names 5236 5237
+1 1
+.names 9889 5238
+0 1
+.names 5238 5239
+1 1
+.names 5237 5243 5240
+1- 1
+-1 1
+.names 5240 5241
+1 1
+.names 9881 5242
+0 1
+.names 5242 5243
+1 1
+.names 5241 5247 5244
+1- 1
+-1 1
+.names 5244 5245
+1 1
+.names 10216 5246
+0 1
+.names 5246 5247
+1 1
+.names 5245 5251 5248
+1- 1
+-1 1
+.names 5248 5249
+1 1
+.names 9825 5250
+0 1
+.names 5250 5251
+1 1
+.names 5249 5255 5252
+1- 1
+-1 1
+.names 5252 5253
+1 1
+.names 10104 5254
+0 1
+.names 5254 5255
+1 1
+.names 5253 5259 5256
+1- 1
+-1 1
+.names 5256 5257
+1 1
+.names 10086 5258
+0 1
+.names 5258 5259
+1 1
+.names 5257 5263 5260
+1- 1
+-1 1
+.names 5260 5261
+1 1
+.names 10088 5262
+0 1
+.names 5262 5263
+1 1
+.names 5261 5267 5264
+1- 1
+-1 1
+.names 5264 5265
+1 1
+.names 10282 5266
+0 1
+.names 5266 5267
+1 1
+.names 5265 5271 5268
+1- 1
+-1 1
+.names 5268 5269
+1 1
+.names 9833 5270
+0 1
+.names 5270 5271
+1 1
+.names 5269 5275 5272
+1- 1
+-1 1
+.names 5272 5273
+1 1
+.names 10134 5274
+0 1
+.names 5274 5275
+1 1
+.names 5273 5279 5276
+1- 1
+-1 1
+.names 5276 5277
+1 1
+.names 9831 5278
+0 1
+.names 5278 5279
+1 1
+.names 5277 5283 5280
+1- 1
+-1 1
+.names 5280 5281
+1 1
+.names 10146 5282
+0 1
+.names 5282 5283
+1 1
+.names 5281 5161 5284
+1- 1
+-1 1
+.names 5284 5285
+1 1
+.names 5285 5289 5286
+1- 1
+-1 1
+.names 5286 5287
+1 1
+.names 6739 6743 5288
+1- 1
+-1 1
+.names 5288 5289
+1 1
+.names 833 736 56559
+11 1
+.names 834 736 56560
+11 1
+.names 835 736 56561
+11 1
+.names 836 736 56562
+11 1
+.names 2674 722 57015
+11 1
+.names 2676 722 57016
+11 1
+.names 837 736 56457
+11 1
+.names 838 736 56458
+11 1
+.names 839 736 56459
+11 1
+.names 840 736 56460
+11 1
+.names 56592 56591 5300
+11 1
+.names 5300 5301
+1 1
+.names 6857 56592
+0 1
+.names 6861 56591
+0 1
+.names 841 5304
+0 1
+.names 5304 5305
+1 1
+.names 5305 5301 5306
+1- 1
+-1 1
+.names 5306 5307
+1 1
+.names 56912 5307 57018
+11 1
+.names 842 736 57019
+11 1
+.names 56945 56839 5310
+1- 1
+-1 1
+.names 5310 5311
+1 1
+.names 5311 56944 5312
+1- 1
+-1 1
+.names 5312 5313
+1 1
+.names 5313 56838 5314
+1- 1
+-1 1
+.names 5314 5315
+1 1
+.names 56942 56943 5316
+1- 1
+-1 1
+.names 5316 5317
+1 1
+.names 5317 56941 5318
+1- 1
+-1 1
+.names 5318 5319
+1 1
+.names 5319 3904 5320
+1- 1
+-1 1
+.names 5320 5321
+1 1
+.names 5321 5315 57021
+1- 1
+-1 1
+.names 3904 771 57023
+11 1
+.names 844 736 5324
+11 1
+.names 5324 5325
+1 1
+.names 845 736 5326
+11 1
+.names 5326 5327
+1 1
+.names 5327 841 56732
+11 1
+.names 846 736 5329
+11 1
+.names 5329 5330
+1 1
+.names 5330 841 56733
+11 1
+.names 847 736 5332
+11 1
+.names 5332 5333
+1 1
+.names 5333 848 57025
+11 1
+.names 56942 56943 5335
+1- 1
+-1 1
+.names 5335 5336
+1 1
+.names 5336 56941 57027
+1- 1
+-1 1
+.names 56419 56457 5338
+1- 1
+-1 1
+.names 5338 5339
+1 1
+.names 5339 56459 5340
+1- 1
+-1 1
+.names 5340 5341
+1 1
+.names 5341 56559 5342
+1- 1
+-1 1
+.names 5342 5343
+1 1
+.names 5343 56561 57029
+1- 1
+-1 1
+.names 3658 5345
+1 1
+.names 725 5345 5346
+11 1
+.names 5346 5347
+1 1
+.names 850 851 5348
+1- 1
+-1 1
+.names 5348 5349
+1 1
+.names 5349 5353 5350
+11 1
+.names 5350 5351
+1 1
+.names 365 5367 5352
+11 1
+.names 5352 5353
+1 1
+.names 852 853 5354
+1- 1
+-1 1
+.names 5354 5355
+1 1
+.names 5355 5359 5356
+11 1
+.names 5356 5357
+1 1
+.names 365 364 5358
+11 1
+.names 5358 5359
+1 1
+.names 854 5353 5360
+11 1
+.names 5360 5361
+1 1
+.names 855 5359 5362
+11 1
+.names 5362 5363
+1 1
+.names 852 855 5364
+1- 1
+-1 1
+.names 5364 5365
+1 1
+.names 364 5366
+0 1
+.names 5366 5367
+1 1
+.names 3658 5368
+1 1
+.names 856 5368 5369
+11 1
+.names 5369 5370
+1 1
+.names 857 809 5371
+1- 1
+-1 1
+.names 5371 5372
+1 1
+.names 5372 3133 5373
+1- 1
+-1 1
+.names 5373 5374
+1 1
+.names 3658 5375
+1 1
+.names 56696 56695 5376
+11 1
+.names 5376 5377
+1 1
+.names 42524 42528 56696
+1- 1
+-1 1
+.names 42516 42520 56695
+1- 1
+-1 1
+.names 858 5377 5380
+1- 1
+-1 1
+.names 5380 5381
+1 1
+.names 5381 5375 5382
+11 1
+.names 5382 5383
+1 1
+.names 859 809 5384
+1- 1
+-1 1
+.names 5384 5385
+1 1
+.names 3658 5386
+1 1
+.names 56697 860 5387
+01 1
+10 1
+.names 5387 5388
+1 1
+.names 42532 42536 56697
+1- 1
+-1 1
+.names 5388 5386 5390
+11 1
+.names 5390 5391
+1 1
+.names 3658 5392
+1 1
+.names 861 5392 5393
+11 1
+.names 5393 5394
+1 1
+.names 2472 2476 5395
+1- 1
+-1 1
+.names 5395 5396
+1 1
+.names 5396 3783 5397
+1- 1
+-1 1
+.names 5397 5398
+1 1
+.names 5398 3799 5399
+1- 1
+-1 1
+.names 5399 5400
+1 1
+.names 5400 3823 5401
+1- 1
+-1 1
+.names 5401 5402
+1 1
+.names 5402 3838 5403
+1- 1
+-1 1
+.names 5403 5404
+1 1
+.names 5404 3856 5405
+1- 1
+-1 1
+.names 5405 5406
+1 1
+.names 5406 3870 5407
+1- 1
+-1 1
+.names 5407 5408
+1 1
+.names 5408 3888 5409
+1- 1
+-1 1
+.names 5409 5410
+1 1
+.names 5410 3896 5411
+1- 1
+-1 1
+.names 5411 5412
+1 1
+.names 5412 2474 5413
+1- 1
+-1 1
+.names 5413 5414
+1 1
+.names 5414 3779 5415
+1- 1
+-1 1
+.names 5415 5416
+1 1
+.names 5416 3795 5417
+1- 1
+-1 1
+.names 5417 5418
+1 1
+.names 5418 3819 5419
+1- 1
+-1 1
+.names 5419 5420
+1 1
+.names 5420 3834 57033
+1- 1
+-1 1
+.names 2480 2482 5422
+1- 1
+-1 1
+.names 5422 5423
+1 1
+.names 5423 56782 5424
+1- 1
+-1 1
+.names 5424 5425
+1 1
+.names 5425 3787 5426
+1- 1
+-1 1
+.names 5426 5427
+1 1
+.names 5427 3803 5428
+1- 1
+-1 1
+.names 5428 5429
+1 1
+.names 5429 3827 5430
+1- 1
+-1 1
+.names 5430 5431
+1 1
+.names 5431 3842 5432
+1- 1
+-1 1
+.names 5432 5433
+1 1
+.names 5433 3860 5434
+1- 1
+-1 1
+.names 5434 5435
+1 1
+.names 5435 3874 5436
+1- 1
+-1 1
+.names 5436 5437
+1 1
+.names 5437 3892 5438
+1- 1
+-1 1
+.names 5438 5439
+1 1
+.names 5439 3900 57034
+1- 1
+-1 1
+.names 2480 2472 5441
+1- 1
+-1 1
+.names 5441 5442
+1 1
+.names 5442 2474 5443
+1- 1
+-1 1
+.names 5443 5444
+1 1
+.names 5444 2464 5445
+1- 1
+-1 1
+.names 5445 5446
+1 1
+.names 5446 2466 5447
+1- 1
+-1 1
+.names 5447 5448
+1 1
+.names 5448 3771 5449
+1- 1
+-1 1
+.names 5449 5450
+1 1
+.names 5450 3775 5451
+1- 1
+-1 1
+.names 5451 5452
+1 1
+.names 5452 3779 5453
+1- 1
+-1 1
+.names 5453 5454
+1 1
+.names 5454 3783 5455
+1- 1
+-1 1
+.names 5455 5456
+1 1
+.names 5456 3787 5457
+1- 1
+-1 1
+.names 5457 5458
+1 1
+.names 5458 3795 5459
+1- 1
+-1 1
+.names 5459 5460
+1 1
+.names 5460 3799 5461
+1- 1
+-1 1
+.names 5461 5462
+1 1
+.names 5462 3803 5463
+1- 1
+-1 1
+.names 5463 5464
+1 1
+.names 5464 3811 5465
+1- 1
+-1 1
+.names 5465 5466
+1 1
+.names 5466 3815 5467
+1- 1
+-1 1
+.names 5467 5468
+1 1
+.names 5468 3819 5469
+1- 1
+-1 1
+.names 5469 5470
+1 1
+.names 5470 3823 5471
+1- 1
+-1 1
+.names 5471 5472
+1 1
+.names 5472 3827 5473
+1- 1
+-1 1
+.names 5473 5474
+1 1
+.names 5474 3834 5475
+1- 1
+-1 1
+.names 5475 5476
+1 1
+.names 5476 3838 5477
+1- 1
+-1 1
+.names 5477 5478
+1 1
+.names 5478 3842 57035
+1- 1
+-1 1
+.names 2482 56782 5480
+1- 1
+-1 1
+.names 5480 5481
+1 1
+.names 5481 2476 5482
+1- 1
+-1 1
+.names 5482 5483
+1 1
+.names 5483 2468 5484
+1- 1
+-1 1
+.names 5484 5485
+1 1
+.names 5485 3852 5486
+1- 1
+-1 1
+.names 5486 5487
+1 1
+.names 5487 3856 5488
+1- 1
+-1 1
+.names 5488 5489
+1 1
+.names 5489 3860 5490
+1- 1
+-1 1
+.names 5490 5491
+1 1
+.names 5491 3870 5492
+1- 1
+-1 1
+.names 5492 5493
+1 1
+.names 5493 3874 5494
+1- 1
+-1 1
+.names 5494 5495
+1 1
+.names 5495 3884 5496
+1- 1
+-1 1
+.names 5496 5497
+1 1
+.names 5497 3888 5498
+1- 1
+-1 1
+.names 5498 5499
+1 1
+.names 5499 3892 5500
+1- 1
+-1 1
+.names 5500 5501
+1 1
+.names 5501 3896 5502
+1- 1
+-1 1
+.names 5502 5503
+1 1
+.names 5503 3900 57036
+1- 1
+-1 1
+.names 3811 3815 5505
+1- 1
+-1 1
+.names 5505 5506
+1 1
+.names 5506 3819 5507
+1- 1
+-1 1
+.names 5507 5508
+1 1
+.names 5508 3823 5509
+1- 1
+-1 1
+.names 5509 5510
+1 1
+.names 5510 3827 5511
+1- 1
+-1 1
+.names 5511 5512
+1 1
+.names 5512 3834 5513
+1- 1
+-1 1
+.names 5513 5514
+1 1
+.names 5514 3838 5515
+1- 1
+-1 1
+.names 5515 5516
+1 1
+.names 5516 3842 5517
+1- 1
+-1 1
+.names 5517 5518
+1 1
+.names 5518 3884 5519
+1- 1
+-1 1
+.names 5519 5520
+1 1
+.names 5520 3888 5521
+1- 1
+-1 1
+.names 5521 5522
+1 1
+.names 5522 3892 5523
+1- 1
+-1 1
+.names 5523 5524
+1 1
+.names 5524 3896 5525
+1- 1
+-1 1
+.names 5525 5526
+1 1
+.names 5526 3900 57037
+1- 1
+-1 1
+.names 2474 2466 5528
+1- 1
+-1 1
+.names 5528 5529
+1 1
+.names 5529 3771 5530
+1- 1
+-1 1
+.names 5530 5531
+1 1
+.names 5531 3779 5532
+1- 1
+-1 1
+.names 5532 5533
+1 1
+.names 5533 3795 5534
+1- 1
+-1 1
+.names 5534 5535
+1 1
+.names 5535 3811 5536
+1- 1
+-1 1
+.names 5536 5537
+1 1
+.names 5537 3819 5538
+1- 1
+-1 1
+.names 5538 5539
+1 1
+.names 5539 3834 5540
+1- 1
+-1 1
+.names 5540 5541
+1 1
+.names 5541 57038
+0 1
+.names 3795 3799 5543
+1- 1
+-1 1
+.names 5543 5544
+1 1
+.names 5544 3803 5545
+1- 1
+-1 1
+.names 5545 5546
+1 1
+.names 5546 3834 5547
+1- 1
+-1 1
+.names 5547 5548
+1 1
+.names 5548 3838 5549
+1- 1
+-1 1
+.names 5549 5550
+1 1
+.names 5550 3842 5551
+1- 1
+-1 1
+.names 5551 5552
+1 1
+.names 5552 3870 5553
+1- 1
+-1 1
+.names 5553 5554
+1 1
+.names 5554 3874 5555
+1- 1
+-1 1
+.names 5555 5556
+1 1
+.names 5556 3896 5557
+1- 1
+-1 1
+.names 5557 5558
+1 1
+.names 5558 3900 57039
+1- 1
+-1 1
+.names 2679 5560
+1 1
+.names 862 863 5561
+1- 1
+-1 1
+.names 5561 5562
+1 1
+.names 5562 864 5563
+1- 1
+-1 1
+.names 5563 5564
+1 1
+.names 5564 865 5565
+1- 1
+-1 1
+.names 5565 5566
+1 1
+.names 5566 866 5567
+1- 1
+-1 1
+.names 5567 5568
+1 1
+.names 5568 867 5569
+1- 1
+-1 1
+.names 5569 5570
+1 1
+.names 5570 2920 5571
+1- 1
+-1 1
+.names 5571 5572
+1 1
+.names 5572 736 5573
+11 1
+.names 5573 5574
+1 1
+.names 5574 5560 5575
+11 1
+.names 5575 5576
+1 1
+.names 2679 5577
+1 1
+.names 868 869 5578
+1- 1
+-1 1
+.names 5578 5579
+1 1
+.names 5579 870 5580
+1- 1
+-1 1
+.names 5580 5581
+1 1
+.names 5581 871 5582
+1- 1
+-1 1
+.names 5582 5583
+1 1
+.names 5583 736 5584
+11 1
+.names 5584 5585
+1 1
+.names 5585 5577 5586
+11 1
+.names 5586 5587
+1 1
+.names 2679 5588
+1 1
+.names 872 873 5589
+1- 1
+-1 1
+.names 5589 5590
+1 1
+.names 5590 874 5591
+1- 1
+-1 1
+.names 5591 5592
+1 1
+.names 5592 875 5593
+1- 1
+-1 1
+.names 5593 5594
+1 1
+.names 5594 736 5595
+11 1
+.names 5595 5596
+1 1
+.names 5596 5588 5597
+11 1
+.names 5597 5598
+1 1
+.names 2679 5599
+1 1
+.names 876 877 5600
+1- 1
+-1 1
+.names 5600 5601
+1 1
+.names 5601 878 5602
+1- 1
+-1 1
+.names 5602 5603
+1 1
+.names 5603 879 5604
+1- 1
+-1 1
+.names 5604 5605
+1 1
+.names 5605 736 5606
+11 1
+.names 5606 5607
+1 1
+.names 5607 5599 5608
+11 1
+.names 5608 5609
+1 1
+.names 2679 5610
+1 1
+.names 880 881 5611
+1- 1
+-1 1
+.names 5611 5612
+1 1
+.names 5612 882 5613
+1- 1
+-1 1
+.names 5613 5614
+1 1
+.names 5614 872 5615
+1- 1
+-1 1
+.names 5615 5616
+1 1
+.names 5616 873 5617
+1- 1
+-1 1
+.names 5617 5618
+1 1
+.names 5618 869 5619
+1- 1
+-1 1
+.names 5619 5620
+1 1
+.names 5620 871 5621
+1- 1
+-1 1
+.names 5621 5622
+1 1
+.names 5622 883 5623
+1- 1
+-1 1
+.names 5623 5624
+1 1
+.names 5624 736 5625
+11 1
+.names 5625 5626
+1 1
+.names 5626 5610 5627
+11 1
+.names 5627 5628
+1 1
+.names 5022 5026 5629
+1- 1
+-1 1
+.names 5629 5630
+1 1
+.names 5630 5030 5631
+1- 1
+-1 1
+.names 5631 5632
+1 1
+.names 5632 5034 5633
+1- 1
+-1 1
+.names 5633 5634
+1 1
+.names 5634 5038 5635
+1- 1
+-1 1
+.names 5635 5636
+1 1
+.names 5636 5042 5637
+1- 1
+-1 1
+.names 5637 5638
+1 1
+.names 5638 4419 5639
+1- 1
+-1 1
+.names 5639 5640
+1 1
+.names 5640 4405 5641
+1- 1
+-1 1
+.names 5641 5642
+1 1
+.names 5642 4374 5643
+1- 1
+-1 1
+.names 5643 5644
+1 1
+.names 5644 4348 57041
+1- 1
+-1 1
+.names 884 736 5646
+11 1
+.names 5646 5647
+1 1
+.names 5647 769 57042
+11 1
+.names 885 736 57044
+11 1
+.names 2584 5650
+1 1
+.names 886 57068 5651
+11 1
+.names 5651 5652
+1 1
+.names 5658 736 57068
+11 1
+.names 5652 5650 57069
+11 1
+.names 887 2902 5655
+1- 1
+-1 1
+.names 5655 5656
+1 1
+.names 5656 2896 5657
+1- 1
+-1 1
+.names 5657 5658
+1 1
+.names 56872 722 5659
+11 1
+.names 5659 5660
+1 1
+.names 3008 722 5661
+11 1
+.names 5661 5662
+1 1
+.names 5662 5660 57071
+1- 1
+-1 1
+.names 4221 6707 5664
+11 1
+.names 5664 5665
+1 1
+.names 888 5666
+0 1
+.names 889 5667
+0 1
+.names 5667 5668
+1 1
+.names 5668 890 5669
+11 1
+.names 5669 5670
+1 1
+.names 5666 5671
+1 1
+.names 5671 5670 57074
+1- 1
+-1 1
+.names 37 5673
+0 1
+.names 5673 5674
+1 1
+.names 38 5675
+0 1
+.names 5675 5676
+1 1
+.names 39 5677
+0 1
+.names 5677 5678
+1 1
+.names 40 5679
+0 1
+.names 5679 5680
+1 1
+.names 41 5681
+0 1
+.names 5681 5682
+1 1
+.names 42 5683
+0 1
+.names 5683 5684
+1 1
+.names 43 5685
+0 1
+.names 5685 5686
+1 1
+.names 44 5687
+0 1
+.names 5687 5688
+1 1
+.names 45 5689
+0 1
+.names 5689 5690
+1 1
+.names 46 5691
+0 1
+.names 5691 5692
+1 1
+.names 47 5693
+0 1
+.names 5693 5694
+1 1
+.names 48 5695
+0 1
+.names 5695 5696
+1 1
+.names 49 5697
+0 1
+.names 5697 5698
+1 1
+.names 50 5699
+0 1
+.names 5699 5700
+1 1
+.names 51 5701
+0 1
+.names 5701 5702
+1 1
+.names 52 5703
+0 1
+.names 5703 5704
+1 1
+.names 53 5705
+0 1
+.names 5705 5706
+1 1
+.names 54 5707
+0 1
+.names 5707 5708
+1 1
+.names 55 5709
+0 1
+.names 5709 5710
+1 1
+.names 56 5711
+0 1
+.names 5711 5712
+1 1
+.names 57 5713
+0 1
+.names 5713 5714
+1 1
+.names 58 5715
+0 1
+.names 5715 5716
+1 1
+.names 59 5717
+0 1
+.names 5717 5718
+1 1
+.names 60 5719
+0 1
+.names 5719 5720
+1 1
+.names 61 5721
+0 1
+.names 5721 5722
+1 1
+.names 62 5723
+0 1
+.names 5723 5724
+1 1
+.names 63 5725
+0 1
+.names 5725 5726
+1 1
+.names 64 5727
+0 1
+.names 5727 5728
+1 1
+.names 65 5729
+0 1
+.names 5729 5730
+1 1
+.names 66 5731
+0 1
+.names 5731 5732
+1 1
+.names 67 5733
+0 1
+.names 5733 5734
+1 1
+.names 68 5735
+0 1
+.names 5735 5736
+1 1
+.names 72 5737
+0 1
+.names 5737 5738
+1 1
+.names 5738 71 5739
+11 1
+.names 5739 5740
+1 1
+.names 5740 70 5741
+11 1
+.names 5741 5742
+1 1
+.names 5742 69 5743
+11 1
+.names 5743 5744
+1 1
+.names 69 5745
+0 1
+.names 5745 5746
+1 1
+.names 5737 5747
+1 1
+.names 5747 71 5748
+11 1
+.names 5748 5749
+1 1
+.names 5749 70 5750
+11 1
+.names 5750 5751
+1 1
+.names 5751 5746 5752
+11 1
+.names 5752 5753
+1 1
+.names 71 5754
+0 1
+.names 5754 5755
+1 1
+.names 72 5755 5756
+11 1
+.names 5756 5757
+1 1
+.names 5757 70 5758
+11 1
+.names 5758 5759
+1 1
+.names 5759 69 5760
+11 1
+.names 5760 5761
+1 1
+.names 5745 5762
+1 1
+.names 5754 5763
+1 1
+.names 72 5763 5764
+11 1
+.names 5764 5765
+1 1
+.names 5765 70 5766
+11 1
+.names 5766 5767
+1 1
+.names 5767 5762 5768
+11 1
+.names 5768 5769
+1 1
+.names 5769 3133 5770
+1- 1
+-1 1
+.names 5770 5771
+1 1
+.names 2591 5772
+1 1
+.names 891 5772 5773
+11 1
+.names 5773 5774
+1 1
+.names 70 5775
+0 1
+.names 5775 5776
+1 1
+.names 5754 5777
+1 1
+.names 72 5777 5778
+11 1
+.names 5778 5779
+1 1
+.names 5779 5776 5780
+11 1
+.names 5780 5781
+1 1
+.names 5781 69 5782
+11 1
+.names 5782 5783
+1 1
+.names 5783 5774 5784
+1- 1
+-1 1
+.names 5784 5785
+1 1
+.names 892 5786
+0 1
+.names 56594 5787
+0 1
+.names 5787 5788
+1 1
+.names 6849 56594
+0 1
+.names 56599 56563 5790
+01 1
+10 1
+.names 5790 5791
+1 1
+.names 7292 56599
+0 1
+.names 6973 56563
+0 1
+.names 56600 56564 5794
+01 1
+10 1
+.names 5794 5795
+1 1
+.names 7288 56600
+0 1
+.names 6969 56564
+0 1
+.names 56601 56565 5798
+01 1
+10 1
+.names 5798 5799
+1 1
+.names 7284 56601
+0 1
+.names 6965 56565
+0 1
+.names 56602 56566 5802
+01 1
+10 1
+.names 5802 5803
+1 1
+.names 7280 56602
+0 1
+.names 6961 56566
+0 1
+.names 56603 56567 5806
+01 1
+10 1
+.names 5806 5807
+1 1
+.names 7276 56603
+0 1
+.names 6957 56567
+0 1
+.names 56604 56568 5810
+01 1
+10 1
+.names 5810 5811
+1 1
+.names 7272 56604
+0 1
+.names 6953 56568
+0 1
+.names 56605 56569 5814
+01 1
+10 1
+.names 5814 5815
+1 1
+.names 7268 56605
+0 1
+.names 6949 56569
+0 1
+.names 56606 56570 5818
+01 1
+10 1
+.names 5818 5819
+1 1
+.names 7264 56606
+0 1
+.names 6945 56570
+0 1
+.names 56607 56571 5822
+01 1
+10 1
+.names 5822 5823
+1 1
+.names 7260 56607
+0 1
+.names 6941 56571
+0 1
+.names 56608 56572 5826
+01 1
+10 1
+.names 5826 5827
+1 1
+.names 7256 56608
+0 1
+.names 6937 56572
+0 1
+.names 56609 56573 5830
+01 1
+10 1
+.names 5830 5831
+1 1
+.names 7252 56609
+0 1
+.names 6933 56573
+0 1
+.names 56610 56574 5834
+01 1
+10 1
+.names 5834 5835
+1 1
+.names 7248 56610
+0 1
+.names 6929 56574
+0 1
+.names 56611 56575 5838
+01 1
+10 1
+.names 5838 5839
+1 1
+.names 7244 56611
+0 1
+.names 6925 56575
+0 1
+.names 56612 56576 5842
+01 1
+10 1
+.names 5842 5843
+1 1
+.names 7240 56612
+0 1
+.names 6921 56576
+0 1
+.names 56613 56577 5846
+01 1
+10 1
+.names 5846 5847
+1 1
+.names 7236 56613
+0 1
+.names 6917 56577
+0 1
+.names 56614 56578 5850
+01 1
+10 1
+.names 5850 5851
+1 1
+.names 7232 56614
+0 1
+.names 6913 56578
+0 1
+.names 56615 56579 5854
+01 1
+10 1
+.names 5854 5855
+1 1
+.names 7228 56615
+0 1
+.names 6909 56579
+0 1
+.names 56616 56580 5858
+01 1
+10 1
+.names 5858 5859
+1 1
+.names 7224 56616
+0 1
+.names 6905 56580
+0 1
+.names 56617 56581 5862
+01 1
+10 1
+.names 5862 5863
+1 1
+.names 7220 56617
+0 1
+.names 6901 56581
+0 1
+.names 56618 56582 5866
+01 1
+10 1
+.names 5866 5867
+1 1
+.names 7216 56618
+0 1
+.names 6897 56582
+0 1
+.names 56619 56583 5870
+01 1
+10 1
+.names 5870 5871
+1 1
+.names 7212 56619
+0 1
+.names 6893 56583
+0 1
+.names 56620 56584 5874
+01 1
+10 1
+.names 5874 5875
+1 1
+.names 7208 56620
+0 1
+.names 6889 56584
+0 1
+.names 56621 56585 5878
+01 1
+10 1
+.names 5878 5879
+1 1
+.names 7204 56621
+0 1
+.names 6885 56585
+0 1
+.names 56622 56586 5882
+01 1
+10 1
+.names 5882 5883
+1 1
+.names 7200 56622
+0 1
+.names 6881 56586
+0 1
+.names 56623 56587 5886
+01 1
+10 1
+.names 5886 5887
+1 1
+.names 7196 56623
+0 1
+.names 6877 56587
+0 1
+.names 56624 56588 5890
+01 1
+10 1
+.names 5890 5891
+1 1
+.names 7192 56624
+0 1
+.names 6873 56588
+0 1
+.names 56625 56589 5894
+01 1
+10 1
+.names 5894 5895
+1 1
+.names 7188 56625
+0 1
+.names 6869 56589
+0 1
+.names 56626 56590 5898
+01 1
+10 1
+.names 5898 5899
+1 1
+.names 7184 56626
+0 1
+.names 6865 56590
+0 1
+.names 56627 56591 5902
+01 1
+10 1
+.names 5902 5903
+1 1
+.names 7180 56627
+0 1
+.names 56628 56592 5905
+01 1
+10 1
+.names 5905 5906
+1 1
+.names 7176 56628
+0 1
+.names 56629 56593 5908
+01 1
+10 1
+.names 5908 5909
+1 1
+.names 7172 56629
+0 1
+.names 6853 56593
+0 1
+.names 56630 56594 5912
+01 1
+10 1
+.names 5912 5913
+1 1
+.names 56563 56599 5914
+11 1
+.names 5914 5915
+1 1
+.names 56564 56600 5916
+11 1
+.names 5916 5917
+1 1
+.names 56565 56601 5918
+11 1
+.names 5918 5919
+1 1
+.names 56566 56602 5920
+11 1
+.names 5920 5921
+1 1
+.names 56567 56603 5922
+11 1
+.names 5922 5923
+1 1
+.names 56568 56604 5924
+11 1
+.names 5924 5925
+1 1
+.names 56569 56605 5926
+11 1
+.names 5926 5927
+1 1
+.names 56570 56606 5928
+11 1
+.names 5928 5929
+1 1
+.names 56571 56607 5930
+11 1
+.names 5930 5931
+1 1
+.names 56572 56608 5932
+11 1
+.names 5932 5933
+1 1
+.names 56573 56609 5934
+11 1
+.names 5934 5935
+1 1
+.names 56574 56610 5936
+11 1
+.names 5936 5937
+1 1
+.names 56575 56611 5938
+11 1
+.names 5938 5939
+1 1
+.names 56576 56612 5940
+11 1
+.names 5940 5941
+1 1
+.names 56577 56613 5942
+11 1
+.names 5942 5943
+1 1
+.names 56578 56614 5944
+11 1
+.names 5944 5945
+1 1
+.names 56579 56615 5946
+11 1
+.names 5946 5947
+1 1
+.names 56580 56616 5948
+11 1
+.names 5948 5949
+1 1
+.names 56581 56617 5950
+11 1
+.names 5950 5951
+1 1
+.names 56582 56618 5952
+11 1
+.names 5952 5953
+1 1
+.names 56583 56619 5954
+11 1
+.names 5954 5955
+1 1
+.names 56584 56620 5956
+11 1
+.names 5956 5957
+1 1
+.names 56585 56621 5958
+11 1
+.names 5958 5959
+1 1
+.names 56586 56622 5960
+11 1
+.names 5960 5961
+1 1
+.names 56587 56623 5962
+11 1
+.names 5962 5963
+1 1
+.names 56588 56624 5964
+11 1
+.names 5964 5965
+1 1
+.names 56589 56625 5966
+11 1
+.names 5966 5967
+1 1
+.names 56590 56626 5968
+11 1
+.names 5968 5969
+1 1
+.names 56591 56627 5970
+11 1
+.names 5970 5971
+1 1
+.names 56592 56628 5972
+11 1
+.names 5972 5973
+1 1
+.names 56593 56629 5974
+11 1
+.names 5974 5975
+1 1
+.names 56594 56630 5976
+11 1
+.names 5976 5977
+1 1
+.names 56563 56599 5978
+1- 1
+-1 1
+.names 5978 5979
+1 1
+.names 56564 56600 5980
+1- 1
+-1 1
+.names 5980 5981
+1 1
+.names 56565 56601 5982
+1- 1
+-1 1
+.names 5982 5983
+1 1
+.names 56566 56602 5984
+1- 1
+-1 1
+.names 5984 5985
+1 1
+.names 56567 56603 5986
+1- 1
+-1 1
+.names 5986 5987
+1 1
+.names 56568 56604 5988
+1- 1
+-1 1
+.names 5988 5989
+1 1
+.names 56569 56605 5990
+1- 1
+-1 1
+.names 5990 5991
+1 1
+.names 56570 56606 5992
+1- 1
+-1 1
+.names 5992 5993
+1 1
+.names 56571 56607 5994
+1- 1
+-1 1
+.names 5994 5995
+1 1
+.names 56572 56608 5996
+1- 1
+-1 1
+.names 5996 5997
+1 1
+.names 56573 56609 5998
+1- 1
+-1 1
+.names 5998 5999
+1 1
+.names 56574 56610 6000
+1- 1
+-1 1
+.names 6000 6001
+1 1
+.names 56575 56611 6002
+1- 1
+-1 1
+.names 6002 6003
+1 1
+.names 56576 56612 6004
+1- 1
+-1 1
+.names 6004 6005
+1 1
+.names 56577 56613 6006
+1- 1
+-1 1
+.names 6006 6007
+1 1
+.names 56578 56614 6008
+1- 1
+-1 1
+.names 6008 6009
+1 1
+.names 56579 56615 6010
+1- 1
+-1 1
+.names 6010 6011
+1 1
+.names 56580 56616 6012
+1- 1
+-1 1
+.names 6012 6013
+1 1
+.names 56581 56617 6014
+1- 1
+-1 1
+.names 6014 6015
+1 1
+.names 56582 56618 6016
+1- 1
+-1 1
+.names 6016 6017
+1 1
+.names 56583 56619 6018
+1- 1
+-1 1
+.names 6018 6019
+1 1
+.names 56584 56620 6020
+1- 1
+-1 1
+.names 6020 6021
+1 1
+.names 56585 56621 6022
+1- 1
+-1 1
+.names 6022 6023
+1 1
+.names 56586 56622 6024
+1- 1
+-1 1
+.names 6024 6025
+1 1
+.names 56587 56623 6026
+1- 1
+-1 1
+.names 6026 6027
+1 1
+.names 56588 56624 6028
+1- 1
+-1 1
+.names 6028 6029
+1 1
+.names 56589 56625 6030
+1- 1
+-1 1
+.names 6030 6031
+1 1
+.names 56590 56626 6032
+1- 1
+-1 1
+.names 6032 6033
+1 1
+.names 56591 56627 6034
+1- 1
+-1 1
+.names 6034 6035
+1 1
+.names 56592 56628 6036
+1- 1
+-1 1
+.names 6036 6037
+1 1
+.names 56593 56629 6038
+1- 1
+-1 1
+.names 6038 6039
+1 1
+.names 56594 56630 6040
+1- 1
+-1 1
+.names 6040 6041
+1 1
+.names 6045 6042
+0 1
+.names 6042 6043
+1 1
+.names 26505 26533 6044
+1- 1
+-1 1
+.names 6044 6045
+1 1
+.names 6049 6046
+0 1
+.names 6046 6047
+1 1
+.names 25939 25967 6048
+1- 1
+-1 1
+.names 6048 6049
+1 1
+.names 6053 6050
+0 1
+.names 6050 6051
+1 1
+.names 26855 26856 6052
+1- 1
+-1 1
+.names 6052 6053
+1 1
+.names 6057 6054
+0 1
+.names 6054 6055
+1 1
+.names 27235 27260 6056
+1- 1
+-1 1
+.names 6056 6057
+1 1
+.names 6061 6058
+0 1
+.names 6058 6059
+1 1
+.names 27281 27306 6060
+1- 1
+-1 1
+.names 6060 6061
+1 1
+.names 6065 6062
+0 1
+.names 6062 6063
+1 1
+.names 26889 26912 6064
+1- 1
+-1 1
+.names 6064 6065
+1 1
+.names 6069 6066
+0 1
+.names 6066 6067
+1 1
+.names 25997 26000 6068
+1- 1
+-1 1
+.names 6068 6069
+1 1
+.names 6073 6070
+0 1
+.names 6070 6071
+1 1
+.names 26544 26573 6072
+1- 1
+-1 1
+.names 6072 6073
+1 1
+.names 6077 6074
+0 1
+.names 6074 6075
+1 1
+.names 26043 26046 6076
+1- 1
+-1 1
+.names 6076 6077
+1 1
+.names 6081 6078
+0 1
+.names 6078 6079
+1 1
+.names 26324 26325 6080
+1- 1
+-1 1
+.names 6080 6081
+1 1
+.names 6085 6082
+0 1
+.names 6082 6083
+1 1
+.names 26107 26133 6084
+1- 1
+-1 1
+.names 6084 6085
+1 1
+.names 6089 6086
+0 1
+.names 6086 6087
+1 1
+.names 26400 26426 6088
+1- 1
+-1 1
+.names 6088 6089
+1 1
+.names 6093 6090
+0 1
+.names 6090 6091
+1 1
+.names 27085 27110 6092
+1- 1
+-1 1
+.names 6092 6093
+1 1
+.names 6097 6094
+0 1
+.names 6094 6095
+1 1
+.names 26931 26934 6096
+1- 1
+-1 1
+.names 6096 6097
+1 1
+.names 6101 6098
+0 1
+.names 6098 6099
+1 1
+.names 26993 27018 6100
+1- 1
+-1 1
+.names 6100 6101
+1 1
+.names 6105 6102
+0 1
+.names 6102 6103
+1 1
+.names 27327 27350 6104
+1- 1
+-1 1
+.names 6104 6105
+1 1
+.names 6109 6106
+0 1
+.names 6106 6107
+1 1
+.names 26679 26680 6108
+1- 1
+-1 1
+.names 6108 6109
+1 1
+.names 6113 6110
+0 1
+.names 6110 6111
+1 1
+.names 26167 26168 6112
+1- 1
+-1 1
+.names 6112 6113
+1 1
+.names 6117 6114
+0 1
+.names 6114 6115
+1 1
+.names 26625 26626 6116
+1- 1
+-1 1
+.names 6116 6117
+1 1
+.names 6121 6118
+0 1
+.names 6118 6119
+1 1
+.names 26244 26247 6120
+1- 1
+-1 1
+.names 6120 6121
+1 1
+.names 6125 6122
+0 1
+.names 6122 6123
+1 1
+.names 27388 27389 6124
+1- 1
+-1 1
+.names 6124 6125
+1 1
+.names 6129 6126
+0 1
+.names 6126 6127
+1 1
+.names 26797 26822 6128
+1- 1
+-1 1
+.names 6128 6129
+1 1
+.names 6133 6130
+0 1
+.names 6130 6131
+1 1
+.names 25887 25917 6132
+1- 1
+-1 1
+.names 6132 6133
+1 1
+.names 6137 6134
+0 1
+.names 6134 6135
+1 1
+.names 26692 26717 6136
+1- 1
+-1 1
+.names 6136 6137
+1 1
+.names 6141 6138
+0 1
+.names 6138 6139
+1 1
+.names 26444 26447 6140
+1- 1
+-1 1
+.names 6140 6141
+1 1
+.names 6145 6142
+0 1
+.names 6142 6143
+1 1
+.names 26366 26367 6144
+1- 1
+-1 1
+.names 6144 6145
+1 1
+.names 6149 6146
+0 1
+.names 6146 6147
+1 1
+.names 27131 27156 6148
+1- 1
+-1 1
+.names 6148 6149
+1 1
+.names 6153 6150
+0 1
+.names 6150 6151
+1 1
+.names 27173 27176 6152
+1- 1
+-1 1
+.names 6152 6153
+1 1
+.names 6157 6154
+0 1
+.names 6154 6155
+1 1
+.names 27039 27064 6156
+1- 1
+-1 1
+.names 6156 6157
+1 1
+.names 6161 6158
+0 1
+.names 6158 6159
+1 1
+.names 27420 27445 6160
+1- 1
+-1 1
+.names 6160 6161
+1 1
+.names 6165 6162
+0 1
+.names 6162 6163
+1 1
+.names 26215 26216 6164
+1- 1
+-1 1
+.names 6164 6165
+1 1
+.names 6169 6166
+0 1
+.names 6166 6167
+1 1
+.names 26734 26737 6168
+1- 1
+-1 1
+.names 6168 6169
+1 1
+.names 6173 6170
+0 1
+.names 6170 6171
+1 1
+.names 25550 25551 6172
+1- 1
+-1 1
+.names 6172 6173
+1 1
+.names 6177 6174
+0 1
+.names 6174 6175
+1 1
+.names 25333 25334 6176
+1- 1
+-1 1
+.names 6176 6177
+1 1
+.names 6181 6178
+0 1
+.names 6178 6179
+1 1
+.names 25567 25568 6180
+1- 1
+-1 1
+.names 6180 6181
+1 1
+.names 6185 6182
+0 1
+.names 6182 6183
+1 1
+.names 25803 25804 6184
+1- 1
+-1 1
+.names 6184 6185
+1 1
+.names 6189 6186
+0 1
+.names 6186 6187
+1 1
+.names 25820 25821 6188
+1- 1
+-1 1
+.names 6188 6189
+1 1
+.names 6193 6190
+0 1
+.names 6190 6191
+1 1
+.names 25584 25585 6192
+1- 1
+-1 1
+.names 6192 6193
+1 1
+.names 6197 6194
+0 1
+.names 6194 6195
+1 1
+.names 25350 25351 6196
+1- 1
+-1 1
+.names 6196 6197
+1 1
+.names 6201 6198
+0 1
+.names 6198 6199
+1 1
+.names 25595 25598 6200
+1- 1
+-1 1
+.names 6200 6201
+1 1
+.names 6205 6202
+0 1
+.names 6202 6203
+1 1
+.names 25396 25397 6204
+1- 1
+-1 1
+.names 6204 6205
+1 1
+.names 6209 6206
+0 1
+.names 6206 6207
+1 1
+.names 25475 25478 6208
+1- 1
+-1 1
+.names 6208 6209
+1 1
+.names 6213 6210
+0 1
+.names 6210 6211
+1 1
+.names 25402 25415 6212
+1- 1
+-1 1
+.names 6212 6213
+1 1
+.names 6217 6214
+0 1
+.names 6214 6215
+1 1
+.names 25517 25518 6216
+1- 1
+-1 1
+.names 6216 6217
+1 1
+.names 6221 6218
+0 1
+.names 6218 6219
+1 1
+.names 25769 25770 6220
+1- 1
+-1 1
+.names 6220 6221
+1 1
+.names 6225 6222
+0 1
+.names 6222 6223
+1 1
+.names 25701 25702 6224
+1- 1
+-1 1
+.names 6224 6225
+1 1
+.names 6229 6226
+0 1
+.names 6226 6227
+1 1
+.names 25712 25715 6228
+1- 1
+-1 1
+.names 6228 6229
+1 1
+.names 6233 6230
+0 1
+.names 6230 6231
+1 1
+.names 25836 25837 6232
+1- 1
+-1 1
+.names 6232 6233
+1 1
+.names 6237 6234
+0 1
+.names 6234 6235
+1 1
+.names 25634 25635 6236
+1- 1
+-1 1
+.names 6236 6237
+1 1
+.names 6241 6238
+0 1
+.names 6238 6239
+1 1
+.names 25434 25435 6240
+1- 1
+-1 1
+.names 6240 6241
+1 1
+.names 6245 6242
+0 1
+.names 6242 6243
+1 1
+.names 25623 25624 6244
+1- 1
+-1 1
+.names 6244 6245
+1 1
+.names 6249 6246
+0 1
+.names 6246 6247
+1 1
+.names 25463 25464 6248
+1- 1
+-1 1
+.names 6248 6249
+1 1
+.names 6253 6250
+0 1
+.names 6250 6251
+1 1
+.names 25853 25854 6252
+1- 1
+-1 1
+.names 6252 6253
+1 1
+.names 6257 6254
+0 1
+.names 6254 6255
+1 1
+.names 25684 25685 6256
+1- 1
+-1 1
+.names 6256 6257
+1 1
+.names 6261 6258
+0 1
+.names 6258 6259
+1 1
+.names 25363 25366 6260
+1- 1
+-1 1
+.names 6260 6261
+1 1
+.names 6265 6262
+0 1
+.names 6262 6263
+1 1
+.names 25651 25652 6264
+1- 1
+-1 1
+.names 6264 6265
+1 1
+.names 6269 6266
+0 1
+.names 6266 6267
+1 1
+.names 25528 25531 6268
+1- 1
+-1 1
+.names 6268 6269
+1 1
+.names 6273 6270
+0 1
+.names 6270 6271
+1 1
+.names 25505 25506 6272
+1- 1
+-1 1
+.names 6272 6273
+1 1
+.names 6277 6274
+0 1
+.names 6274 6275
+1 1
+.names 25752 25753 6276
+1- 1
+-1 1
+.names 6276 6277
+1 1
+.names 6281 6278
+0 1
+.names 6278 6279
+1 1
+.names 25786 25787 6280
+1- 1
+-1 1
+.names 6280 6281
+1 1
+.names 6285 6282
+0 1
+.names 6282 6283
+1 1
+.names 25735 25736 6284
+1- 1
+-1 1
+.names 6284 6285
+1 1
+.names 6289 6286
+0 1
+.names 6286 6287
+1 1
+.names 25864 25867 6288
+1- 1
+-1 1
+.names 6288 6289
+1 1
+.names 6293 6290
+0 1
+.names 6290 6291
+1 1
+.names 25440 25443 6292
+1- 1
+-1 1
+.names 6292 6293
+1 1
+.names 6297 6294
+0 1
+.names 6294 6295
+1 1
+.names 25667 25668 6296
+1- 1
+-1 1
+.names 6296 6297
+1 1
+.names 374 6298
+0 1
+.names 6298 6299
+1 1
+.names 375 6299 6300
+11 1
+.names 6300 6301
+1 1
+.names 375 374 6302
+11 1
+.names 6302 6303
+1 1
+.names 575 574 6304
+11 1
+.names 6304 6305
+1 1
+.names 6305 573 6306
+11 1
+.names 6306 6307
+1 1
+.names 573 6308
+0 1
+.names 6308 6309
+1 1
+.names 575 574 6310
+11 1
+.names 6310 6311
+1 1
+.names 6311 6309 6312
+11 1
+.names 6312 6313
+1 1
+.names 574 6314
+0 1
+.names 6314 6315
+1 1
+.names 575 6315 6316
+11 1
+.names 6316 6317
+1 1
+.names 6317 573 6318
+11 1
+.names 6318 6319
+1 1
+.names 6308 6320
+1 1
+.names 6314 6321
+1 1
+.names 575 6321 6322
+11 1
+.names 6322 6323
+1 1
+.names 6323 6320 6324
+11 1
+.names 6324 6325
+1 1
+.names 575 6326
+0 1
+.names 6326 6327
+1 1
+.names 6327 574 6328
+11 1
+.names 6328 6329
+1 1
+.names 6329 573 6330
+11 1
+.names 6330 6331
+1 1
+.names 6308 6332
+1 1
+.names 6326 6333
+1 1
+.names 6333 574 6334
+11 1
+.names 6334 6335
+1 1
+.names 6335 6332 6336
+11 1
+.names 6336 6337
+1 1
+.names 6314 6338
+1 1
+.names 6326 6339
+1 1
+.names 6339 6338 6340
+11 1
+.names 6340 6341
+1 1
+.names 6341 573 6342
+11 1
+.names 6342 6343
+1 1
+.names 301 6344
+0 1
+.names 6344 6345
+1 1
+.names 6345 300 6346
+11 1
+.names 6346 6347
+1 1
+.names 300 6348
+0 1
+.names 6348 6349
+1 1
+.names 301 6349 6350
+11 1
+.names 6350 6351
+1 1
+.names 301 300 6352
+11 1
+.names 6352 6353
+1 1
+.names 6356 57078
+0 1
+.names 10850 10853 6355
+1- 1
+-1 1
+.names 6355 6356
+1 1
+.names 6359 57080
+0 1
+.names 10764 10767 6358
+1- 1
+-1 1
+.names 6358 6359
+1 1
+.names 893 6360
+0 1
+.names 6360 6361
+1 1
+.names 892 6361 6362
+11 1
+.names 6362 6363
+1 1
+.names 6363 57081
+0 1
+.names 759 758 57082
+11 1
+.names 2792 6366
+0 1
+.names 6366 6367
+1 1
+.names 3131 6367 6368
+11 1
+.names 6368 6369
+1 1
+.names 2781 6370
+0 1
+.names 6370 6371
+1 1
+.names 3143 6371 6372
+11 1
+.names 6372 6373
+1 1
+.names 894 57083
+0 1
+.names 895 6375
+0 1
+.names 6375 6376
+1 1
+.names 6380 733 6377
+11 1
+.names 6377 6378
+1 1
+.names 56400 56404 6379
+1- 1
+-1 1
+.names 6379 6380
+1 1
+.names 6378 896 6381
+11 1
+.names 6381 6382
+1 1
+.names 6382 6376 57084
+11 1
+.names 897 57085
+0 1
+.names 898 236 6385
+01 1
+10 1
+.names 6385 6386
+1 1
+.names 899 237 6387
+01 1
+10 1
+.names 6387 6388
+1 1
+.names 900 238 6389
+01 1
+10 1
+.names 6389 6390
+1 1
+.names 901 239 6391
+01 1
+10 1
+.names 6391 6392
+1 1
+.names 902 248 6393
+01 1
+10 1
+.names 6393 6394
+1 1
+.names 903 236 6395
+01 1
+10 1
+.names 6395 6396
+1 1
+.names 904 237 6397
+01 1
+10 1
+.names 6397 6398
+1 1
+.names 905 238 6399
+01 1
+10 1
+.names 6399 6400
+1 1
+.names 906 239 6401
+01 1
+10 1
+.names 6401 6402
+1 1
+.names 907 248 6403
+01 1
+10 1
+.names 6403 6404
+1 1
+.names 898 56667 6405
+01 1
+10 1
+.names 6405 6406
+1 1
+.names 43424 43426 56667
+1- 1
+-1 1
+.names 899 56668 6408
+01 1
+10 1
+.names 6408 6409
+1 1
+.names 43416 43418 56668
+1- 1
+-1 1
+.names 900 56669 6411
+01 1
+10 1
+.names 6411 6412
+1 1
+.names 43408 43410 56669
+1- 1
+-1 1
+.names 901 56670 6414
+01 1
+10 1
+.names 6414 6415
+1 1
+.names 43401 43402 56670
+1- 1
+-1 1
+.names 902 56679 6417
+01 1
+10 1
+.names 6417 6418
+1 1
+.names 43031 43035 56679
+1- 1
+-1 1
+.names 903 56667 6420
+01 1
+10 1
+.names 6420 6421
+1 1
+.names 904 56668 6422
+01 1
+10 1
+.names 6422 6423
+1 1
+.names 905 56669 6424
+01 1
+10 1
+.names 6424 6425
+1 1
+.names 906 56670 6426
+01 1
+10 1
+.names 6426 6427
+1 1
+.names 907 56679 6428
+01 1
+10 1
+.names 6428 6429
+1 1
+.names 57131 6430
+1 1
+.names 6430 56848 6431
+1- 1
+-1 1
+.names 6431 6432
+1 1
+.names 6432 908 57086
+1- 1
+-1 1
+.names 714 908 6434
+11 1
+.names 6434 6435
+1 1
+.names 57131 6436
+1 1
+.names 909 6437
+0 1
+.names 6437 6438
+1 1
+.names 57131 6439
+1 1
+.names 57131 6440
+1 1
+.names 57131 6441
+1 1
+.names 57131 6442
+1 1
+.names 57131 6443
+1 1
+.names 57131 6444
+1 1
+.names 57131 6445
+1 1
+.names 57131 6446
+1 1
+.names 57131 6447
+1 1
+.names 57131 6448
+1 1
+.names 6448 2844 57087
+1- 1
+-1 1
+.names 57131 6450
+1 1
+.names 57131 6451
+1 1
+.names 6451 2813 57088
+1- 1
+-1 1
+.names 3098 57089
+0 1
+.names 3131 2792 57090
+1- 1
+-1 1
+.names 3110 57091
+0 1
+.names 3143 2781 57092
+1- 1
+-1 1
+.names 3131 56843 57093
+1- 1
+-1 1
+.names 57089 6458
+1 1
+.names 6458 714 57094
+11 1
+.names 3143 56842 57095
+1- 1
+-1 1
+.names 57091 6461
+1 1
+.names 6461 714 57096
+11 1
+.names 57131 6463
+1 1
+.names 57131 6464
+1 1
+.names 57131 6465
+1 1
+.names 57131 6466
+1 1
+.names 57131 6467
+1 1
+.names 57131 6468
+1 1
+.names 57131 6469
+1 1
+.names 57131 6470
+1 1
+.names 57131 6471
+1 1
+.names 57131 6472
+1 1
+.names 910 6473
+0 1
+.names 6473 6474
+1 1
+.names 911 6474 57097
+11 1
+.names 56713 57098
+0 1
+.names 27621 27625 56713
+1- 1
+-1 1
+.names 57131 6478
+1 1
+.names 57131 6479
+1 1
+.names 57131 6480
+1 1
+.names 6480 56840 57099
+1- 1
+-1 1
+.names 57083 6482
+1 1
+.names 2728 6482 57100
+11 1
+.names 57131 6484
+1 1
+.names 57131 6485
+1 1
+.names 912 6486
+0 1
+.names 6486 6487
+1 1
+.names 57084 6487 6488
+11 1
+.names 6488 6489
+1 1
+.names 6489 714 6490
+11 1
+.names 6490 6491
+1 1
+.names 6486 6492
+1 1
+.names 57084 6492 6493
+11 1
+.names 6493 6494
+1 1
+.names 57101 6494 57102
+1- 1
+-1 1
+.names 6497 2715 57101
+1- 1
+-1 1
+.names 57131 6497
+1 1
+.names 57083 6498
+1 1
+.names 2704 6498 57103
+11 1
+.names 57131 6500
+1 1
+.names 57083 6501
+1 1
+.names 2691 6501 6502
+11 1
+.names 6502 6503
+1 1
+.names 57131 6504
+1 1
+.names 6504 6503 57104
+1- 1
+-1 1
+.names 4431 4429 6506
+1- 1
+-1 1
+.names 6506 6507
+1 1
+.names 6507 4427 6508
+1- 1
+-1 1
+.names 6508 6509
+1 1
+.names 6509 4425 6510
+1- 1
+-1 1
+.names 6510 6511
+1 1
+.names 6511 4423 6512
+1- 1
+-1 1
+.names 6512 6513
+1 1
+.names 6513 4421 6514
+1- 1
+-1 1
+.names 6514 6515
+1 1
+.names 6515 4417 6516
+1- 1
+-1 1
+.names 6516 6517
+1 1
+.names 6517 4415 6518
+1- 1
+-1 1
+.names 6518 6519
+1 1
+.names 6519 4413 6520
+1- 1
+-1 1
+.names 6520 6521
+1 1
+.names 6521 4411 6522
+1- 1
+-1 1
+.names 6522 6523
+1 1
+.names 6523 4409 6524
+1- 1
+-1 1
+.names 6524 6525
+1 1
+.names 6525 4407 6526
+1- 1
+-1 1
+.names 6526 6527
+1 1
+.names 6527 4403 6528
+1- 1
+-1 1
+.names 6528 6529
+1 1
+.names 6529 4401 6530
+1- 1
+-1 1
+.names 6530 6531
+1 1
+.names 6531 4399 6532
+1- 1
+-1 1
+.names 6532 6533
+1 1
+.names 6533 4397 6534
+1- 1
+-1 1
+.names 6534 6535
+1 1
+.names 6535 4395 6536
+1- 1
+-1 1
+.names 6536 6537
+1 1
+.names 6537 4393 6538
+1- 1
+-1 1
+.names 6538 6539
+1 1
+.names 6539 4391 6540
+1- 1
+-1 1
+.names 6540 6541
+1 1
+.names 6541 4389 6542
+1- 1
+-1 1
+.names 6542 6543
+1 1
+.names 6543 4387 6544
+1- 1
+-1 1
+.names 6544 6545
+1 1
+.names 6545 4384 6546
+1- 1
+-1 1
+.names 6546 6547
+1 1
+.names 6547 4382 6548
+1- 1
+-1 1
+.names 6548 6549
+1 1
+.names 6549 4380 6550
+1- 1
+-1 1
+.names 6550 6551
+1 1
+.names 6551 4378 6552
+1- 1
+-1 1
+.names 6552 6553
+1 1
+.names 6553 4376 6554
+1- 1
+-1 1
+.names 6554 6555
+1 1
+.names 6555 4372 6556
+1- 1
+-1 1
+.names 6556 6557
+1 1
+.names 6557 4370 6558
+1- 1
+-1 1
+.names 6558 6559
+1 1
+.names 6559 4368 6560
+1- 1
+-1 1
+.names 6560 6561
+1 1
+.names 6561 4366 6562
+1- 1
+-1 1
+.names 6562 6563
+1 1
+.names 6563 4364 6564
+1- 1
+-1 1
+.names 6564 6565
+1 1
+.names 6565 4362 6566
+1- 1
+-1 1
+.names 6566 6567
+1 1
+.names 6567 4358 6568
+1- 1
+-1 1
+.names 6568 6569
+1 1
+.names 6569 4356 6570
+1- 1
+-1 1
+.names 6570 6571
+1 1
+.names 6571 4354 6572
+1- 1
+-1 1
+.names 6572 6573
+1 1
+.names 6573 4352 6574
+1- 1
+-1 1
+.names 6574 6575
+1 1
+.names 6575 4350 6576
+1- 1
+-1 1
+.names 6576 6577
+1 1
+.names 6577 4346 6578
+1- 1
+-1 1
+.names 6578 6579
+1 1
+.names 6579 4344 6580
+1- 1
+-1 1
+.names 6580 6581
+1 1
+.names 6581 4342 6582
+1- 1
+-1 1
+.names 6582 6583
+1 1
+.names 6583 4340 6584
+1- 1
+-1 1
+.names 6584 6585
+1 1
+.names 6585 4338 6586
+1- 1
+-1 1
+.names 6586 6587
+1 1
+.names 6587 4336 6588
+1- 1
+-1 1
+.names 6588 6589
+1 1
+.names 6589 4333 6590
+1- 1
+-1 1
+.names 6590 6591
+1 1
+.names 6591 4329 6592
+1- 1
+-1 1
+.names 6592 6593
+1 1
+.names 6593 4327 6594
+1- 1
+-1 1
+.names 6594 6595
+1 1
+.names 6595 4325 6596
+1- 1
+-1 1
+.names 6596 6597
+1 1
+.names 6597 4321 6598
+1- 1
+-1 1
+.names 6598 6599
+1 1
+.names 6599 4319 6600
+1- 1
+-1 1
+.names 6600 6601
+1 1
+.names 6601 4317 6602
+1- 1
+-1 1
+.names 6602 6603
+1 1
+.names 6603 4315 6604
+1- 1
+-1 1
+.names 6604 6605
+1 1
+.names 6605 4313 6606
+1- 1
+-1 1
+.names 6606 6607
+1 1
+.names 6607 4311 6608
+1- 1
+-1 1
+.names 6608 6609
+1 1
+.names 6609 4309 6610
+1- 1
+-1 1
+.names 6610 6611
+1 1
+.names 6611 4307 6612
+1- 1
+-1 1
+.names 6612 6613
+1 1
+.names 6613 4305 6614
+1- 1
+-1 1
+.names 6614 6615
+1 1
+.names 6615 4303 6616
+1- 1
+-1 1
+.names 6616 6617
+1 1
+.names 6617 4301 6618
+1- 1
+-1 1
+.names 6618 6619
+1 1
+.names 6619 4299 6620
+1- 1
+-1 1
+.names 6620 6621
+1 1
+.names 6621 4297 6622
+1- 1
+-1 1
+.names 6622 6623
+1 1
+.names 6623 4295 6624
+1- 1
+-1 1
+.names 6624 6625
+1 1
+.names 6625 4293 6626
+1- 1
+-1 1
+.names 6626 6627
+1 1
+.names 6627 4291 6628
+1- 1
+-1 1
+.names 6628 6629
+1 1
+.names 6629 4289 6630
+1- 1
+-1 1
+.names 6630 6631
+1 1
+.names 6631 4287 6632
+1- 1
+-1 1
+.names 6632 6633
+1 1
+.names 6633 4285 6634
+1- 1
+-1 1
+.names 6634 6635
+1 1
+.names 6635 4283 6636
+1- 1
+-1 1
+.names 6636 6637
+1 1
+.names 6637 4281 6638
+1- 1
+-1 1
+.names 6638 6639
+1 1
+.names 6639 4279 6640
+1- 1
+-1 1
+.names 6640 6641
+1 1
+.names 6641 4277 6642
+1- 1
+-1 1
+.names 6642 6643
+1 1
+.names 6643 4275 6644
+1- 1
+-1 1
+.names 6644 6645
+1 1
+.names 6645 4271 6646
+1- 1
+-1 1
+.names 6646 6647
+1 1
+.names 6647 4267 6648
+1- 1
+-1 1
+.names 6648 6649
+1 1
+.names 6649 4263 6650
+1- 1
+-1 1
+.names 6650 6651
+1 1
+.names 6651 4259 6652
+1- 1
+-1 1
+.names 6652 6653
+1 1
+.names 6653 4257 6654
+1- 1
+-1 1
+.names 6654 6655
+1 1
+.names 6655 4255 6656
+1- 1
+-1 1
+.names 6656 6657
+1 1
+.names 6657 4253 6658
+1- 1
+-1 1
+.names 6658 6659
+1 1
+.names 6659 4251 6660
+1- 1
+-1 1
+.names 6660 6661
+1 1
+.names 6661 4249 6662
+1- 1
+-1 1
+.names 6662 6663
+1 1
+.names 6663 4247 6664
+1- 1
+-1 1
+.names 6664 6665
+1 1
+.names 6665 4245 6666
+1- 1
+-1 1
+.names 6666 6667
+1 1
+.names 6667 4243 6668
+1- 1
+-1 1
+.names 6668 6669
+1 1
+.names 6669 4241 6670
+1- 1
+-1 1
+.names 6670 6671
+1 1
+.names 6671 4239 6672
+1- 1
+-1 1
+.names 6672 6673
+1 1
+.names 6673 4237 6674
+1- 1
+-1 1
+.names 6674 6675
+1 1
+.names 6675 4235 6676
+1- 1
+-1 1
+.names 6676 6677
+1 1
+.names 6677 4233 6678
+1- 1
+-1 1
+.names 6678 6679
+1 1
+.names 6679 4231 6680
+1- 1
+-1 1
+.names 6680 6681
+1 1
+.names 6681 4229 6682
+1- 1
+-1 1
+.names 6682 6683
+1 1
+.names 6683 4227 6684
+1- 1
+-1 1
+.names 6684 6685
+1 1
+.names 6685 4225 6686
+1- 1
+-1 1
+.names 6686 6687
+1 1
+.names 6687 4223 6688
+1- 1
+-1 1
+.names 6688 6689
+1 1
+.names 6689 6693 6690
+1- 1
+-1 1
+.names 6690 6691
+1 1
+.names 10418 6692
+0 1
+.names 6692 6693
+1 1
+.names 6691 6697 6694
+1- 1
+-1 1
+.names 6694 6695
+1 1
+.names 10408 6696
+0 1
+.names 6696 6697
+1 1
+.names 6695 6701 6698
+1- 1
+-1 1
+.names 6698 6699
+1 1
+.names 10380 6700
+0 1
+.names 6700 6701
+1 1
+.names 6699 6705 6702
+1- 1
+-1 1
+.names 6702 6703
+1 1
+.names 10384 6704
+0 1
+.names 6704 6705
+1 1
+.names 6703 6706
+0 1
+.names 6706 6707
+1 1
+.names 6711 6713 6708
+1- 1
+-1 1
+.names 6708 6709
+1 1
+.names 9839 6710
+0 1
+.names 6710 6711
+1 1
+.names 10102 6712
+0 1
+.names 6712 6713
+1 1
+.names 6709 6717 6714
+1- 1
+-1 1
+.names 6714 6715
+1 1
+.names 10050 6716
+0 1
+.names 6716 6717
+1 1
+.names 6715 6721 6718
+1- 1
+-1 1
+.names 6718 6719
+1 1
+.names 10048 6720
+0 1
+.names 6720 6721
+1 1
+.names 6719 6725 6722
+1- 1
+-1 1
+.names 6722 6723
+1 1
+.names 10046 6724
+0 1
+.names 6724 6725
+1 1
+.names 6723 6729 6726
+1- 1
+-1 1
+.names 6726 6727
+1 1
+.names 10044 6728
+0 1
+.names 6728 6729
+1 1
+.names 6727 6733 6730
+1- 1
+-1 1
+.names 6730 6731
+1 1
+.names 10042 6732
+0 1
+.names 6732 6733
+1 1
+.names 6731 6737 6734
+1- 1
+-1 1
+.names 6734 6735
+1 1
+.names 10040 6736
+0 1
+.names 6736 6737
+1 1
+.names 6735 6741 6738
+1- 1
+-1 1
+.names 6738 6739
+1 1
+.names 10038 6740
+0 1
+.names 6740 6741
+1 1
+.names 10036 6742
+0 1
+.names 6742 6743
+1 1
+.names 364 799 6744
+1- 1
+-1 1
+.names 6744 6745
+1 1
+.names 6745 809 6746
+1- 1
+-1 1
+.names 6746 6747
+1 1
+.names 3658 6748
+1 1
+.names 5366 6749
+1 1
+.names 365 6749 6750
+11 1
+.names 6750 6751
+1 1
+.names 6751 798 6752
+1- 1
+-1 1
+.names 6752 6753
+1 1
+.names 6753 6748 6754
+11 1
+.names 6754 6755
+1 1
+.names 5587 3647 6756
+11 1
+.names 6756 6757
+1 1
+.names 5598 3642 6758
+11 1
+.names 6758 6759
+1 1
+.names 3636 6760
+0 1
+.names 6760 6761
+1 1
+.names 5609 6761 6762
+11 1
+.names 6762 6763
+1 1
+.names 5628 3636 6764
+11 1
+.names 6764 6765
+1 1
+.names 6765 6763 6766
+1- 1
+-1 1
+.names 6766 6767
+1 1
+.names 6767 6759 6768
+1- 1
+-1 1
+.names 6768 6769
+1 1
+.names 6769 6757 6770
+1- 1
+-1 1
+.names 6770 6771
+1 1
+.names 6771 5576 6772
+1- 1
+-1 1
+.names 6772 6773
+1 1
+.names 6773 724 57105
+1- 1
+-1 1
+.names 6778 6775
+0 1
+.names 6775 6776
+1 1
+.names 5347 6777
+0 1
+.names 6777 6778
+1 1
+.names 6775 6779
+1 1
+.names 6775 6780
+1 1
+.names 6784 6781
+0 1
+.names 6781 6782
+1 1
+.names 10685 10686 6783
+1- 1
+-1 1
+.names 6783 6784
+1 1
+.names 6782 6785
+1 1
+.names 6782 6786
+1 1
+.names 6789 6787
+1 1
+.names 6787 6788
+1 1
+.names 6791 6789
+0 1
+.names 959 6790
+0 1
+.names 6790 6791
+1 1
+.names 6795 6792
+0 1
+.names 6792 6793
+1 1
+.names 960 6794
+0 1
+.names 6794 6795
+1 1
+.names 6792 6796
+1 1
+.names 6796 6797
+1 1
+.names 6796 6798
+1 1
+.names 6792 6799
+1 1
+.names 6799 6800
+1 1
+.names 6799 6801
+1 1
+.names 6793 6802
+1 1
+.names 6793 6803
+1 1
+.names 6806 6804
+1 1
+.names 6804 6805
+1 1
+.names 6808 6806
+1 1
+.names 6804 6807
+1 1
+.names 6810 6808
+0 1
+.names 10689 10692 6809
+1- 1
+-1 1
+.names 6809 6810
+1 1
+.names 6814 6811
+0 1
+.names 6811 6812
+1 1
+.names 1783 10693 6813
+1- 1
+-1 1
+.names 6813 6814
+1 1
+.names 6812 6815
+1 1
+.names 6812 6816
+1 1
+.names 6812 6817
+1 1
+.names 6820 6818
+1 1
+.names 6818 6819
+1 1
+.names 6840 6820
+0 1
+.names 6820 6821
+1 1
+.names 6821 6822
+1 1
+.names 6826 6823
+0 1
+.names 6823 6824
+1 1
+.names 6838 6825
+0 1
+.names 6825 6826
+1 1
+.names 6830 6827
+0 1
+.names 6827 6828
+1 1
+.names 10702 10703 6829
+1- 1
+-1 1
+.names 6829 6830
+1 1
+.names 6834 6831
+0 1
+.names 6831 6832
+1 1
+.names 6819 6833
+0 1
+.names 6833 6834
+1 1
+.names 6827 6835
+1 1
+.names 6806 6836
+1 1
+.names 6812 6837
+1 1
+.names 6835 6838
+1 1
+.names 10714 10701 6839
+1- 1
+-1 1
+.names 6839 6840
+1 1
+.names 6821 6841
+1 1
+.names 6821 6842
+1 1
+.names 6806 6843
+1 1
+.names 913 6844
+1 1
+.names 6828 6845
+1 1
+.names 6828 6846
+1 1
+.names 6828 6847
+1 1
+.names 6851 6848
+0 1
+.names 6848 6849
+1 1
+.names 23551 23554 6850
+1- 1
+-1 1
+.names 6850 6851
+1 1
+.names 6855 6852
+0 1
+.names 6852 6853
+1 1
+.names 22987 22990 6854
+1- 1
+-1 1
+.names 6854 6855
+1 1
+.names 6859 6856
+0 1
+.names 6856 6857
+1 1
+.names 24068 24071 6858
+1- 1
+-1 1
+.names 6858 6859
+1 1
+.names 6863 6860
+0 1
+.names 6860 6861
+1 1
+.names 23720 23723 6862
+1- 1
+-1 1
+.names 6862 6863
+1 1
+.names 6867 6864
+0 1
+.names 6864 6865
+1 1
+.names 23855 23858 6866
+1- 1
+-1 1
+.names 6866 6867
+1 1
+.names 6871 6868
+0 1
+.names 6868 6869
+1 1
+.names 23808 23811 6870
+1- 1
+-1 1
+.names 6870 6871
+1 1
+.names 6875 6872
+0 1
+.names 6872 6873
+1 1
+.names 23118 23121 6874
+1- 1
+-1 1
+.names 6874 6875
+1 1
+.names 6879 6876
+0 1
+.names 6876 6877
+1 1
+.names 23203 23206 6878
+1- 1
+-1 1
+.names 6878 6879
+1 1
+.names 6883 6880
+0 1
+.names 6880 6881
+1 1
+.names 23507 23510 6882
+1- 1
+-1 1
+.names 6882 6883
+1 1
+.names 6887 6884
+0 1
+.names 6884 6885
+1 1
+.names 22724 22727 6886
+1- 1
+-1 1
+.names 6886 6887
+1 1
+.names 6891 6888
+0 1
+.names 6888 6889
+1 1
+.names 23589 23592 6890
+1- 1
+-1 1
+.names 6890 6891
+1 1
+.names 6895 6892
+0 1
+.names 6892 6893
+1 1
+.names 24059 24060 6894
+1- 1
+-1 1
+.names 6894 6895
+1 1
+.names 6899 6896
+0 1
+.names 6896 6897
+1 1
+.names 23030 23033 6898
+1- 1
+-1 1
+.names 6898 6899
+1 1
+.names 6903 6900
+0 1
+.names 6900 6901
+1 1
+.names 23295 23296 6902
+1- 1
+-1 1
+.names 6902 6903
+1 1
+.names 6907 6904
+0 1
+.names 6904 6905
+1 1
+.names 22944 22947 6906
+1- 1
+-1 1
+.names 6906 6907
+1 1
+.names 6911 6908
+0 1
+.names 6908 6909
+1 1
+.names 23492 23495 6910
+1- 1
+-1 1
+.names 6910 6911
+1 1
+.names 6915 6912
+0 1
+.names 6912 6913
+1 1
+.names 23981 23984 6914
+1- 1
+-1 1
+.names 6914 6915
+1 1
+.names 6919 6916
+0 1
+.names 6916 6917
+1 1
+.names 23676 23679 6918
+1- 1
+-1 1
+.names 6918 6919
+1 1
+.names 6923 6920
+0 1
+.names 6920 6921
+1 1
+.names 23661 23664 6922
+1- 1
+-1 1
+.names 6922 6923
+1 1
+.names 6927 6924
+0 1
+.names 6924 6925
+1 1
+.names 23793 23796 6926
+1- 1
+-1 1
+.names 6926 6927
+1 1
+.names 6931 6928
+0 1
+.names 6928 6929
+1 1
+.names 23159 23162 6930
+1- 1
+-1 1
+.names 6930 6931
+1 1
+.names 6935 6932
+0 1
+.names 6932 6933
+1 1
+.names 22934 22935 6934
+1- 1
+-1 1
+.names 6934 6935
+1 1
+.names 6939 6936
+0 1
+.names 6936 6937
+1 1
+.names 23102 23105 6938
+1- 1
+-1 1
+.names 6938 6939
+1 1
+.names 6943 6940
+0 1
+.names 6940 6941
+1 1
+.names 22849 22852 6942
+1- 1
+-1 1
+.names 6942 6943
+1 1
+.names 6947 6944
+0 1
+.names 6944 6945
+1 1
+.names 23354 23357 6946
+1- 1
+-1 1
+.names 6946 6947
+1 1
+.names 6951 6948
+0 1
+.names 6948 6949
+1 1
+.names 22780 22781 6950
+1- 1
+-1 1
+.names 6950 6951
+1 1
+.names 6955 6952
+0 1
+.names 6952 6953
+1 1
+.names 23417 23420 6954
+1- 1
+-1 1
+.names 6954 6955
+1 1
+.names 6959 6956
+0 1
+.names 6956 6957
+1 1
+.names 23939 23942 6958
+1- 1
+-1 1
+.names 6958 6959
+1 1
+.names 6963 6960
+0 1
+.names 6960 6961
+1 1
+.names 23924 23927 6962
+1- 1
+-1 1
+.names 6962 6963
+1 1
+.names 6967 6964
+0 1
+.names 6964 6965
+1 1
+.names 23369 23372 6966
+1- 1
+-1 1
+.names 6966 6967
+1 1
+.names 6971 6968
+0 1
+.names 6968 6969
+1 1
+.names 22804 22807 6970
+1- 1
+-1 1
+.names 6970 6971
+1 1
+.names 6975 6972
+0 1
+.names 6972 6973
+1 1
+.names 23242 23245 6974
+1- 1
+-1 1
+.names 6974 6975
+1 1
+.names 6948 6976
+1 1
+.names 6888 6977
+1 1
+.names 6924 6978
+1 1
+.names 6876 6979
+1 1
+.names 6936 6980
+1 1
+.names 6968 6981
+1 1
+.names 6916 6982
+1 1
+.names 6940 6983
+1 1
+.names 6884 6984
+1 1
+.names 6972 6985
+1 1
+.names 6912 6986
+1 1
+.names 6920 6987
+1 1
+.names 6892 6988
+1 1
+.names 6880 6989
+1 1
+.names 6944 6990
+1 1
+.names 6952 6991
+1 1
+.names 6900 6992
+1 1
+.names 6872 6993
+1 1
+.names 6864 6994
+1 1
+.names 6932 6995
+1 1
+.names 6960 6996
+1 1
+.names 6928 6997
+1 1
+.names 6904 6998
+1 1
+.names 6860 6999
+1 1
+.names 6964 7000
+1 1
+.names 6908 7001
+1 1
+.names 6896 7002
+1 1
+.names 6956 7003
+1 1
+.names 6868 7004
+1 1
+.names 6856 7005
+1 1
+.names 6852 7006
+1 1
+.names 6848 7007
+1 1
+.names 6976 7008
+0 1
+.names 7008 7009
+1 1
+.names 6977 7010
+0 1
+.names 7010 7011
+1 1
+.names 6978 7012
+0 1
+.names 7012 7013
+1 1
+.names 6979 7014
+0 1
+.names 7014 7015
+1 1
+.names 6980 7016
+0 1
+.names 7016 7017
+1 1
+.names 6981 7018
+0 1
+.names 7018 7019
+1 1
+.names 6982 7020
+0 1
+.names 7020 7021
+1 1
+.names 6983 7022
+0 1
+.names 7022 7023
+1 1
+.names 6984 7024
+0 1
+.names 7024 7025
+1 1
+.names 6985 7026
+0 1
+.names 7026 7027
+1 1
+.names 6986 7028
+0 1
+.names 7028 7029
+1 1
+.names 6987 7030
+0 1
+.names 7030 7031
+1 1
+.names 6988 7032
+0 1
+.names 7032 7033
+1 1
+.names 6989 7034
+0 1
+.names 7034 7035
+1 1
+.names 6990 7036
+0 1
+.names 7036 7037
+1 1
+.names 6991 7038
+0 1
+.names 7038 7039
+1 1
+.names 6992 7040
+0 1
+.names 7040 7041
+1 1
+.names 6993 7042
+0 1
+.names 7042 7043
+1 1
+.names 6994 7044
+0 1
+.names 7044 7045
+1 1
+.names 6995 7046
+0 1
+.names 7046 7047
+1 1
+.names 6996 7048
+0 1
+.names 7048 7049
+1 1
+.names 6997 7050
+0 1
+.names 7050 7051
+1 1
+.names 6998 7052
+0 1
+.names 7052 7053
+1 1
+.names 6999 7054
+0 1
+.names 7054 7055
+1 1
+.names 7000 7056
+0 1
+.names 7056 7057
+1 1
+.names 7001 7058
+0 1
+.names 7058 7059
+1 1
+.names 7002 7060
+0 1
+.names 7060 7061
+1 1
+.names 7003 7062
+0 1
+.names 7062 7063
+1 1
+.names 7004 7064
+0 1
+.names 7064 7065
+1 1
+.names 7005 7066
+0 1
+.names 7066 7067
+1 1
+.names 7006 7068
+0 1
+.names 7068 7069
+1 1
+.names 7007 7070
+0 1
+.names 7070 7071
+1 1
+.names 7008 7072
+1 1
+.names 7010 7073
+1 1
+.names 7012 7074
+1 1
+.names 7014 7075
+1 1
+.names 7016 7076
+1 1
+.names 7018 7077
+1 1
+.names 7020 7078
+1 1
+.names 7022 7079
+1 1
+.names 7024 7080
+1 1
+.names 7026 7081
+1 1
+.names 7028 7082
+1 1
+.names 7030 7083
+1 1
+.names 7032 7084
+1 1
+.names 7034 7085
+1 1
+.names 7036 7086
+1 1
+.names 7038 7087
+1 1
+.names 7040 7088
+1 1
+.names 7042 7089
+1 1
+.names 7044 7090
+1 1
+.names 7046 7091
+1 1
+.names 7048 7092
+1 1
+.names 7050 7093
+1 1
+.names 7052 7094
+1 1
+.names 7054 7095
+1 1
+.names 7056 7096
+1 1
+.names 7058 7097
+1 1
+.names 7060 7098
+1 1
+.names 7062 7099
+1 1
+.names 7064 7100
+1 1
+.names 7066 7101
+1 1
+.names 7068 7102
+1 1
+.names 7070 7103
+1 1
+.names 7106 7104
+0 1
+.names 7104 7105
+1 1
+.names 6794 7106
+1 1
+.names 7110 7107
+0 1
+.names 7107 7108
+1 1
+.names 10740 10703 7109
+1- 1
+-1 1
+.names 7109 7110
+1 1
+.names 7114 7111
+0 1
+.names 7111 7112
+1 1
+.names 1783 10729 7113
+1- 1
+-1 1
+.names 7113 7114
+1 1
+.names 7118 7115
+0 1
+.names 7115 7116
+1 1
+.names 10728 10704 7117
+1- 1
+-1 1
+.names 7117 7118
+1 1
+.names 7122 7119
+0 1
+.names 7119 7120
+1 1
+.names 10737 10705 7121
+1- 1
+-1 1
+.names 7121 7122
+1 1
+.names 7125 7123
+1 1
+.names 7123 7124
+1 1
+.names 7127 7125
+1 1
+.names 7123 7126
+1 1
+.names 7129 7127
+0 1
+.names 10789 10792 7128
+1- 1
+-1 1
+.names 7128 7129
+1 1
+.names 7133 7130
+0 1
+.names 7130 7131
+1 1
+.names 1783 10793 7132
+1- 1
+-1 1
+.names 7132 7133
+1 1
+.names 7131 7134
+1 1
+.names 7131 7135
+1 1
+.names 7131 7136
+1 1
+.names 7139 7137
+1 1
+.names 7137 7138
+1 1
+.names 7159 7139
+0 1
+.names 7139 7140
+1 1
+.names 7140 7141
+1 1
+.names 7145 7142
+0 1
+.names 7142 7143
+1 1
+.names 7157 7144
+0 1
+.names 7144 7145
+1 1
+.names 7149 7146
+0 1
+.names 7146 7147
+1 1
+.names 10802 10803 7148
+1- 1
+-1 1
+.names 7148 7149
+1 1
+.names 7153 7150
+0 1
+.names 7150 7151
+1 1
+.names 7138 7152
+0 1
+.names 7152 7153
+1 1
+.names 7146 7154
+1 1
+.names 7125 7155
+1 1
+.names 7131 7156
+1 1
+.names 7154 7157
+1 1
+.names 10814 10801 7158
+1- 1
+-1 1
+.names 7158 7159
+1 1
+.names 7140 7160
+1 1
+.names 7140 7161
+1 1
+.names 7125 7162
+1 1
+.names 914 7163
+1 1
+.names 7147 7164
+1 1
+.names 7147 7165
+1 1
+.names 7147 7166
+1 1
+.names 7170 7167
+0 1
+.names 7167 7168
+1 1
+.names 24842 24845 7169
+1- 1
+-1 1
+.names 7169 7170
+1 1
+.names 7174 7171
+0 1
+.names 7171 7172
+1 1
+.names 24346 24349 7173
+1- 1
+-1 1
+.names 7173 7174
+1 1
+.names 7178 7175
+0 1
+.names 7175 7176
+1 1
+.names 25290 25293 7177
+1- 1
+-1 1
+.names 7177 7178
+1 1
+.names 7182 7179
+0 1
+.names 7179 7180
+1 1
+.names 24987 24990 7181
+1- 1
+-1 1
+.names 7181 7182
+1 1
+.names 7186 7183
+0 1
+.names 7183 7184
+1 1
+.names 25106 25109 7185
+1- 1
+-1 1
+.names 7185 7186
+1 1
+.names 7190 7187
+0 1
+.names 7187 7188
+1 1
+.names 25064 25067 7189
+1- 1
+-1 1
+.names 7189 7190
+1 1
+.names 7194 7191
+0 1
+.names 7191 7192
+1 1
+.names 24460 24463 7193
+1- 1
+-1 1
+.names 7193 7194
+1 1
+.names 7198 7195
+0 1
+.names 7195 7196
+1 1
+.names 24533 24536 7197
+1- 1
+-1 1
+.names 7197 7198
+1 1
+.names 7202 7199
+0 1
+.names 7199 7200
+1 1
+.names 24804 24807 7201
+1- 1
+-1 1
+.names 7201 7202
+1 1
+.names 7206 7203
+0 1
+.names 7203 7204
+1 1
+.names 24115 24118 7205
+1- 1
+-1 1
+.names 7205 7206
+1 1
+.names 7210 7207
+0 1
+.names 7207 7208
+1 1
+.names 24874 24877 7209
+1- 1
+-1 1
+.names 7209 7210
+1 1
+.names 7214 7211
+0 1
+.names 7211 7212
+1 1
+.names 25282 25283 7213
+1- 1
+-1 1
+.names 7213 7214
+1 1
+.names 7218 7215
+0 1
+.names 7215 7216
+1 1
+.names 24384 24387 7217
+1- 1
+-1 1
+.names 7217 7218
+1 1
+.names 7222 7219
+0 1
+.names 7219 7220
+1 1
+.names 24619 24620 7221
+1- 1
+-1 1
+.names 7221 7222
+1 1
+.names 7226 7223
+0 1
+.names 7223 7224
+1 1
+.names 24309 24312 7225
+1- 1
+-1 1
+.names 7225 7226
+1 1
+.names 7230 7227
+0 1
+.names 7227 7228
+1 1
+.names 24790 24793 7229
+1- 1
+-1 1
+.names 7229 7230
+1 1
+.names 7234 7231
+0 1
+.names 7231 7232
+1 1
+.names 25215 25218 7233
+1- 1
+-1 1
+.names 7233 7234
+1 1
+.names 7238 7235
+0 1
+.names 7235 7236
+1 1
+.names 24949 24952 7237
+1- 1
+-1 1
+.names 7237 7238
+1 1
+.names 7242 7239
+0 1
+.names 7239 7240
+1 1
+.names 24935 24938 7241
+1- 1
+-1 1
+.names 7241 7242
+1 1
+.names 7246 7243
+0 1
+.names 7243 7244
+1 1
+.names 25050 25053 7245
+1- 1
+-1 1
+.names 7245 7246
+1 1
+.names 7250 7247
+0 1
+.names 7247 7248
+1 1
+.names 24495 24498 7249
+1- 1
+-1 1
+.names 7249 7250
+1 1
+.names 7254 7251
+0 1
+.names 7251 7252
+1 1
+.names 24300 24301 7253
+1- 1
+-1 1
+.names 7253 7254
+1 1
+.names 7258 7255
+0 1
+.names 7255 7256
+1 1
+.names 24445 24448 7257
+1- 1
+-1 1
+.names 7257 7258
+1 1
+.names 7262 7259
+0 1
+.names 7259 7260
+1 1
+.names 24225 24228 7261
+1- 1
+-1 1
+.names 7261 7262
+1 1
+.names 7266 7263
+0 1
+.names 7263 7264
+1 1
+.names 24667 24670 7265
+1- 1
+-1 1
+.names 7265 7266
+1 1
+.names 7270 7267
+0 1
+.names 7267 7268
+1 1
+.names 24165 24166 7269
+1- 1
+-1 1
+.names 7269 7270
+1 1
+.names 7274 7271
+0 1
+.names 7271 7272
+1 1
+.names 24725 24728 7273
+1- 1
+-1 1
+.names 7273 7274
+1 1
+.names 7278 7275
+0 1
+.names 7275 7276
+1 1
+.names 25178 25181 7277
+1- 1
+-1 1
+.names 7277 7278
+1 1
+.names 7282 7279
+0 1
+.names 7279 7280
+1 1
+.names 25164 25167 7281
+1- 1
+-1 1
+.names 7281 7282
+1 1
+.names 7286 7283
+0 1
+.names 7283 7284
+1 1
+.names 24682 24685 7285
+1- 1
+-1 1
+.names 7285 7286
+1 1
+.names 7290 7287
+0 1
+.names 7287 7288
+1 1
+.names 24186 24189 7289
+1- 1
+-1 1
+.names 7289 7290
+1 1
+.names 7294 7291
+0 1
+.names 7291 7292
+1 1
+.names 24568 24571 7293
+1- 1
+-1 1
+.names 7293 7294
+1 1
+.names 7167 7295
+1 1
+.names 7295 7296
+0 1
+.names 7296 7297
+1 1
+.names 7171 7298
+1 1
+.names 7298 7299
+0 1
+.names 7299 7300
+1 1
+.names 7175 7301
+1 1
+.names 7301 7302
+0 1
+.names 7302 7303
+1 1
+.names 7179 7304
+1 1
+.names 7304 7305
+0 1
+.names 7305 7306
+1 1
+.names 7183 7307
+1 1
+.names 7307 7308
+0 1
+.names 7308 7309
+1 1
+.names 7187 7310
+1 1
+.names 7310 7311
+0 1
+.names 7311 7312
+1 1
+.names 7191 7313
+1 1
+.names 7313 7314
+0 1
+.names 7314 7315
+1 1
+.names 7195 7316
+1 1
+.names 7316 7317
+0 1
+.names 7317 7318
+1 1
+.names 7199 7319
+1 1
+.names 7319 7320
+0 1
+.names 7320 7321
+1 1
+.names 7203 7322
+1 1
+.names 7322 7323
+0 1
+.names 7323 7324
+1 1
+.names 7207 7325
+1 1
+.names 7325 7326
+0 1
+.names 7326 7327
+1 1
+.names 7211 7328
+1 1
+.names 7328 7329
+0 1
+.names 7329 7330
+1 1
+.names 7215 7331
+1 1
+.names 7331 7332
+0 1
+.names 7332 7333
+1 1
+.names 7219 7334
+1 1
+.names 7334 7335
+0 1
+.names 7335 7336
+1 1
+.names 7223 7337
+1 1
+.names 7337 7338
+0 1
+.names 7338 7339
+1 1
+.names 7227 7340
+1 1
+.names 7340 7341
+0 1
+.names 7341 7342
+1 1
+.names 7231 7343
+1 1
+.names 7343 7344
+0 1
+.names 7344 7345
+1 1
+.names 7235 7346
+1 1
+.names 7346 7347
+0 1
+.names 7347 7348
+1 1
+.names 7239 7349
+1 1
+.names 7349 7350
+0 1
+.names 7350 7351
+1 1
+.names 7243 7352
+1 1
+.names 7352 7353
+0 1
+.names 7353 7354
+1 1
+.names 7247 7355
+1 1
+.names 7355 7356
+0 1
+.names 7356 7357
+1 1
+.names 7251 7358
+1 1
+.names 7358 7359
+0 1
+.names 7359 7360
+1 1
+.names 7255 7361
+1 1
+.names 7361 7362
+0 1
+.names 7362 7363
+1 1
+.names 7259 7364
+1 1
+.names 7364 7365
+0 1
+.names 7365 7366
+1 1
+.names 7263 7367
+1 1
+.names 7367 7368
+0 1
+.names 7368 7369
+1 1
+.names 7267 7370
+1 1
+.names 7370 7371
+0 1
+.names 7371 7372
+1 1
+.names 7271 7373
+1 1
+.names 7373 7374
+0 1
+.names 7374 7375
+1 1
+.names 7275 7376
+1 1
+.names 7376 7377
+0 1
+.names 7377 7378
+1 1
+.names 7279 7379
+1 1
+.names 7379 7380
+0 1
+.names 7380 7381
+1 1
+.names 7283 7382
+1 1
+.names 7382 7383
+0 1
+.names 7383 7384
+1 1
+.names 7287 7385
+1 1
+.names 7385 7386
+0 1
+.names 7386 7387
+1 1
+.names 7291 7388
+1 1
+.names 7388 7389
+0 1
+.names 7389 7390
+1 1
+.names 7394 7391
+0 1
+.names 7391 7392
+1 1
+.names 10829 10803 7393
+1- 1
+-1 1
+.names 7393 7394
+1 1
+.names 7398 7395
+0 1
+.names 7395 7396
+1 1
+.names 1783 10818 7397
+1- 1
+-1 1
+.names 7397 7398
+1 1
+.names 7402 7399
+0 1
+.names 7399 7400
+1 1
+.names 10817 10804 7401
+1- 1
+-1 1
+.names 7401 7402
+1 1
+.names 7406 7403
+0 1
+.names 7403 7404
+1 1
+.names 10826 10805 7405
+1- 1
+-1 1
+.names 7405 7406
+1 1
+.names 7410 7407
+0 1
+.names 7407 7408
+1 1
+.names 6353 10870 7409
+1- 1
+-1 1
+.names 7409 7410
+1 1
+.names 7414 7411
+0 1
+.names 7411 7412
+1 1
+.names 10873 10874 7413
+1- 1
+-1 1
+.names 7413 7414
+1 1
+.names 7412 7415
+1 1
+.names 7412 7416
+1 1
+.names 7412 7417
+1 1
+.names 7408 7418
+1 1
+.names 7408 7419
+1 1
+.names 7408 7420
+1 1
+.names 7424 7421
+0 1
+.names 7421 7422
+1 1
+.names 6353 7423
+0 1
+.names 7423 7424
+1 1
+.names 7422 7425
+1 1
+.names 7412 7426
+1 1
+.names 7422 7427
+1 1
+.names 7422 7428
+1 1
+.names 7422 7429
+1 1
+.names 7432 7430
+1 1
+.names 7430 7431
+1 1
+.names 7460 7432
+0 1
+.names 7430 7433
+1 1
+.names 7430 7434
+1 1
+.names 7437 7435
+1 1
+.names 7435 7436
+1 1
+.names 7440 7437
+0 1
+.names 7435 7438
+1 1
+.names 7446 10882 7439
+1- 1
+-1 1
+.names 7439 7440
+1 1
+.names 7443 7441
+1 1
+.names 7441 7442
+1 1
+.names 7462 7443
+0 1
+.names 7446 7444
+1 1
+.names 7444 7445
+1 1
+.names 7495 7446
+0 1
+.names 7449 7447
+1 1
+.names 7447 7448
+1 1
+.names 7485 7449
+0 1
+.names 7453 7450
+0 1
+.names 7450 7451
+1 1
+.names 10891 10892 7452
+1- 1
+-1 1
+.names 7452 7453
+1 1
+.names 7449 7454
+1 1
+.names 7454 7455
+1 1
+.names 7454 7456
+1 1
+.names 7444 7457
+1 1
+.names 7444 7458
+1 1
+.names 10876 10879 7459
+1- 1
+-1 1
+.names 7459 7460
+1 1
+.names 10910 10895 7461
+1- 1
+-1 1
+.names 7461 7462
+1 1
+.names 7443 7463
+1 1
+.names 7466 7464
+1 1
+.names 7464 7465
+1 1
+.names 7472 7466
+0 1
+.names 7470 7467
+0 1
+.names 7467 7468
+1 1
+.names 7448 7469
+0 1
+.names 7469 7470
+1 1
+.names 10888 10885 7471
+1- 1
+-1 1
+.names 7471 7472
+1 1
+.names 7435 7473
+1 1
+.names 7466 7474
+1 1
+.names 7474 7475
+1 1
+.names 7463 7476
+1 1
+.names 7463 7477
+1 1
+.names 7463 7478
+1 1
+.names 6343 7479
+1 1
+.names 7451 7480
+1 1
+.names 7451 7481
+1 1
+.names 7450 7482
+1 1
+.names 7482 7483
+1 1
+.names 10902 10903 7484
+1- 1
+-1 1
+.names 7484 7485
+1 1
+.names 7474 7486
+1 1
+.names 7474 7487
+1 1
+.names 6325 7488
+1 1
+.names 6331 7489
+1 1
+.names 6337 7490
+1 1
+.names 7466 7491
+1 1
+.names 7430 7492
+1 1
+.names 7491 7493
+1 1
+.names 7479 7494
+0 1
+.names 7494 7495
+1 1
+.names 7491 7496
+1 1
+.names 7499 7497
+0 1
+.names 7497 7498
+1 1
+.names 2591 7499
+1 1
+.names 7497 7500
+1 1
+.names 7500 7501
+1 1
+.names 7500 7502
+1 1
+.names 7497 7503
+1 1
+.names 7503 7504
+1 1
+.names 7503 7505
+1 1
+.names 7498 7506
+1 1
+.names 7498 7507
+1 1
+.names 7510 7508
+1 1
+.names 7508 7509
+1 1
+.names 7512 7510
+0 1
+.names 6303 7511
+0 1
+.names 7511 7512
+1 1
+.names 7515 7513
+1 1
+.names 7513 7514
+1 1
+.names 7519 7515
+0 1
+.names 7513 7516
+1 1
+.names 7513 7517
+1 1
+.names 10912 10913 7518
+1- 1
+-1 1
+.names 7518 7519
+1 1
+.names 7508 7520
+1 1
+.names 7508 7521
+1 1
+.names 7515 7522
+1 1
+.names 7525 7523
+1 1
+.names 7523 7524
+1 1
+.names 7527 7525
+0 1
+.names 971 7526
+0 1
+.names 7526 7527
+1 1
+.names 7530 7528
+1 1
+.names 7528 7529
+1 1
+.names 7534 7530
+0 1
+.names 7528 7531
+1 1
+.names 7528 7532
+1 1
+.names 11091 11092 7533
+1- 1
+-1 1
+.names 7533 7534
+1 1
+.names 7523 7535
+1 1
+.names 7523 7536
+1 1
+.names 7530 7537
+1 1
+.names 7540 7538
+1 1
+.names 7538 7539
+1 1
+.names 7541 7540
+0 1
+.names 7526 7541
+1 1
+.names 7544 7542
+1 1
+.names 7542 7543
+1 1
+.names 7548 7544
+0 1
+.names 7542 7545
+1 1
+.names 7542 7546
+1 1
+.names 11094 11092 7547
+1- 1
+-1 1
+.names 7547 7548
+1 1
+.names 7538 7549
+1 1
+.names 7538 7550
+1 1
+.names 7544 7551
+1 1
+.names 7555 7552
+0 1
+.names 7552 7553
+1 1
+.names 2605 7554
+0 1
+.names 7554 7555
+1 1
+.names 7552 7556
+1 1
+.names 7556 7557
+1 1
+.names 7556 7558
+1 1
+.names 7552 7559
+1 1
+.names 7559 7560
+1 1
+.names 7559 7561
+1 1
+.names 7553 7562
+1 1
+.names 7553 7563
+1 1
+.names 7566 7564
+1 1
+.names 7564 7565
+1 1
+.names 7568 7566
+0 1
+.names 2594 7567
+0 1
+.names 7567 7568
+1 1
+.names 7571 7569
+1 1
+.names 7569 7570
+1 1
+.names 7575 7571
+0 1
+.names 7569 7572
+1 1
+.names 7569 7573
+1 1
+.names 11105 11106 7574
+1- 1
+-1 1
+.names 7574 7575
+1 1
+.names 7564 7576
+1 1
+.names 7564 7577
+1 1
+.names 7571 7578
+1 1
+.names 7581 7579
+1 1
+.names 7579 7580
+1 1
+.names 7583 7581
+1 1
+.names 7579 7582
+1 1
+.names 7585 7583
+0 1
+.names 11402 11405 7584
+1- 1
+-1 1
+.names 7584 7585
+1 1
+.names 7589 7586
+0 1
+.names 7586 7587
+1 1
+.names 1783 11406 7588
+1- 1
+-1 1
+.names 7588 7589
+1 1
+.names 7587 7590
+1 1
+.names 7587 7591
+1 1
+.names 7587 7592
+1 1
+.names 7595 7593
+1 1
+.names 7593 7594
+1 1
+.names 7615 7595
+0 1
+.names 7595 7596
+1 1
+.names 7596 7597
+1 1
+.names 7601 7598
+0 1
+.names 7598 7599
+1 1
+.names 7613 7600
+0 1
+.names 7600 7601
+1 1
+.names 7605 7602
+0 1
+.names 7602 7603
+1 1
+.names 11415 11416 7604
+1- 1
+-1 1
+.names 7604 7605
+1 1
+.names 7609 7606
+0 1
+.names 7606 7607
+1 1
+.names 7594 7608
+0 1
+.names 7608 7609
+1 1
+.names 7602 7610
+1 1
+.names 7581 7611
+1 1
+.names 7587 7612
+1 1
+.names 7610 7613
+1 1
+.names 11427 11414 7614
+1- 1
+-1 1
+.names 7614 7615
+1 1
+.names 7596 7616
+1 1
+.names 7596 7617
+1 1
+.names 7581 7618
+1 1
+.names 915 7619
+1 1
+.names 7603 7620
+1 1
+.names 7603 7621
+1 1
+.names 7603 7622
+1 1
+.names 7625 7623
+1 1
+.names 7623 7624
+1 1
+.names 7627 7625
+0 1
+.names 976 7626
+0 1
+.names 7626 7627
+1 1
+.names 7630 7628
+1 1
+.names 7628 7629
+1 1
+.names 7634 7630
+0 1
+.names 7628 7631
+1 1
+.names 7628 7632
+1 1
+.names 11429 11430 7633
+1- 1
+-1 1
+.names 7633 7634
+1 1
+.names 7623 7635
+1 1
+.names 7623 7636
+1 1
+.names 7630 7637
+1 1
+.names 7640 7638
+1 1
+.names 7638 7639
+1 1
+.names 7642 7640
+0 1
+.names 22315 22316 7641
+1- 1
+-1 1
+.names 7641 7642
+1 1
+.names 7645 7643
+1 1
+.names 7643 7644
+1 1
+.names 7647 7645
+0 1
+.names 22298 22299 7646
+1- 1
+-1 1
+.names 7646 7647
+1 1
+.names 7650 7648
+1 1
+.names 7648 7649
+1 1
+.names 7652 7650
+0 1
+.names 22333 22334 7651
+1- 1
+-1 1
+.names 7651 7652
+1 1
+.names 7655 7653
+1 1
+.names 7653 7654
+1 1
+.names 7657 7655
+0 1
+.names 22367 22368 7656
+1- 1
+-1 1
+.names 7656 7657
+1 1
+.names 7661 7658
+0 1
+.names 7658 7659
+1 1
+.names 22350 22351 7660
+1- 1
+-1 1
+.names 7660 7661
+1 1
+.names 4152 7662
+0 1
+.names 7662 7663
+1 1
+.names 7670 7674 7664
+1- 1
+-1 1
+.names 7664 7665
+1 1
+.names 916 7666
+0 1
+.names 8092 7667
+0 1
+.names 7667 7668
+1 1
+.names 7668 7669
+0 1
+.names 7666 7669 7670
+11 1
+.names 8086 7671
+0 1
+.names 7671 7672
+1 1
+.names 7672 7673
+0 1
+.names 916 7673 7674
+11 1
+.names 7680 7684 7675
+1- 1
+-1 1
+.names 7675 7676
+1 1
+.names 8030 7677
+0 1
+.names 7677 7678
+1 1
+.names 7678 7679
+0 1
+.names 7666 7679 7680
+11 1
+.names 8058 7681
+0 1
+.names 7681 7682
+1 1
+.names 7682 7683
+0 1
+.names 916 7683 7684
+11 1
+.names 7690 7694 7685
+1- 1
+-1 1
+.names 7685 7686
+1 1
+.names 8010 7687
+0 1
+.names 7687 7688
+1 1
+.names 7688 7689
+0 1
+.names 7666 7689 7690
+11 1
+.names 8008 7691
+0 1
+.names 7691 7692
+1 1
+.names 7692 7693
+0 1
+.names 916 7693 7694
+11 1
+.names 7700 7704 7695
+1- 1
+-1 1
+.names 7695 7696
+1 1
+.names 8012 7697
+0 1
+.names 7697 7698
+1 1
+.names 7698 7699
+0 1
+.names 7666 7699 7700
+11 1
+.names 8006 7701
+0 1
+.names 7701 7702
+1 1
+.names 7702 7703
+0 1
+.names 916 7703 7704
+11 1
+.names 7710 7714 7705
+1- 1
+-1 1
+.names 7705 7706
+1 1
+.names 8014 7707
+0 1
+.names 7707 7708
+1 1
+.names 7708 7709
+0 1
+.names 7666 7709 7710
+11 1
+.names 8004 7711
+0 1
+.names 7711 7712
+1 1
+.names 7712 7713
+0 1
+.names 916 7713 7714
+11 1
+.names 7720 7724 7715
+1- 1
+-1 1
+.names 7715 7716
+1 1
+.names 8016 7717
+0 1
+.names 7717 7718
+1 1
+.names 7718 7719
+0 1
+.names 7666 7719 7720
+11 1
+.names 8002 7721
+0 1
+.names 7721 7722
+1 1
+.names 7722 7723
+0 1
+.names 916 7723 7724
+11 1
+.names 7730 7734 7725
+1- 1
+-1 1
+.names 7725 7726
+1 1
+.names 8018 7727
+0 1
+.names 7727 7728
+1 1
+.names 7728 7729
+0 1
+.names 7666 7729 7730
+11 1
+.names 8000 7731
+0 1
+.names 7731 7732
+1 1
+.names 7732 7733
+0 1
+.names 916 7733 7734
+11 1
+.names 7740 7744 7735
+1- 1
+-1 1
+.names 7735 7736
+1 1
+.names 8020 7737
+0 1
+.names 7737 7738
+1 1
+.names 7738 7739
+0 1
+.names 7666 7739 7740
+11 1
+.names 7998 7741
+0 1
+.names 7741 7742
+1 1
+.names 7742 7743
+0 1
+.names 916 7743 7744
+11 1
+.names 7750 7754 7745
+1- 1
+-1 1
+.names 7745 7746
+1 1
+.names 8022 7747
+0 1
+.names 7747 7748
+1 1
+.names 7748 7749
+0 1
+.names 7666 7749 7750
+11 1
+.names 7996 7751
+0 1
+.names 7751 7752
+1 1
+.names 7752 7753
+0 1
+.names 916 7753 7754
+11 1
+.names 7760 7764 7755
+1- 1
+-1 1
+.names 7755 7756
+1 1
+.names 8024 7757
+0 1
+.names 7757 7758
+1 1
+.names 7758 7759
+0 1
+.names 7666 7759 7760
+11 1
+.names 7994 7761
+0 1
+.names 7761 7762
+1 1
+.names 7762 7763
+0 1
+.names 916 7763 7764
+11 1
+.names 7770 7774 7765
+1- 1
+-1 1
+.names 7765 7766
+1 1
+.names 8026 7767
+0 1
+.names 7767 7768
+1 1
+.names 7768 7769
+0 1
+.names 7666 7769 7770
+11 1
+.names 7992 7771
+0 1
+.names 7771 7772
+1 1
+.names 7772 7773
+0 1
+.names 916 7773 7774
+11 1
+.names 7780 7784 7775
+1- 1
+-1 1
+.names 7775 7776
+1 1
+.names 8052 7777
+0 1
+.names 7777 7778
+1 1
+.names 7778 7779
+0 1
+.names 7666 7779 7780
+11 1
+.names 8082 7781
+0 1
+.names 7781 7782
+1 1
+.names 7782 7783
+0 1
+.names 916 7783 7784
+11 1
+.names 7790 7794 7785
+1- 1
+-1 1
+.names 7785 7786
+1 1
+.names 8028 7787
+0 1
+.names 7787 7788
+1 1
+.names 7788 7789
+0 1
+.names 7666 7789 7790
+11 1
+.names 7990 7791
+0 1
+.names 7791 7792
+1 1
+.names 7792 7793
+0 1
+.names 916 7793 7794
+11 1
+.names 7800 7804 7795
+1- 1
+-1 1
+.names 7795 7796
+1 1
+.names 8119 7797
+0 1
+.names 7797 7798
+1 1
+.names 7798 7799
+0 1
+.names 7666 7799 7800
+11 1
+.names 7988 7801
+0 1
+.names 7801 7802
+1 1
+.names 7802 7803
+0 1
+.names 916 7803 7804
+11 1
+.names 7810 7814 7805
+1- 1
+-1 1
+.names 7805 7806
+1 1
+.names 8080 7807
+0 1
+.names 7807 7808
+1 1
+.names 7808 7809
+0 1
+.names 7666 7809 7810
+11 1
+.names 7986 7811
+0 1
+.names 7811 7812
+1 1
+.names 7812 7813
+0 1
+.names 916 7813 7814
+11 1
+.names 7820 7824 7815
+1- 1
+-1 1
+.names 7815 7816
+1 1
+.names 8078 7817
+0 1
+.names 7817 7818
+1 1
+.names 7818 7819
+0 1
+.names 7666 7819 7820
+11 1
+.names 7984 7821
+0 1
+.names 7821 7822
+1 1
+.names 7822 7823
+0 1
+.names 916 7823 7824
+11 1
+.names 7830 7834 7825
+1- 1
+-1 1
+.names 7825 7826
+1 1
+.names 8076 7827
+0 1
+.names 7827 7828
+1 1
+.names 7828 7829
+0 1
+.names 7666 7829 7830
+11 1
+.names 7982 7831
+0 1
+.names 7831 7832
+1 1
+.names 7832 7833
+0 1
+.names 916 7833 7834
+11 1
+.names 7840 7844 7835
+1- 1
+-1 1
+.names 7835 7836
+1 1
+.names 8074 7837
+0 1
+.names 7837 7838
+1 1
+.names 7838 7839
+0 1
+.names 7666 7839 7840
+11 1
+.names 7980 7841
+0 1
+.names 7841 7842
+1 1
+.names 7842 7843
+0 1
+.names 916 7843 7844
+11 1
+.names 7850 7854 7845
+1- 1
+-1 1
+.names 7845 7846
+1 1
+.names 8072 7847
+0 1
+.names 7847 7848
+1 1
+.names 7848 7849
+0 1
+.names 7666 7849 7850
+11 1
+.names 7978 7851
+0 1
+.names 7851 7852
+1 1
+.names 7852 7853
+0 1
+.names 916 7853 7854
+11 1
+.names 7860 7864 7855
+1- 1
+-1 1
+.names 7855 7856
+1 1
+.names 8068 7857
+0 1
+.names 7857 7858
+1 1
+.names 7858 7859
+0 1
+.names 7666 7859 7860
+11 1
+.names 8044 7861
+0 1
+.names 7861 7862
+1 1
+.names 7862 7863
+0 1
+.names 916 7863 7864
+11 1
+.names 7870 7874 7865
+1- 1
+-1 1
+.names 7865 7866
+1 1
+.names 8070 7867
+0 1
+.names 7867 7868
+1 1
+.names 7868 7869
+0 1
+.names 7666 7869 7870
+11 1
+.names 8042 7871
+0 1
+.names 7871 7872
+1 1
+.names 7872 7873
+0 1
+.names 916 7873 7874
+11 1
+.names 7880 7884 7875
+1- 1
+-1 1
+.names 7875 7876
+1 1
+.names 8090 7877
+0 1
+.names 7877 7878
+1 1
+.names 7878 7879
+0 1
+.names 7666 7879 7880
+11 1
+.names 8040 7881
+0 1
+.names 7881 7882
+1 1
+.names 7882 7883
+0 1
+.names 916 7883 7884
+11 1
+.names 7890 7894 7885
+1- 1
+-1 1
+.names 7885 7886
+1 1
+.names 8050 7887
+0 1
+.names 7887 7888
+1 1
+.names 7888 7889
+0 1
+.names 7666 7889 7890
+11 1
+.names 8084 7891
+0 1
+.names 7891 7892
+1 1
+.names 7892 7893
+0 1
+.names 916 7893 7894
+11 1
+.names 7900 7904 7895
+1- 1
+-1 1
+.names 7895 7896
+1 1
+.names 8088 7897
+0 1
+.names 7897 7898
+1 1
+.names 7898 7899
+0 1
+.names 7666 7899 7900
+11 1
+.names 8038 7901
+0 1
+.names 7901 7902
+1 1
+.names 7902 7903
+0 1
+.names 916 7903 7904
+11 1
+.names 7910 7914 7905
+1- 1
+-1 1
+.names 7905 7906
+1 1
+.names 8115 7907
+0 1
+.names 7907 7908
+1 1
+.names 7908 7909
+0 1
+.names 7666 7909 7910
+11 1
+.names 8136 7911
+0 1
+.names 7911 7912
+1 1
+.names 7912 7913
+0 1
+.names 916 7913 7914
+11 1
+.names 7920 7924 7915
+1- 1
+-1 1
+.names 7915 7916
+1 1
+.names 8048 7917
+0 1
+.names 7917 7918
+1 1
+.names 7918 7919
+0 1
+.names 7666 7919 7920
+11 1
+.names 8054 7921
+0 1
+.names 7921 7922
+1 1
+.names 7922 7923
+0 1
+.names 916 7923 7924
+11 1
+.names 7930 7934 7925
+1- 1
+-1 1
+.names 7925 7926
+1 1
+.names 8046 7927
+0 1
+.names 7927 7928
+1 1
+.names 7928 7929
+0 1
+.names 7666 7929 7930
+11 1
+.names 8056 7931
+0 1
+.names 7931 7932
+1 1
+.names 7932 7933
+0 1
+.names 916 7933 7934
+11 1
+.names 7940 7944 7935
+1- 1
+-1 1
+.names 7935 7936
+1 1
+.names 8036 7937
+0 1
+.names 7937 7938
+1 1
+.names 7938 7939
+0 1
+.names 7666 7939 7940
+11 1
+.names 8066 7941
+0 1
+.names 7941 7942
+1 1
+.names 7942 7943
+0 1
+.names 916 7943 7944
+11 1
+.names 7950 7954 7945
+1- 1
+-1 1
+.names 7945 7946
+1 1
+.names 8034 7947
+0 1
+.names 7947 7948
+1 1
+.names 7948 7949
+0 1
+.names 7666 7949 7950
+11 1
+.names 8064 7951
+0 1
+.names 7951 7952
+1 1
+.names 7952 7953
+0 1
+.names 916 7953 7954
+11 1
+.names 7960 7964 7955
+1- 1
+-1 1
+.names 7955 7956
+1 1
+.names 8032 7957
+0 1
+.names 7957 7958
+1 1
+.names 7958 7959
+0 1
+.names 7666 7959 7960
+11 1
+.names 8062 7961
+0 1
+.names 7961 7962
+1 1
+.names 7962 7963
+0 1
+.names 916 7963 7964
+11 1
+.names 7970 7974 7965
+1- 1
+-1 1
+.names 7965 7966
+1 1
+.names 8107 7967
+0 1
+.names 7967 7968
+1 1
+.names 7968 7969
+0 1
+.names 7666 7969 7970
+11 1
+.names 8060 7971
+0 1
+.names 7971 7972
+1 1
+.names 7972 7973
+0 1
+.names 916 7973 7974
+11 1
+.names 7663 7975
+1 1
+.names 7975 7976
+1 1
+.names 13683 13687 7977
+1- 1
+-1 1
+.names 7977 7978
+1 1
+.names 13800 13801 7979
+1- 1
+-1 1
+.names 7979 7980
+1 1
+.names 13695 13699 7981
+1- 1
+-1 1
+.names 7981 7982
+1 1
+.names 13702 13703 7983
+1- 1
+-1 1
+.names 7983 7984
+1 1
+.names 13712 13713 7985
+1- 1
+-1 1
+.names 7985 7986
+1 1
+.names 13716 13717 7987
+1- 1
+-1 1
+.names 7987 7988
+1 1
+.names 13804 13805 7989
+1- 1
+-1 1
+.names 7989 7990
+1 1
+.names 13810 13811 7991
+1- 1
+-1 1
+.names 7991 7992
+1 1
+.names 13836 13837 7993
+1- 1
+-1 1
+.names 7993 7994
+1 1
+.names 13688 13689 7995
+1- 1
+-1 1
+.names 7995 7996
+1 1
+.names 13714 13715 7997
+1- 1
+-1 1
+.names 7997 7998
+1 1
+.names 13690 13691 7999
+1- 1
+-1 1
+.names 7999 8000
+1 1
+.names 13707 13711 8001
+1- 1
+-1 1
+.names 8001 8002
+1 1
+.names 13700 13701 8003
+1- 1
+-1 1
+.names 8003 8004
+1 1
+.names 13814 13815 8005
+1- 1
+-1 1
+.names 8005 8006
+1 1
+.names 13818 13819 8007
+1- 1
+-1 1
+.names 8007 8008
+1 1
+.names 13735 13739 8009
+1- 1
+-1 1
+.names 8009 8010
+1 1
+.names 13753 13757 8011
+1- 1
+-1 1
+.names 8011 8012
+1 1
+.names 13521 13525 8013
+1- 1
+-1 1
+.names 8013 8014
+1 1
+.names 13529 13533 8015
+1- 1
+-1 1
+.names 8015 8016
+1 1
+.names 13537 13541 8017
+1- 1
+-1 1
+.names 8017 8018
+1 1
+.names 13545 13549 8019
+1- 1
+-1 1
+.names 8019 8020
+1 1
+.names 13806 13807 8021
+1- 1
+-1 1
+.names 8021 8022
+1 1
+.names 13553 13557 8023
+1- 1
+-1 1
+.names 8023 8024
+1 1
+.names 13787 13791 8025
+1- 1
+-1 1
+.names 8025 8026
+1 1
+.names 13777 13781 8027
+1- 1
+-1 1
+.names 8027 8028
+1 1
+.names 13513 13517 8029
+1- 1
+-1 1
+.names 8029 8030
+1 1
+.names 13720 13721 8031
+1- 1
+-1 1
+.names 8031 8032
+1 1
+.names 13727 13731 8033
+1- 1
+-1 1
+.names 8033 8034
+1 1
+.names 13722 13723 8035
+1- 1
+-1 1
+.names 8035 8036
+1 1
+.names 13760 13761 8037
+1- 1
+-1 1
+.names 8037 8038
+1 1
+.names 13762 13763 8039
+1- 1
+-1 1
+.names 8039 8040
+1 1
+.names 13718 13719 8041
+1- 1
+-1 1
+.names 8041 8042
+1 1
+.names 13745 13749 8043
+1- 1
+-1 1
+.names 8043 8044
+1 1
+.names 13758 13759 8045
+1- 1
+-1 1
+.names 8045 8046
+1 1
+.names 13649 13653 8047
+1- 1
+-1 1
+.names 8047 8048
+1 1
+.names 13633 13637 8049
+1- 1
+-1 1
+.names 8049 8050
+1 1
+.names 13561 13565 8051
+1- 1
+-1 1
+.names 8051 8052
+1 1
+.names 13826 13827 8053
+1- 1
+-1 1
+.names 8053 8054
+1 1
+.names 13822 13823 8055
+1- 1
+-1 1
+.names 8055 8056
+1 1
+.names 13772 13773 8057
+1- 1
+-1 1
+.names 8057 8058
+1 1
+.names 13820 13821 8059
+1- 1
+-1 1
+.names 8059 8060
+1 1
+.names 13828 13829 8061
+1- 1
+-1 1
+.names 8061 8062
+1 1
+.names 13782 13783 8063
+1- 1
+-1 1
+.names 8063 8064
+1 1
+.names 13824 13825 8065
+1- 1
+-1 1
+.names 8065 8066
+1 1
+.names 13609 13613 8067
+1- 1
+-1 1
+.names 8067 8068
+1 1
+.names 13617 13621 8069
+1- 1
+-1 1
+.names 8069 8070
+1 1
+.names 13601 13605 8071
+1- 1
+-1 1
+.names 8071 8072
+1 1
+.names 13593 13597 8073
+1- 1
+-1 1
+.names 8073 8074
+1 1
+.names 13585 13589 8075
+1- 1
+-1 1
+.names 8075 8076
+1 1
+.names 13577 13581 8077
+1- 1
+-1 1
+.names 8077 8078
+1 1
+.names 13569 13573 8079
+1- 1
+-1 1
+.names 8079 8080
+1 1
+.names 13796 13797 8081
+1- 1
+-1 1
+.names 8081 8082
+1 1
+.names 13830 13831 8083
+1- 1
+-1 1
+.names 8083 8084
+1 1
+.names 13794 13795 8085
+1- 1
+-1 1
+.names 8085 8086
+1 1
+.names 13641 13645 8087
+1- 1
+-1 1
+.names 8087 8088
+1 1
+.names 13625 13629 8089
+1- 1
+-1 1
+.names 8089 8090
+1 1
+.names 13505 13509 8091
+1- 1
+-1 1
+.names 8091 8092
+1 1
+.names 8097 8098 8093
+1- 1
+-1 1
+.names 8093 8094
+1 1
+.names 32869 32872 8095
+1- 1
+-1 1
+.names 8095 8096
+1 1
+.names 8096 8097
+0 1
+.names 4100 8098
+0 1
+.names 8102 8099
+0 1
+.names 8099 8100
+1 1
+.names 8104 8105 8101
+11 1
+.names 8101 8102
+1 1
+.names 7663 8103
+1 1
+.names 8103 8104
+0 1
+.names 8094 8105
+0 1
+.names 13657 13661 8106
+1- 1
+-1 1
+.names 8106 8107
+1 1
+.names 8110 8108
+0 1
+.names 8108 8109
+1 1
+.names 8100 8110
+1 1
+.names 8109 8111
+1 1
+.names 8109 8112
+1 1
+.names 8100 8113
+1 1
+.names 13767 13771 8114
+1- 1
+-1 1
+.names 8114 8115
+1 1
+.names 8100 8116
+1 1
+.names 8100 8117
+1 1
+.names 13675 13679 8118
+1- 1
+-1 1
+.names 8118 8119
+1 1
+.names 8109 8120
+1 1
+.names 8124 8121
+0 1
+.names 8121 8122
+1 1
+.names 13665 13669 8123
+1- 1
+-1 1
+.names 8123 8124
+1 1
+.names 8128 8125
+0 1
+.names 8125 8126
+1 1
+.names 13832 13833 8127
+1- 1
+-1 1
+.names 8127 8128
+1 1
+.names 8132 8134 8129
+1- 1
+-1 1
+.names 8129 8130
+1 1
+.names 8122 8131
+0 1
+.names 7666 8131 8132
+11 1
+.names 8126 8133
+0 1
+.names 916 8133 8134
+11 1
+.names 13740 13741 8135
+1- 1
+-1 1
+.names 8135 8136
+1 1
+.names 8140 8137
+0 1
+.names 8137 8138
+1 1
+.names 22384 22385 8139
+1- 1
+-1 1
+.names 8139 8140
+1 1
+.names 8143 8141
+1 1
+.names 8141 8142
+1 1
+.names 8145 8143
+1 1
+.names 8141 8144
+1 1
+.names 8147 8145
+0 1
+.names 14301 14304 8146
+1- 1
+-1 1
+.names 8146 8147
+1 1
+.names 8151 8148
+0 1
+.names 8148 8149
+1 1
+.names 1783 14305 8150
+1- 1
+-1 1
+.names 8150 8151
+1 1
+.names 8149 8152
+1 1
+.names 8149 8153
+1 1
+.names 8149 8154
+1 1
+.names 8157 8155
+1 1
+.names 8155 8156
+1 1
+.names 8177 8157
+0 1
+.names 8157 8158
+1 1
+.names 8158 8159
+1 1
+.names 8163 8160
+0 1
+.names 8160 8161
+1 1
+.names 8175 8162
+0 1
+.names 8162 8163
+1 1
+.names 8167 8164
+0 1
+.names 8164 8165
+1 1
+.names 14314 14315 8166
+1- 1
+-1 1
+.names 8166 8167
+1 1
+.names 8171 8168
+0 1
+.names 8168 8169
+1 1
+.names 8156 8170
+0 1
+.names 8170 8171
+1 1
+.names 8164 8172
+1 1
+.names 8143 8173
+1 1
+.names 8149 8174
+1 1
+.names 8172 8175
+1 1
+.names 14326 14313 8176
+1- 1
+-1 1
+.names 8176 8177
+1 1
+.names 8158 8178
+1 1
+.names 8158 8179
+1 1
+.names 8143 8180
+1 1
+.names 917 8181
+1 1
+.names 8165 8182
+1 1
+.names 8165 8183
+1 1
+.names 8165 8184
+1 1
+.names 8187 8185
+1 1
+.names 8185 8186
+1 1
+.names 8189 8187
+1 1
+.names 8185 8188
+1 1
+.names 8191 8189
+0 1
+.names 14329 14332 8190
+1- 1
+-1 1
+.names 8190 8191
+1 1
+.names 8195 8192
+0 1
+.names 8192 8193
+1 1
+.names 1783 14333 8194
+1- 1
+-1 1
+.names 8194 8195
+1 1
+.names 8193 8196
+1 1
+.names 8193 8197
+1 1
+.names 8193 8198
+1 1
+.names 8201 8199
+1 1
+.names 8199 8200
+1 1
+.names 8221 8201
+0 1
+.names 8201 8202
+1 1
+.names 8202 8203
+1 1
+.names 8207 8204
+0 1
+.names 8204 8205
+1 1
+.names 8219 8206
+0 1
+.names 8206 8207
+1 1
+.names 8211 8208
+0 1
+.names 8208 8209
+1 1
+.names 14342 14343 8210
+1- 1
+-1 1
+.names 8210 8211
+1 1
+.names 8215 8212
+0 1
+.names 8212 8213
+1 1
+.names 8200 8214
+0 1
+.names 8214 8215
+1 1
+.names 8208 8216
+1 1
+.names 8187 8217
+1 1
+.names 8193 8218
+1 1
+.names 8216 8219
+1 1
+.names 14354 14341 8220
+1- 1
+-1 1
+.names 8220 8221
+1 1
+.names 8202 8222
+1 1
+.names 8202 8223
+1 1
+.names 8187 8224
+1 1
+.names 918 8225
+1 1
+.names 8209 8226
+1 1
+.names 8209 8227
+1 1
+.names 8209 8228
+1 1
+.names 8232 8229
+0 1
+.names 8229 8230
+1 1
+.names 984 8231
+0 1
+.names 8231 8232
+1 1
+.names 8229 8233
+1 1
+.names 8233 8234
+1 1
+.names 8233 8235
+1 1
+.names 8229 8236
+1 1
+.names 8236 8237
+1 1
+.names 8236 8238
+1 1
+.names 8230 8239
+1 1
+.names 8230 8240
+1 1
+.names 8243 8241
+1 1
+.names 8241 8242
+1 1
+.names 8245 8243
+0 1
+.names 3628 8244
+0 1
+.names 8244 8245
+1 1
+.names 8248 8246
+1 1
+.names 8246 8247
+1 1
+.names 8252 8248
+0 1
+.names 8246 8249
+1 1
+.names 8246 8250
+1 1
+.names 14356 14357 8251
+1- 1
+-1 1
+.names 8251 8252
+1 1
+.names 8241 8253
+1 1
+.names 8241 8254
+1 1
+.names 8248 8255
+1 1
+.names 8258 8256
+1 1
+.names 8256 8257
+1 1
+.names 8286 8258
+0 1
+.names 8256 8259
+1 1
+.names 8256 8260
+1 1
+.names 8263 8261
+1 1
+.names 8261 8262
+1 1
+.names 8266 8263
+0 1
+.names 8261 8264
+1 1
+.names 8272 14365 8265
+1- 1
+-1 1
+.names 8265 8266
+1 1
+.names 8269 8267
+1 1
+.names 8267 8268
+1 1
+.names 8288 8269
+0 1
+.names 8272 8270
+1 1
+.names 8270 8271
+1 1
+.names 8321 8272
+0 1
+.names 8275 8273
+1 1
+.names 8273 8274
+1 1
+.names 8311 8275
+0 1
+.names 8279 8276
+0 1
+.names 8276 8277
+1 1
+.names 14374 14375 8278
+1- 1
+-1 1
+.names 8278 8279
+1 1
+.names 8275 8280
+1 1
+.names 8280 8281
+1 1
+.names 8280 8282
+1 1
+.names 8270 8283
+1 1
+.names 8270 8284
+1 1
+.names 14359 14362 8285
+1- 1
+-1 1
+.names 8285 8286
+1 1
+.names 14393 14378 8287
+1- 1
+-1 1
+.names 8287 8288
+1 1
+.names 8269 8289
+1 1
+.names 8292 8290
+1 1
+.names 8290 8291
+1 1
+.names 8298 8292
+0 1
+.names 8296 8293
+0 1
+.names 8293 8294
+1 1
+.names 8274 8295
+0 1
+.names 8295 8296
+1 1
+.names 14371 14368 8297
+1- 1
+-1 1
+.names 8297 8298
+1 1
+.names 8261 8299
+1 1
+.names 8292 8300
+1 1
+.names 8300 8301
+1 1
+.names 8289 8302
+1 1
+.names 8289 8303
+1 1
+.names 8289 8304
+1 1
+.names 3928 8305
+1 1
+.names 8277 8306
+1 1
+.names 8277 8307
+1 1
+.names 8276 8308
+1 1
+.names 8308 8309
+1 1
+.names 14385 14386 8310
+1- 1
+-1 1
+.names 8310 8311
+1 1
+.names 8300 8312
+1 1
+.names 8300 8313
+1 1
+.names 3918 8314
+1 1
+.names 3920 8315
+1 1
+.names 3925 8316
+1 1
+.names 8292 8317
+1 1
+.names 8256 8318
+1 1
+.names 8317 8319
+1 1
+.names 8305 8320
+0 1
+.names 8320 8321
+1 1
+.names 8317 8322
+1 1
+.names 8325 8323
+1 1
+.names 8323 8324
+1 1
+.names 8327 8325
+1 1
+.names 8323 8326
+1 1
+.names 8329 8327
+0 1
+.names 14396 14399 8328
+1- 1
+-1 1
+.names 8328 8329
+1 1
+.names 8333 8330
+0 1
+.names 8330 8331
+1 1
+.names 1783 14400 8332
+1- 1
+-1 1
+.names 8332 8333
+1 1
+.names 8331 8334
+1 1
+.names 8331 8335
+1 1
+.names 8331 8336
+1 1
+.names 8339 8337
+1 1
+.names 8337 8338
+1 1
+.names 8359 8339
+0 1
+.names 8339 8340
+1 1
+.names 8340 8341
+1 1
+.names 8345 8342
+0 1
+.names 8342 8343
+1 1
+.names 8357 8344
+0 1
+.names 8344 8345
+1 1
+.names 8349 8346
+0 1
+.names 8346 8347
+1 1
+.names 14409 14410 8348
+1- 1
+-1 1
+.names 8348 8349
+1 1
+.names 8353 8350
+0 1
+.names 8350 8351
+1 1
+.names 8338 8352
+0 1
+.names 8352 8353
+1 1
+.names 8346 8354
+1 1
+.names 8325 8355
+1 1
+.names 8331 8356
+1 1
+.names 8354 8357
+1 1
+.names 14421 14408 8358
+1- 1
+-1 1
+.names 8358 8359
+1 1
+.names 8340 8360
+1 1
+.names 8340 8361
+1 1
+.names 8325 8362
+1 1
+.names 919 8363
+1 1
+.names 8347 8364
+1 1
+.names 8347 8365
+1 1
+.names 8347 8366
+1 1
+.names 8369 8367
+1 1
+.names 8367 8368
+1 1
+.names 8397 8369
+0 1
+.names 8367 8370
+1 1
+.names 8367 8371
+1 1
+.names 8374 8372
+1 1
+.names 8372 8373
+1 1
+.names 8377 8374
+0 1
+.names 8372 8375
+1 1
+.names 8383 14429 8376
+1- 1
+-1 1
+.names 8376 8377
+1 1
+.names 8380 8378
+1 1
+.names 8378 8379
+1 1
+.names 8399 8380
+0 1
+.names 8383 8381
+1 1
+.names 8381 8382
+1 1
+.names 8432 8383
+0 1
+.names 8386 8384
+1 1
+.names 8384 8385
+1 1
+.names 8422 8386
+0 1
+.names 8390 8387
+0 1
+.names 8387 8388
+1 1
+.names 14438 14439 8389
+1- 1
+-1 1
+.names 8389 8390
+1 1
+.names 8386 8391
+1 1
+.names 8391 8392
+1 1
+.names 8391 8393
+1 1
+.names 8381 8394
+1 1
+.names 8381 8395
+1 1
+.names 14423 14426 8396
+1- 1
+-1 1
+.names 8396 8397
+1 1
+.names 14456 14442 8398
+1- 1
+-1 1
+.names 8398 8399
+1 1
+.names 8380 8400
+1 1
+.names 8403 8401
+1 1
+.names 8401 8402
+1 1
+.names 8409 8403
+0 1
+.names 8407 8404
+0 1
+.names 8404 8405
+1 1
+.names 8385 8406
+0 1
+.names 8406 8407
+1 1
+.names 14435 14432 8408
+1- 1
+-1 1
+.names 8408 8409
+1 1
+.names 8372 8410
+1 1
+.names 8403 8411
+1 1
+.names 8411 8412
+1 1
+.names 8400 8413
+1 1
+.names 8400 8414
+1 1
+.names 8400 8415
+1 1
+.names 809 8416
+1 1
+.names 8388 8417
+1 1
+.names 8388 8418
+1 1
+.names 8387 8419
+1 1
+.names 8419 8420
+1 1
+.names 14449 2591 8421
+1- 1
+-1 1
+.names 8421 8422
+1 1
+.names 8411 8423
+1 1
+.names 8411 8424
+1 1
+.names 5761 8425
+1 1
+.names 5771 8426
+1 1
+.names 5785 8427
+1 1
+.names 8403 8428
+1 1
+.names 8367 8429
+1 1
+.names 8428 8430
+1 1
+.names 8416 8431
+0 1
+.names 8431 8432
+1 1
+.names 8428 8433
+1 1
+.names 8437 8434
+0 1
+.names 8434 8435
+1 1
+.names 3675 14457 8436
+1- 1
+-1 1
+.names 8436 8437
+1 1
+.names 8441 8438
+0 1
+.names 8438 8439
+1 1
+.names 14460 14461 8440
+1- 1
+-1 1
+.names 8440 8441
+1 1
+.names 8439 8442
+1 1
+.names 8439 8443
+1 1
+.names 8439 8444
+1 1
+.names 8435 8445
+1 1
+.names 8435 8446
+1 1
+.names 8435 8447
+1 1
+.names 8451 8448
+0 1
+.names 8448 8449
+1 1
+.names 3675 8450
+0 1
+.names 8450 8451
+1 1
+.names 8449 8452
+1 1
+.names 8439 8453
+1 1
+.names 8449 8454
+1 1
+.names 8449 8455
+1 1
+.names 8449 8456
+1 1
+.names 8459 8457
+1 1
+.names 8457 8458
+1 1
+.names 8461 8459
+0 1
+.names 2583 8460
+0 1
+.names 8460 8461
+1 1
+.names 8464 8462
+1 1
+.names 8462 8463
+1 1
+.names 8468 8464
+0 1
+.names 8462 8465
+1 1
+.names 8462 8466
+1 1
+.names 14751 2580 8467
+1- 1
+-1 1
+.names 8467 8468
+1 1
+.names 8457 8469
+1 1
+.names 8457 8470
+1 1
+.names 8464 8471
+1 1
+.names 8475 8472
+0 1
+.names 8472 8473
+1 1
+.names 988 8474
+0 1
+.names 8474 8475
+1 1
+.names 8472 8476
+1 1
+.names 8476 8477
+1 1
+.names 8476 8478
+1 1
+.names 8472 8479
+1 1
+.names 8479 8480
+1 1
+.names 8479 8481
+1 1
+.names 8473 8482
+1 1
+.names 8473 8483
+1 1
+.names 8487 8484
+0 1
+.names 8484 8485
+1 1
+.names 2896 8486
+0 1
+.names 8486 8487
+1 1
+.names 8484 8488
+1 1
+.names 8488 8489
+1 1
+.names 8488 8490
+1 1
+.names 8484 8491
+1 1
+.names 8491 8492
+1 1
+.names 8491 8493
+1 1
+.names 8485 8494
+1 1
+.names 8485 8495
+1 1
+.names 8499 8496
+0 1
+.names 8496 8497
+1 1
+.names 890 8498
+0 1
+.names 8498 8499
+1 1
+.names 8496 8500
+1 1
+.names 8500 8501
+1 1
+.names 8500 8502
+1 1
+.names 8496 8503
+1 1
+.names 8503 8504
+1 1
+.names 8503 8505
+1 1
+.names 8497 8506
+1 1
+.names 8497 8507
+1 1
+.names 8511 8508
+0 1
+.names 8508 8509
+1 1
+.names 989 8510
+0 1
+.names 8510 8511
+1 1
+.names 8508 8512
+1 1
+.names 8512 8513
+1 1
+.names 8512 8514
+1 1
+.names 8508 8515
+1 1
+.names 8515 8516
+1 1
+.names 8515 8517
+1 1
+.names 8509 8518
+1 1
+.names 8509 8519
+1 1
+.names 8522 8520
+1 1
+.names 8520 8521
+1 1
+.names 8524 8522
+0 1
+.names 732 8523
+0 1
+.names 8523 8524
+1 1
+.names 8527 8525
+1 1
+.names 8525 8526
+1 1
+.names 8531 8527
+0 1
+.names 8525 8528
+1 1
+.names 8525 8529
+1 1
+.names 14753 14754 8530
+1- 1
+-1 1
+.names 8530 8531
+1 1
+.names 8520 8532
+1 1
+.names 8520 8533
+1 1
+.names 8527 8534
+1 1
+.names 8537 8535
+0 1
+.names 8535 8536
+1 1
+.names 1982 8537
+1 1
+.names 8535 8538
+1 1
+.names 8538 8539
+1 1
+.names 8538 8540
+1 1
+.names 8535 8541
+1 1
+.names 8541 8542
+1 1
+.names 8541 8543
+1 1
+.names 8536 8544
+1 1
+.names 8536 8545
+1 1
+.names 8549 8546
+0 1
+.names 8546 8547
+1 1
+.names 991 8548
+0 1
+.names 8548 8549
+1 1
+.names 8546 8550
+1 1
+.names 8550 8551
+1 1
+.names 8550 8552
+1 1
+.names 8546 8553
+1 1
+.names 8553 8554
+1 1
+.names 8553 8555
+1 1
+.names 8547 8556
+1 1
+.names 8547 8557
+1 1
+.names 2354 2360 8558
+1- 1
+-1 1
+.names 8558 8559
+1 1
+.names 920 8559 57106
+11 1
+.names 921 8561
+0 1
+.names 8561 8562
+1 1
+.names 2228 57107
+0 1
+.names 4 3 8564
+11 1
+.names 8564 8565
+1 1
+.names 8565 2 8566
+11 1
+.names 8566 8567
+1 1
+.names 8567 1 8568
+11 1
+.names 8568 8569
+1 1
+.names 4 3 8570
+11 1
+.names 8570 8571
+1 1
+.names 8571 2 8572
+11 1
+.names 8572 8573
+1 1
+.names 8573 1 8574
+11 1
+.names 8574 8575
+1 1
+.names 922 8576
+0 1
+.names 8576 8577
+1 1
+.names 923 8577 8578
+11 1
+.names 8578 8579
+1 1
+.names 8576 8580
+1 1
+.names 2348 2354 8581
+1- 1
+-1 1
+.names 8581 8582
+1 1
+.names 8562 8583
+0 1
+.names 8583 8584
+1 1
+.names 926 8585
+0 1
+.names 8585 8586
+1 1
+.names 927 8587
+0 1
+.names 8587 8588
+1 1
+.names 928 8589
+0 1
+.names 8589 8590
+1 1
+.names 8590 8588 8591
+11 1
+.names 8591 8592
+1 1
+.names 8592 8586 8593
+11 1
+.names 8593 8594
+1 1
+.names 8594 8584 8595
+11 1
+.names 8595 8596
+1 1
+.names 926 8562 8597
+11 1
+.names 8597 8598
+1 1
+.names 8598 8596 8599
+1- 1
+-1 1
+.names 8599 8600
+1 1
+.names 8587 8601
+1 1
+.names 8589 8602
+1 1
+.names 929 8602 8603
+11 1
+.names 8603 8604
+1 1
+.names 8604 8601 8605
+11 1
+.names 8605 8606
+1 1
+.names 8606 8600 8607
+1- 1
+-1 1
+.names 8607 8608
+1 1
+.names 928 8562 8609
+11 1
+.names 8609 8610
+1 1
+.names 8610 8608 8611
+1- 1
+-1 1
+.names 8611 8612
+1 1
+.names 928 926 8613
+11 1
+.names 8613 8614
+1 1
+.names 8614 8612 8615
+1- 1
+-1 1
+.names 8615 8616
+1 1
+.names 927 8562 8617
+11 1
+.names 8617 8618
+1 1
+.names 8618 8616 8619
+1- 1
+-1 1
+.names 8619 8620
+1 1
+.names 927 926 8621
+11 1
+.names 8621 8622
+1 1
+.names 8583 8623
+1 1
+.names 8587 8624
+1 1
+.names 8589 8625
+1 1
+.names 929 8626
+0 1
+.names 8626 8627
+1 1
+.names 8627 8625 8628
+11 1
+.names 8628 8629
+1 1
+.names 8629 8624 8630
+11 1
+.names 8630 8631
+1 1
+.names 8631 926 8632
+11 1
+.names 8632 8633
+1 1
+.names 8583 8634
+1 1
+.names 8585 8635
+1 1
+.names 8589 8636
+1 1
+.names 929 8636 8637
+11 1
+.names 8637 8638
+1 1
+.names 8638 927 8639
+11 1
+.names 8639 8640
+1 1
+.names 8640 8635 8641
+11 1
+.names 8641 8642
+1 1
+.names 8583 8643
+1 1
+.names 8585 8644
+1 1
+.names 8587 8645
+1 1
+.names 8626 8646
+1 1
+.names 8646 928 8647
+11 1
+.names 8647 8648
+1 1
+.names 8648 8645 8649
+11 1
+.names 8649 8650
+1 1
+.names 8650 8644 8651
+11 1
+.names 8651 8652
+1 1
+.names 8583 8653
+1 1
+.names 8585 8654
+1 1
+.names 8589 8655
+1 1
+.names 8626 8656
+1 1
+.names 8656 8655 8657
+11 1
+.names 8657 8658
+1 1
+.names 8658 927 8659
+11 1
+.names 8659 8660
+1 1
+.names 8660 8654 8661
+11 1
+.names 8661 8662
+1 1
+.names 8583 8663
+1 1
+.names 8585 8664
+1 1
+.names 8587 8665
+1 1
+.names 929 928 8666
+11 1
+.names 8666 8667
+1 1
+.names 8667 8665 8668
+11 1
+.names 8668 8669
+1 1
+.names 8669 8664 8670
+11 1
+.names 8670 8671
+1 1
+.names 8583 8672
+1 1
+.names 8585 8673
+1 1
+.names 8626 8674
+1 1
+.names 8674 928 8675
+11 1
+.names 8675 8676
+1 1
+.names 8676 927 8677
+11 1
+.names 8677 8678
+1 1
+.names 8678 8673 8679
+11 1
+.names 8679 8680
+1 1
+.names 8583 8681
+1 1
+.names 8585 8682
+1 1
+.names 929 928 8683
+11 1
+.names 8683 8684
+1 1
+.names 8684 927 8685
+11 1
+.names 8685 8686
+1 1
+.names 8686 8682 8687
+11 1
+.names 8687 8688
+1 1
+.names 8585 8689
+1 1
+.names 8587 8690
+1 1
+.names 8589 8691
+1 1
+.names 8626 8692
+1 1
+.names 8692 8691 8693
+11 1
+.names 8693 8694
+1 1
+.names 8694 8690 8695
+11 1
+.names 8695 8696
+1 1
+.names 8696 8689 8697
+11 1
+.names 8697 8698
+1 1
+.names 8583 8699
+1 1
+.names 8585 8700
+1 1
+.names 929 928 8701
+11 1
+.names 8701 8702
+1 1
+.names 8702 927 8703
+11 1
+.names 8703 8704
+1 1
+.names 8704 8700 8705
+11 1
+.names 8705 8706
+1 1
+.names 8706 8699 8707
+11 1
+.names 8707 8708
+1 1
+.names 8583 8709
+1 1
+.names 8585 8710
+1 1
+.names 8587 8711
+1 1
+.names 929 928 8712
+11 1
+.names 8712 8713
+1 1
+.names 8713 8711 8714
+11 1
+.names 8714 8715
+1 1
+.names 8715 8710 8716
+11 1
+.names 8716 8717
+1 1
+.names 8717 8709 8718
+11 1
+.names 8718 8719
+1 1
+.names 8719 8708 8720
+1- 1
+-1 1
+.names 8720 8721
+1 1
+.names 8721 57108
+0 1
+.names 8583 8723
+1 1
+.names 8585 8724
+1 1
+.names 8589 8725
+1 1
+.names 8626 8726
+1 1
+.names 8726 8725 8727
+11 1
+.names 8727 8728
+1 1
+.names 8728 927 8729
+11 1
+.names 8729 8730
+1 1
+.names 8730 8724 8731
+11 1
+.names 8731 8732
+1 1
+.names 8732 8723 8733
+11 1
+.names 8733 8734
+1 1
+.names 8583 8735
+1 1
+.names 8585 8736
+1 1
+.names 8587 8737
+1 1
+.names 929 928 8738
+11 1
+.names 8738 8739
+1 1
+.names 8739 8737 8740
+11 1
+.names 8740 8741
+1 1
+.names 8741 8736 8742
+11 1
+.names 8742 8743
+1 1
+.names 8743 8735 8744
+11 1
+.names 8744 8745
+1 1
+.names 8745 930 8746
+11 1
+.names 8746 8747
+1 1
+.names 8583 8748
+1 1
+.names 8585 8749
+1 1
+.names 8626 8750
+1 1
+.names 8750 928 8751
+11 1
+.names 8751 8752
+1 1
+.names 8752 927 8753
+11 1
+.names 8753 8754
+1 1
+.names 8754 8749 8755
+11 1
+.names 8755 8756
+1 1
+.names 8756 8748 8757
+11 1
+.names 8757 8758
+1 1
+.names 8758 931 8759
+11 1
+.names 8759 8760
+1 1
+.names 932 8761
+0 1
+.names 8761 8762
+1 1
+.names 8583 8763
+1 1
+.names 8585 8764
+1 1
+.names 929 928 8765
+11 1
+.names 8765 8766
+1 1
+.names 8766 927 8767
+11 1
+.names 8767 8768
+1 1
+.names 8768 8764 8769
+11 1
+.names 8769 8770
+1 1
+.names 8770 8763 8771
+11 1
+.names 8771 8772
+1 1
+.names 8772 8762 8773
+11 1
+.names 8773 8774
+1 1
+.names 8774 8760 8775
+1- 1
+-1 1
+.names 8775 8776
+1 1
+.names 8776 8747 8777
+1- 1
+-1 1
+.names 8777 8778
+1 1
+.names 8778 8734 57109
+1- 1
+-1 1
+.names 716 720 8780
+1- 1
+-1 1
+.names 8780 8781
+1 1
+.names 56769 8782
+0 1
+.names 8782 8783
+1 1
+.names 56416 8784
+0 1
+.names 8784 8785
+1 1
+.names 56415 8786
+0 1
+.names 8786 8787
+1 1
+.names 56414 8788
+0 1
+.names 8788 8789
+1 1
+.names 8789 8787 8790
+11 1
+.names 8790 8791
+1 1
+.names 8791 8785 8792
+11 1
+.names 8792 8793
+1 1
+.names 8793 8783 8794
+11 1
+.names 8794 8795
+1 1
+.names 8795 2228 8796
+11 1
+.names 8796 8797
+1 1
+.names 8797 8798
+0 1
+.names 8798 8799
+1 1
+.names 8799 8781 8800
+11 1
+.names 8800 8801
+1 1
+.names 8801 933 57110
+11 1
+.names 8585 8803
+1 1
+.names 8587 8804
+1 1
+.names 8589 8805
+1 1
+.names 8626 8806
+1 1
+.names 8806 8805 8807
+11 1
+.names 8807 8808
+1 1
+.names 8808 8804 8809
+11 1
+.names 8809 8810
+1 1
+.names 8810 8803 8811
+11 1
+.names 8811 8812
+1 1
+.names 8812 8562 8813
+11 1
+.names 8813 8814
+1 1
+.names 4171 4169 8815
+1- 1
+-1 1
+.names 8815 8816
+1 1
+.names 8816 4167 8817
+1- 1
+-1 1
+.names 8817 8818
+1 1
+.names 8818 8819
+0 1
+.names 8819 8820
+1 1
+.names 8820 8814 8821
+11 1
+.names 8821 8822
+1 1
+.names 8583 8823
+1 1
+.names 8587 8824
+1 1
+.names 8589 8825
+1 1
+.names 8626 8826
+1 1
+.names 8826 8825 8827
+11 1
+.names 8827 8828
+1 1
+.names 8828 8824 8829
+11 1
+.names 8829 8830
+1 1
+.names 8830 926 8831
+11 1
+.names 8831 8832
+1 1
+.names 8832 8823 8833
+11 1
+.names 8833 8834
+1 1
+.names 8583 8835
+1 1
+.names 8585 8836
+1 1
+.names 8587 8837
+1 1
+.names 929 928 8838
+11 1
+.names 8838 8839
+1 1
+.names 8839 8837 8840
+11 1
+.names 8840 8841
+1 1
+.names 8841 8836 8842
+11 1
+.names 8842 8843
+1 1
+.names 8843 8835 8844
+11 1
+.names 8844 8845
+1 1
+.names 8583 8846
+1 1
+.names 8585 8847
+1 1
+.names 8589 8848
+1 1
+.names 929 8848 8849
+11 1
+.names 8849 8850
+1 1
+.names 8850 927 8851
+11 1
+.names 8851 8852
+1 1
+.names 8852 8847 8853
+11 1
+.names 8853 8854
+1 1
+.names 8854 8846 8855
+11 1
+.names 8855 8856
+1 1
+.names 8856 8845 8857
+1- 1
+-1 1
+.names 8857 8858
+1 1
+.names 8858 8834 8859
+1- 1
+-1 1
+.names 8859 8860
+1 1
+.names 8860 8822 57111
+1- 1
+-1 1
+.names 8583 8862
+1 1
+.names 8585 8863
+1 1
+.names 929 928 8864
+11 1
+.names 8864 8865
+1 1
+.names 8865 927 8866
+11 1
+.names 8866 8867
+1 1
+.names 8867 8863 8868
+11 1
+.names 8868 8869
+1 1
+.names 8869 8862 8870
+11 1
+.names 8870 8871
+1 1
+.names 8871 720 57112
+11 1
+.names 8583 8873
+1 1
+.names 8585 8874
+1 1
+.names 929 928 8875
+11 1
+.names 8875 8876
+1 1
+.names 8876 927 8877
+11 1
+.names 8877 8878
+1 1
+.names 8878 8874 8879
+11 1
+.names 8879 8880
+1 1
+.names 8880 8873 8881
+11 1
+.names 8881 8882
+1 1
+.names 8882 716 57113
+11 1
+.names 8583 8884
+1 1
+.names 8585 8885
+1 1
+.names 929 928 8886
+11 1
+.names 8886 8887
+1 1
+.names 8887 927 8888
+11 1
+.names 8888 8889
+1 1
+.names 8889 8885 8890
+11 1
+.names 8890 8891
+1 1
+.names 8891 8884 8892
+11 1
+.names 8892 8893
+1 1
+.names 8893 715 57114
+11 1
+.names 57131 8895
+1 1
+.names 934 933 8896
+1- 1
+-1 1
+.names 8896 8897
+1 1
+.names 8897 8895 57115
+1- 1
+-1 1
+.names 8583 8899
+1 1
+.names 8585 8900
+1 1
+.names 929 928 8901
+11 1
+.names 8901 8902
+1 1
+.names 8902 927 8903
+11 1
+.names 8903 8904
+1 1
+.names 8904 8900 8905
+11 1
+.names 8905 8906
+1 1
+.names 8906 8899 8907
+11 1
+.names 8907 8908
+1 1
+.names 57131 8909
+1 1
+.names 8909 8908 57116
+1- 1
+-1 1
+.names 57131 8911
+1 1
+.names 8585 8912
+1 1
+.names 8587 8913
+1 1
+.names 8589 8914
+1 1
+.names 8626 8915
+1 1
+.names 8915 8914 8916
+11 1
+.names 8916 8917
+1 1
+.names 8917 8913 8918
+11 1
+.names 8918 8919
+1 1
+.names 8919 8912 8920
+11 1
+.names 8920 8921
+1 1
+.names 8921 8562 8922
+11 1
+.names 8922 8923
+1 1
+.names 8923 8911 57117
+1- 1
+-1 1
+.names 57131 8925
+1 1
+.names 8585 8926
+1 1
+.names 8587 8927
+1 1
+.names 8589 8928
+1 1
+.names 8626 8929
+1 1
+.names 8929 8928 8930
+11 1
+.names 8930 8931
+1 1
+.names 8931 8927 8932
+11 1
+.names 8932 8933
+1 1
+.names 8933 8926 8934
+11 1
+.names 8934 8935
+1 1
+.names 8935 8562 8936
+11 1
+.names 8936 8937
+1 1
+.names 8937 8925 57118
+1- 1
+-1 1
+.names 57131 8939
+1 1
+.names 8585 8940
+1 1
+.names 8587 8941
+1 1
+.names 8589 8942
+1 1
+.names 8626 8943
+1 1
+.names 8943 8942 8944
+11 1
+.names 8944 8945
+1 1
+.names 8945 8941 8946
+11 1
+.names 8946 8947
+1 1
+.names 8947 8940 8948
+11 1
+.names 8948 8949
+1 1
+.names 8949 8562 8950
+11 1
+.names 8950 8951
+1 1
+.names 8951 8939 57119
+1- 1
+-1 1
+.names 8583 8953
+1 1
+.names 8585 8954
+1 1
+.names 929 928 8955
+11 1
+.names 8955 8956
+1 1
+.names 8956 927 8957
+11 1
+.names 8957 8958
+1 1
+.names 8958 8954 8959
+11 1
+.names 8959 8960
+1 1
+.names 8960 8953 8961
+11 1
+.names 8961 8962
+1 1
+.names 8962 715 57120
+1- 1
+-1 1
+.names 8583 8964
+1 1
+.names 8585 8965
+1 1
+.names 929 928 8966
+11 1
+.names 8966 8967
+1 1
+.names 8967 927 8968
+11 1
+.names 8968 8969
+1 1
+.names 8969 8965 8970
+11 1
+.names 8970 8971
+1 1
+.names 8971 8964 57121
+11 1
+.names 8583 8973
+1 1
+.names 8585 8974
+1 1
+.names 929 928 8975
+11 1
+.names 8975 8976
+1 1
+.names 8976 927 8977
+11 1
+.names 8977 8978
+1 1
+.names 8978 8974 8979
+11 1
+.names 8979 8980
+1 1
+.names 8980 8973 57122
+11 1
+.names 8583 8982
+1 1
+.names 8585 8983
+1 1
+.names 929 928 8984
+11 1
+.names 8984 8985
+1 1
+.names 8985 927 8986
+11 1
+.names 8986 8987
+1 1
+.names 8987 8983 8988
+11 1
+.names 8988 8989
+1 1
+.names 8989 8982 57123
+11 1
+.names 935 8991
+0 1
+.names 8991 8992
+1 1
+.names 8583 8993
+1 1
+.names 8587 8994
+1 1
+.names 8589 8995
+1 1
+.names 8626 8996
+1 1
+.names 8996 8995 8997
+11 1
+.names 8997 8998
+1 1
+.names 8998 8994 8999
+11 1
+.names 8999 9000
+1 1
+.names 9000 926 9001
+11 1
+.names 9001 9002
+1 1
+.names 9002 8993 9003
+11 1
+.names 9003 9004
+1 1
+.names 9004 9005
+0 1
+.names 9005 9006
+1 1
+.names 720 9006 9007
+11 1
+.names 9007 9008
+1 1
+.names 9008 8992 9009
+11 1
+.names 9009 9010
+1 1
+.names 715 9010 57124
+1- 1
+-1 1
+.names 2080 935 9012
+1- 1
+-1 1
+.names 9012 9013
+1 1
+.names 9013 9014
+0 1
+.names 9014 9015
+1 1
+.names 56772 9015 9016
+11 1
+.names 9016 9017
+1 1
+.names 2072 936 9018
+1- 1
+-1 1
+.names 9018 9019
+1 1
+.names 9019 9020
+0 1
+.names 9020 9021
+1 1
+.names 56771 9021 9022
+11 1
+.names 9022 9023
+1 1
+.names 9023 9017 9024
+1- 1
+-1 1
+.names 9024 9025
+1 1
+.names 57107 9026
+1 1
+.names 8782 9027
+1 1
+.names 56414 56415 9028
+11 1
+.names 9028 9029
+1 1
+.names 9029 56416 9030
+11 1
+.names 9030 9031
+1 1
+.names 9031 9027 9032
+11 1
+.names 9032 9033
+1 1
+.names 57107 9034
+1 1
+.names 8784 9035
+1 1
+.names 8786 9036
+1 1
+.names 8788 9037
+1 1
+.names 9037 9036 9038
+11 1
+.names 9038 9039
+1 1
+.names 9039 9035 9040
+11 1
+.names 9040 9041
+1 1
+.names 9041 56769 9042
+11 1
+.names 9042 9043
+1 1
+.names 9043 9034 57125
+11 1
+.names 57107 9045
+1 1
+.names 8782 9046
+1 1
+.names 8786 9047
+1 1
+.names 8788 9048
+1 1
+.names 9048 9047 9049
+11 1
+.names 9049 9050
+1 1
+.names 9050 56416 9051
+11 1
+.names 9051 9052
+1 1
+.names 9052 9046 9053
+11 1
+.names 9053 9054
+1 1
+.names 9054 9045 9055
+11 1
+.names 9055 9056
+1 1
+.names 56772 9057
+0 1
+.names 9057 9058
+1 1
+.names 57107 9059
+1 1
+.names 8782 9060
+1 1
+.names 8784 9061
+1 1
+.names 56414 56415 9062
+11 1
+.names 9062 9063
+1 1
+.names 9063 9061 9064
+11 1
+.names 9064 9065
+1 1
+.names 9065 9060 9066
+11 1
+.names 9066 9067
+1 1
+.names 9067 9059 9068
+11 1
+.names 9068 9069
+1 1
+.names 9069 937 9070
+11 1
+.names 9070 9071
+1 1
+.names 9071 9058 9072
+11 1
+.names 9072 9073
+1 1
+.names 5787 9074
+1 1
+.names 57107 9075
+1 1
+.names 8782 9076
+1 1
+.names 56414 56415 9077
+11 1
+.names 9077 9078
+1 1
+.names 9078 56416 9079
+11 1
+.names 9079 9080
+1 1
+.names 9080 9076 9081
+11 1
+.names 9081 9082
+1 1
+.names 9082 9075 9083
+11 1
+.names 9083 9084
+1 1
+.names 9084 9074 9085
+11 1
+.names 9085 9086
+1 1
+.names 9086 9073 9087
+1- 1
+-1 1
+.names 9087 9088
+1 1
+.names 9088 9056 57126
+1- 1
+-1 1
+.names 57107 9090
+1 1
+.names 8782 9091
+1 1
+.names 8784 9092
+1 1
+.names 56414 56415 9093
+11 1
+.names 9093 9094
+1 1
+.names 9094 9092 9095
+11 1
+.names 9095 9096
+1 1
+.names 9096 9091 9097
+11 1
+.names 9097 9098
+1 1
+.names 9098 9090 9099
+11 1
+.names 9099 9100
+1 1
+.names 9100 56409 9101
+11 1
+.names 9101 9102
+1 1
+.names 56771 56772 9103
+1- 1
+-1 1
+.names 9103 9104
+1 1
+.names 57107 9105
+1 1
+.names 8782 9106
+1 1
+.names 56414 56415 9107
+11 1
+.names 9107 9108
+1 1
+.names 9108 56416 9109
+11 1
+.names 9109 9110
+1 1
+.names 9110 9106 9111
+11 1
+.names 9111 9112
+1 1
+.names 9112 9105 9113
+11 1
+.names 9113 9114
+1 1
+.names 9114 9104 9115
+1- 1
+-1 1
+.names 9115 9116
+1 1
+.names 9116 9102 57127
+1- 1
+-1 1
+.names 57107 9118
+1 1
+.names 8782 9119
+1 1
+.names 8784 9120
+1 1
+.names 56414 56415 9121
+11 1
+.names 9121 9122
+1 1
+.names 9122 9120 9123
+11 1
+.names 9123 9124
+1 1
+.names 9124 9119 9125
+11 1
+.names 9125 9126
+1 1
+.names 57107 9127
+1 1
+.names 8782 9128
+1 1
+.names 56414 56415 9129
+11 1
+.names 9129 9130
+1 1
+.names 9130 56416 9131
+11 1
+.names 9131 9132
+1 1
+.names 9132 9128 9133
+11 1
+.names 9133 9134
+1 1
+.names 9134 9127 57128
+11 1
+.names 56771 56772 57129
+1- 1
+-1 1
+.names 57107 9137
+1 1
+.names 8782 9138
+1 1
+.names 8784 9139
+1 1
+.names 56414 56415 9140
+11 1
+.names 9140 9141
+1 1
+.names 9141 9139 9142
+11 1
+.names 9142 9143
+1 1
+.names 9143 9138 9144
+11 1
+.names 9144 9145
+1 1
+.names 9145 9137 9146
+11 1
+.names 9146 9147
+1 1
+.names 938 9147 9148
+1- 1
+-1 1
+.names 9148 9149
+1 1
+.names 9149 57130
+0 1
+.names 56769 717 9151
+11 1
+.names 9151 9152
+1 1
+.names 8583 9153
+1 1
+.names 8585 9154
+1 1
+.names 929 928 9155
+11 1
+.names 9155 9156
+1 1
+.names 9156 927 9157
+11 1
+.names 9157 9158
+1 1
+.names 9158 9154 9159
+11 1
+.names 9159 9160
+1 1
+.names 9160 9153 9161
+11 1
+.names 9161 9162
+1 1
+.names 9162 934 9163
+11 1
+.names 9163 9164
+1 1
+.names 57131 9165
+1 1
+.names 9165 9164 9166
+1- 1
+-1 1
+.names 9166 9167
+1 1
+.names 9167 9152 57132
+1- 1
+-1 1
+.names 720 939 9169
+11 1
+.names 9169 9170
+1 1
+.names 933 9170 9171
+1- 1
+-1 1
+.names 9171 9172
+1 1
+.names 8583 9173
+1 1
+.names 8585 9174
+1 1
+.names 929 928 9175
+11 1
+.names 9175 9176
+1 1
+.names 9176 927 9177
+11 1
+.names 9177 9178
+1 1
+.names 9178 9174 9179
+11 1
+.names 9179 9180
+1 1
+.names 9180 9173 9181
+11 1
+.names 9181 9182
+1 1
+.names 9182 9172 9183
+11 1
+.names 9183 9184
+1 1
+.names 57131 9185
+1 1
+.names 9185 9184 57133
+1- 1
+-1 1
+.names 57131 9187
+1 1
+.names 9187 2087 57134
+1- 1
+-1 1
+.names 8585 9189
+1 1
+.names 8587 9190
+1 1
+.names 8589 9191
+1 1
+.names 8626 9192
+1 1
+.names 9192 9191 9193
+11 1
+.names 9193 9194
+1 1
+.names 9194 9190 9195
+11 1
+.names 9195 9196
+1 1
+.names 9196 9189 9197
+11 1
+.names 9197 9198
+1 1
+.names 8583 9199
+1 1
+.names 8585 9200
+1 1
+.names 929 928 9201
+11 1
+.names 9201 9202
+1 1
+.names 9202 927 9203
+11 1
+.names 9203 9204
+1 1
+.names 9204 9200 9205
+11 1
+.names 9205 9206
+1 1
+.names 8585 9207
+1 1
+.names 8587 9208
+1 1
+.names 8589 9209
+1 1
+.names 8626 9210
+1 1
+.names 9210 9209 9211
+11 1
+.names 9211 9212
+1 1
+.names 9212 9208 9213
+11 1
+.names 9213 9214
+1 1
+.names 9214 9207 9215
+11 1
+.names 9215 9216
+1 1
+.names 9216 8562 9217
+11 1
+.names 9217 9218
+1 1
+.names 9218 9219
+0 1
+.names 9219 9220
+1 1
+.names 8583 9221
+1 1
+.names 8585 9222
+1 1
+.names 929 928 9223
+11 1
+.names 9223 9224
+1 1
+.names 9224 927 9225
+11 1
+.names 9225 9226
+1 1
+.names 9226 9222 9227
+11 1
+.names 9227 9228
+1 1
+.names 8585 9229
+1 1
+.names 8587 9230
+1 1
+.names 8589 9231
+1 1
+.names 8626 9232
+1 1
+.names 9232 9231 9233
+11 1
+.names 9233 9234
+1 1
+.names 9234 9230 9235
+11 1
+.names 9235 9236
+1 1
+.names 9236 9229 9237
+11 1
+.names 9237 9238
+1 1
+.names 9238 8562 9239
+11 1
+.names 9239 9240
+1 1
+.names 9240 9241
+0 1
+.names 9241 9242
+1 1
+.names 8583 9243
+1 1
+.names 8585 9244
+1 1
+.names 929 928 9245
+11 1
+.names 9245 9246
+1 1
+.names 9246 927 9247
+11 1
+.names 9247 9248
+1 1
+.names 9248 9244 9249
+11 1
+.names 9249 9250
+1 1
+.names 940 9251
+0 1
+.names 9251 9252
+1 1
+.names 939 933 9253
+1- 1
+-1 1
+.names 9253 9254
+1 1
+.names 9254 9252 9255
+1- 1
+-1 1
+.names 9255 9256
+1 1
+.names 8583 9257
+1 1
+.names 8585 9258
+1 1
+.names 929 928 9259
+11 1
+.names 9259 9260
+1 1
+.names 9260 927 9261
+11 1
+.names 9261 9262
+1 1
+.names 9262 9258 9263
+11 1
+.names 9263 9264
+1 1
+.names 9264 9257 9265
+11 1
+.names 9265 9266
+1 1
+.names 9266 720 9267
+11 1
+.names 9267 9268
+1 1
+.names 9251 9269
+1 1
+.names 939 933 9270
+1- 1
+-1 1
+.names 9270 9271
+1 1
+.names 9271 9269 9272
+1- 1
+-1 1
+.names 9272 9273
+1 1
+.names 8583 9274
+1 1
+.names 8585 9275
+1 1
+.names 929 928 9276
+11 1
+.names 9276 9277
+1 1
+.names 9277 927 9278
+11 1
+.names 9278 9279
+1 1
+.names 9279 9275 9280
+11 1
+.names 9280 9281
+1 1
+.names 9281 9274 9282
+11 1
+.names 9282 9283
+1 1
+.names 9283 716 9284
+11 1
+.names 9284 9285
+1 1
+.names 8583 9286
+1 1
+.names 8585 9287
+1 1
+.names 8587 9288
+1 1
+.names 929 928 9289
+11 1
+.names 9289 9290
+1 1
+.names 9290 9288 9291
+11 1
+.names 9291 9292
+1 1
+.names 9292 9287 9293
+11 1
+.names 9293 9294
+1 1
+.names 9294 9286 9295
+11 1
+.names 9295 9296
+1 1
+.names 9296 9297
+0 1
+.names 9297 9298
+1 1
+.names 715 716 9299
+1- 1
+-1 1
+.names 9299 9300
+1 1
+.names 9300 720 9301
+1- 1
+-1 1
+.names 9301 9302
+1 1
+.names 8583 9303
+1 1
+.names 8585 9304
+1 1
+.names 929 928 9305
+11 1
+.names 9305 9306
+1 1
+.names 9306 927 9307
+11 1
+.names 9307 9308
+1 1
+.names 9308 9304 9309
+11 1
+.names 9309 9310
+1 1
+.names 9310 9303 9311
+11 1
+.names 9311 9312
+1 1
+.names 2348 2354 9313
+1- 1
+-1 1
+.names 9313 9314
+1 1
+.names 9314 2360 9315
+1- 1
+-1 1
+.names 9315 9316
+1 1
+.names 8585 9317
+1 1
+.names 8587 9318
+1 1
+.names 8589 9319
+1 1
+.names 8626 9320
+1 1
+.names 9320 9319 9321
+11 1
+.names 9321 9322
+1 1
+.names 9322 9318 9323
+11 1
+.names 9323 9324
+1 1
+.names 9324 9317 9325
+11 1
+.names 9325 9326
+1 1
+.names 9326 8562 9327
+11 1
+.names 9327 9328
+1 1
+.names 716 720 9329
+1- 1
+-1 1
+.names 9329 9330
+1 1
+.names 8583 9331
+1 1
+.names 8585 9332
+1 1
+.names 8587 9333
+1 1
+.names 8626 9334
+1 1
+.names 9334 928 9335
+11 1
+.names 9335 9336
+1 1
+.names 9336 9333 9337
+11 1
+.names 9337 9338
+1 1
+.names 9338 9332 9339
+11 1
+.names 9339 9340
+1 1
+.names 9340 9331 9341
+11 1
+.names 9341 9342
+1 1
+.names 8583 9343
+1 1
+.names 8585 9344
+1 1
+.names 8589 9345
+1 1
+.names 8626 9346
+1 1
+.names 9346 9345 9347
+11 1
+.names 9347 9348
+1 1
+.names 9348 927 9349
+11 1
+.names 9349 9350
+1 1
+.names 9350 9344 9351
+11 1
+.names 9351 9352
+1 1
+.names 9352 9343 9353
+11 1
+.names 9353 9354
+1 1
+.names 9354 9342 9355
+1- 1
+-1 1
+.names 9355 9356
+1 1
+.names 715 716 9357
+1- 1
+-1 1
+.names 9357 9358
+1 1
+.names 9358 941 9359
+1- 1
+-1 1
+.names 9359 9360
+1 1
+.names 8583 9361
+1 1
+.names 8585 9362
+1 1
+.names 929 928 9363
+11 1
+.names 9363 9364
+1 1
+.names 9364 927 9365
+11 1
+.names 9365 9366
+1 1
+.names 9366 9362 9367
+11 1
+.names 9367 9368
+1 1
+.names 9368 9361 9369
+11 1
+.names 9369 9370
+1 1
+.names 2348 2354 9371
+1- 1
+-1 1
+.names 9371 9372
+1 1
+.names 9372 2360 9373
+1- 1
+-1 1
+.names 9373 9374
+1 1
+.names 8585 9375
+1 1
+.names 8587 9376
+1 1
+.names 8589 9377
+1 1
+.names 8626 9378
+1 1
+.names 9378 9377 9379
+11 1
+.names 9379 9380
+1 1
+.names 9380 9376 9381
+11 1
+.names 9381 9382
+1 1
+.names 9382 9375 9383
+11 1
+.names 9383 9384
+1 1
+.names 9384 8562 9385
+11 1
+.names 9385 9386
+1 1
+.names 8583 9387
+1 1
+.names 8585 9388
+1 1
+.names 8587 9389
+1 1
+.names 929 928 9390
+11 1
+.names 9390 9391
+1 1
+.names 9391 9389 9392
+11 1
+.names 9392 9393
+1 1
+.names 9393 9388 9394
+11 1
+.names 9394 9395
+1 1
+.names 9395 9387 9396
+11 1
+.names 9396 9397
+1 1
+.names 9397 9398
+0 1
+.names 9398 9399
+1 1
+.names 716 720 9400
+1- 1
+-1 1
+.names 9400 9401
+1 1
+.names 8583 9402
+1 1
+.names 8587 9403
+1 1
+.names 8589 9404
+1 1
+.names 8626 9405
+1 1
+.names 9405 9404 9406
+11 1
+.names 9406 9407
+1 1
+.names 9407 9403 9408
+11 1
+.names 9408 9409
+1 1
+.names 9409 926 9410
+11 1
+.names 9410 9411
+1 1
+.names 9411 9402 9412
+11 1
+.names 9412 9413
+1 1
+.names 9413 9414
+0 1
+.names 9414 9415
+1 1
+.names 716 720 9416
+1- 1
+-1 1
+.names 9416 9417
+1 1
+.names 8583 9418
+1 1
+.names 8585 9419
+1 1
+.names 929 928 9420
+11 1
+.names 9420 9421
+1 1
+.names 9421 927 9422
+11 1
+.names 9422 9423
+1 1
+.names 9423 9419 9424
+11 1
+.names 9424 9425
+1 1
+.names 9425 9418 9426
+11 1
+.names 9426 9427
+1 1
+.names 9427 56769 9428
+1- 1
+-1 1
+.names 9428 9429
+1 1
+.names 2679 9430
+1 1
+.names 2679 9431
+1 1
+.names 2679 9432
+1 1
+.names 9435 9433
+1 1
+.names 9433 9434
+1 1
+.names 9437 9435
+0 1
+.names 6500 9436
+0 1
+.names 9436 9437
+1 1
+.names 9435 9438
+1 1
+.names 9438 9439
+1 1
+.names 9433 9440
+1 1
+.names 9444 9441
+0 1
+.names 9441 9442
+1 1
+.names 14759 9443
+0 1
+.names 9443 9444
+1 1
+.names 9448 9445
+0 1
+.names 9445 9446
+1 1
+.names 14757 14758 9447
+1- 1
+-1 1
+.names 9447 9448
+1 1
+.names 9451 9449
+1 1
+.names 9449 9450
+1 1
+.names 9456 9451
+0 1
+.names 9451 9452
+1 1
+.names 9452 9453
+1 1
+.names 9452 9454
+1 1
+.names 6484 9455
+0 1
+.names 9455 9456
+1 1
+.names 9460 9457
+0 1
+.names 9457 9458
+1 1
+.names 6479 9459
+0 1
+.names 9459 9460
+1 1
+.names 9464 9461
+0 1
+.names 9461 9462
+1 1
+.names 6478 9463
+0 1
+.names 9463 9464
+1 1
+.names 9468 9465
+0 1
+.names 9465 9466
+1 1
+.names 6472 9467
+0 1
+.names 9467 9468
+1 1
+.names 9472 9469
+0 1
+.names 9469 9470
+1 1
+.names 6471 9471
+0 1
+.names 9471 9472
+1 1
+.names 9476 9473
+0 1
+.names 9473 9474
+1 1
+.names 6470 9475
+0 1
+.names 9475 9476
+1 1
+.names 9480 9477
+0 1
+.names 9477 9478
+1 1
+.names 6469 9479
+0 1
+.names 9479 9480
+1 1
+.names 9484 9481
+0 1
+.names 9481 9482
+1 1
+.names 6468 9483
+0 1
+.names 9483 9484
+1 1
+.names 9488 9485
+0 1
+.names 9485 9486
+1 1
+.names 6467 9487
+0 1
+.names 9487 9488
+1 1
+.names 9492 9489
+0 1
+.names 9489 9490
+1 1
+.names 6466 9491
+0 1
+.names 9491 9492
+1 1
+.names 9496 9493
+0 1
+.names 9493 9494
+1 1
+.names 6465 9495
+0 1
+.names 9495 9496
+1 1
+.names 9500 9497
+0 1
+.names 9497 9498
+1 1
+.names 6464 9499
+0 1
+.names 9499 9500
+1 1
+.names 9504 9501
+0 1
+.names 9501 9502
+1 1
+.names 6463 9503
+0 1
+.names 9503 9504
+1 1
+.names 9508 9505
+0 1
+.names 9505 9506
+1 1
+.names 6450 9507
+0 1
+.names 9507 9508
+1 1
+.names 9505 9509
+1 1
+.names 9509 9510
+1 1
+.names 9509 9511
+1 1
+.names 9505 9512
+1 1
+.names 9512 9513
+1 1
+.names 9512 9514
+1 1
+.names 9506 9515
+1 1
+.names 9506 9516
+1 1
+.names 9520 9517
+0 1
+.names 9517 9518
+1 1
+.names 6447 9519
+0 1
+.names 9519 9520
+1 1
+.names 9524 9521
+0 1
+.names 9521 9522
+1 1
+.names 6446 9523
+0 1
+.names 9523 9524
+1 1
+.names 9521 9525
+1 1
+.names 9521 9526
+1 1
+.names 9530 9527
+0 1
+.names 9527 9528
+1 1
+.names 6445 9529
+0 1
+.names 9529 9530
+1 1
+.names 9527 9531
+1 1
+.names 9527 9532
+1 1
+.names 9536 9533
+0 1
+.names 9533 9534
+1 1
+.names 6444 9535
+0 1
+.names 9535 9536
+1 1
+.names 9540 9537
+0 1
+.names 9537 9538
+1 1
+.names 6443 9539
+0 1
+.names 9539 9540
+1 1
+.names 9537 9541
+1 1
+.names 9545 9542
+0 1
+.names 9542 9543
+1 1
+.names 6442 9544
+0 1
+.names 9544 9545
+1 1
+.names 9549 9546
+0 1
+.names 9546 9547
+1 1
+.names 6441 9548
+0 1
+.names 9548 9549
+1 1
+.names 9553 9550
+0 1
+.names 9550 9551
+1 1
+.names 6440 9552
+0 1
+.names 9552 9553
+1 1
+.names 9550 9554
+1 1
+.names 9558 9555
+0 1
+.names 9555 9556
+1 1
+.names 6439 9557
+0 1
+.names 9557 9558
+1 1
+.names 9561 9559
+1 1
+.names 9559 9560
+1 1
+.names 9563 9561
+0 1
+.names 6436 9562
+0 1
+.names 9562 9563
+1 1
+.names 9566 9564
+1 1
+.names 9564 9565
+1 1
+.names 9569 9566
+0 1
+.names 9564 9567
+1 1
+.names 14946 14947 9568
+1- 1
+-1 1
+.names 9568 9569
+1 1
+.names 9559 9570
+1 1
+.names 9566 9571
+1 1
+.names 9571 9572
+1 1
+.names 9564 9573
+1 1
+.names 9559 9574
+1 1
+.names 9559 9575
+1 1
+.names 942 943 9576
+1- 1
+-1 1
+.names 9576 9577
+1 1
+.names 9577 944 9578
+1- 1
+-1 1
+.names 9578 9579
+1 1
+.names 9579 945 9580
+1- 1
+-1 1
+.names 9580 9581
+1 1
+.names 9581 946 9582
+1- 1
+-1 1
+.names 9582 9583
+1 1
+.names 947 948 9584
+1- 1
+-1 1
+.names 9584 9585
+1 1
+.names 9585 949 9586
+1- 1
+-1 1
+.names 9586 9587
+1 1
+.names 9587 950 9588
+1- 1
+-1 1
+.names 9588 9589
+1 1
+.names 951 952 9590
+1- 1
+-1 1
+.names 9590 9591
+1 1
+.names 9591 953 9592
+1- 1
+-1 1
+.names 9592 9593
+1 1
+.names 9593 954 9594
+1- 1
+-1 1
+.names 9594 9595
+1 1
+.names 955 2886 9596
+1- 1
+-1 1
+.names 9596 9597
+1 1
+.names 9597 2882 9598
+1- 1
+-1 1
+.names 9598 9599
+1 1
+.names 9599 956 9600
+1- 1
+-1 1
+.names 9600 9601
+1 1
+.names 9604 9602
+1 1
+.names 9602 9603
+1 1
+.names 9642 9604
+0 1
+.names 9607 9605
+1 1
+.names 9605 9606
+1 1
+.names 9609 9607
+0 1
+.names 15160 15149 9608
+1- 1
+-1 1
+.names 9608 9609
+1 1
+.names 9612 9610
+1 1
+.names 9610 9611
+1 1
+.names 9615 9612
+0 1
+.names 9610 9613
+1 1
+.names 15165 15166 9614
+1- 1
+-1 1
+.names 9614 9615
+1 1
+.names 9618 9616
+1 1
+.names 9616 9617
+1 1
+.names 9648 9618
+0 1
+.names 9616 9619
+1 1
+.names 9622 9620
+1 1
+.names 9620 9621
+1 1
+.names 9624 9622
+0 1
+.names 15147 15148 9623
+1- 1
+-1 1
+.names 9623 9624
+1 1
+.names 9620 9625
+1 1
+.names 9622 9626
+1 1
+.names 9626 9627
+1 1
+.names 9607 9628
+1 1
+.names 9628 9629
+1 1
+.names 9628 9630
+1 1
+.names 9634 9631
+0 1
+.names 9631 9632
+1 1
+.names 15152 15154 9633
+1- 1
+-1 1
+.names 9633 9634
+1 1
+.names 9632 9635
+1 1
+.names 9632 9636
+1 1
+.names 9610 9637
+1 1
+.names 9616 9638
+1 1
+.names 957 9639
+1 1
+.names 9632 9640
+1 1
+.names 15130 15150 9641
+1- 1
+-1 1
+.names 9641 9642
+1 1
+.names 9602 9643
+1 1
+.names 9632 9644
+1 1
+.names 9605 9645
+1 1
+.names 958 9646
+1 1
+.names 15135 15136 9647
+1- 1
+-1 1
+.names 9647 9648
+1 1
+.names 9620 9649
+1 1
+.names 9602 9650
+1 1
+.names 9628 9651
+1 1
+.names 9654 9652
+1 1
+.names 9652 9653
+1 1
+.names 9656 9654
+0 1
+.names 6373 9655
+0 1
+.names 9655 9656
+1 1
+.names 9654 9657
+1 1
+.names 9657 9658
+1 1
+.names 9652 9659
+1 1
+.names 9662 9660
+1 1
+.names 9660 9661
+1 1
+.names 9664 9662
+0 1
+.names 6369 9663
+0 1
+.names 9663 9664
+1 1
+.names 9662 9665
+1 1
+.names 9665 9666
+1 1
+.names 9660 9667
+1 1
+.names 9671 9668
+0 1
+.names 9668 9669
+1 1
+.names 9675 21951 9670
+1- 1
+-1 1
+.names 9670 9671
+1 1
+.names 9669 9672
+1 1
+.names 9675 9673
+1 1
+.names 9673 9674
+1 1
+.names 9677 9675
+0 1
+.names 3143 9676
+0 1
+.names 9676 9677
+1 1
+.names 9681 9678
+0 1
+.names 9678 9679
+1 1
+.names 21949 21950 9680
+1- 1
+-1 1
+.names 9680 9681
+1 1
+.names 9669 9682
+1 1
+.names 9679 9683
+1 1
+.names 9679 9684
+1 1
+.names 9687 9685
+0 1
+.names 9685 9686
+1 1
+.names 9676 9687
+1 1
+.names 9691 9688
+0 1
+.names 9688 9689
+1 1
+.names 9695 21964 9690
+1- 1
+-1 1
+.names 9690 9691
+1 1
+.names 9689 9692
+1 1
+.names 9695 9693
+1 1
+.names 9693 9694
+1 1
+.names 9697 9695
+0 1
+.names 3131 9696
+0 1
+.names 9696 9697
+1 1
+.names 9701 9698
+0 1
+.names 9698 9699
+1 1
+.names 21962 21963 9700
+1- 1
+-1 1
+.names 9700 9701
+1 1
+.names 9689 9702
+1 1
+.names 9699 9703
+1 1
+.names 9699 9704
+1 1
+.names 9707 9705
+0 1
+.names 9705 9706
+1 1
+.names 9696 9707
+1 1
+.names 9711 9708
+0 1
+.names 9708 9709
+1 1
+.names 51437 51436 9710
+1- 1
+-1 1
+.names 9710 9711
+1 1
+.names 9715 9712
+0 1
+.names 9712 9713
+1 1
+.names 51435 51436 9714
+1- 1
+-1 1
+.names 9714 9715
+1 1
+.names 9719 9716
+0 1
+.names 9716 9717
+1 1
+.names 51439 51443 9718
+1- 1
+-1 1
+.names 9718 9719
+1 1
+.names 9723 9720
+0 1
+.names 9720 9721
+1 1
+.names 51437 51443 9722
+1- 1
+-1 1
+.names 9722 9723
+1 1
+.names 9727 9724
+0 1
+.names 9724 9725
+1 1
+.names 51438 51443 9726
+1- 1
+-1 1
+.names 9726 9727
+1 1
+.names 9731 9728
+0 1
+.names 9728 9729
+1 1
+.names 51437 51444 9730
+1- 1
+-1 1
+.names 9730 9731
+1 1
+.names 9735 9732
+0 1
+.names 9732 9733
+1 1
+.names 51435 51444 9734
+1- 1
+-1 1
+.names 9734 9735
+1 1
+.names 9739 9736
+0 1
+.names 9736 9737
+1 1
+.names 51444 51438 9738
+1- 1
+-1 1
+.names 9738 9739
+1 1
+.names 9743 9740
+0 1
+.names 9740 9741
+1 1
+.names 51439 51440 9742
+1- 1
+-1 1
+.names 9742 9743
+1 1
+.names 9747 9744
+0 1
+.names 9744 9745
+1 1
+.names 51438 51436 9746
+1- 1
+-1 1
+.names 9746 9747
+1 1
+.names 9751 9748
+0 1
+.names 9748 9749
+1 1
+.names 51437 51440 9750
+1- 1
+-1 1
+.names 9750 9751
+1 1
+.names 9755 9752
+0 1
+.names 9752 9753
+1 1
+.names 51435 51440 9754
+1- 1
+-1 1
+.names 9754 9755
+1 1
+.names 9759 9756
+0 1
+.names 9756 9757
+1 1
+.names 51440 51438 9758
+1- 1
+-1 1
+.names 9758 9759
+1 1
+.names 9763 9760
+0 1
+.names 9760 9761
+1 1
+.names 51439 51436 9762
+1- 1
+-1 1
+.names 9762 9763
+1 1
+.names 583 9764
+0 1
+.names 9764 9765
+1 1
+.names 582 9766
+0 1
+.names 9766 9767
+1 1
+.names 581 9768
+0 1
+.names 9768 9769
+1 1
+.names 579 9770
+0 1
+.names 9770 9771
+1 1
+.names 577 9772
+0 1
+.names 9772 9773
+1 1
+.names 576 9774
+0 1
+.names 9774 9775
+1 1
+.names 9779 9776
+0 1
+.names 9776 9777
+1 1
+.names 51449 51456 9778
+1- 1
+-1 1
+.names 9778 9779
+1 1
+.names 9783 9780
+0 1
+.names 9780 9781
+1 1
+.names 51450 51452 9782
+1- 1
+-1 1
+.names 9782 9783
+1 1
+.names 22007 22062 9784
+1- 1
+-1 1
+.names 9784 9785
+1 1
+.names 21996 22069 9786
+1- 1
+-1 1
+.names 9786 9787
+1 1
+.names 22004 22062 9788
+1- 1
+-1 1
+.names 9788 9789
+1 1
+.names 22013 22071 9790
+1- 1
+-1 1
+.names 9790 9791
+1 1
+.names 21997 22069 9792
+1- 1
+-1 1
+.names 9792 9793
+1 1
+.names 21994 22062 9794
+1- 1
+-1 1
+.names 9794 9795
+1 1
+.names 22068 22070 9796
+1- 1
+-1 1
+.names 9796 9797
+1 1
+.names 22006 22069 9798
+1- 1
+-1 1
+.names 9798 9799
+1 1
+.names 22059 22070 9800
+1- 1
+-1 1
+.names 9800 9801
+1 1
+.names 21997 21998 9802
+1- 1
+-1 1
+.names 9802 9803
+1 1
+.names 21980 22109 9804
+1- 1
+-1 1
+.names 9804 9805
+1 1
+.names 22008 22107 9806
+1- 1
+-1 1
+.names 9806 9807
+1 1
+.names 22066 22018 9808
+1- 1
+-1 1
+.names 9808 9809
+1 1
+.names 22069 22012 9810
+1- 1
+-1 1
+.names 9810 9811
+1 1
+.names 22108 22001 9812
+1- 1
+-1 1
+.names 9812 9813
+1 1
+.names 21982 22070 9814
+1- 1
+-1 1
+.names 9814 9815
+1 1
+.names 21985 22070 9816
+1- 1
+-1 1
+.names 9816 9817
+1 1
+.names 22005 22069 9818
+1- 1
+-1 1
+.names 9818 9819
+1 1
+.names 21995 22062 9820
+1- 1
+-1 1
+.names 9820 9821
+1 1
+.names 22003 22108 9822
+1- 1
+-1 1
+.names 9822 9823
+1 1
+.names 22062 22063 9824
+1- 1
+-1 1
+.names 9824 9825
+1 1
+.names 22003 22069 9826
+1- 1
+-1 1
+.names 9826 9827
+1 1
+.names 21982 22104 9828
+1- 1
+-1 1
+.names 9828 9829
+1 1
+.names 22068 22107 9830
+1- 1
+-1 1
+.names 9830 9831
+1 1
+.names 21997 22062 9832
+1- 1
+-1 1
+.names 9832 9833
+1 1
+.names 21980 22069 9834
+1- 1
+-1 1
+.names 9834 9835
+1 1
+.names 22058 22108 9836
+1- 1
+-1 1
+.names 9836 9837
+1 1
+.names 22008 22062 9838
+1- 1
+-1 1
+.names 9838 9839
+1 1
+.names 21988 22107 9840
+1- 1
+-1 1
+.names 9840 9841
+1 1
+.names 22004 22069 9842
+1- 1
+-1 1
+.names 9842 9843
+1 1
+.names 22070 22063 9844
+1- 1
+-1 1
+.names 9844 9845
+1 1
+.names 22106 22104 9846
+1- 1
+-1 1
+.names 9846 9847
+1 1
+.names 21997 22066 9848
+1- 1
+-1 1
+.names 9848 9849
+1 1
+.names 22014 22071 9850
+1- 1
+-1 1
+.names 9850 9851
+1 1
+.names 21994 22108 9852
+1- 1
+-1 1
+.names 9852 9853
+1 1
+.names 21994 22069 9854
+1- 1
+-1 1
+.names 9854 9855
+1 1
+.names 22069 21989 9856
+1- 1
+-1 1
+.names 9856 9857
+1 1
+.names 21991 22062 9858
+1- 1
+-1 1
+.names 9858 9859
+1 1
+.names 21988 22066 9860
+1- 1
+-1 1
+.names 9860 9861
+1 1
+.names 22071 21973 9862
+1- 1
+-1 1
+.names 9862 9863
+1 1
+.names 22015 22011 9864
+1- 1
+-1 1
+.names 9864 9865
+1 1
+.names 21997 22107 9866
+1- 1
+-1 1
+.names 9866 9867
+1 1
+.names 22007 22069 9868
+1- 1
+-1 1
+.names 9868 9869
+1 1
+.names 22064 22063 9870
+1- 1
+-1 1
+.names 9870 9871
+1 1
+.names 22022 22108 9872
+1- 1
+-1 1
+.names 9872 9873
+1 1
+.names 21976 22071 9874
+1- 1
+-1 1
+.names 9874 9875
+1 1
+.names 21993 22069 9876
+1- 1
+-1 1
+.names 9876 9877
+1 1
+.names 22105 22012 9878
+1- 1
+-1 1
+.names 9878 9879
+1 1
+.names 22062 21973 9880
+1- 1
+-1 1
+.names 9880 9881
+1 1
+.names 21988 22070 9882
+1- 1
+-1 1
+.names 9882 9883
+1 1
+.names 22008 22069 9884
+1- 1
+-1 1
+.names 9884 9885
+1 1
+.names 21980 22062 9886
+1- 1
+-1 1
+.names 9886 9887
+1 1
+.names 22003 22062 9888
+1- 1
+-1 1
+.names 9888 9889
+1 1
+.names 22107 22018 9890
+1- 1
+-1 1
+.names 9890 9891
+1 1
+.names 21991 22069 9892
+1- 1
+-1 1
+.names 9892 9893
+1 1
+.names 22067 22012 9894
+1- 1
+-1 1
+.names 9894 9895
+1 1
+.names 22000 22069 9896
+1- 1
+-1 1
+.names 9896 9897
+1 1
+.names 21995 22069 9898
+1- 1
+-1 1
+.names 9898 9899
+1 1
+.names 22104 21989 9900
+1- 1
+-1 1
+.names 9900 9901
+1 1
+.names 22014 22108 9902
+1- 1
+-1 1
+.names 9902 9903
+1 1
+.names 22005 22062 9904
+1- 1
+-1 1
+.names 9904 9905
+1 1
+.names 21984 22107 9906
+1- 1
+-1 1
+.names 9906 9907
+1 1
+.names 21975 22071 9908
+1- 1
+-1 1
+.names 9908 9909
+1 1
+.names 22015 22071 9910
+1- 1
+-1 1
+.names 9910 9911
+1 1
+.names 9915 9912
+0 1
+.names 9912 9913
+1 1
+.names 51451 51447 9914
+1- 1
+-1 1
+.names 9914 9915
+1 1
+.names 9913 9916
+1 1
+.names 9913 9917
+1 1
+.names 9913 9918
+1 1
+.names 9922 9919
+0 1
+.names 9919 9920
+1 1
+.names 51451 51452 9921
+1- 1
+-1 1
+.names 9921 9922
+1 1
+.names 9920 9923
+1 1
+.names 9920 9924
+1 1
+.names 9920 9925
+1 1
+.names 9929 9926
+0 1
+.names 9926 9927
+1 1
+.names 51451 51456 9928
+1- 1
+-1 1
+.names 9928 9929
+1 1
+.names 9927 9930
+1 1
+.names 9927 9931
+1 1
+.names 9927 9932
+1 1
+.names 9936 9933
+0 1
+.names 9933 9934
+1 1
+.names 51451 51455 9935
+1- 1
+-1 1
+.names 9935 9936
+1 1
+.names 9934 9937
+1 1
+.names 9934 9938
+1 1
+.names 9934 9939
+1 1
+.names 9943 9940
+0 1
+.names 9940 9941
+1 1
+.names 51450 51447 9942
+1- 1
+-1 1
+.names 9942 9943
+1 1
+.names 9941 9944
+1 1
+.names 9941 9945
+1 1
+.names 9949 9946
+0 1
+.names 9946 9947
+1 1
+.names 51450 51456 9948
+1- 1
+-1 1
+.names 9948 9949
+1 1
+.names 9947 9950
+1 1
+.names 9947 9951
+1 1
+.names 9947 9952
+1 1
+.names 9956 9953
+0 1
+.names 9953 9954
+1 1
+.names 51450 51455 9955
+1- 1
+-1 1
+.names 9955 9956
+1 1
+.names 9954 9957
+1 1
+.names 9954 9958
+1 1
+.names 9954 9959
+1 1
+.names 9963 9960
+0 1
+.names 9960 9961
+1 1
+.names 51449 51447 9962
+1- 1
+-1 1
+.names 9962 9963
+1 1
+.names 9961 9964
+1 1
+.names 9961 9965
+1 1
+.names 9961 9966
+1 1
+.names 9970 9967
+0 1
+.names 9967 9968
+1 1
+.names 51449 51452 9969
+1- 1
+-1 1
+.names 9969 9970
+1 1
+.names 9968 9971
+1 1
+.names 9968 9972
+1 1
+.names 9968 9973
+1 1
+.names 9977 9974
+0 1
+.names 9974 9975
+1 1
+.names 51449 51455 9976
+1- 1
+-1 1
+.names 9976 9977
+1 1
+.names 9975 9978
+1 1
+.names 9975 9979
+1 1
+.names 9975 9980
+1 1
+.names 9781 9981
+1 1
+.names 9781 9982
+1 1
+.names 9781 9983
+1 1
+.names 9987 9984
+0 1
+.names 9984 9985
+1 1
+.names 51455 51448 9986
+1- 1
+-1 1
+.names 9986 9987
+1 1
+.names 9985 9988
+1 1
+.names 9985 9989
+1 1
+.names 9985 9990
+1 1
+.names 9994 9991
+0 1
+.names 9991 9992
+1 1
+.names 51435 51443 9993
+1- 1
+-1 1
+.names 9993 9994
+1 1
+.names 9716 9995
+1 1
+.names 9999 9996
+0 1
+.names 9996 9997
+1 1
+.names 51452 51448 9998
+1- 1
+-1 1
+.names 9998 9999
+1 1
+.names 9997 10000
+1 1
+.names 9997 10001
+1 1
+.names 9997 10002
+1 1
+.names 10006 10003
+0 1
+.names 10003 10004
+1 1
+.names 51456 51448 10005
+1- 1
+-1 1
+.names 10005 10006
+1 1
+.names 10004 10007
+1 1
+.names 10004 10008
+1 1
+.names 10004 10009
+1 1
+.names 10013 10010
+0 1
+.names 10010 10011
+1 1
+.names 51439 51444 10012
+1- 1
+-1 1
+.names 10012 10013
+1 1
+.names 9760 10014
+1 1
+.names 9740 10015
+1 1
+.names 9777 10016
+1 1
+.names 9777 10017
+1 1
+.names 9777 10018
+1 1
+.names 9708 10019
+1 1
+.names 9748 10020
+1 1
+.names 9728 10021
+1 1
+.names 9752 10022
+1 1
+.names 9732 10023
+1 1
+.names 9712 10024
+1 1
+.names 9744 10025
+1 1
+.names 10028 10026
+0 1
+.names 10026 10027
+1 1
+.names 580 10028
+1 1
+.names 21997 21999 10029
+1- 1
+-1 1
+.names 10029 10030
+1 1
+.names 21982 22019 10031
+1- 1
+-1 1
+.names 10031 10032
+1 1
+.names 21982 22055 10033
+1- 1
+-1 1
+.names 10033 10034
+1 1
+.names 22004 21999 10035
+1- 1
+-1 1
+.names 10035 10036
+1 1
+.names 22005 21999 10037
+1- 1
+-1 1
+.names 10037 10038
+1 1
+.names 22006 21999 10039
+1- 1
+-1 1
+.names 10039 10040
+1 1
+.names 22007 21999 10041
+1- 1
+-1 1
+.names 10041 10042
+1 1
+.names 22008 21999 10043
+1- 1
+-1 1
+.names 10043 10044
+1 1
+.names 21989 21999 10045
+1- 1
+-1 1
+.names 10045 10046
+1 1
+.names 22000 21999 10047
+1- 1
+-1 1
+.names 10047 10048
+1 1
+.names 22001 22002 10049
+1- 1
+-1 1
+.names 10049 10050
+1 1
+.names 22003 22002 10051
+1- 1
+-1 1
+.names 10051 10052
+1 1
+.names 21991 22002 10053
+1- 1
+-1 1
+.names 10053 10054
+1 1
+.names 21993 22002 10055
+1- 1
+-1 1
+.names 10055 10056
+1 1
+.names 21994 22002 10057
+1- 1
+-1 1
+.names 10057 10058
+1 1
+.names 21995 22002 10059
+1- 1
+-1 1
+.names 10059 10060
+1 1
+.names 21980 22002 10061
+1- 1
+-1 1
+.names 10061 10062
+1 1
+.names 21996 22002 10063
+1- 1
+-1 1
+.names 10063 10064
+1 1
+.names 22004 22019 10065
+1- 1
+-1 1
+.names 10065 10066
+1 1
+.names 22005 22019 10067
+1- 1
+-1 1
+.names 10067 10068
+1 1
+.names 22006 22019 10069
+1- 1
+-1 1
+.names 10069 10070
+1 1
+.names 21986 22019 10071
+1- 1
+-1 1
+.names 10071 10072
+1 1
+.names 22016 22019 10073
+1- 1
+-1 1
+.names 10073 10074
+1 1
+.names 22018 22019 10075
+1- 1
+-1 1
+.names 10075 10076
+1 1
+.names 22009 22019 10077
+1- 1
+-1 1
+.names 10077 10078
+1 1
+.names 21973 22020 10079
+1- 1
+-1 1
+.names 10079 10080
+1 1
+.names 22003 22020 10081
+1- 1
+-1 1
+.names 10081 10082
+1 1
+.names 21976 22020 10083
+1- 1
+-1 1
+.names 10083 10084
+1 1
+.names 22021 22020 10085
+1- 1
+-1 1
+.names 10085 10086
+1 1
+.names 21978 22020 10087
+1- 1
+-1 1
+.names 10087 10088
+1 1
+.names 21979 22020 10089
+1- 1
+-1 1
+.names 10089 10090
+1 1
+.names 22022 22020 10091
+1- 1
+-1 1
+.names 10091 10092
+1 1
+.names 21983 22020 10093
+1- 1
+-1 1
+.names 10093 10094
+1 1
+.names 22059 22055 10095
+1- 1
+-1 1
+.names 10095 10096
+1 1
+.names 21988 22055 10097
+1- 1
+-1 1
+.names 10097 10098
+1 1
+.names 22060 22055 10099
+1- 1
+-1 1
+.names 10099 10100
+1 1
+.names 22007 22055 10101
+1- 1
+-1 1
+.names 10101 10102
+1 1
+.names 22016 22055 10103
+1- 1
+-1 1
+.names 10103 10104
+1 1
+.names 22018 22055 10105
+1- 1
+-1 1
+.names 10105 10106
+1 1
+.names 22000 22055 10107
+1- 1
+-1 1
+.names 10107 10108
+1 1
+.names 22012 22056 10109
+1- 1
+-1 1
+.names 10109 10110
+1 1
+.names 22057 22056 10111
+1- 1
+-1 1
+.names 10111 10112
+1 1
+.names 22058 22056 10113
+1- 1
+-1 1
+.names 10113 10114
+1 1
+.names 22021 22056 10115
+1- 1
+-1 1
+.names 10115 10116
+1 1
+.names 22013 22056 10117
+1- 1
+-1 1
+.names 10117 10118
+1 1
+.names 21979 22056 10119
+1- 1
+-1 1
+.names 10119 10120
+1 1
+.names 22022 22056 10121
+1- 1
+-1 1
+.names 10121 10122
+1 1
+.names 21983 22056 10123
+1- 1
+-1 1
+.names 10123 10124
+1 1
+.names 21984 21981 10125
+1- 1
+-1 1
+.names 10125 10126
+1 1
+.names 21988 21981 10127
+1- 1
+-1 1
+.names 10127 10128
+1 1
+.names 21990 21981 10129
+1- 1
+-1 1
+.names 10129 10130
+1 1
+.names 21986 21981 10131
+1- 1
+-1 1
+.names 10131 10132
+1 1
+.names 21985 21981 10133
+1- 1
+-1 1
+.names 10133 10134
+1 1
+.names 21989 21981 10135
+1- 1
+-1 1
+.names 10135 10136
+1 1
+.names 21987 21981 10137
+1- 1
+-1 1
+.names 10137 10138
+1 1
+.names 21973 21974 10139
+1- 1
+-1 1
+.names 10139 10140
+1 1
+.names 21975 21974 10141
+1- 1
+-1 1
+.names 10141 10142
+1 1
+.names 21976 21974 10143
+1- 1
+-1 1
+.names 10143 10144
+1 1
+.names 21977 21974 10145
+1- 1
+-1 1
+.names 10145 10146
+1 1
+.names 10149 57135
+0 1
+.names 21978 21974 10148
+1- 1
+-1 1
+.names 10148 10149
+1 1
+.names 10152 57136
+0 1
+.names 21979 21974 10151
+1- 1
+-1 1
+.names 10151 10152
+1 1
+.names 21980 21974 10153
+1- 1
+-1 1
+.names 10153 10154
+1 1
+.names 21983 21974 10155
+1- 1
+-1 1
+.names 10155 10156
+1 1
+.names 578 10157
+0 1
+.names 10157 10158
+1 1
+.names 10162 10159
+0 1
+.names 10159 10160
+1 1
+.names 51447 51448 10161
+1- 1
+-1 1
+.names 10161 10162
+1 1
+.names 10160 10163
+1 1
+.names 9773 10164
+0 1
+.names 10164 10165
+1 1
+.names 21990 22107 10166
+1- 1
+-1 1
+.names 10166 10167
+1 1
+.names 22021 22108 10168
+1- 1
+-1 1
+.names 10168 10169
+1 1
+.names 21990 22064 10170
+1- 1
+-1 1
+.names 10170 10171
+1 1
+.names 22021 22065 10172
+1- 1
+-1 1
+.names 10172 10173
+1 1
+.names 22060 22070 10174
+1- 1
+-1 1
+.names 10174 10175
+1 1
+.names 21990 22066 10176
+1- 1
+-1 1
+.names 10176 10177
+1 1
+.names 22060 22104 10178
+1- 1
+-1 1
+.names 10178 10179
+1 1
+.names 21977 22105 10180
+1- 1
+-1 1
+.names 10180 10181
+1 1
+.names 22021 22109 10182
+1- 1
+-1 1
+.names 10182 10183
+1 1
+.names 21977 22011 10184
+1- 1
+-1 1
+.names 10184 10185
+1 1
+.names 21987 22066 10186
+1- 1
+-1 1
+.names 10186 10187
+1 1
+.names 22009 22010 10188
+1- 1
+-1 1
+.names 10188 10189
+1 1
+.names 21987 22064 10190
+1- 1
+-1 1
+.names 10190 10191
+1 1
+.names 22009 22104 10192
+1- 1
+-1 1
+.names 10192 10193
+1 1
+.names 22009 22107 10194
+1- 1
+-1 1
+.names 10194 10195
+1 1
+.names 21987 22070 10196
+1- 1
+-1 1
+.names 10196 10197
+1 1
+.names 22017 22108 10198
+1- 1
+-1 1
+.names 10198 10199
+1 1
+.names 22017 22105 10200
+1- 1
+-1 1
+.names 10200 10201
+1 1
+.names 22017 22071 10202
+1- 1
+-1 1
+.names 10202 10203
+1 1
+.names 22017 22011 10204
+1- 1
+-1 1
+.names 10204 10205
+1 1
+.names 21983 22067 10206
+1- 1
+-1 1
+.names 10206 10207
+1 1
+.names 22017 22109 10208
+1- 1
+-1 1
+.names 10208 10209
+1 1
+.names 21981 21982 10210
+1- 1
+-1 1
+.names 10210 10211
+1 1
+.names 10160 10212
+1 1
+.names 22006 22062 10213
+1- 1
+-1 1
+.names 10213 10214
+1 1
+.names 22000 22062 10215
+1- 1
+-1 1
+.names 10215 10216
+1 1
+.names 21993 22062 10217
+1- 1
+-1 1
+.names 10217 10218
+1 1
+.names 21996 22062 10219
+1- 1
+-1 1
+.names 10219 10220
+1 1
+.names 21982 22064 10221
+1- 1
+-1 1
+.names 10221 10222
+1 1
+.names 21984 22064 10223
+1- 1
+-1 1
+.names 10223 10224
+1 1
+.names 22005 22064 10225
+1- 1
+-1 1
+.names 10225 10226
+1 1
+.names 22007 22064 10227
+1- 1
+-1 1
+.names 10227 10228
+1 1
+.names 22016 22064 10229
+1- 1
+-1 1
+.names 10229 10230
+1 1
+.names 22065 22001 10231
+1- 1
+-1 1
+.names 10231 10232
+1 1
+.names 22057 22065 10233
+1- 1
+-1 1
+.names 10233 10234
+1 1
+.names 21991 22065 10235
+1- 1
+-1 1
+.names 10235 10236
+1 1
+.names 21978 22065 10237
+1- 1
+-1 1
+.names 10237 10238
+1 1
+.names 21995 22065 10239
+1- 1
+-1 1
+.names 10239 10240
+1 1
+.names 22015 22065 10241
+1- 1
+-1 1
+.names 10241 10242
+1 1
+.names 21996 22065 10243
+1- 1
+-1 1
+.names 10243 10244
+1 1
+.names 22059 22104 10245
+1- 1
+-1 1
+.names 10245 10246
+1 1
+.names 22068 22104 10247
+1- 1
+-1 1
+.names 10247 10248
+1 1
+.names 22016 22104 10249
+1- 1
+-1 1
+.names 10249 10250
+1 1
+.names 22057 22105 10251
+1- 1
+-1 1
+.names 10251 10252
+1 1
+.names 21976 22105 10253
+1- 1
+-1 1
+.names 10253 10254
+1 1
+.names 22013 22105 10255
+1- 1
+-1 1
+.names 10255 10256
+1 1
+.names 22014 22105 10257
+1- 1
+-1 1
+.names 10257 10258
+1 1
+.names 22015 22105 10259
+1- 1
+-1 1
+.names 10259 10260
+1 1
+.names 21993 22071 10261
+1- 1
+-1 1
+.names 10261 10262
+1 1
+.names 21997 22010 10263
+1- 1
+-1 1
+.names 10263 10264
+1 1
+.names 22004 22010 10265
+1- 1
+-1 1
+.names 10265 10266
+1 1
+.names 22005 22010 10267
+1- 1
+-1 1
+.names 10267 10268
+1 1
+.names 22006 22010 10269
+1- 1
+-1 1
+.names 10269 10270
+1 1
+.names 21986 22010 10271
+1- 1
+-1 1
+.names 10271 10272
+1 1
+.names 22016 22010 10273
+1- 1
+-1 1
+.names 10273 10274
+1 1
+.names 22011 22012 10275
+1- 1
+-1 1
+.names 10275 10276
+1 1
+.names 21975 22011 10277
+1- 1
+-1 1
+.names 10277 10278
+1 1
+.names 21991 22011 10279
+1- 1
+-1 1
+.names 10279 10280
+1 1
+.names 22013 22011 10281
+1- 1
+-1 1
+.names 10281 10282
+1 1
+.names 22014 22011 10283
+1- 1
+-1 1
+.names 10283 10284
+1 1
+.names 21991 21992 10285
+1- 1
+-1 1
+.names 10285 10286
+1 1
+.names 21993 21992 10287
+1- 1
+-1 1
+.names 10287 10288
+1 1
+.names 21994 21992 10289
+1- 1
+-1 1
+.names 10289 10290
+1 1
+.names 21995 21992 10291
+1- 1
+-1 1
+.names 10291 10292
+1 1
+.names 21980 21992 10293
+1- 1
+-1 1
+.names 10293 10294
+1 1
+.names 21996 21992 10295
+1- 1
+-1 1
+.names 10295 10296
+1 1
+.names 21982 22061 10297
+1- 1
+-1 1
+.names 10297 10298
+1 1
+.names 22059 22061 10299
+1- 1
+-1 1
+.names 10299 10300
+1 1
+.names 21978 22109 10301
+1- 1
+-1 1
+.names 10301 10302
+1 1
+.names 22014 22109 10303
+1- 1
+-1 1
+.names 10303 10304
+1 1
+.names 21984 22066 10305
+1- 1
+-1 1
+.names 10305 10306
+1 1
+.names 22068 22066 10307
+1- 1
+-1 1
+.names 10307 10308
+1 1
+.names 22008 22066 10309
+1- 1
+-1 1
+.names 10309 10310
+1 1
+.names 21975 22067 10311
+1- 1
+-1 1
+.names 10311 10312
+1 1
+.names 22058 22067 10313
+1- 1
+-1 1
+.names 10313 10314
+1 1
+.names 21993 22067 10315
+1- 1
+-1 1
+.names 10315 10316
+1 1
+.names 22013 22067 10317
+1- 1
+-1 1
+.names 10317 10318
+1 1
+.names 21979 22067 10319
+1- 1
+-1 1
+.names 10319 10320
+1 1
+.names 22015 22067 10321
+1- 1
+-1 1
+.names 10321 10322
+1 1
+.names 10326 10323
+0 1
+.names 10323 10324
+1 1
+.names 51465 51462 10325
+1- 1
+-1 1
+.names 10325 10326
+1 1
+.names 10330 10327
+0 1
+.names 10327 10328
+1 1
+.names 51464 51462 10329
+1- 1
+-1 1
+.names 10329 10330
+1 1
+.names 10334 10331
+0 1
+.names 10331 10332
+1 1
+.names 51463 51462 10333
+1- 1
+-1 1
+.names 10333 10334
+1 1
+.names 10338 10335
+0 1
+.names 10335 10336
+1 1
+.names 51461 51462 10337
+1- 1
+-1 1
+.names 10337 10338
+1 1
+.names 10342 10339
+0 1
+.names 10339 10340
+1 1
+.names 51465 51466 10341
+1- 1
+-1 1
+.names 10341 10342
+1 1
+.names 10346 10343
+0 1
+.names 10343 10344
+1 1
+.names 51464 51466 10345
+1- 1
+-1 1
+.names 10345 10346
+1 1
+.names 10350 10347
+0 1
+.names 10347 10348
+1 1
+.names 51463 51466 10349
+1- 1
+-1 1
+.names 10349 10350
+1 1
+.names 10354 10351
+0 1
+.names 10351 10352
+1 1
+.names 51466 51461 10353
+1- 1
+-1 1
+.names 10353 10354
+1 1
+.names 373 10355
+0 1
+.names 10355 10356
+1 1
+.names 372 10357
+0 1
+.names 10357 10358
+1 1
+.names 371 10359
+0 1
+.names 10359 10360
+1 1
+.names 369 10361
+0 1
+.names 10361 10362
+1 1
+.names 367 10363
+0 1
+.names 10363 10364
+1 1
+.names 366 10365
+0 1
+.names 10365 10366
+1 1
+.names 10370 10367
+0 1
+.names 10367 10368
+1 1
+.names 51471 51478 10369
+1- 1
+-1 1
+.names 10369 10370
+1 1
+.names 10374 10371
+0 1
+.names 10371 10372
+1 1
+.names 51472 51474 10373
+1- 1
+-1 1
+.names 10373 10374
+1 1
+.names 22121 22182 10375
+1- 1
+-1 1
+.names 10375 10376
+1 1
+.names 22141 22189 10377
+1- 1
+-1 1
+.names 10377 10378
+1 1
+.names 22119 22182 10379
+1- 1
+-1 1
+.names 10379 10380
+1 1
+.names 22185 22223 10381
+1- 1
+-1 1
+.names 10381 10382
+1 1
+.names 22118 22182 10383
+1- 1
+-1 1
+.names 10383 10384
+1 1
+.names 22125 22126 10385
+1- 1
+-1 1
+.names 10385 10386
+1 1
+.names 22185 22182 10387
+1- 1
+-1 1
+.names 10387 10388
+1 1
+.names 22187 22123 10389
+1- 1
+-1 1
+.names 10389 10390
+1 1
+.names 22177 22178 10391
+1- 1
+-1 1
+.names 10391 10392
+1 1
+.names 22122 22123 10393
+1- 1
+-1 1
+.names 10393 10394
+1 1
+.names 22142 22189 10395
+1- 1
+-1 1
+.names 10395 10396
+1 1
+.names 22184 22182 10397
+1- 1
+-1 1
+.names 10397 10398
+1 1
+.names 22182 22183 10399
+1- 1
+-1 1
+.names 10399 10400
+1 1
+.names 22133 22178 10401
+1- 1
+-1 1
+.names 10401 10402
+1 1
+.names 22189 22111 10403
+1- 1
+-1 1
+.names 10403 10404
+1 1
+.names 22143 22138 10405
+1- 1
+-1 1
+.names 10405 10406
+1 1
+.names 22186 22182 10407
+1- 1
+-1 1
+.names 10407 10408
+1 1
+.names 22190 22189 10409
+1- 1
+-1 1
+.names 10409 10410
+1 1
+.names 22113 22182 10411
+1- 1
+-1 1
+.names 10411 10412
+1 1
+.names 22133 22122 10413
+1- 1
+-1 1
+.names 10413 10414
+1 1
+.names 22133 22187 10415
+1- 1
+-1 1
+.names 10415 10416
+1 1
+.names 22120 22182 10417
+1- 1
+-1 1
+.names 10417 10418
+1 1
+.names 22140 22182 10419
+1- 1
+-1 1
+.names 10419 10420
+1 1
+.names 22175 22139 10421
+1- 1
+-1 1
+.names 10421 10422
+1 1
+.names 22136 22137 10423
+1- 1
+-1 1
+.names 10423 10424
+1 1
+.names 22114 22182 10425
+1- 1
+-1 1
+.names 10425 10426
+1 1
+.names 22176 22189 10427
+1- 1
+-1 1
+.names 10427 10428
+1 1
+.names 22143 22189 10429
+1- 1
+-1 1
+.names 10429 10430
+1 1
+.names 22171 22126 10431
+1- 1
+-1 1
+.names 10431 10432
+1 1
+.names 10436 10433
+0 1
+.names 10433 10434
+1 1
+.names 51473 51469 10435
+1- 1
+-1 1
+.names 10435 10436
+1 1
+.names 10434 10437
+1 1
+.names 10434 10438
+1 1
+.names 10434 10439
+1 1
+.names 10443 10440
+0 1
+.names 10440 10441
+1 1
+.names 51473 51474 10442
+1- 1
+-1 1
+.names 10442 10443
+1 1
+.names 10441 10444
+1 1
+.names 10441 10445
+1 1
+.names 10449 10446
+0 1
+.names 10446 10447
+1 1
+.names 51473 51478 10448
+1- 1
+-1 1
+.names 10448 10449
+1 1
+.names 10447 10450
+1 1
+.names 10447 10451
+1 1
+.names 10447 10452
+1 1
+.names 10456 10453
+0 1
+.names 10453 10454
+1 1
+.names 51473 51477 10455
+1- 1
+-1 1
+.names 10455 10456
+1 1
+.names 10454 10457
+1 1
+.names 10454 10458
+1 1
+.names 10454 10459
+1 1
+.names 10463 10460
+0 1
+.names 10460 10461
+1 1
+.names 51472 51469 10462
+1- 1
+-1 1
+.names 10462 10463
+1 1
+.names 10461 10464
+1 1
+.names 10461 10465
+1 1
+.names 10469 10466
+0 1
+.names 10466 10467
+1 1
+.names 51472 51478 10468
+1- 1
+-1 1
+.names 10468 10469
+1 1
+.names 10467 10470
+1 1
+.names 10467 10471
+1 1
+.names 10467 10472
+1 1
+.names 10476 10473
+0 1
+.names 10473 10474
+1 1
+.names 51472 51477 10475
+1- 1
+-1 1
+.names 10475 10476
+1 1
+.names 10474 10477
+1 1
+.names 10474 10478
+1 1
+.names 10474 10479
+1 1
+.names 10483 10480
+0 1
+.names 10480 10481
+1 1
+.names 51471 51469 10482
+1- 1
+-1 1
+.names 10482 10483
+1 1
+.names 10481 10484
+1 1
+.names 10481 10485
+1 1
+.names 10481 10486
+1 1
+.names 10490 10487
+0 1
+.names 10487 10488
+1 1
+.names 51471 51474 10489
+1- 1
+-1 1
+.names 10489 10490
+1 1
+.names 10488 10491
+1 1
+.names 10488 10492
+1 1
+.names 10488 10493
+1 1
+.names 10497 10494
+0 1
+.names 10494 10495
+1 1
+.names 51471 51477 10496
+1- 1
+-1 1
+.names 10496 10497
+1 1
+.names 10495 10498
+1 1
+.names 10495 10499
+1 1
+.names 10495 10500
+1 1
+.names 10372 10501
+1 1
+.names 10372 10502
+1 1
+.names 10372 10503
+1 1
+.names 10507 10504
+0 1
+.names 10504 10505
+1 1
+.names 51477 51470 10506
+1- 1
+-1 1
+.names 10506 10507
+1 1
+.names 10505 10508
+1 1
+.names 10505 10509
+1 1
+.names 10505 10510
+1 1
+.names 10331 10511
+1 1
+.names 10327 10512
+1 1
+.names 10323 10513
+1 1
+.names 10517 10514
+0 1
+.names 10514 10515
+1 1
+.names 51474 51470 10516
+1- 1
+-1 1
+.names 10516 10517
+1 1
+.names 10515 10518
+1 1
+.names 10515 10519
+1 1
+.names 10515 10520
+1 1
+.names 10524 10521
+0 1
+.names 10521 10522
+1 1
+.names 51478 51470 10523
+1- 1
+-1 1
+.names 10523 10524
+1 1
+.names 10522 10525
+1 1
+.names 10522 10526
+1 1
+.names 10522 10527
+1 1
+.names 10339 10528
+1 1
+.names 10368 10529
+1 1
+.names 10368 10530
+1 1
+.names 10368 10531
+1 1
+.names 10343 10532
+1 1
+.names 10347 10533
+1 1
+.names 10335 10534
+1 1
+.names 10537 10535
+0 1
+.names 10535 10536
+1 1
+.names 370 10537
+1 1
+.names 368 10538
+0 1
+.names 10538 10539
+1 1
+.names 10543 10540
+0 1
+.names 10540 10541
+1 1
+.names 51469 51470 10542
+1- 1
+-1 1
+.names 10542 10543
+1 1
+.names 10541 10544
+1 1
+.names 10364 10545
+0 1
+.names 10545 10546
+1 1
+.names 22134 22187 10547
+1- 1
+-1 1
+.names 10547 10548
+1 1
+.names 22180 22178 10549
+1- 1
+-1 1
+.names 10549 10550
+1 1
+.names 22134 22122 10551
+1- 1
+-1 1
+.names 10551 10552
+1 1
+.names 22180 22225 10553
+1- 1
+-1 1
+.names 10553 10554
+1 1
+.names 22134 22172 10555
+1- 1
+-1 1
+.names 10555 10556
+1 1
+.names 22224 22223 10557
+1- 1
+-1 1
+.names 10557 10558
+1 1
+.names 22129 22125 10559
+1- 1
+-1 1
+.names 10559 10560
+1 1
+.names 22129 22138 10561
+1- 1
+-1 1
+.names 10561 10562
+1 1
+.names 22129 22171 10563
+1- 1
+-1 1
+.names 10563 10564
+1 1
+.names 22124 22122 10565
+1- 1
+-1 1
+.names 10565 10566
+1 1
+.names 22124 22136 10567
+1- 1
+-1 1
+.names 10567 10568
+1 1
+.names 22188 22187 10569
+1- 1
+-1 1
+.names 10569 10570
+1 1
+.names 22146 22189 10571
+1- 1
+-1 1
+.names 10571 10572
+1 1
+.names 22146 22138 10573
+1- 1
+-1 1
+.names 10573 10574
+1 1
+.names 22135 22175 10575
+1- 1
+-1 1
+.names 10575 10576
+1 1
+.names 22146 22223 10577
+1- 1
+-1 1
+.names 10577 10578
+1 1
+.names 22135 22125 10579
+1- 1
+-1 1
+.names 10579 10580
+1 1
+.names 10541 10581
+1 1
+.names 22113 22189 10582
+1- 1
+-1 1
+.names 10582 10583
+1 1
+.names 22118 22136 10584
+1- 1
+-1 1
+.names 10584 10585
+1 1
+.names 22119 22136 10586
+1- 1
+-1 1
+.names 10586 10587
+1 1
+.names 22144 22136 10588
+1- 1
+-1 1
+.names 10588 10589
+1 1
+.names 22145 22136 10590
+1- 1
+-1 1
+.names 10590 10591
+1 1
+.names 22138 22139 10592
+1- 1
+-1 1
+.names 10592 10593
+1 1
+.names 22140 22138 10594
+1- 1
+-1 1
+.names 10594 10595
+1 1
+.names 22141 22138 10596
+1- 1
+-1 1
+.names 10596 10597
+1 1
+.names 22142 22138 10598
+1- 1
+-1 1
+.names 10598 10599
+1 1
+.names 22127 22125 10600
+1- 1
+-1 1
+.names 10600 10601
+1 1
+.names 22128 22125 10602
+1- 1
+-1 1
+.names 10602 10603
+1 1
+.names 22130 22125 10604
+1- 1
+-1 1
+.names 10604 10605
+1 1
+.names 22131 22125 10606
+1- 1
+-1 1
+.names 10606 10607
+1 1
+.names 22132 22125 10608
+1- 1
+-1 1
+.names 10608 10609
+1 1
+.names 22115 22116 10610
+1- 1
+-1 1
+.names 10610 10611
+1 1
+.names 22117 22116 10612
+1- 1
+-1 1
+.names 10612 10613
+1 1
+.names 22118 22116 10614
+1- 1
+-1 1
+.names 10614 10615
+1 1
+.names 22119 22116 10616
+1- 1
+-1 1
+.names 10616 10617
+1 1
+.names 22120 22116 10618
+1- 1
+-1 1
+.names 10618 10619
+1 1
+.names 22110 22111 10620
+1- 1
+-1 1
+.names 10620 10621
+1 1
+.names 22112 22110 10622
+1- 1
+-1 1
+.names 10622 10623
+1 1
+.names 22113 22110 10624
+1- 1
+-1 1
+.names 10624 10625
+1 1
+.names 22114 22110 10626
+1- 1
+-1 1
+.names 10626 10627
+1 1
+.names 22121 22110 10628
+1- 1
+-1 1
+.names 10628 10629
+1 1
+.names 22115 22172 10630
+1- 1
+-1 1
+.names 10630 10631
+1 1
+.names 22173 22172 10632
+1- 1
+-1 1
+.names 10632 10633
+1 1
+.names 22118 22172 10634
+1- 1
+-1 1
+.names 10634 10635
+1 1
+.names 22174 22172 10636
+1- 1
+-1 1
+.names 10636 10637
+1 1
+.names 22127 22171 10638
+1- 1
+-1 1
+.names 10638 10639
+1 1
+.names 22128 22171 10640
+1- 1
+-1 1
+.names 10640 10641
+1 1
+.names 22114 22171 10642
+1- 1
+-1 1
+.names 10642 10643
+1 1
+.names 22121 22171 10644
+1- 1
+-1 1
+.names 10644 10645
+1 1
+.names 22177 22225 10646
+1- 1
+-1 1
+.names 10646 10647
+1 1
+.names 22179 22225 10648
+1- 1
+-1 1
+.names 10648 10649
+1 1
+.names 22226 22225 10650
+1- 1
+-1 1
+.names 10650 10651
+1 1
+.names 22181 22225 10652
+1- 1
+-1 1
+.names 10652 10653
+1 1
+.names 22174 22225 10654
+1- 1
+-1 1
+.names 10654 10655
+1 1
+.names 22223 22126 10656
+1- 1
+-1 1
+.names 10656 10657
+1 1
+.names 22176 22223 10658
+1- 1
+-1 1
+.names 10658 10659
+1 1
+.names 22190 22223 10660
+1- 1
+-1 1
+.names 10660 10661
+1 1
+.names 22130 22223 10662
+1- 1
+-1 1
+.names 10662 10663
+1 1
+.names 22142 22223 10664
+1- 1
+-1 1
+.names 10664 10665
+1 1
+.names 22179 22178 10666
+1- 1
+-1 1
+.names 10666 10667
+1 1
+.names 22181 22178 10668
+1- 1
+-1 1
+.names 10668 10669
+1 1
+.names 22120 22178 10670
+1- 1
+-1 1
+.names 10670 10671
+1 1
+.names 22176 22175 10672
+1- 1
+-1 1
+.names 10672 10673
+1 1
+.names 22128 22175 10674
+1- 1
+-1 1
+.names 10674 10675
+1 1
+.names 22113 22175 10676
+1- 1
+-1 1
+.names 10676 10677
+1 1
+.names 22141 22175 10678
+1- 1
+-1 1
+.names 10678 10679
+1 1
+.names 22131 22175 10680
+1- 1
+-1 1
+.names 10680 10681
+1 1
+.names 22143 22175 10682
+1- 1
+-1 1
+.names 10682 10683
+1 1
+.names 6790 10684
+1 1
+.names 10684 10685
+0 1
+.names 4092 10686
+0 1
+.names 2413 10693 10687
+11 1
+.names 10687 10688
+1 1
+.names 10688 10689
+0 1
+.names 10713 10690
+0 1
+.names 10690 10691
+1 1
+.names 10691 10692
+0 1
+.names 6844 10693
+0 1
+.names 10693 10694
+1 1
+.names 10694 10695
+0 1
+.names 10704 10705 10696
+11 1
+.names 10696 10697
+1 1
+.names 10697 10698
+0 1
+.names 10695 10698 10699
+1- 1
+-1 1
+.names 2413 10700
+1 1
+.names 10700 10701
+0 1
+.names 10699 10701 10702
+1- 1
+-1 1
+.names 961 10703
+0 1
+.names 962 10704
+0 1
+.names 963 10705
+0 1
+.names 10705 10706
+1 1
+.names 10706 10707
+0 1
+.names 10707 10708
+1 1
+.names 10693 10709
+1 1
+.names 10709 10710
+0 1
+.names 10708 10711
+0 1
+.names 10710 10711 10712
+1- 1
+-1 1
+.names 10704 10713
+1 1
+.names 10712 10690 10714
+1- 1
+-1 1
+.names 10721 10725 10715
+1- 1
+-1 1
+.names 10715 10716
+1 1
+.names 7105 10717
+0 1
+.names 268 10718
+0 1
+.names 10718 10719
+1 1
+.names 10719 10720
+0 1
+.names 10717 10720 10721
+11 1
+.names 964 10722
+0 1
+.names 10722 10723
+1 1
+.names 10723 10724
+0 1
+.names 7105 10724 10725
+11 1
+.names 10729 2413 10726
+11 1
+.names 10726 10727
+1 1
+.names 10727 10728
+0 1
+.names 913 10729
+0 1
+.names 10705 10704 10730
+11 1
+.names 10730 10731
+1 1
+.names 2413 10732
+1 1
+.names 10729 10733
+1 1
+.names 10733 10734
+0 1
+.names 962 10734 10735
+1- 1
+-1 1
+.names 10732 10736
+0 1
+.names 10735 10736 10737
+1- 1
+-1 1
+.names 10731 10738
+0 1
+.names 913 10738 10739
+1- 1
+-1 1
+.names 10739 10736 10740
+1- 1
+-1 1
+.names 10743 2413 10741
+11 1
+.names 10741 10742
+1 1
+.names 7116 10743
+0 1
+.names 7108 10744
+0 1
+.names 10744 10745
+1 1
+.names 10748 10749 10746
+1- 1
+-1 1
+.names 10746 10747
+1 1
+.names 10742 10748
+0 1
+.names 10745 10749
+0 1
+.names 10756 10758 10750
+1- 1
+-1 1
+.names 10750 10751
+1 1
+.names 7112 10752
+0 1
+.names 10770 10773 10753
+11 1
+.names 10753 10754
+1 1
+.names 10754 10755
+0 1
+.names 10752 10755 10756
+11 1
+.names 965 10757
+0 1
+.names 7112 10757 10758
+11 1
+.names 10743 10763 10759
+1- 1
+-1 1
+.names 10759 10760
+1 1
+.names 45107 45110 10761
+1- 1
+-1 1
+.names 10761 10762
+1 1
+.names 10762 10763
+0 1
+.names 10751 10764
+0 1
+.names 10784 10760 10765
+11 1
+.names 10765 10766
+1 1
+.names 10766 10767
+0 1
+.names 7120 10768
+0 1
+.names 10768 10769
+1 1
+.names 10747 10770
+0 1
+.names 10774 10775 10771
+1- 1
+-1 1
+.names 10771 10772
+1 1
+.names 10772 10773
+0 1
+.names 10769 10774
+0 1
+.names 10716 10775
+0 1
+.names 10778 10744 10776
+1- 1
+-1 1
+.names 10776 10777
+1 1
+.names 966 10778
+0 1
+.names 10768 10786 10779
+1- 1
+-1 1
+.names 10779 10780
+1 1
+.names 10777 10780 10781
+11 1
+.names 2413 10782
+1 1
+.names 10782 10783
+1 1
+.names 10781 10783 10784
+11 1
+.names 46273 46276 56461
+1- 1
+-1 1
+.names 56461 10786
+0 1
+.names 2413 10793 10787
+11 1
+.names 10787 10788
+1 1
+.names 10788 10789
+0 1
+.names 10813 10790
+0 1
+.names 10790 10791
+1 1
+.names 10791 10792
+0 1
+.names 7163 10793
+0 1
+.names 10793 10794
+1 1
+.names 10794 10795
+0 1
+.names 10804 10805 10796
+11 1
+.names 10796 10797
+1 1
+.names 10797 10798
+0 1
+.names 10795 10798 10799
+1- 1
+-1 1
+.names 2413 10800
+1 1
+.names 10800 10801
+0 1
+.names 10799 10801 10802
+1- 1
+-1 1
+.names 967 10803
+0 1
+.names 968 10804
+0 1
+.names 969 10805
+0 1
+.names 10805 10806
+1 1
+.names 10806 10807
+0 1
+.names 10807 10808
+1 1
+.names 10793 10809
+1 1
+.names 10809 10810
+0 1
+.names 10808 10811
+0 1
+.names 10810 10811 10812
+1- 1
+-1 1
+.names 10804 10813
+1 1
+.names 10812 10790 10814
+1- 1
+-1 1
+.names 10818 2413 10815
+11 1
+.names 10815 10816
+1 1
+.names 10816 10817
+0 1
+.names 914 10818
+0 1
+.names 10805 10804 10819
+11 1
+.names 10819 10820
+1 1
+.names 2413 10821
+1 1
+.names 10818 10822
+1 1
+.names 10822 10823
+0 1
+.names 968 10823 10824
+1- 1
+-1 1
+.names 10821 10825
+0 1
+.names 10824 10825 10826
+1- 1
+-1 1
+.names 10820 10827
+0 1
+.names 914 10827 10828
+1- 1
+-1 1
+.names 10828 10825 10829
+1- 1
+-1 1
+.names 10832 2413 10830
+11 1
+.names 10830 10831
+1 1
+.names 7400 10832
+0 1
+.names 7392 10833
+0 1
+.names 10833 10834
+1 1
+.names 10837 10838 10835
+1- 1
+-1 1
+.names 10835 10836
+1 1
+.names 10831 10837
+0 1
+.names 10834 10838
+0 1
+.names 10845 10847 10839
+1- 1
+-1 1
+.names 10839 10840
+1 1
+.names 7396 10841
+0 1
+.names 10856 10859 10842
+11 1
+.names 10842 10843
+1 1
+.names 10843 10844
+0 1
+.names 10841 10844 10845
+11 1
+.names 970 10846
+0 1
+.names 7396 10846 10847
+11 1
+.names 10832 10763 10848
+1- 1
+-1 1
+.names 10848 10849
+1 1
+.names 10840 10850
+0 1
+.names 10869 10849 10851
+11 1
+.names 10851 10852
+1 1
+.names 10852 10853
+0 1
+.names 7404 10854
+0 1
+.names 10854 10855
+1 1
+.names 10836 10856
+0 1
+.names 10860 10861 10857
+1- 1
+-1 1
+.names 10857 10858
+1 1
+.names 10858 10859
+0 1
+.names 10855 10860
+0 1
+.names 650 10861
+0 1
+.names 10778 10833 10862
+1- 1
+-1 1
+.names 10862 10863
+1 1
+.names 10854 10786 10864
+1- 1
+-1 1
+.names 10864 10865
+1 1
+.names 10863 10865 10866
+11 1
+.names 2413 10867
+1 1
+.names 10867 10868
+1 1
+.names 10866 10868 10869
+11 1
+.names 6351 10870
+0 1
+.names 10870 7423 10871
+11 1
+.names 10871 10872
+1 1
+.names 10872 10873
+0 1
+.names 6347 10874
+0 1
+.names 7488 10875
+0 1
+.names 7479 10875 10876
+1- 1
+-1 1
+.names 10885 10882 10877
+11 1
+.names 10877 10878
+1 1
+.names 10878 10879
+0 1
+.names 10882 10875 10880
+11 1
+.names 10880 10881
+1 1
+.names 7490 10882
+0 1
+.names 10885 10875 10883
+11 1
+.names 10883 10884
+1 1
+.names 7489 10885
+0 1
+.names 10882 7494 10886
+11 1
+.names 10886 10887
+1 1
+.names 10887 10888
+0 1
+.names 7479 7490 10889
+1- 1
+-1 1
+.names 10884 10890
+0 1
+.names 10889 10890 10891
+1- 1
+-1 1
+.names 6319 10892
+0 1
+.names 10895 10885 10893
+11 1
+.names 10893 10894
+1 1
+.names 6313 10895
+0 1
+.names 7494 10892 10896
+11 1
+.names 10896 10897
+1 1
+.names 10897 10898
+0 1
+.names 10894 10899
+0 1
+.names 10898 10899 10900
+1- 1
+-1 1
+.names 10881 10901
+0 1
+.names 10900 10901 10902
+1- 1
+-1 1
+.names 6307 10903
+0 1
+.names 10885 10875 10904
+11 1
+.names 10904 10905
+1 1
+.names 10882 10906
+1 1
+.names 10906 10907
+0 1
+.names 10907 10898 10908
+1- 1
+-1 1
+.names 10905 10909
+0 1
+.names 10908 10909 10910
+1- 1
+-1 1
+.names 7511 10911
+1 1
+.names 10911 10912
+0 1
+.names 6301 10913
+0 1
+.names 10918 10921 10914
+11 1
+.names 10914 10915
+1 1
+.names 28174 28175 10916
+1- 1
+-1 1
+.names 10916 10917
+1 1
+.names 10917 10918
+0 1
+.names 28046 28047 10919
+1- 1
+-1 1
+.names 10919 10920
+1 1
+.names 10920 10921
+0 1
+.names 10926 10929 10922
+11 1
+.names 10922 10923
+1 1
+.names 27739 27740 10924
+1- 1
+-1 1
+.names 10924 10925
+1 1
+.names 10925 10926
+0 1
+.names 27838 27839 10927
+1- 1
+-1 1
+.names 10927 10928
+1 1
+.names 10928 10929
+0 1
+.names 10932 10933 10930
+1- 1
+-1 1
+.names 10930 10931
+1 1
+.names 10915 10932
+0 1
+.names 10923 10933
+0 1
+.names 10938 10941 10934
+11 1
+.names 10934 10935
+1 1
+.names 28126 28127 10936
+1- 1
+-1 1
+.names 10936 10937
+1 1
+.names 10937 10938
+0 1
+.names 27772 27773 10939
+1- 1
+-1 1
+.names 10939 10940
+1 1
+.names 10940 10941
+0 1
+.names 10946 10949 10942
+11 1
+.names 10942 10943
+1 1
+.names 27934 27935 10944
+1- 1
+-1 1
+.names 10944 10945
+1 1
+.names 10945 10946
+0 1
+.names 27870 27871 10947
+1- 1
+-1 1
+.names 10947 10948
+1 1
+.names 10948 10949
+0 1
+.names 10952 10953 10950
+1- 1
+-1 1
+.names 10950 10951
+1 1
+.names 10935 10952
+0 1
+.names 10943 10953
+0 1
+.names 10956 10957 10954
+11 1
+.names 10954 10955
+1 1
+.names 10931 10956
+0 1
+.names 10951 10957
+0 1
+.names 10962 10965 10958
+11 1
+.names 10958 10959
+1 1
+.names 27789 27790 10960
+1- 1
+-1 1
+.names 10960 10961
+1 1
+.names 10961 10962
+0 1
+.names 28078 28079 10963
+1- 1
+-1 1
+.names 10963 10964
+1 1
+.names 10964 10965
+0 1
+.names 10970 10973 10966
+11 1
+.names 10966 10967
+1 1
+.names 28142 28143 10968
+1- 1
+-1 1
+.names 10968 10969
+1 1
+.names 10969 10970
+0 1
+.names 27886 27887 10971
+1- 1
+-1 1
+.names 10971 10972
+1 1
+.names 10972 10973
+0 1
+.names 10976 10977 10974
+1- 1
+-1 1
+.names 10974 10975
+1 1
+.names 10959 10976
+0 1
+.names 10967 10977
+0 1
+.names 10982 10985 10978
+11 1
+.names 10978 10979
+1 1
+.names 28062 28063 10980
+1- 1
+-1 1
+.names 10980 10981
+1 1
+.names 10981 10982
+0 1
+.names 27755 27756 10983
+1- 1
+-1 1
+.names 10983 10984
+1 1
+.names 10984 10985
+0 1
+.names 10990 10993 10986
+11 1
+.names 10986 10987
+1 1
+.names 27854 27855 10988
+1- 1
+-1 1
+.names 10988 10989
+1 1
+.names 10989 10990
+0 1
+.names 27705 27706 10991
+1- 1
+-1 1
+.names 10991 10992
+1 1
+.names 10992 10993
+0 1
+.names 10996 10997 10994
+1- 1
+-1 1
+.names 10994 10995
+1 1
+.names 10979 10996
+0 1
+.names 10987 10997
+0 1
+.names 11000 11001 10998
+11 1
+.names 10998 10999
+1 1
+.names 10975 11000
+0 1
+.names 10995 11001
+0 1
+.names 11004 11005 11002
+1- 1
+-1 1
+.names 11002 11003
+1 1
+.names 10955 11004
+0 1
+.names 10999 11005
+0 1
+.names 11010 11013 11006
+11 1
+.names 11006 11007
+1 1
+.names 27918 27919 11008
+1- 1
+-1 1
+.names 11008 11009
+1 1
+.names 11009 11010
+0 1
+.names 28014 28015 11011
+1- 1
+-1 1
+.names 11011 11012
+1 1
+.names 11012 11013
+0 1
+.names 11018 11021 11014
+11 1
+.names 11014 11015
+1 1
+.names 28190 28191 11016
+1- 1
+-1 1
+.names 11016 11017
+1 1
+.names 11017 11018
+0 1
+.names 27966 27967 11019
+1- 1
+-1 1
+.names 11019 11020
+1 1
+.names 11020 11021
+0 1
+.names 11026 11029 11022
+11 1
+.names 11022 11023
+1 1
+.names 27822 27823 11024
+1- 1
+-1 1
+.names 11024 11025
+1 1
+.names 11025 11026
+0 1
+.names 28158 28159 11027
+1- 1
+-1 1
+.names 11027 11028
+1 1
+.names 11028 11029
+0 1
+.names 11034 11037 11030
+11 1
+.names 11030 11031
+1 1
+.names 27998 27999 11032
+1- 1
+-1 1
+.names 11032 11033
+1 1
+.names 11033 11034
+0 1
+.names 27950 27951 11035
+1- 1
+-1 1
+.names 11035 11036
+1 1
+.names 11036 11037
+0 1
+.names 11042 11045 11038
+11 1
+.names 11038 11039
+1 1
+.names 27806 27807 11040
+1- 1
+-1 1
+.names 11040 11041
+1 1
+.names 11041 11042
+0 1
+.names 27982 27983 11043
+1- 1
+-1 1
+.names 11043 11044
+1 1
+.names 11044 11045
+0 1
+.names 11050 11053 11046
+11 1
+.names 11046 11047
+1 1
+.names 28110 28111 11048
+1- 1
+-1 1
+.names 11048 11049
+1 1
+.names 11049 11050
+0 1
+.names 28206 28207 11051
+1- 1
+-1 1
+.names 11051 11052
+1 1
+.names 11052 11053
+0 1
+.names 11003 11054
+0 1
+.names 11060 11063 11055
+1- 1
+-1 1
+.names 11055 11056
+1 1
+.names 11056 11057
+0 1
+.names 11081 11031 11058
+11 1
+.names 11058 11059
+1 1
+.names 11059 11060
+0 1
+.names 11083 11061
+0 1
+.names 11061 11062
+1 1
+.names 11062 11063
+0 1
+.names 11068 11071 11064
+11 1
+.names 11064 11065
+1 1
+.names 28094 28095 11066
+1- 1
+-1 1
+.names 11066 11067
+1 1
+.names 11067 11068
+0 1
+.names 27902 27903 11069
+1- 1
+-1 1
+.names 11069 11070
+1 1
+.names 11070 11071
+0 1
+.names 11076 11079 11072
+11 1
+.names 11072 11073
+1 1
+.names 27722 27723 11074
+1- 1
+-1 1
+.names 11074 11075
+1 1
+.names 11075 11076
+0 1
+.names 28030 28031 11077
+1- 1
+-1 1
+.names 11077 11078
+1 1
+.names 11078 11079
+0 1
+.names 11007 11015 11080
+11 1
+.names 11080 11023 11081
+11 1
+.names 11088 11089 11082
+1- 1
+-1 1
+.names 11082 11083
+1 1
+.names 11039 11084
+0 1
+.names 11047 11085
+0 1
+.names 11084 11085 11086
+1- 1
+-1 1
+.names 11065 11087
+0 1
+.names 11086 11087 11088
+1- 1
+-1 1
+.names 11073 11089
+0 1
+.names 7526 11090
+1 1
+.names 11090 11091
+0 1
+.names 972 11092
+0 1
+.names 7526 11093
+1 1
+.names 11093 11094
+0 1
+.names 8098 11099 11095
+1- 1
+-1 1
+.names 11095 11096
+1 1
+.names 11101 11103 11097
+01 1
+10 1
+.names 11097 11098
+1 1
+.names 11098 11099
+0 1
+.names 29066 29067 11100
+1- 1
+-1 1
+.names 11100 11101
+1 1
+.names 28517 28518 11102
+1- 1
+-1 1
+.names 11102 11103
+1 1
+.names 7567 11104
+1 1
+.names 11104 11105
+0 1
+.names 2590 11106
+0 1
+.names 11110 11107
+0 1
+.names 11107 11108
+1 1
+.names 31616 31619 11109
+11 1
+.names 11109 11110
+1 1
+.names 11114 11111
+0 1
+.names 11111 11112
+1 1
+.names 32108 32109 11113
+11 1
+.names 11113 11114
+1 1
+.names 11118 11115
+0 1
+.names 11115 11116
+1 1
+.names 32108 32109 11117
+1- 1
+-1 1
+.names 11117 11118
+1 1
+.names 11122 11119
+0 1
+.names 11119 11120
+1 1
+.names 31741 31742 11121
+1- 1
+-1 1
+.names 11121 11122
+1 1
+.names 11126 11123
+0 1
+.names 11123 11124
+1 1
+.names 31624 31625 11125
+1- 1
+-1 1
+.names 11125 11126
+1 1
+.names 11130 11127
+0 1
+.names 11127 11128
+1 1
+.names 31405 31406 11129
+1- 1
+-1 1
+.names 11129 11130
+1 1
+.names 11134 11131
+0 1
+.names 11131 11132
+1 1
+.names 30927 30928 11133
+1- 1
+-1 1
+.names 11133 11134
+1 1
+.names 11138 11135
+0 1
+.names 11135 11136
+1 1
+.names 31929 31930 11137
+1- 1
+-1 1
+.names 11137 11138
+1 1
+.names 11142 11139
+0 1
+.names 11139 11140
+1 1
+.names 31504 31505 11141
+1- 1
+-1 1
+.names 11141 11142
+1 1
+.names 11146 11143
+0 1
+.names 11143 11144
+1 1
+.names 31739 31740 11145
+11 1
+.names 11145 11146
+1 1
+.names 11150 11147
+0 1
+.names 11147 11148
+1 1
+.names 31317 31318 11149
+11 1
+.names 11149 11150
+1 1
+.names 11154 11151
+0 1
+.names 11151 11152
+1 1
+.names 31739 31740 11153
+1- 1
+-1 1
+.names 11153 11154
+1 1
+.names 11158 11155
+0 1
+.names 11155 11156
+1 1
+.names 31317 31318 11157
+1- 1
+-1 1
+.names 11157 11158
+1 1
+.names 11162 11159
+0 1
+.names 11159 11160
+1 1
+.names 31159 31160 11161
+1- 1
+-1 1
+.names 11161 11162
+1 1
+.names 11166 11163
+0 1
+.names 11163 11164
+1 1
+.names 31315 31316 11165
+11 1
+.names 11165 11166
+1 1
+.names 11170 11167
+0 1
+.names 11167 11168
+1 1
+.names 31502 31503 11169
+1- 1
+-1 1
+.names 11169 11170
+1 1
+.names 11174 11171
+0 1
+.names 11171 11172
+1 1
+.names 31927 31928 11173
+1- 1
+-1 1
+.names 11173 11174
+1 1
+.names 11178 11175
+0 1
+.names 11175 11176
+1 1
+.names 31374 31375 11177
+11 1
+.names 11177 11178
+1 1
+.names 11182 11179
+0 1
+.names 11179 11180
+1 1
+.names 31924 31925 11181
+11 1
+.names 11181 11182
+1 1
+.names 11186 11183
+0 1
+.names 11183 11184
+1 1
+.names 30929 30930 11185
+11 1
+.names 11185 11186
+1 1
+.names 11190 11187
+0 1
+.names 11187 11188
+1 1
+.names 32110 32111 11189
+1- 1
+-1 1
+.names 11189 11190
+1 1
+.names 11194 11191
+0 1
+.names 11191 11192
+1 1
+.names 31407 31408 11193
+1- 1
+-1 1
+.names 11193 11194
+1 1
+.names 11198 11195
+0 1
+.names 11195 11196
+1 1
+.names 31319 31320 11197
+11 1
+.names 11197 11198
+1 1
+.names 11202 11199
+0 1
+.names 11199 11200
+1 1
+.names 31631 31632 11201
+1- 1
+-1 1
+.names 11201 11202
+1 1
+.names 11206 11203
+0 1
+.names 11203 11204
+1 1
+.names 31931 31932 11205
+1- 1
+-1 1
+.names 11205 11206
+1 1
+.names 11211 11214 11207
+11 1
+.names 11207 11208
+1 1
+.names 11399 11209
+0 1
+.names 11209 11210
+1 1
+.names 11210 11211
+0 1
+.names 11397 11212
+0 1
+.names 11212 11213
+1 1
+.names 11213 11214
+0 1
+.names 30925 30926 11215
+11 1
+.names 11215 11216
+1 1
+.names 11216 11217
+0 1
+.names 11243 11218
+0 1
+.names 11218 11219
+1 1
+.names 11219 11220
+0 1
+.names 11224 11221
+0 1
+.names 11221 11222
+1 1
+.names 31492 31495 11223
+11 1
+.names 11223 11224
+1 1
+.names 4185 11225
+1 1
+.names 11229 11226
+0 1
+.names 11226 11227
+1 1
+.names 31407 31408 11228
+11 1
+.names 11228 11229
+1 1
+.names 11233 11230
+0 1
+.names 11230 11231
+1 1
+.names 31180 11232
+0 1
+.names 11232 11233
+1 1
+.names 11237 11234
+0 1
+.names 11234 11235
+1 1
+.names 31926 30857 11236
+11 1
+.names 11236 11237
+1 1
+.names 11241 11238
+0 1
+.names 11238 11239
+1 1
+.names 31405 31406 11240
+11 1
+.names 11240 11241
+1 1
+.names 31498 31501 11242
+11 1
+.names 11242 11243
+1 1
+.names 11247 11244
+0 1
+.names 11244 11245
+1 1
+.names 31924 31925 11246
+1- 1
+-1 1
+.names 11246 11247
+1 1
+.names 11251 11248
+0 1
+.names 11248 11249
+1 1
+.names 30851 30852 11250
+11 1
+.names 11250 11251
+1 1
+.names 11222 11252
+0 1
+.names 11252 11253
+1 1
+.names 11257 11254
+0 1
+.names 11254 11255
+1 1
+.names 31620 31621 11256
+11 1
+.names 11256 11257
+1 1
+.names 11261 11258
+0 1
+.names 11258 11259
+1 1
+.names 31163 31164 11260
+11 1
+.names 11260 11261
+1 1
+.names 11265 11262
+0 1
+.names 11262 11263
+1 1
+.names 31622 31623 11264
+11 1
+.names 11264 11265
+1 1
+.names 11269 11266
+0 1
+.names 11266 11267
+1 1
+.names 31931 31932 11268
+11 1
+.names 11268 11269
+1 1
+.names 11273 11270
+0 1
+.names 11270 11271
+1 1
+.names 31165 31166 11272
+11 1
+.names 11272 11273
+1 1
+.names 11208 11274
+1 1
+.names 11278 11275
+0 1
+.names 11275 11276
+1 1
+.names 11212 11209 11277
+11 1
+.names 11277 11278
+1 1
+.names 11217 11279
+1 1
+.names 11283 11280
+0 1
+.names 11280 11281
+1 1
+.names 31502 31503 11282
+11 1
+.names 11282 11283
+1 1
+.names 11287 11284
+0 1
+.names 11284 11285
+1 1
+.names 30925 30926 11286
+1- 1
+-1 1
+.names 11286 11287
+1 1
+.names 11291 11288
+0 1
+.names 11288 11289
+1 1
+.names 31315 31316 11290
+1- 1
+-1 1
+.names 11290 11291
+1 1
+.names 11295 11292
+0 1
+.names 11292 11293
+1 1
+.names 31927 31928 11294
+11 1
+.names 11294 11295
+1 1
+.names 11299 11296
+0 1
+.names 11296 11297
+1 1
+.names 31663 31664 11298
+11 1
+.names 11298 11299
+1 1
+.names 11303 11300
+0 1
+.names 11300 11301
+1 1
+.names 31663 31664 11302
+1- 1
+-1 1
+.names 11302 11303
+1 1
+.names 11307 11304
+0 1
+.names 11304 11305
+1 1
+.names 31159 31160 11306
+11 1
+.names 11306 11307
+1 1
+.names 11311 11308
+0 1
+.names 11308 11309
+1 1
+.names 11393 11310
+0 1
+.names 11310 11311
+1 1
+.names 11315 11312
+0 1
+.names 11312 11313
+1 1
+.names 31380 31381 11314
+1- 1
+-1 1
+.names 11314 11315
+1 1
+.names 11319 11316
+0 1
+.names 11316 11317
+1 1
+.names 30851 30852 11318
+1- 1
+-1 1
+.names 11318 11319
+1 1
+.names 11323 11320
+0 1
+.names 11320 11321
+1 1
+.names 31382 31383 11322
+11 1
+.names 11322 11323
+1 1
+.names 11327 11324
+0 1
+.names 11324 11325
+1 1
+.names 31504 31505 11326
+11 1
+.names 11326 11327
+1 1
+.names 11331 11328
+0 1
+.names 11328 11329
+1 1
+.names 31161 31162 11330
+1- 1
+-1 1
+.names 11330 11331
+1 1
+.names 11335 11332
+0 1
+.names 11332 11333
+1 1
+.names 31382 31383 11334
+1- 1
+-1 1
+.names 11334 11335
+1 1
+.names 11339 11336
+0 1
+.names 11336 11337
+1 1
+.names 30927 30928 11338
+11 1
+.names 11338 11339
+1 1
+.names 11343 11340
+0 1
+.names 11340 11341
+1 1
+.names 31620 31621 11342
+1- 1
+-1 1
+.names 11342 11343
+1 1
+.names 11347 11344
+0 1
+.names 11344 11345
+1 1
+.names 31624 31625 11346
+11 1
+.names 11346 11347
+1 1
+.names 11351 11348
+0 1
+.names 11348 11349
+1 1
+.names 31319 31320 11350
+1- 1
+-1 1
+.names 11350 11351
+1 1
+.names 11355 11352
+0 1
+.names 11352 11353
+1 1
+.names 31631 31632 11354
+11 1
+.names 11354 11355
+1 1
+.names 11359 11356
+0 1
+.names 11356 11357
+1 1
+.names 31163 31164 11358
+1- 1
+-1 1
+.names 11358 11359
+1 1
+.names 11363 11360
+0 1
+.names 11360 11361
+1 1
+.names 31622 31623 11362
+1- 1
+-1 1
+.names 11362 11363
+1 1
+.names 11367 11364
+0 1
+.names 11364 11365
+1 1
+.names 31374 31375 11366
+1- 1
+-1 1
+.names 11366 11367
+1 1
+.names 11371 11368
+0 1
+.names 11368 11369
+1 1
+.names 30929 30930 11370
+1- 1
+-1 1
+.names 11370 11371
+1 1
+.names 11375 11372
+0 1
+.names 11372 11373
+1 1
+.names 31165 31166 11374
+1- 1
+-1 1
+.names 11374 11375
+1 1
+.names 11379 11376
+0 1
+.names 11376 11377
+1 1
+.names 32110 32111 11378
+11 1
+.names 11378 11379
+1 1
+.names 11383 11380
+0 1
+.names 11380 11381
+1 1
+.names 31161 31162 11382
+11 1
+.names 11382 11383
+1 1
+.names 11387 11384
+0 1
+.names 11384 11385
+1 1
+.names 31380 31381 11386
+11 1
+.names 11386 11387
+1 1
+.names 11391 11388
+0 1
+.names 11388 11389
+1 1
+.names 31741 31742 11390
+11 1
+.names 11390 11391
+1 1
+.names 11395 11392
+0 1
+.names 11392 11393
+1 1
+.names 31929 31930 11394
+11 1
+.names 11394 11395
+1 1
+.names 30019 30023 11396
+1- 1
+-1 1
+.names 11396 11397
+1 1
+.names 30435 30436 11398
+1- 1
+-1 1
+.names 11398 11399
+1 1
+.names 2413 11406 11400
+11 1
+.names 11400 11401
+1 1
+.names 11401 11402
+0 1
+.names 11426 11403
+0 1
+.names 11403 11404
+1 1
+.names 11404 11405
+0 1
+.names 7619 11406
+0 1
+.names 11406 11407
+1 1
+.names 11407 11408
+0 1
+.names 11417 11418 11409
+11 1
+.names 11409 11410
+1 1
+.names 11410 11411
+0 1
+.names 11408 11411 11412
+1- 1
+-1 1
+.names 2413 11413
+1 1
+.names 11413 11414
+0 1
+.names 11412 11414 11415
+1- 1
+-1 1
+.names 973 11416
+0 1
+.names 974 11417
+0 1
+.names 975 11418
+0 1
+.names 11418 11419
+1 1
+.names 11419 11420
+0 1
+.names 11420 11421
+1 1
+.names 11406 11422
+1 1
+.names 11422 11423
+0 1
+.names 11421 11424
+0 1
+.names 11423 11424 11425
+1- 1
+-1 1
+.names 11417 11426
+1 1
+.names 11425 11403 11427
+1- 1
+-1 1
+.names 7626 11428
+1 1
+.names 11428 11429
+0 1
+.names 977 11430
+0 1
+.names 11437 11441 11431
+1- 1
+-1 1
+.names 11431 11432
+1 1
+.names 7654 11433
+0 1
+.names 12750 12754 11434
+1- 1
+-1 1
+.names 11434 11435
+1 1
+.names 11435 11436
+0 1
+.names 11433 11436 11437
+11 1
+.names 12692 12696 11438
+1- 1
+-1 1
+.names 11438 11439
+1 1
+.names 11439 11440
+0 1
+.names 7654 11440 11441
+11 1
+.names 11447 11451 11442
+1- 1
+-1 1
+.names 11442 11443
+1 1
+.names 12758 12762 11444
+1- 1
+-1 1
+.names 11444 11445
+1 1
+.names 11445 11446
+0 1
+.names 11433 11446 11447
+11 1
+.names 12702 12706 11448
+1- 1
+-1 1
+.names 11448 11449
+1 1
+.names 11449 11450
+0 1
+.names 7654 11450 11451
+11 1
+.names 11457 11461 11452
+1- 1
+-1 1
+.names 11452 11453
+1 1
+.names 12766 12770 11454
+1- 1
+-1 1
+.names 11454 11455
+1 1
+.names 11455 11456
+0 1
+.names 11433 11456 11457
+11 1
+.names 12710 12714 11458
+1- 1
+-1 1
+.names 11458 11459
+1 1
+.names 11459 11460
+0 1
+.names 7654 11460 11461
+11 1
+.names 11467 11471 11462
+1- 1
+-1 1
+.names 11462 11463
+1 1
+.names 12774 12778 11464
+1- 1
+-1 1
+.names 11464 11465
+1 1
+.names 11465 11466
+0 1
+.names 11433 11466 11467
+11 1
+.names 12718 12722 11468
+1- 1
+-1 1
+.names 11468 11469
+1 1
+.names 11469 11470
+0 1
+.names 7654 11470 11471
+11 1
+.names 11477 11481 11472
+1- 1
+-1 1
+.names 11472 11473
+1 1
+.names 12790 12794 11474
+1- 1
+-1 1
+.names 11474 11475
+1 1
+.names 11475 11476
+0 1
+.names 11433 11476 11477
+11 1
+.names 12726 12730 11478
+1- 1
+-1 1
+.names 11478 11479
+1 1
+.names 11479 11480
+0 1
+.names 7654 11480 11481
+11 1
+.names 11487 11488 11482
+1- 1
+-1 1
+.names 11482 11483
+1 1
+.names 12811 12812 11484
+1- 1
+-1 1
+.names 11484 11485
+1 1
+.names 11485 11486
+0 1
+.names 11433 11486 11487
+11 1
+.names 7654 11446 11488
+11 1
+.names 11494 11498 11489
+1- 1
+-1 1
+.names 11489 11490
+1 1
+.names 12960 12964 11491
+1- 1
+-1 1
+.names 11491 11492
+1 1
+.names 11492 11493
+0 1
+.names 11433 11493 11494
+11 1
+.names 12782 12786 11495
+1- 1
+-1 1
+.names 11495 11496
+1 1
+.names 11496 11497
+0 1
+.names 7654 11497 11498
+11 1
+.names 11504 11508 11499
+1- 1
+-1 1
+.names 11499 11500
+1 1
+.names 12851 12852 11501
+1- 1
+-1 1
+.names 11501 11502
+1 1
+.names 11502 11503
+0 1
+.names 11433 11503 11504
+11 1
+.names 12836 12837 11505
+1- 1
+-1 1
+.names 11505 11506
+1 1
+.names 11506 11507
+0 1
+.names 7654 11507 11508
+11 1
+.names 11511 11515 11509
+1- 1
+-1 1
+.names 11509 11510
+1 1
+.names 11433 11450 11511
+11 1
+.names 13020 13024 11512
+1- 1
+-1 1
+.names 11512 11513
+1 1
+.names 11513 11514
+0 1
+.names 7654 11514 11515
+11 1
+.names 11521 11525 11516
+1- 1
+-1 1
+.names 11516 11517
+1 1
+.names 12854 12855 11518
+1- 1
+-1 1
+.names 11518 11519
+1 1
+.names 11519 11520
+0 1
+.names 11433 11520 11521
+11 1
+.names 12952 12953 11522
+1- 1
+-1 1
+.names 11522 11523
+1 1
+.names 11523 11524
+0 1
+.names 7654 11524 11525
+11 1
+.names 11531 11535 11526
+1- 1
+-1 1
+.names 11526 11527
+1 1
+.names 12856 12860 11528
+1- 1
+-1 1
+.names 11528 11529
+1 1
+.names 11529 11530
+0 1
+.names 11433 11530 11531
+11 1
+.names 13040 13041 11532
+1- 1
+-1 1
+.names 11532 11533
+1 1
+.names 11533 11534
+0 1
+.names 7654 11534 11535
+11 1
+.names 11541 11545 11536
+1- 1
+-1 1
+.names 11536 11537
+1 1
+.names 12866 12867 11538
+1- 1
+-1 1
+.names 11538 11539
+1 1
+.names 11539 11540
+0 1
+.names 11433 11540 11541
+11 1
+.names 12936 12937 11542
+1- 1
+-1 1
+.names 11542 11543
+1 1
+.names 11543 11544
+0 1
+.names 7654 11544 11545
+11 1
+.names 11551 11555 11546
+1- 1
+-1 1
+.names 11546 11547
+1 1
+.names 12868 12869 11548
+1- 1
+-1 1
+.names 11548 11549
+1 1
+.names 11549 11550
+0 1
+.names 11433 11550 11551
+11 1
+.names 12978 12979 11552
+1- 1
+-1 1
+.names 11552 11553
+1 1
+.names 11553 11554
+0 1
+.names 7654 11554 11555
+11 1
+.names 11561 11565 11556
+1- 1
+-1 1
+.names 11556 11557
+1 1
+.names 13000 13001 11558
+1- 1
+-1 1
+.names 11558 11559
+1 1
+.names 11559 11560
+0 1
+.names 11433 11560 11561
+11 1
+.names 12974 12975 11562
+1- 1
+-1 1
+.names 11562 11563
+1 1
+.names 11563 11564
+0 1
+.names 7654 11564 11565
+11 1
+.names 11568 11572 11566
+1- 1
+-1 1
+.names 11566 11567
+1 1
+.names 11433 11460 11568
+11 1
+.names 13025 13029 11569
+1- 1
+-1 1
+.names 11569 11570
+1 1
+.names 11570 11571
+0 1
+.names 7654 11571 11572
+11 1
+.names 11578 11582 11573
+1- 1
+-1 1
+.names 11573 11574
+1 1
+.names 12875 12876 11575
+1- 1
+-1 1
+.names 11575 11576
+1 1
+.names 11576 11577
+0 1
+.names 11433 11577 11578
+11 1
+.names 12924 12925 11579
+1- 1
+-1 1
+.names 11579 11580
+1 1
+.names 11580 11581
+0 1
+.names 7654 11581 11582
+11 1
+.names 11588 11589 11583
+1- 1
+-1 1
+.names 11583 11584
+1 1
+.names 12887 12891 11585
+1- 1
+-1 1
+.names 11585 11586
+1 1
+.names 11586 11587
+0 1
+.names 11433 11587 11588
+11 1
+.names 7654 11550 11589
+11 1
+.names 11593 11597 11590
+1- 1
+-1 1
+.names 11590 11591
+1 1
+.names 8113 11592
+0 1
+.names 11433 11592 11593
+11 1
+.names 12944 12945 11594
+1- 1
+-1 1
+.names 11594 11595
+1 1
+.names 11595 11596
+0 1
+.names 7654 11596 11597
+11 1
+.names 11600 11604 11598
+1- 1
+-1 1
+.names 11598 11599
+1 1
+.names 11433 11470 11600
+11 1
+.names 12877 12881 11601
+1- 1
+-1 1
+.names 11601 11602
+1 1
+.names 11602 11603
+0 1
+.names 7654 11603 11604
+11 1
+.names 11608 11609 11605
+1- 1
+-1 1
+.names 11605 11606
+1 1
+.names 8116 11607
+0 1
+.names 11433 11607 11608
+11 1
+.names 7654 11587 11609
+11 1
+.names 11613 11617 11610
+1- 1
+-1 1
+.names 11610 11611
+1 1
+.names 8117 11612
+0 1
+.names 11433 11612 11613
+11 1
+.names 12929 12930 11614
+1- 1
+-1 1
+.names 11614 11615
+1 1
+.names 11615 11616
+0 1
+.names 7654 11616 11617
+11 1
+.names 11620 11624 11618
+1- 1
+-1 1
+.names 11618 11619
+1 1
+.names 11433 11480 11620
+11 1
+.names 12892 12896 11621
+1- 1
+-1 1
+.names 11621 11622
+1 1
+.names 11622 11623
+0 1
+.names 7654 11623 11624
+11 1
+.names 11630 11634 11625
+1- 1
+-1 1
+.names 11625 11626
+1 1
+.names 12734 12738 11627
+1- 1
+-1 1
+.names 11627 11628
+1 1
+.names 11628 11629
+0 1
+.names 11433 11629 11630
+11 1
+.names 12900 12904 11631
+1- 1
+-1 1
+.names 11631 11632
+1 1
+.names 11632 11633
+0 1
+.names 7654 11633 11634
+11 1
+.names 11640 11644 11635
+1- 1
+-1 1
+.names 11635 11636
+1 1
+.names 12742 12746 11637
+1- 1
+-1 1
+.names 11637 11638
+1 1
+.names 11638 11639
+0 1
+.names 11433 11639 11640
+11 1
+.names 12905 12909 11641
+1- 1
+-1 1
+.names 11641 11642
+1 1
+.names 11642 11643
+0 1
+.names 7654 11643 11644
+11 1
+.names 11649 11653 11645
+1- 1
+-1 1
+.names 11645 11646
+1 1
+.names 11433 11647
+1 1
+.names 11647 11648
+0 1
+.names 11648 11520 11649
+11 1
+.names 12934 12935 11650
+1- 1
+-1 1
+.names 11650 11651
+1 1
+.names 11651 11652
+0 1
+.names 11647 11652 11653
+11 1
+.names 11658 11662 11654
+1- 1
+-1 1
+.names 11654 11655
+1 1
+.names 11433 11656
+1 1
+.names 11656 11657
+0 1
+.names 11657 11560 11658
+11 1
+.names 12915 12916 11659
+1- 1
+-1 1
+.names 11659 11660
+1 1
+.names 11660 11661
+0 1
+.names 11656 11661 11662
+11 1
+.names 11670 11671 11663
+1- 1
+-1 1
+.names 11663 11664
+1 1
+.names 11433 11665
+1 1
+.names 11665 11666
+0 1
+.names 12846 12847 11667
+1- 1
+-1 1
+.names 11667 11668
+1 1
+.names 11668 11669
+0 1
+.names 11666 11669 11670
+11 1
+.names 11665 11554 11671
+11 1
+.names 11679 11680 11672
+1- 1
+-1 1
+.names 11672 11673
+1 1
+.names 11433 11674
+1 1
+.names 11674 11675
+0 1
+.names 12841 12842 11676
+1- 1
+-1 1
+.names 11676 11677
+1 1
+.names 11677 11678
+0 1
+.names 11675 11678 11679
+11 1
+.names 11674 11544 11680
+11 1
+.names 11686 11687 11681
+1- 1
+-1 1
+.names 11681 11682
+1 1
+.names 12967 12971 11683
+1- 1
+-1 1
+.names 11683 11684
+1 1
+.names 11684 11685
+0 1
+.names 11657 11685 11686
+11 1
+.names 11656 11564 11687
+11 1
+.names 11612 11688
+1 1
+.names 11691 11695 11689
+1- 1
+-1 1
+.names 11689 11690
+1 1
+.names 11657 11661 11691
+11 1
+.names 11688 11692
+0 1
+.names 11692 11693
+1 1
+.names 11693 11694
+0 1
+.names 11656 11694 11695
+11 1
+.names 11607 11696
+1 1
+.names 11696 11697
+0 1
+.names 11697 11698
+1 1
+.names 11701 11703 11699
+1- 1
+-1 1
+.names 11699 11700
+1 1
+.names 11666 11577 11701
+11 1
+.names 11698 11702
+0 1
+.names 11665 11702 11703
+11 1
+.names 11709 11713 11704
+1- 1
+-1 1
+.names 11704 11705
+1 1
+.names 11818 11706
+0 1
+.names 11706 11707
+1 1
+.names 11707 11708
+0 1
+.names 11708 11534 11709
+11 1
+.names 13017 13018 11710
+1- 1
+-1 1
+.names 11710 11711
+1 1
+.names 11711 11712
+0 1
+.names 11707 11712 11713
+11 1
+.names 11716 11720 11714
+1- 1
+-1 1
+.names 11714 11715
+1 1
+.names 11666 11456 11716
+11 1
+.names 12816 12817 11717
+1- 1
+-1 1
+.names 11717 11718
+1 1
+.names 11718 11719
+0 1
+.names 11665 11719 11720
+11 1
+.names 11725 11729 11721
+1- 1
+-1 1
+.names 11721 11722
+1 1
+.names 11433 11723
+1 1
+.names 11723 11724
+0 1
+.names 11724 11493 11725
+11 1
+.names 12987 12988 11726
+1- 1
+-1 1
+.names 11726 11727
+1 1
+.names 11727 11728
+0 1
+.names 11723 11728 11729
+11 1
+.names 11735 11736 11730
+1- 1
+-1 1
+.names 11730 11731
+1 1
+.names 12798 12802 11732
+1- 1
+-1 1
+.names 11732 11733
+1 1
+.names 11733 11734
+0 1
+.names 11724 11734 11735
+11 1
+.names 11723 11712 11736
+11 1
+.names 11433 11737
+1 1
+.names 11741 11742 11738
+1- 1
+-1 1
+.names 11738 11739
+1 1
+.names 11737 11740
+0 1
+.names 11740 11652 11741
+11 1
+.names 11737 11592 11742
+11 1
+.names 11745 11749 11743
+1- 1
+-1 1
+.names 11743 11744
+1 1
+.names 11433 11633 11745
+11 1
+.names 13030 13034 11746
+1- 1
+-1 1
+.names 11746 11747
+1 1
+.names 11747 11748
+0 1
+.names 7654 11748 11749
+11 1
+.names 11757 11758 11750
+1- 1
+-1 1
+.names 11750 11751
+1 1
+.names 11433 11752
+1 1
+.names 11752 11753
+0 1
+.names 12922 12923 11754
+1- 1
+-1 1
+.names 11754 11755
+1 1
+.names 11755 11756
+0 1
+.names 11753 11756 11757
+11 1
+.names 11752 11607 11758
+11 1
+.names 11761 11762 11759
+1- 1
+-1 1
+.names 11759 11760
+1 1
+.names 11666 11486 11761
+11 1
+.names 11665 11507 11762
+11 1
+.names 11724 11763
+1 1
+.names 11767 11768 11764
+1- 1
+-1 1
+.names 11764 11765
+1 1
+.names 11763 11766
+0 1
+.names 11766 11678 11767
+11 1
+.names 11763 11719 11768
+11 1
+.names 11771 11772 11769
+1- 1
+-1 1
+.names 11769 11770
+1 1
+.names 11657 11503 11771
+11 1
+.names 11656 11581 11772
+11 1
+.names 11775 11779 11773
+1- 1
+-1 1
+.names 11773 11774
+1 1
+.names 11648 11476 11775
+11 1
+.names 12826 12827 11776
+1- 1
+-1 1
+.names 11776 11777
+1 1
+.names 11777 11778
+0 1
+.names 11647 11778 11779
+11 1
+.names 11648 11780
+1 1
+.names 11784 11785 11781
+1- 1
+-1 1
+.names 11781 11782
+1 1
+.names 11780 11783
+0 1
+.names 11783 11734 11784
+11 1
+.names 11780 11639 11785
+11 1
+.names 11793 11797 11786
+1- 1
+-1 1
+.names 11786 11787
+1 1
+.names 11666 11788
+1 1
+.names 11788 11789
+0 1
+.names 12996 12997 11790
+1- 1
+-1 1
+.names 11790 11791
+1 1
+.names 11791 11792
+0 1
+.names 11789 11792 11793
+11 1
+.names 12976 12977 11794
+1- 1
+-1 1
+.names 11794 11795
+1 1
+.names 11795 11796
+0 1
+.names 11788 11796 11797
+11 1
+.names 11740 11798
+1 1
+.names 11798 11799
+0 1
+.names 11799 11800
+1 1
+.names 11804 11805 11801
+1- 1
+-1 1
+.names 11801 11802
+1 1
+.names 11800 11803
+0 1
+.names 11803 11530 11804
+11 1
+.names 11800 11756 11805
+11 1
+.names 11724 11806
+1 1
+.names 11806 11807
+0 1
+.names 11807 11808
+1 1
+.names 11815 11816 11809
+1- 1
+-1 1
+.names 11809 11810
+1 1
+.names 11808 11811
+0 1
+.names 12831 12832 11812
+1- 1
+-1 1
+.names 11812 11813
+1 1
+.names 11813 11814
+0 1
+.names 11811 11814 11815
+11 1
+.names 11808 11524 11816
+11 1
+.names 11706 11817
+1 1
+.names 11433 11818
+1 1
+.names 11822 11823 11819
+1- 1
+-1 1
+.names 11819 11820
+1 1
+.names 11817 11821
+0 1
+.names 11821 11685 11822
+11 1
+.names 11817 11778 11823
+11 1
+.names 11829 11830 11824
+1- 1
+-1 1
+.names 11824 11825
+1 1
+.names 12882 12886 11826
+1- 1
+-1 1
+.names 11826 11827
+1 1
+.names 11827 11828
+0 1
+.names 11648 11828 11829
+11 1
+.names 11647 11612 11830
+11 1
+.names 11833 11837 11831
+1- 1
+-1 1
+.names 11831 11832
+1 1
+.names 11708 11669 11833
+11 1
+.names 12821 12822 11834
+1- 1
+-1 1
+.names 11834 11835
+1 1
+.names 11835 11836
+0 1
+.names 11707 11836 11837
+11 1
+.names 11840 11841 11838
+1- 1
+-1 1
+.names 11838 11839
+1 1
+.names 11753 11466 11840
+11 1
+.names 11752 11836 11841
+11 1
+.names 11844 11848 11842
+1- 1
+-1 1
+.names 11842 11843
+1 1
+.names 11708 11796 11844
+11 1
+.names 12806 12807 11845
+1- 1
+-1 1
+.names 11845 11846
+1 1
+.names 11846 11847
+0 1
+.names 11707 11847 11848
+11 1
+.names 11433 11849
+1 1
+.names 11853 11857 11850
+1- 1
+-1 1
+.names 11850 11851
+1 1
+.names 11849 11852
+0 1
+.names 11852 11629 11853
+11 1
+.names 13035 13036 11854
+1- 1
+-1 1
+.names 11854 11855
+1 1
+.names 11855 11856
+0 1
+.names 11849 11856 11857
+11 1
+.names 11433 11858
+1 1
+.names 11862 11866 11859
+1- 1
+-1 1
+.names 11859 11860
+1 1
+.names 11858 11861
+0 1
+.names 11861 11792 11862
+11 1
+.names 12861 12865 11863
+1- 1
+-1 1
+.names 11863 11864
+1 1
+.names 11864 11865
+0 1
+.names 11858 11865 11866
+11 1
+.names 11869 11873 11867
+1- 1
+-1 1
+.names 11867 11868
+1 1
+.names 11433 11440 11869
+11 1
+.names 12980 12984 11870
+1- 1
+-1 1
+.names 11870 11871
+1 1
+.names 11871 11872
+0 1
+.names 7654 11872 11873
+11 1
+.names 11876 11877 11874
+1- 1
+-1 1
+.names 11874 11875
+1 1
+.names 11657 11436 11876
+11 1
+.names 11656 11847 11877
+11 1
+.names 11657 11878
+1 1
+.names 11878 11879
+0 1
+.names 11879 11880
+1 1
+.names 11884 11885 11881
+1- 1
+-1 1
+.names 11881 11882
+1 1
+.names 11880 11883
+0 1
+.names 11883 11540 11884
+11 1
+.names 11880 11828 11885
+11 1
+.names 11891 11895 11886
+1- 1
+-1 1
+.names 11886 11887
+1 1
+.names 12989 12993 11888
+1- 1
+-1 1
+.names 11888 11889
+1 1
+.names 11889 11890
+0 1
+.names 11724 11890 11891
+11 1
+.names 13012 13013 11892
+1- 1
+-1 1
+.names 11892 11893
+1 1
+.names 11893 11894
+0 1
+.names 11723 11894 11895
+11 1
+.names 11433 11896
+1 1
+.names 11900 11901 11897
+1- 1
+-1 1
+.names 11897 11898
+1 1
+.names 11896 11899
+0 1
+.names 11899 11894 11900
+11 1
+.names 11896 11497 11901
+11 1
+.names 11753 11902
+1 1
+.names 11906 11907 11903
+1- 1
+-1 1
+.names 11903 11904
+1 1
+.names 11902 11905
+0 1
+.names 11905 11596 11906
+11 1
+.names 11902 11865 11907
+11 1
+.names 11910 11911 11908
+1- 1
+-1 1
+.names 11908 11909
+1 1
+.names 11433 11814 11910
+11 1
+.names 7654 11856 11911
+11 1
+.names 11914 11918 11912
+1- 1
+-1 1
+.names 11912 11913
+1 1
+.names 11433 11643 11914
+11 1
+.names 13002 13006 11915
+1- 1
+-1 1
+.names 11915 11916
+1 1
+.names 11916 11917
+0 1
+.names 7654 11917 11918
+11 1
+.names 11675 11919
+1 1
+.names 11919 11920
+0 1
+.names 11920 11921
+1 1
+.names 11928 11929 11922
+1- 1
+-1 1
+.names 11922 11923
+1 1
+.names 11921 11924
+0 1
+.names 12870 12874 11925
+1- 1
+-1 1
+.names 11925 11926
+1 1
+.names 11926 11927
+0 1
+.names 11924 11927 11928
+11 1
+.names 11921 11616 11929
+11 1
+.names 11932 11936 11930
+1- 1
+-1 1
+.names 11930 11931
+1 1
+.names 11708 11927 11932
+11 1
+.names 13042 13043 11933
+1- 1
+-1 1
+.names 11933 11934
+1 1
+.names 11934 11935
+0 1
+.names 11707 11935 11936
+11 1
+.names 11433 11937
+1 1
+.names 11941 11942 11938
+1- 1
+-1 1
+.names 11938 11939
+1 1
+.names 11937 11940
+0 1
+.names 11940 11728 11941
+11 1
+.names 11937 11935 11942
+11 1
+.names 11947 11949 11943
+1- 1
+-1 1
+.names 11943 11944
+1 1
+.names 7649 11945
+0 1
+.names 11567 11946
+0 1
+.names 11945 11946 11947
+11 1
+.names 11744 11948
+0 1
+.names 7649 11948 11949
+11 1
+.names 11953 11955 11950
+1- 1
+-1 1
+.names 11950 11951
+1 1
+.names 11898 11952
+0 1
+.names 11945 11952 11953
+11 1
+.names 11432 11954
+0 1
+.names 7649 11954 11955
+11 1
+.names 11959 11961 11956
+1- 1
+-1 1
+.names 11956 11957
+1 1
+.names 11473 11958
+0 1
+.names 11945 11958 11959
+11 1
+.names 11443 11960
+0 1
+.names 7649 11960 11961
+11 1
+.names 11965 11967 11962
+1- 1
+-1 1
+.names 11962 11963
+1 1
+.names 11851 11964
+0 1
+.names 11945 11964 11965
+11 1
+.names 11453 11966
+0 1
+.names 7649 11966 11967
+11 1
+.names 11971 11972 11968
+1- 1
+-1 1
+.names 11968 11969
+1 1
+.names 11875 11970
+0 1
+.names 11945 11970 11971
+11 1
+.names 7649 11952 11972
+11 1
+.names 11976 11977 11973
+1- 1
+-1 1
+.names 11973 11974
+1 1
+.names 11715 11975
+0 1
+.names 11945 11975 11976
+11 1
+.names 7649 11964 11977
+11 1
+.names 11981 11983 11978
+1- 1
+-1 1
+.names 11978 11979
+1 1
+.names 11839 11980
+0 1
+.names 11945 11980 11981
+11 1
+.names 11782 11982
+0 1
+.names 7649 11982 11983
+11 1
+.names 11987 11988 11984
+1- 1
+-1 1
+.names 11984 11985
+1 1
+.names 11490 11986
+0 1
+.names 11945 11986 11987
+11 1
+.names 7649 11970 11988
+11 1
+.names 11992 11993 11989
+1- 1
+-1 1
+.names 11989 11990
+1 1
+.names 11909 11991
+0 1
+.names 11945 11991 11992
+11 1
+.names 7649 11975 11993
+11 1
+.names 11997 11998 11994
+1- 1
+-1 1
+.names 11994 11995
+1 1
+.names 11731 11996
+0 1
+.names 11945 11996 11997
+11 1
+.names 7649 11980 11998
+11 1
+.names 12002 12004 11999
+1- 1
+-1 1
+.names 11999 12000
+1 1
+.names 11760 12001
+0 1
+.names 11945 12001 12002
+11 1
+.names 11774 12003
+0 1
+.names 7649 12003 12004
+11 1
+.names 12008 12010 12005
+1- 1
+-1 1
+.names 12005 12006
+1 1
+.names 11722 12007
+0 1
+.names 11945 12007 12008
+11 1
+.names 11843 12009
+0 1
+.names 7649 12009 12010
+11 1
+.names 12014 12016 12011
+1- 1
+-1 1
+.names 12011 12012
+1 1
+.names 11810 12013
+0 1
+.names 11945 12013 12014
+11 1
+.names 11765 12015
+0 1
+.names 7649 12015 12016
+11 1
+.names 12020 12022 12017
+1- 1
+-1 1
+.names 12017 12018
+1 1
+.names 11887 12019
+0 1
+.names 11945 12019 12020
+11 1
+.names 11868 12021
+0 1
+.names 7649 12021 12022
+11 1
+.names 12026 12028 12023
+1- 1
+-1 1
+.names 12023 12024
+1 1
+.names 11517 12025
+0 1
+.names 11945 12025 12026
+11 1
+.names 11673 12027
+0 1
+.names 7649 12027 12028
+11 1
+.names 12032 12034 12029
+1- 1
+-1 1
+.names 12029 12030
+1 1
+.names 11619 12031
+0 1
+.names 11945 12031 12032
+11 1
+.names 11510 12033
+0 1
+.names 7649 12033 12034
+11 1
+.names 12038 12039 12035
+1- 1
+-1 1
+.names 12035 12036
+1 1
+.names 11537 12037
+0 1
+.names 11945 12037 12038
+11 1
+.names 7649 12025 12039
+11 1
+.names 12043 12045 12040
+1- 1
+-1 1
+.names 12040 12041
+1 1
+.names 11547 12042
+0 1
+.names 11945 12042 12043
+11 1
+.names 11527 12044
+0 1
+.names 7649 12044 12045
+11 1
+.names 12049 12051 12046
+1- 1
+-1 1
+.names 12046 12047
+1 1
+.names 11904 12048
+0 1
+.names 11945 12048 12049
+11 1
+.names 11931 12050
+0 1
+.names 7649 12050 12051
+11 1
+.names 12055 12056 12052
+1- 1
+-1 1
+.names 12052 12053
+1 1
+.names 11626 12054
+0 1
+.names 11945 12054 12055
+11 1
+.names 7649 11946 12056
+11 1
+.names 12060 12062 12057
+1- 1
+-1 1
+.names 12057 12058
+1 1
+.names 11591 12059
+0 1
+.names 11945 12059 12060
+11 1
+.names 11923 12061
+0 1
+.names 7649 12061 12062
+11 1
+.names 12066 12067 12063
+1- 1
+-1 1
+.names 12063 12064
+1 1
+.names 11611 12065
+0 1
+.names 11945 12065 12066
+11 1
+.names 7649 12059 12067
+11 1
+.names 12071 12073 12068
+1- 1
+-1 1
+.names 12068 12069
+1 1
+.names 11690 12070
+0 1
+.names 11945 12070 12071
+11 1
+.names 11700 12072
+0 1
+.names 7649 12072 12073
+11 1
+.names 12077 12079 12074
+1- 1
+-1 1
+.names 12074 12075
+1 1
+.names 11636 12076
+0 1
+.names 11945 12076 12077
+11 1
+.names 11599 12078
+0 1
+.names 7649 12078 12079
+11 1
+.names 12083 12085 12080
+1- 1
+-1 1
+.names 12080 12081
+1 1
+.names 8112 12082
+0 1
+.names 11945 12082 12083
+11 1
+.names 11825 12084
+0 1
+.names 7649 12084 12085
+11 1
+.names 12088 12090 12086
+1- 1
+-1 1
+.names 12086 12087
+1 1
+.names 11945 12082 12088
+11 1
+.names 11606 12089
+0 1
+.names 7649 12089 12090
+11 1
+.names 12093 12094 12091
+1- 1
+-1 1
+.names 12091 12092
+1 1
+.names 11945 12082 12093
+11 1
+.names 7649 12065 12094
+11 1
+.names 12098 12099 12095
+1- 1
+-1 1
+.names 12095 12096
+1 1
+.names 8120 12097
+0 1
+.names 11945 12097 12098
+11 1
+.names 7649 12070 12099
+11 1
+.names 12102 12103 12100
+1- 1
+-1 1
+.names 12100 12101
+1 1
+.names 11945 11954 12102
+11 1
+.names 7649 12019 12103
+11 1
+.names 12106 12107 12104
+1- 1
+-1 1
+.names 12104 12105
+1 1
+.names 11945 11960 12106
+11 1
+.names 7649 12031 12107
+11 1
+.names 12110 12111 12108
+1- 1
+-1 1
+.names 12108 12109
+1 1
+.names 11945 11966 12110
+11 1
+.names 7649 12054 12111
+11 1
+.names 12115 12116 12112
+1- 1
+-1 1
+.names 12112 12113
+1 1
+.names 11463 12114
+0 1
+.names 11945 12114 12115
+11 1
+.names 7649 12076 12116
+11 1
+.names 12122 12123 12117
+1- 1
+-1 1
+.names 12117 12118
+1 1
+.names 11945 12119
+1 1
+.names 12119 12120
+0 1
+.names 11739 12121
+0 1
+.names 12120 12121 12122
+11 1
+.names 12119 12084 12123
+11 1
+.names 12129 12130 12124
+1- 1
+-1 1
+.names 12124 12125
+1 1
+.names 11945 12126
+1 1
+.names 12126 12127
+0 1
+.names 11751 12128
+0 1
+.names 12127 12128 12129
+11 1
+.names 12126 12089 12130
+11 1
+.names 12136 12137 12131
+1- 1
+-1 1
+.names 12131 12132
+1 1
+.names 11945 12133
+1 1
+.names 12133 12134
+0 1
+.names 11882 12135
+0 1
+.names 12134 12135 12136
+11 1
+.names 12133 12121 12137
+11 1
+.names 12143 12144 12138
+1- 1
+-1 1
+.names 12138 12139
+1 1
+.names 11945 12140
+1 1
+.names 12140 12141
+0 1
+.names 11584 12142
+0 1
+.names 12141 12142 12143
+11 1
+.names 12140 12128 12144
+11 1
+.names 12150 12151 12145
+1- 1
+-1 1
+.names 12145 12146
+1 1
+.names 11945 12147
+1 1
+.names 12147 12148
+0 1
+.names 11646 12149
+0 1
+.names 12148 12149 12150
+11 1
+.names 12147 12135 12151
+11 1
+.names 12157 12158 12152
+1- 1
+-1 1
+.names 12152 12153
+1 1
+.names 11945 12154
+1 1
+.names 12154 12155
+0 1
+.names 11664 12156
+0 1
+.names 12155 12156 12157
+11 1
+.names 12154 12044 12158
+11 1
+.names 12162 12163 12159
+1- 1
+-1 1
+.names 12159 12160
+1 1
+.names 11802 12161
+0 1
+.names 12155 12161 12162
+11 1
+.names 12154 12142 12163
+11 1
+.names 12166 12167 12164
+1- 1
+-1 1
+.names 12164 12165
+1 1
+.names 12155 12037 12166
+11 1
+.names 12154 12149 12167
+11 1
+.names 12171 12172 12168
+1- 1
+-1 1
+.names 12168 12169
+1 1
+.names 11860 12170
+0 1
+.names 12134 12170 12171
+11 1
+.names 12133 12050 12172
+11 1
+.names 12175 12176 12173
+1- 1
+-1 1
+.names 12173 12174
+1 1
+.names 12120 12042 12175
+11 1
+.names 12119 12161 12176
+11 1
+.names 12182 12184 12177
+1- 1
+-1 1
+.names 12177 12178
+1 1
+.names 12277 12179
+0 1
+.names 12179 12180
+1 1
+.names 12180 12181
+0 1
+.names 12181 12072 12182
+11 1
+.names 11655 12183
+0 1
+.names 12180 12183 12184
+11 1
+.names 12189 12190 12185
+1- 1
+-1 1
+.names 12185 12186
+1 1
+.names 12179 12187
+1 1
+.names 12187 12188
+0 1
+.names 12188 12027 12189
+11 1
+.names 12187 12013 12190
+11 1
+.names 12196 12198 12191
+1- 1
+-1 1
+.names 12191 12192
+1 1
+.names 12201 12193
+0 1
+.names 12193 12194
+1 1
+.names 12194 12195
+0 1
+.names 12195 12156 12196
+11 1
+.names 11705 12197
+0 1
+.names 12194 12197 12198
+11 1
+.names 12203 12205 12199
+1- 1
+-1 1
+.names 12199 12200
+1 1
+.names 11945 12201
+1 1
+.names 11500 12202
+0 1
+.names 12193 12202 12203
+11 1
+.names 11682 12204
+0 1
+.names 12201 12204 12205
+11 1
+.names 12209 12211 12206
+1- 1
+-1 1
+.names 12206 12207
+1 1
+.names 11770 12208
+0 1
+.names 12141 12208 12209
+11 1
+.names 11557 12210
+0 1
+.names 12140 12210 12211
+11 1
+.names 12216 12218 12212
+1- 1
+-1 1
+.names 12212 12213
+1 1
+.names 12127 12214
+1 1
+.names 12214 12215
+0 1
+.names 12215 12202 12216
+11 1
+.names 11820 12217
+0 1
+.names 12214 12217 12218
+11 1
+.names 12222 12223 12219
+1- 1
+-1 1
+.names 12219 12220
+1 1
+.names 11574 12221
+0 1
+.names 12155 12221 12222
+11 1
+.names 12154 12183 12223
+11 1
+.names 12226 12227 12224
+1- 1
+-1 1
+.names 12224 12225
+1 1
+.names 12155 12001 12226
+11 1
+.names 12154 12217 12227
+11 1
+.names 12148 12228
+1 1
+.names 12232 12233 12229
+1- 1
+-1 1
+.names 12229 12230
+1 1
+.names 12228 12231
+0 1
+.names 12231 12221 12232
+11 1
+.names 12228 12210 12233
+11 1
+.names 12236 12238 12234
+1- 1
+-1 1
+.names 12234 12235
+1 1
+.names 12120 12007 12236
+11 1
+.names 11787 12237
+0 1
+.names 12119 12237 12238
+11 1
+.names 12241 12242 12239
+1- 1
+-1 1
+.names 12239 12240
+1 1
+.names 12120 12048 12241
+11 1
+.names 12119 12061 12242
+11 1
+.names 12245 12247 12243
+1- 1
+-1 1
+.names 12243 12244
+1 1
+.names 12120 11958 12245
+11 1
+.names 11483 12246
+0 1
+.names 12119 12246 12247
+11 1
+.names 12250 12252 12248
+1- 1
+-1 1
+.names 12248 12249
+1 1
+.names 11945 12078 12250
+11 1
+.names 11913 12251
+0 1
+.names 7649 12251 12252
+11 1
+.names 12257 12259 12253
+1- 1
+-1 1
+.names 12253 12254
+1 1
+.names 12179 12255
+1 1
+.names 12255 12256
+0 1
+.names 12256 12197 12257
+11 1
+.names 11832 12258
+0 1
+.names 12255 12258 12259
+11 1
+.names 12264 12265 12260
+1- 1
+-1 1
+.names 12260 12261
+1 1
+.names 12193 12262
+1 1
+.names 12262 12263
+0 1
+.names 12263 12208 12264
+11 1
+.names 12262 12204 12265
+11 1
+.names 12268 12269 12266
+1- 1
+-1 1
+.names 12266 12267
+1 1
+.names 12215 11982 12268
+11 1
+.names 12214 12114 12269
+11 1
+.names 11945 12270
+1 1
+.names 12274 12275 12271
+1- 1
+-1 1
+.names 12271 12272
+1 1
+.names 12270 12273
+0 1
+.names 12273 12246 12274
+11 1
+.names 12270 12003 12275
+11 1
+.names 12179 12276
+1 1
+.names 11945 12277
+1 1
+.names 12281 12283 12278
+1- 1
+-1 1
+.names 12278 12279
+1 1
+.names 12276 12280
+0 1
+.names 12280 12170 12281
+11 1
+.names 11939 12282
+0 1
+.names 12276 12282 12283
+11 1
+.names 12193 12284
+1 1
+.names 12288 12289 12285
+1- 1
+-1 1
+.names 12285 12286
+1 1
+.names 12284 12287
+0 1
+.names 12287 12258 12288
+11 1
+.names 12284 11996 12289
+11 1
+.names 12294 12295 12290
+1- 1
+-1 1
+.names 12290 12291
+1 1
+.names 11945 12292
+1 1
+.names 12292 12293
+0 1
+.names 12293 12237 12294
+11 1
+.names 12292 12282 12295
+11 1
+.names 12298 12299 12296
+1- 1
+-1 1
+.names 12296 12297
+1 1
+.names 12179 11991 12298
+11 1
+.names 12277 12015 12299
+11 1
+.names 12193 12300
+1 1
+.names 12300 12301
+0 1
+.names 12301 12302
+1 1
+.names 12306 12307 12303
+1- 1
+-1 1
+.names 12303 12304
+1 1
+.names 12302 12305
+0 1
+.names 12305 11986 12306
+11 1
+.names 12302 12009 12307
+11 1
+.names 12312 12314 12308
+1- 1
+-1 1
+.names 12308 12309
+1 1
+.names 7644 12310
+0 1
+.names 12018 12311
+0 1
+.names 12310 12311 12312
+11 1
+.names 11944 12313
+0 1
+.names 7644 12313 12314
+11 1
+.names 12318 12320 12315
+1- 1
+-1 1
+.names 12315 12316
+1 1
+.names 11963 12317
+0 1
+.names 12310 12317 12318
+11 1
+.names 11951 12319
+0 1
+.names 7644 12319 12320
+11 1
+.names 12324 12326 12321
+1- 1
+-1 1
+.names 12321 12322
+1 1
+.names 12267 12323
+0 1
+.names 12310 12323 12324
+11 1
+.names 11957 12325
+0 1
+.names 7644 12325 12326
+11 1
+.names 12330 12331 12327
+1- 1
+-1 1
+.names 12327 12328
+1 1
+.names 12244 12329
+0 1
+.names 12310 12329 12330
+11 1
+.names 7644 12323 12331
+11 1
+.names 12335 12336 12332
+1- 1
+-1 1
+.names 12332 12333
+1 1
+.names 11979 12334
+0 1
+.names 12310 12334 12335
+11 1
+.names 7644 12329 12336
+11 1
+.names 12340 12342 12337
+1- 1
+-1 1
+.names 12337 12338
+1 1
+.names 11985 12339
+0 1
+.names 12310 12339 12340
+11 1
+.names 11974 12341
+0 1
+.names 7644 12341 12342
+11 1
+.names 12346 12347 12343
+1- 1
+-1 1
+.names 12343 12344
+1 1
+.names 12272 12345
+0 1
+.names 12310 12345 12346
+11 1
+.names 7644 12334 12347
+11 1
+.names 12351 12352 12348
+1- 1
+-1 1
+.names 12348 12349
+1 1
+.names 11990 12350
+0 1
+.names 12310 12350 12351
+11 1
+.names 7644 12339 12352
+11 1
+.names 12356 12358 12353
+1- 1
+-1 1
+.names 12353 12354
+1 1
+.names 12030 12355
+0 1
+.names 12310 12355 12356
+11 1
+.names 12249 12357
+0 1
+.names 7644 12357 12358
+11 1
+.names 12362 12364 12359
+1- 1
+-1 1
+.names 12359 12360
+1 1
+.names 12000 12361
+0 1
+.names 12310 12361 12362
+11 1
+.names 11995 12363
+0 1
+.names 7644 12363 12364
+11 1
+.names 12368 12370 12365
+1- 1
+-1 1
+.names 12365 12366
+1 1
+.names 12225 12367
+0 1
+.names 12310 12367 12368
+11 1
+.names 12286 12369
+0 1
+.names 7644 12369 12370
+11 1
+.names 12374 12376 12371
+1- 1
+-1 1
+.names 12371 12372
+1 1
+.names 12012 12373
+0 1
+.names 12310 12373 12374
+11 1
+.names 12006 12375
+0 1
+.names 7644 12375 12376
+11 1
+.names 12380 12381 12377
+1- 1
+-1 1
+.names 12377 12378
+1 1
+.names 12053 12379
+0 1
+.names 12310 12379 12380
+11 1
+.names 7644 12311 12381
+11 1
+.names 12385 12387 12382
+1- 1
+-1 1
+.names 12382 12383
+1 1
+.names 12200 12384
+0 1
+.names 12310 12384 12385
+11 1
+.names 12192 12386
+0 1
+.names 7644 12386 12387
+11 1
+.names 12391 12393 12388
+1- 1
+-1 1
+.names 12388 12389
+1 1
+.names 12024 12390
+0 1
+.names 12310 12390 12391
+11 1
+.names 12291 12392
+0 1
+.names 7644 12392 12393
+11 1
+.names 12397 12399 12394
+1- 1
+-1 1
+.names 12394 12395
+1 1
+.names 12036 12396
+0 1
+.names 12310 12396 12397
+11 1
+.names 12279 12398
+0 1
+.names 7644 12398 12399
+11 1
+.names 12403 12404 12400
+1- 1
+-1 1
+.names 12400 12401
+1 1
+.names 12075 12402
+0 1
+.names 12310 12402 12403
+11 1
+.names 7644 12355 12404
+11 1
+.names 12408 12410 12405
+1- 1
+-1 1
+.names 12405 12406
+1 1
+.names 12047 12407
+0 1
+.names 12310 12407 12408
+11 1
+.names 12165 12409
+0 1
+.names 7644 12409 12410
+11 1
+.names 12414 12416 12411
+1- 1
+-1 1
+.names 12411 12412
+1 1
+.names 12230 12413
+0 1
+.names 12310 12413 12414
+11 1
+.names 12174 12415
+0 1
+.names 7644 12415 12416
+11 1
+.names 12420 12421 12417
+1- 1
+-1 1
+.names 12417 12418
+1 1
+.names 12101 12419
+0 1
+.names 12310 12419 12420
+11 1
+.names 7644 12379 12421
+11 1
+.names 12425 12427 12422
+1- 1
+-1 1
+.names 12422 12423
+1 1
+.names 12058 12424
+0 1
+.names 12310 12424 12425
+11 1
+.names 12132 12426
+0 1
+.names 7644 12426 12427
+11 1
+.names 12431 12433 12428
+1- 1
+-1 1
+.names 12428 12429
+1 1
+.names 12069 12430
+0 1
+.names 12310 12430 12431
+11 1
+.names 12125 12432
+0 1
+.names 7644 12432 12433
+11 1
+.names 12437 12438 12434
+1- 1
+-1 1
+.names 12434 12435
+1 1
+.names 12087 12436
+0 1
+.names 12310 12436 12437
+11 1
+.names 7644 12430 12438
+11 1
+.names 12442 12443 12439
+1- 1
+-1 1
+.names 12439 12440
+1 1
+.names 12105 12441
+0 1
+.names 12310 12441 12442
+11 1
+.names 7644 12402 12443
+11 1
+.names 12447 12448 12444
+1- 1
+-1 1
+.names 12444 12445
+1 1
+.names 12109 12446
+0 1
+.names 12310 12446 12447
+11 1
+.names 7644 12419 12448
+11 1
+.names 12451 12452 12449
+1- 1
+-1 1
+.names 12449 12450
+1 1
+.names 12310 12319 12451
+11 1
+.names 7644 12446 12452
+11 1
+.names 12455 12457 12453
+1- 1
+-1 1
+.names 12453 12454
+1 1
+.names 12310 12325 12455
+11 1
+.names 12113 12456
+0 1
+.names 7644 12456 12457
+11 1
+.names 12310 12458
+1 1
+.names 12463 12465 12459
+1- 1
+-1 1
+.names 12459 12460
+1 1
+.names 12458 12461
+0 1
+.names 12064 12462
+0 1
+.names 12461 12462 12463
+11 1
+.names 12081 12464
+0 1
+.names 12458 12464 12465
+11 1
+.names 12310 12466
+1 1
+.names 12471 12473 12467
+1- 1
+-1 1
+.names 12467 12468
+1 1
+.names 12466 12469
+0 1
+.names 12160 12470
+0 1
+.names 12469 12470 12471
+11 1
+.names 12220 12472
+0 1
+.names 12466 12472 12473
+11 1
+.names 12310 12474
+1 1
+.names 12478 12480 12475
+1- 1
+-1 1
+.names 12475 12476
+1 1
+.names 12474 12477
+0 1
+.names 12477 12436 12478
+11 1
+.names 12096 12479
+0 1
+.names 12474 12479 12480
+11 1
+.names 12310 12481
+1 1
+.names 12485 12487 12482
+1- 1
+-1 1
+.names 12482 12483
+1 1
+.names 12481 12484
+0 1
+.names 12484 12424 12485
+11 1
+.names 12118 12486
+0 1
+.names 12481 12486 12487
+11 1
+.names 12310 12488
+1 1
+.names 12492 12493 12489
+1- 1
+-1 1
+.names 12489 12490
+1 1
+.names 12488 12491
+0 1
+.names 12491 12390 12492
+11 1
+.names 12488 12398 12493
+11 1
+.names 12310 12494
+1 1
+.names 12499 12501 12495
+1- 1
+-1 1
+.names 12495 12496
+1 1
+.names 12494 12497
+0 1
+.names 12041 12498
+0 1
+.names 12497 12498 12499
+11 1
+.names 12207 12500
+0 1
+.names 12494 12500 12501
+11 1
+.names 12310 12502
+1 1
+.names 12507 12509 12503
+1- 1
+-1 1
+.names 12503 12504
+1 1
+.names 12502 12505
+0 1
+.names 12139 12506
+0 1
+.names 12505 12506 12507
+11 1
+.names 12178 12508
+0 1
+.names 12502 12508 12509
+11 1
+.names 12310 12510
+1 1
+.names 12514 12516 12511
+1- 1
+-1 1
+.names 12511 12512
+1 1
+.names 12510 12513
+0 1
+.names 12513 12396 12514
+11 1
+.names 12169 12515
+0 1
+.names 12510 12515 12516
+11 1
+.names 12310 12517
+1 1
+.names 12521 12522 12518
+1- 1
+-1 1
+.names 12518 12519
+1 1
+.names 12517 12520
+0 1
+.names 12520 12361 12521
+11 1
+.names 12517 12369 12522
+11 1
+.names 12527 12528 12523
+1- 1
+-1 1
+.names 12523 12524
+1 1
+.names 12310 12525
+1 1
+.names 12525 12526
+0 1
+.names 12526 12479 12527
+11 1
+.names 12525 11607 12528
+11 1
+.names 12310 12529
+1 1
+.names 12534 12536 12530
+1- 1
+-1 1
+.names 12530 12531
+1 1
+.names 12529 12532
+0 1
+.names 12146 12533
+0 1
+.names 12532 12533 12534
+11 1
+.names 12240 12535
+0 1
+.names 12529 12535 12536
+11 1
+.names 12539 12537
+0 1
+.names 12537 12538
+1 1
+.names 12310 12539
+1 1
+.names 12543 12544 12540
+1- 1
+-1 1
+.names 12540 12541
+1 1
+.names 12538 12542
+0 1
+.names 12542 12432 12543
+11 1
+.names 12538 12508 12544
+11 1
+.names 12548 12550 12545
+1- 1
+-1 1
+.names 12545 12546
+1 1
+.names 12153 12547
+0 1
+.names 12537 12547 12548
+11 1
+.names 12261 12549
+0 1
+.names 12539 12549 12550
+11 1
+.names 12556 12557 12551
+1- 1
+-1 1
+.names 12551 12552
+1 1
+.names 12565 12553
+0 1
+.names 12553 12554
+1 1
+.names 12554 12555
+0 1
+.names 12555 12426 12556
+11 1
+.names 12554 12535 12557
+11 1
+.names 12560 12562 12558
+1- 1
+-1 1
+.names 12558 12559
+1 1
+.names 12537 12367 12560
+11 1
+.names 12254 12561
+0 1
+.names 12539 12561 12562
+11 1
+.names 12566 12568 12563
+1- 1
+-1 1
+.names 12563 12564
+1 1
+.names 12310 12565
+1 1
+.names 12553 12373 12566
+11 1
+.names 12235 12567
+0 1
+.names 12565 12567 12568
+11 1
+.names 12572 12573 12569
+1- 1
+-1 1
+.names 12569 12570
+1 1
+.names 12213 12571
+0 1
+.names 12553 12571 12572
+11 1
+.names 12565 12386 12573
+11 1
+.names 12577 12578 12574
+1- 1
+-1 1
+.names 12574 12575
+1 1
+.names 12297 12576
+0 1
+.names 12537 12576 12577
+11 1
+.names 12539 12375 12578
+11 1
+.names 12581 12582 12579
+1- 1
+-1 1
+.names 12579 12580
+1 1
+.names 12526 12549 12581
+11 1
+.names 12525 12498 12582
+11 1
+.names 12587 12588 12583
+1- 1
+-1 1
+.names 12583 12584
+1 1
+.names 12553 12585
+1 1
+.names 12585 12586
+0 1
+.names 12586 12571 12587
+11 1
+.names 12585 12561 12588
+11 1
+.names 12310 12589
+1 1
+.names 12589 12590
+0 1
+.names 12590 12591
+1 1
+.names 12596 12597 12592
+1- 1
+-1 1
+.names 12592 12593
+1 1
+.names 12591 12594
+0 1
+.names 12186 12595
+0 1
+.names 12594 12595 12596
+11 1
+.names 12591 12567 12597
+11 1
+.names 12310 12598
+1 1
+.names 12598 12599
+0 1
+.names 12599 12600
+1 1
+.names 12604 12605 12601
+1- 1
+-1 1
+.names 12601 12602
+1 1
+.names 12600 12603
+0 1
+.names 12603 12462 12604
+11 1
+.names 12600 12486 12605
+11 1
+.names 12310 12606
+1 1
+.names 12606 12607
+0 1
+.names 12607 12608
+1 1
+.names 12612 12614 12609
+1- 1
+-1 1
+.names 12609 12610
+1 1
+.names 12608 12611
+0 1
+.names 12611 12341 12612
+11 1
+.names 11969 12613
+0 1
+.names 12608 12613 12614
+11 1
+.names 12617 12618 12615
+1- 1
+-1 1
+.names 12615 12616
+1 1
+.names 12520 12384 12617
+11 1
+.names 12517 12547 12618
+11 1
+.names 12621 12622 12619
+1- 1
+-1 1
+.names 12619 12620
+1 1
+.names 12491 12317 12621
+11 1
+.names 12488 12613 12622
+11 1
+.names 12310 12623
+1 1
+.names 12623 12624
+0 1
+.names 12624 12625
+1 1
+.names 12629 12630 12626
+1- 1
+-1 1
+.names 12626 12627
+1 1
+.names 12625 12628
+0 1
+.names 12628 12409 12629
+11 1
+.names 12625 12515 12630
+11 1
+.names 12633 12635 12631
+1- 1
+-1 1
+.names 12631 12632
+1 1
+.names 12513 12350 12633
+11 1
+.names 12304 12634
+0 1
+.names 12510 12634 12635
+11 1
+.names 12638 12639 12636
+1- 1
+-1 1
+.names 12636 12637
+1 1
+.names 12497 12595 12638
+11 1
+.names 12494 12392 12639
+11 1
+.names 12310 12640
+1 1
+.names 12640 12641
+0 1
+.names 12641 12642
+1 1
+.names 12646 12647 12643
+1- 1
+-1 1
+.names 12643 12644
+1 1
+.names 12642 12645
+0 1
+.names 12645 12533 12646
+11 1
+.names 12642 12407 12647
+11 1
+.names 12650 12651 12648
+1- 1
+-1 1
+.names 12648 12649
+1 1
+.names 12477 12441 12650
+11 1
+.names 12474 12456 12651
+11 1
+.names 12654 12655 12652
+1- 1
+-1 1
+.names 12652 12653
+1 1
+.names 12469 12472 12654
+11 1
+.names 12466 12506 12655
+11 1
+.names 12658 12659 12656
+1- 1
+-1 1
+.names 12656 12657
+1 1
+.names 12505 12413 12658
+11 1
+.names 12502 12470 12659
+11 1
+.names 12662 12663 12660
+1- 1
+-1 1
+.names 12660 12661
+1 1
+.names 12461 12500 12662
+11 1
+.names 12458 12415 12663
+11 1
+.names 12667 12668 12664
+1- 1
+-1 1
+.names 12664 12665
+1 1
+.names 12092 12666
+0 1
+.names 12532 12666 12667
+11 1
+.names 12529 11612 12668
+11 1
+.names 12671 12672 12669
+1- 1
+-1 1
+.names 12669 12670
+1 1
+.names 12484 12345 12671
+11 1
+.names 12481 12363 12672
+11 1
+.names 12677 12678 12673
+1- 1
+-1 1
+.names 12673 12674
+1 1
+.names 12553 12675
+1 1
+.names 12675 12676
+0 1
+.names 12676 12666 12677
+11 1
+.names 12675 12464 12678
+11 1
+.names 12310 12679
+1 1
+.names 12679 12680
+0 1
+.names 12680 12681
+1 1
+.names 12685 12686 12682
+1- 1
+-1 1
+.names 12682 12683
+1 1
+.names 12681 12684
+0 1
+.names 12684 12576 12685
+11 1
+.names 12681 12634 12686
+11 1
+.names 8138 12687
+1 1
+.names 12687 12688
+0 1
+.names 14136 14138 12689
+1- 1
+-1 1
+.names 12689 12690
+1 1
+.names 12690 12691
+0 1
+.names 12688 12691 12692
+11 1
+.names 14082 14084 12693
+1- 1
+-1 1
+.names 12693 12694
+1 1
+.names 12694 12695
+0 1
+.names 12687 12695 12696
+11 1
+.names 8138 12697
+1 1
+.names 12697 12698
+0 1
+.names 14140 14142 12699
+1- 1
+-1 1
+.names 12699 12700
+1 1
+.names 12700 12701
+0 1
+.names 12698 12701 12702
+11 1
+.names 14086 14088 12703
+1- 1
+-1 1
+.names 12703 12704
+1 1
+.names 12704 12705
+0 1
+.names 12697 12705 12706
+11 1
+.names 14144 14146 12707
+1- 1
+-1 1
+.names 12707 12708
+1 1
+.names 12708 12709
+0 1
+.names 12698 12709 12710
+11 1
+.names 14090 14092 12711
+1- 1
+-1 1
+.names 12711 12712
+1 1
+.names 12712 12713
+0 1
+.names 12697 12713 12714
+11 1
+.names 14148 14150 12715
+1- 1
+-1 1
+.names 12715 12716
+1 1
+.names 12716 12717
+0 1
+.names 12698 12717 12718
+11 1
+.names 14226 14228 12719
+1- 1
+-1 1
+.names 12719 12720
+1 1
+.names 12720 12721
+0 1
+.names 12697 12721 12722
+11 1
+.names 14156 14158 12723
+1- 1
+-1 1
+.names 12723 12724
+1 1
+.names 12724 12725
+0 1
+.names 12698 12725 12726
+11 1
+.names 14232 14234 12727
+1- 1
+-1 1
+.names 12727 12728
+1 1
+.names 12728 12729
+0 1
+.names 12697 12729 12730
+11 1
+.names 14159 14160 12731
+1- 1
+-1 1
+.names 12731 12732
+1 1
+.names 12732 12733
+0 1
+.names 12688 12733 12734
+11 1
+.names 14094 14096 12735
+1- 1
+-1 1
+.names 12735 12736
+1 1
+.names 12736 12737
+0 1
+.names 12687 12737 12738
+11 1
+.names 14248 14249 12739
+1- 1
+-1 1
+.names 12739 12740
+1 1
+.names 12740 12741
+0 1
+.names 12698 12741 12742
+11 1
+.names 14100 14102 12743
+1- 1
+-1 1
+.names 12743 12744
+1 1
+.names 12744 12745
+0 1
+.names 12697 12745 12746
+11 1
+.names 14161 14163 12747
+1- 1
+-1 1
+.names 12747 12748
+1 1
+.names 12748 12749
+0 1
+.names 12698 12749 12750
+11 1
+.names 14104 14106 12751
+1- 1
+-1 1
+.names 12751 12752
+1 1
+.names 12752 12753
+0 1
+.names 12697 12753 12754
+11 1
+.names 14164 14166 12755
+1- 1
+-1 1
+.names 12755 12756
+1 1
+.names 12756 12757
+0 1
+.names 12688 12757 12758
+11 1
+.names 14108 14110 12759
+1- 1
+-1 1
+.names 12759 12760
+1 1
+.names 12760 12761
+0 1
+.names 12687 12761 12762
+11 1
+.names 14167 14169 12763
+1- 1
+-1 1
+.names 12763 12764
+1 1
+.names 12764 12765
+0 1
+.names 12688 12765 12766
+11 1
+.names 14112 14114 12767
+1- 1
+-1 1
+.names 12767 12768
+1 1
+.names 12768 12769
+0 1
+.names 12687 12769 12770
+11 1
+.names 14211 14212 12771
+1- 1
+-1 1
+.names 12771 12772
+1 1
+.names 12772 12773
+0 1
+.names 12698 12773 12774
+11 1
+.names 14116 14118 12775
+1- 1
+-1 1
+.names 12775 12776
+1 1
+.names 12776 12777
+0 1
+.names 12697 12777 12778
+11 1
+.names 14216 14217 12779
+1- 1
+-1 1
+.names 12779 12780
+1 1
+.names 12780 12781
+0 1
+.names 12688 12781 12782
+11 1
+.names 14120 14122 12783
+1- 1
+-1 1
+.names 12783 12784
+1 1
+.names 12784 12785
+0 1
+.names 12687 12785 12786
+11 1
+.names 14259 14260 12787
+1- 1
+-1 1
+.names 12787 12788
+1 1
+.names 12788 12789
+0 1
+.names 12698 12789 12790
+11 1
+.names 14124 14126 12791
+1- 1
+-1 1
+.names 12791 12792
+1 1
+.names 12792 12793
+0 1
+.names 12697 12793 12794
+11 1
+.names 14170 14172 12795
+1- 1
+-1 1
+.names 12795 12796
+1 1
+.names 12796 12797
+0 1
+.names 12688 12797 12798
+11 1
+.names 14132 14134 12799
+1- 1
+-1 1
+.names 12799 12800
+1 1
+.names 12800 12801
+0 1
+.names 12687 12801 12802
+11 1
+.names 14288 14289 12803
+1- 1
+-1 1
+.names 12803 12804
+1 1
+.names 12804 12805
+0 1
+.names 12688 12805 12806
+11 1
+.names 12687 12691 12807
+11 1
+.names 14277 14278 12808
+1- 1
+-1 1
+.names 12808 12809
+1 1
+.names 12809 12810
+0 1
+.names 12698 12810 12811
+11 1
+.names 12697 12701 12812
+11 1
+.names 14244 14245 12813
+1- 1
+-1 1
+.names 12813 12814
+1 1
+.names 12814 12815
+0 1
+.names 12698 12815 12816
+11 1
+.names 12697 12709 12817
+11 1
+.names 14256 14257 12818
+1- 1
+-1 1
+.names 12818 12819
+1 1
+.names 12819 12820
+0 1
+.names 12698 12820 12821
+11 1
+.names 12697 12717 12822
+11 1
+.names 14176 14178 12823
+1- 1
+-1 1
+.names 12823 12824
+1 1
+.names 12824 12825
+0 1
+.names 12688 12825 12826
+11 1
+.names 12687 12725 12827
+11 1
+.names 14273 14274 12828
+1- 1
+-1 1
+.names 12828 12829
+1 1
+.names 12829 12830
+0 1
+.names 12688 12830 12831
+11 1
+.names 12687 12733 12832
+11 1
+.names 14186 14187 12833
+1- 1
+-1 1
+.names 12833 12834
+1 1
+.names 12834 12835
+0 1
+.names 12688 12835 12836
+11 1
+.names 12687 12757 12837
+11 1
+.names 14292 14293 12838
+1- 1
+-1 1
+.names 12838 12839
+1 1
+.names 12839 12840
+0 1
+.names 12698 12840 12841
+11 1
+.names 12697 12765 12842
+11 1
+.names 14188 14189 12843
+1- 1
+-1 1
+.names 12843 12844
+1 1
+.names 12844 12845
+0 1
+.names 12688 12845 12846
+11 1
+.names 12687 12773 12847
+11 1
+.names 14202 14203 12848
+1- 1
+-1 1
+.names 12848 12849
+1 1
+.names 12849 12850
+0 1
+.names 12698 12850 12851
+11 1
+.names 12697 12810 12852
+11 1
+.names 8111 12853
+0 1
+.names 12688 12853 12854
+11 1
+.names 12687 12830 12855
+11 1
+.names 12698 12853 12856
+11 1
+.names 14179 14180 12857
+1- 1
+-1 1
+.names 12857 12858
+1 1
+.names 12858 12859
+0 1
+.names 12697 12859 12860
+11 1
+.names 12698 12097 12861
+11 1
+.names 14184 14185 12862
+1- 1
+-1 1
+.names 12862 12863
+1 1
+.names 12863 12864
+0 1
+.names 12697 12864 12865
+11 1
+.names 12688 12082 12866
+11 1
+.names 12687 12840 12867
+11 1
+.names 12698 12097 12868
+11 1
+.names 12697 12845 12869
+11 1
+.names 12688 12853 12870
+11 1
+.names 14206 14207 12871
+1- 1
+-1 1
+.names 12871 12872
+1 1
+.names 12872 12873
+0 1
+.names 12687 12873 12874
+11 1
+.names 12698 12097 12875
+11 1
+.names 12697 12850 12876
+11 1
+.names 12698 12777 12877
+11 1
+.names 14264 14266 12878
+1- 1
+-1 1
+.names 12878 12879
+1 1
+.names 12879 12880
+0 1
+.names 12697 12880 12881
+11 1
+.names 12688 12097 12882
+11 1
+.names 14252 14253 12883
+1- 1
+-1 1
+.names 12883 12884
+1 1
+.names 12884 12885
+0 1
+.names 12687 12885 12886
+11 1
+.names 12698 12082 12887
+11 1
+.names 14192 14193 12888
+1- 1
+-1 1
+.names 12888 12889
+1 1
+.names 12889 12890
+0 1
+.names 12697 12890 12891
+11 1
+.names 12698 12793 12892
+11 1
+.names 14283 14285 12893
+1- 1
+-1 1
+.names 12893 12894
+1 1
+.names 12894 12895
+0 1
+.names 12697 12895 12896
+11 1
+.names 14128 14130 12897
+1- 1
+-1 1
+.names 12897 12898
+1 1
+.names 12898 12899
+0 1
+.names 12688 12899 12900
+11 1
+.names 14197 14199 12901
+1- 1
+-1 1
+.names 12901 12902
+1 1
+.names 12902 12903
+0 1
+.names 12687 12903 12904
+11 1
+.names 12698 12801 12905
+11 1
+.names 14297 14298 12906
+1- 1
+-1 1
+.names 12906 12907
+1 1
+.names 12907 12908
+0 1
+.names 12697 12908 12909
+11 1
+.names 12688 12910
+1 1
+.names 12910 12911
+0 1
+.names 14194 14195 12912
+1- 1
+-1 1
+.names 12912 12913
+1 1
+.names 12913 12914
+0 1
+.names 12911 12914 12915
+11 1
+.names 12910 12097 12916
+11 1
+.names 12688 12917
+1 1
+.names 12917 12918
+0 1
+.names 14190 14191 12919
+1- 1
+-1 1
+.names 12919 12920
+1 1
+.names 12920 12921
+0 1
+.names 12918 12921 12922
+11 1
+.names 12917 12082 12923
+11 1
+.names 12918 12835 12924
+11 1
+.names 12917 12853 12925
+11 1
+.names 14240 14241 12926
+1- 1
+-1 1
+.names 12926 12927
+1 1
+.names 12927 12928
+0 1
+.names 12918 12928 12929
+11 1
+.names 12917 12853 12930
+11 1
+.names 14246 14247 12931
+1- 1
+-1 1
+.names 12931 12932
+1 1
+.names 12932 12933
+0 1
+.names 12918 12933 12934
+11 1
+.names 12917 12853 12935
+11 1
+.names 12918 12815 12936
+11 1
+.names 12917 12885 12937
+11 1
+.names 12947 12938
+0 1
+.names 12938 12939
+1 1
+.names 12939 12940
+0 1
+.names 14208 14209 12941
+1- 1
+-1 1
+.names 12941 12942
+1 1
+.names 12942 12943
+0 1
+.names 12940 12943 12944
+11 1
+.names 12939 12853 12945
+11 1
+.names 12938 12946
+1 1
+.names 8138 12947
+1 1
+.names 12946 12948
+0 1
+.names 14281 14282 12949
+1- 1
+-1 1
+.names 12949 12950
+1 1
+.names 12950 12951
+0 1
+.names 12948 12951 12952
+11 1
+.names 12946 12933 12953
+11 1
+.names 12938 12954
+1 1
+.names 12938 12955
+1 1
+.names 12955 12956
+0 1
+.names 14152 14154 12957
+1- 1
+-1 1
+.names 12957 12958
+1 1
+.names 12958 12959
+0 1
+.names 12956 12959 12960
+11 1
+.names 14173 14175 12961
+1- 1
+-1 1
+.names 12961 12962
+1 1
+.names 12962 12963
+0 1
+.names 12955 12963 12964
+11 1
+.names 12698 12965
+1 1
+.names 12965 12966
+0 1
+.names 12966 12789 12967
+11 1
+.names 14269 14270 12968
+1- 1
+-1 1
+.names 12968 12969
+1 1
+.names 12969 12970
+0 1
+.names 12965 12970 12971
+11 1
+.names 12688 12972
+1 1
+.names 12972 12973
+0 1
+.names 12973 12825 12974
+11 1
+.names 12972 12914 12975
+11 1
+.names 12911 12749 12976
+11 1
+.names 12910 12864 12977
+11 1
+.names 12966 12820 12978
+11 1
+.names 12965 12890 12979
+11 1
+.names 12698 12753 12980
+11 1
+.names 14222 14224 12981
+1- 1
+-1 1
+.names 12981 12982
+1 1
+.names 12982 12983
+0 1
+.names 12697 12983 12984
+11 1
+.names 12688 12985
+1 1
+.names 12985 12986
+0 1
+.names 12986 12781 12987
+11 1
+.names 12985 12873 12988
+11 1
+.names 12688 12785 12989
+11 1
+.names 14229 14231 12990
+1- 1
+-1 1
+.names 12990 12991
+1 1
+.names 12991 12992
+0 1
+.names 12687 12992 12993
+11 1
+.names 12940 12994
+1 1
+.names 12994 12995
+0 1
+.names 12995 12943 12996
+11 1
+.names 12994 12805 12997
+11 1
+.names 12918 12998
+1 1
+.names 12998 12999
+0 1
+.names 12999 12082 13000
+11 1
+.names 12998 12970 13001
+11 1
+.names 12688 12745 13002
+11 1
+.names 14219 14221 13003
+1- 1
+-1 1
+.names 13003 13004
+1 1
+.names 13004 13005
+0 1
+.names 12687 13005 13006
+11 1
+.names 12688 13007
+1 1
+.names 13007 13008
+0 1
+.names 14235 14237 13009
+1- 1
+-1 1
+.names 13009 13010
+1 1
+.names 13010 13011
+0 1
+.names 13008 13011 13012
+11 1
+.names 13007 12959 13013
+11 1
+.names 13019 13014
+0 1
+.names 13014 13015
+1 1
+.names 13015 13016
+0 1
+.names 13016 12741 13017
+11 1
+.names 13015 12859 13018
+11 1
+.names 12918 13019
+1 1
+.names 12688 12761 13020
+11 1
+.names 14261 14263 13021
+1- 1
+-1 1
+.names 13021 13022
+1 1
+.names 13022 13023
+0 1
+.names 12687 13023 13024
+11 1
+.names 12688 12769 13025
+11 1
+.names 14181 14183 13026
+1- 1
+-1 1
+.names 13026 13027
+1 1
+.names 13027 13028
+0 1
+.names 12687 13028 13029
+11 1
+.names 12698 12737 13030
+11 1
+.names 14076 14078 13031
+1- 1
+-1 1
+.names 13031 13032
+1 1
+.names 13032 13033
+0 1
+.names 12697 13033 13034
+11 1
+.names 12966 12899 13035
+11 1
+.names 12965 12951 13036
+11 1
+.names 12954 13037
+0 1
+.names 13037 13038
+1 1
+.names 13038 13039
+0 1
+.names 13039 12921 13040
+11 1
+.names 13038 12797 13041
+11 1
+.names 12966 12963 13042
+11 1
+.names 12965 12928 13043
+11 1
+.names 13051 13055 13044
+1- 1
+-1 1
+.names 13044 13045
+1 1
+.names 7975 13046
+1 1
+.names 13046 13047
+0 1
+.names 33695 33696 13048
+1- 1
+-1 1
+.names 13048 13049
+1 1
+.names 13049 13050
+0 1
+.names 13047 13050 13051
+11 1
+.names 32642 32643 13052
+1- 1
+-1 1
+.names 13052 13053
+1 1
+.names 13053 13054
+0 1
+.names 13046 13054 13055
+11 1
+.names 13063 13067 13056
+1- 1
+-1 1
+.names 13056 13057
+1 1
+.names 7975 13058
+1 1
+.names 13058 13059
+0 1
+.names 33710 33711 13060
+1- 1
+-1 1
+.names 13060 13061
+1 1
+.names 13061 13062
+0 1
+.names 13059 13062 13063
+11 1
+.names 32327 32330 13064
+1- 1
+-1 1
+.names 13064 13065
+1 1
+.names 13065 13066
+0 1
+.names 13058 13066 13067
+11 1
+.names 13075 13079 13068
+1- 1
+-1 1
+.names 13068 13069
+1 1
+.names 7975 13070
+1 1
+.names 13070 13071
+0 1
+.names 33817 33818 13072
+1- 1
+-1 1
+.names 13072 13073
+1 1
+.names 13073 13074
+0 1
+.names 13071 13074 13075
+11 1
+.names 32815 32818 13076
+1- 1
+-1 1
+.names 13076 13077
+1 1
+.names 13077 13078
+0 1
+.names 13070 13078 13079
+11 1
+.names 13085 13089 13080
+1- 1
+-1 1
+.names 13080 13081
+1 1
+.names 33604 33605 13082
+1- 1
+-1 1
+.names 13082 13083
+1 1
+.names 13083 13084
+0 1
+.names 13059 13084 13085
+11 1
+.names 33246 33249 13086
+1- 1
+-1 1
+.names 13086 13087
+1 1
+.names 13087 13088
+0 1
+.names 13058 13088 13089
+11 1
+.names 13095 13099 13090
+1- 1
+-1 1
+.names 13090 13091
+1 1
+.names 33449 33450 13092
+1- 1
+-1 1
+.names 13092 13093
+1 1
+.names 13093 13094
+0 1
+.names 13071 13094 13095
+11 1
+.names 32977 32980 13096
+1- 1
+-1 1
+.names 13096 13097
+1 1
+.names 13097 13098
+0 1
+.names 13070 13098 13099
+11 1
+.names 13107 13111 13100
+1- 1
+-1 1
+.names 13100 13101
+1 1
+.names 7975 13102
+1 1
+.names 13102 13103
+0 1
+.names 33589 33590 13104
+1- 1
+-1 1
+.names 13104 13105
+1 1
+.names 13105 13106
+0 1
+.names 13103 13106 13107
+11 1
+.names 32962 32965 13108
+1- 1
+-1 1
+.names 13108 13109
+1 1
+.names 13109 13110
+0 1
+.names 13102 13110 13111
+11 1
+.names 13117 13121 13112
+1- 1
+-1 1
+.names 13112 13113
+1 1
+.names 33482 33483 13114
+1- 1
+-1 1
+.names 13114 13115
+1 1
+.names 13115 13116
+0 1
+.names 13071 13116 13117
+11 1
+.names 33079 33082 13118
+1- 1
+-1 1
+.names 13118 13119
+1 1
+.names 13119 13120
+0 1
+.names 13070 13120 13121
+11 1
+.names 13129 13133 13122
+1- 1
+-1 1
+.names 13122 13123
+1 1
+.names 7975 13124
+1 1
+.names 13124 13125
+0 1
+.names 33832 33833 13126
+1- 1
+-1 1
+.names 13126 13127
+1 1
+.names 13127 13128
+0 1
+.names 13125 13128 13129
+11 1
+.names 32517 32520 13130
+1- 1
+-1 1
+.names 13130 13131
+1 1
+.names 13131 13132
+0 1
+.names 13124 13132 13133
+11 1
+.names 13139 13143 13134
+1- 1
+-1 1
+.names 13134 13135
+1 1
+.names 33680 33681 13136
+1- 1
+-1 1
+.names 13136 13137
+1 1
+.names 13137 13138
+0 1
+.names 13103 13138 13139
+11 1
+.names 32317 32318 13140
+1- 1
+-1 1
+.names 13140 13141
+1 1
+.names 13141 13142
+0 1
+.names 13102 13142 13143
+11 1
+.names 13149 13153 13144
+1- 1
+-1 1
+.names 13144 13145
+1 1
+.names 33401 33402 13146
+1- 1
+-1 1
+.names 13146 13147
+1 1
+.names 13147 13148
+0 1
+.names 13103 13148 13149
+11 1
+.names 32465 32468 13150
+1- 1
+-1 1
+.names 13150 13151
+1 1
+.names 13151 13152
+0 1
+.names 13102 13152 13153
+11 1
+.names 13159 13163 13154
+1- 1
+-1 1
+.names 13154 13155
+1 1
+.names 33619 33620 13156
+1- 1
+-1 1
+.names 13156 13157
+1 1
+.names 13157 13158
+0 1
+.names 13047 13158 13159
+11 1
+.names 32242 32245 13160
+1- 1
+-1 1
+.names 13160 13161
+1 1
+.names 13161 13162
+0 1
+.names 13046 13162 13163
+11 1
+.names 13169 13173 13164
+1- 1
+-1 1
+.names 13164 13165
+1 1
+.names 33559 33560 13166
+1- 1
+-1 1
+.names 13166 13167
+1 1
+.names 13167 13168
+0 1
+.names 13047 13168 13169
+11 1
+.names 32692 32695 13170
+1- 1
+-1 1
+.names 13170 13171
+1 1
+.names 13171 13172
+0 1
+.names 13046 13172 13173
+11 1
+.names 13179 13183 13174
+1- 1
+-1 1
+.names 13174 13175
+1 1
+.names 33528 33529 13176
+1- 1
+-1 1
+.names 13176 13177
+1 1
+.names 13177 13178
+0 1
+.names 13125 13178 13179
+11 1
+.names 32180 32181 13180
+1- 1
+-1 1
+.names 13180 13181
+1 1
+.names 13181 13182
+0 1
+.names 13124 13182 13183
+11 1
+.names 13189 13193 13184
+1- 1
+-1 1
+.names 13184 13185
+1 1
+.names 33756 33757 13186
+1- 1
+-1 1
+.names 13186 13187
+1 1
+.names 13187 13188
+0 1
+.names 13125 13188 13189
+11 1
+.names 32750 32753 13190
+1- 1
+-1 1
+.names 13190 13191
+1 1
+.names 13191 13192
+0 1
+.names 13124 13192 13193
+11 1
+.names 13199 13203 13194
+1- 1
+-1 1
+.names 13194 13195
+1 1
+.names 33771 33772 13196
+1- 1
+-1 1
+.names 13196 13197
+1 1
+.names 13197 13198
+0 1
+.names 13059 13198 13199
+11 1
+.names 33209 33212 13200
+1- 1
+-1 1
+.names 13200 13201
+1 1
+.names 13201 13202
+0 1
+.names 13058 13202 13203
+11 1
+.names 13209 13213 13204
+1- 1
+-1 1
+.names 13204 13205
+1 1
+.names 33725 33726 13206
+1- 1
+-1 1
+.names 13206 13207
+1 1
+.names 13207 13208
+0 1
+.names 13103 13208 13209
+11 1
+.names 33195 33198 13210
+1- 1
+-1 1
+.names 13210 13211
+1 1
+.names 13211 13212
+0 1
+.names 13102 13212 13213
+11 1
+.names 13219 13223 13214
+1- 1
+-1 1
+.names 13214 13215
+1 1
+.names 33848 33849 13216
+1- 1
+-1 1
+.names 13216 13217
+1 1
+.names 13217 13218
+0 1
+.names 13047 13218 13219
+11 1
+.names 32707 32710 13220
+1- 1
+-1 1
+.names 13220 13221
+1 1
+.names 13221 13222
+0 1
+.names 13046 13222 13223
+11 1
+.names 13229 13233 13224
+1- 1
+-1 1
+.names 13224 13225
+1 1
+.names 33467 33468 13226
+1- 1
+-1 1
+.names 13226 13227
+1 1
+.names 13227 13228
+0 1
+.names 13059 13228 13229
+11 1
+.names 32203 32206 13230
+1- 1
+-1 1
+.names 13230 13231
+1 1
+.names 13231 13232
+0 1
+.names 13058 13232 13233
+11 1
+.names 13239 13243 13234
+1- 1
+-1 1
+.names 13234 13235
+1 1
+.names 33634 33635 13236
+1- 1
+-1 1
+.names 13236 13237
+1 1
+.names 13237 13238
+0 1
+.names 13125 13238 13239
+11 1
+.names 32590 32593 13240
+1- 1
+-1 1
+.names 13240 13241
+1 1
+.names 13241 13242
+0 1
+.names 13124 13242 13243
+11 1
+.names 13246 13247 13244
+1- 1
+-1 1
+.names 13244 13245
+1 1
+.names 13125 13242 13246
+11 1
+.names 13124 13238 13247
+11 1
+.names 13250 13251 13248
+1- 1
+-1 1
+.names 13248 13249
+1 1
+.names 13125 13232 13250
+11 1
+.names 13124 13228 13251
+11 1
+.names 13254 13255 13252
+1- 1
+-1 1
+.names 13252 13253
+1 1
+.names 13071 13222 13254
+11 1
+.names 13070 13218 13255
+11 1
+.names 13258 13259 13256
+1- 1
+-1 1
+.names 13256 13257
+1 1
+.names 13103 13212 13258
+11 1
+.names 13102 13208 13259
+11 1
+.names 13262 13263 13260
+1- 1
+-1 1
+.names 13260 13261
+1 1
+.names 13047 13202 13262
+11 1
+.names 13046 13198 13263
+11 1
+.names 13266 13267 13264
+1- 1
+-1 1
+.names 13264 13265
+1 1
+.names 13071 13192 13266
+11 1
+.names 13070 13188 13267
+11 1
+.names 13270 13271 13268
+1- 1
+-1 1
+.names 13268 13269
+1 1
+.names 13103 13182 13270
+11 1
+.names 13102 13178 13271
+11 1
+.names 13274 13275 13272
+1- 1
+-1 1
+.names 13272 13273
+1 1
+.names 13071 13172 13274
+11 1
+.names 13070 13168 13275
+11 1
+.names 13278 13279 13276
+1- 1
+-1 1
+.names 13276 13277
+1 1
+.names 13125 13152 13278
+11 1
+.names 13124 13148 13279
+11 1
+.names 13284 13285 13280
+1- 1
+-1 1
+.names 13280 13281
+1 1
+.names 7975 13282
+1 1
+.names 13282 13283
+0 1
+.names 13283 13142 13284
+11 1
+.names 13282 13138 13285
+11 1
+.names 13288 13289 13286
+1- 1
+-1 1
+.names 13286 13287
+1 1
+.names 13047 13132 13288
+11 1
+.names 13046 13128 13289
+11 1
+.names 13292 13293 13290
+1- 1
+-1 1
+.names 13290 13291
+1 1
+.names 13059 13120 13292
+11 1
+.names 13058 13116 13293
+11 1
+.names 13296 13297 13294
+1- 1
+-1 1
+.names 13294 13295
+1 1
+.names 13059 13110 13296
+11 1
+.names 13058 13106 13297
+11 1
+.names 13300 13301 13298
+1- 1
+-1 1
+.names 13298 13299
+1 1
+.names 13047 13098 13300
+11 1
+.names 13046 13094 13301
+11 1
+.names 13304 13305 13302
+1- 1
+-1 1
+.names 13302 13303
+1 1
+.names 13071 13088 13304
+11 1
+.names 13070 13084 13305
+11 1
+.names 13308 13309 13306
+1- 1
+-1 1
+.names 13306 13307
+1 1
+.names 13103 13078 13308
+11 1
+.names 13102 13074 13309
+11 1
+.names 13312 13313 13310
+1- 1
+-1 1
+.names 13310 13311
+1 1
+.names 13283 13066 13312
+11 1
+.names 13282 13062 13313
+11 1
+.names 13316 13317 13314
+1- 1
+-1 1
+.names 13314 13315
+1 1
+.names 13283 13054 13316
+11 1
+.names 13282 13050 13317
+11 1
+.names 13323 13327 13318
+1- 1
+-1 1
+.names 13318 13319
+1 1
+.names 32403 32406 13320
+1- 1
+-1 1
+.names 13320 13321
+1 1
+.names 13321 13322
+0 1
+.names 13283 13322 13323
+11 1
+.names 33740 33741 13324
+1- 1
+-1 1
+.names 13324 13325
+1 1
+.names 13325 13326
+0 1
+.names 13282 13326 13327
+11 1
+.names 13333 13337 13328
+1- 1
+-1 1
+.names 13328 13329
+1 1
+.names 33314 33315 13330
+1- 1
+-1 1
+.names 13330 13331
+1 1
+.names 13331 13332
+0 1
+.names 13103 13332 13333
+11 1
+.names 33543 33544 13334
+1- 1
+-1 1
+.names 13334 13335
+1 1
+.names 13335 13336
+0 1
+.names 13102 13336 13337
+11 1
+.names 13343 13347 13338
+1- 1
+-1 1
+.names 13338 13339
+1 1
+.names 32900 32903 13340
+1- 1
+-1 1
+.names 13340 13341
+1 1
+.names 13341 13342
+0 1
+.names 13283 13342 13343
+11 1
+.names 33433 33434 13344
+1- 1
+-1 1
+.names 13344 13345
+1 1
+.names 13345 13346
+0 1
+.names 13282 13346 13347
+11 1
+.names 13353 13357 13348
+1- 1
+-1 1
+.names 13348 13349
+1 1
+.names 32130 32133 13350
+1- 1
+-1 1
+.names 13350 13351
+1 1
+.names 13351 13352
+0 1
+.names 13103 13352 13353
+11 1
+.names 33513 33514 13354
+1- 1
+-1 1
+.names 13354 13355
+1 1
+.names 13355 13356
+0 1
+.names 13102 13356 13357
+11 1
+.names 13363 13367 13358
+1- 1
+-1 1
+.names 13358 13359
+1 1
+.names 32830 32833 13360
+1- 1
+-1 1
+.names 13360 13361
+1 1
+.names 13361 13362
+0 1
+.names 13125 13362 13363
+11 1
+.names 33417 33418 13364
+1- 1
+-1 1
+.names 13364 13365
+1 1
+.names 13365 13366
+0 1
+.names 13124 13366 13367
+11 1
+.names 13373 13377 13368
+1- 1
+-1 1
+.names 13368 13369
+1 1
+.names 32556 32559 13370
+1- 1
+-1 1
+.names 13370 13371
+1 1
+.names 13371 13372
+0 1
+.names 13047 13372 13373
+11 1
+.names 33574 33575 13374
+1- 1
+-1 1
+.names 13374 13375
+1 1
+.names 13375 13376
+0 1
+.names 13046 13376 13377
+11 1
+.names 13383 13387 13378
+1- 1
+-1 1
+.names 13378 13379
+1 1
+.names 32481 32484 13380
+1- 1
+-1 1
+.names 13380 13381
+1 1
+.names 13381 13382
+0 1
+.names 13283 13382 13383
+11 1
+.names 33385 33386 13384
+1- 1
+-1 1
+.names 13384 13385
+1 1
+.names 13385 13386
+0 1
+.names 13282 13386 13387
+11 1
+.names 13393 13397 13388
+1- 1
+-1 1
+.names 13388 13389
+1 1
+.names 33094 33097 13390
+1- 1
+-1 1
+.names 13390 13391
+1 1
+.names 13391 13392
+0 1
+.names 13059 13392 13393
+11 1
+.names 33665 33666 13394
+1- 1
+-1 1
+.names 13394 13395
+1 1
+.names 13395 13396
+0 1
+.names 13058 13396 13397
+11 1
+.names 13403 13407 13398
+1- 1
+-1 1
+.names 13398 13399
+1 1
+.names 33136 33139 13400
+1- 1
+-1 1
+.names 13400 13401
+1 1
+.names 13401 13402
+0 1
+.names 13071 13402 13403
+11 1
+.names 33802 33803 13404
+1- 1
+-1 1
+.names 13404 13405
+1 1
+.names 13405 13406
+0 1
+.names 13070 13406 13407
+11 1
+.names 13413 13417 13408
+1- 1
+-1 1
+.names 13408 13409
+1 1
+.names 33016 33019 13410
+1- 1
+-1 1
+.names 13410 13411
+1 1
+.names 13411 13412
+0 1
+.names 13059 13412 13413
+11 1
+.names 33786 33787 13414
+1- 1
+-1 1
+.names 13414 13415
+1 1
+.names 13415 13416
+0 1
+.names 13058 13416 13417
+11 1
+.names 13423 13427 13418
+1- 1
+-1 1
+.names 13418 13419
+1 1
+.names 33323 33326 13420
+1- 1
+-1 1
+.names 13420 13421
+1 1
+.names 13421 13422
+0 1
+.names 13283 13422 13423
+11 1
+.names 33650 33651 13424
+1- 1
+-1 1
+.names 13424 13425
+1 1
+.names 13425 13426
+0 1
+.names 13282 13426 13427
+11 1
+.names 13433 13437 13428
+1- 1
+-1 1
+.names 13428 13429
+1 1
+.names 32365 32368 13430
+1- 1
+-1 1
+.names 13430 13431
+1 1
+.names 13431 13432
+0 1
+.names 13125 13432 13433
+11 1
+.names 33369 33370 13434
+1- 1
+-1 1
+.names 13434 13435
+1 1
+.names 13435 13436
+0 1
+.names 13124 13436 13437
+11 1
+.names 13440 13444 13438
+1- 1
+-1 1
+.names 13438 13439
+1 1
+.names 13283 8097 13440
+11 1
+.names 33498 33499 13441
+1- 1
+-1 1
+.names 13441 13442
+1 1
+.names 13442 13443
+0 1
+.names 13282 13443 13444
+11 1
+.names 13447 13448 13445
+1- 1
+-1 1
+.names 13445 13446
+1 1
+.names 13059 13386 13447
+11 1
+.names 13058 13382 13448
+11 1
+.names 13451 13452 13449
+1- 1
+-1 1
+.names 13449 13450
+1 1
+.names 13047 13346 13451
+11 1
+.names 13046 13342 13452
+11 1
+.names 13455 13456 13453
+1- 1
+-1 1
+.names 13453 13454
+1 1
+.names 13125 13426 13455
+11 1
+.names 13124 13422 13456
+11 1
+.names 13459 13460 13457
+1- 1
+-1 1
+.names 13457 13458
+1 1
+.names 13059 13443 13459
+11 1
+.names 13058 8097 13460
+11 1
+.names 13463 13464 13461
+1- 1
+-1 1
+.names 13461 13462
+1 1
+.names 13059 13336 13463
+11 1
+.names 13058 13332 13464
+11 1
+.names 13467 13468 13465
+1- 1
+-1 1
+.names 13465 13466
+1 1
+.names 13071 13416 13467
+11 1
+.names 13070 13412 13468
+11 1
+.names 13471 13472 13469
+1- 1
+-1 1
+.names 13469 13470
+1 1
+.names 13071 13326 13471
+11 1
+.names 13070 13322 13472
+11 1
+.names 13475 13476 13473
+1- 1
+-1 1
+.names 13473 13474
+1 1
+.names 13047 13436 13475
+11 1
+.names 13046 13432 13476
+11 1
+.names 13479 13480 13477
+1- 1
+-1 1
+.names 13477 13478
+1 1
+.names 13103 13366 13479
+11 1
+.names 13102 13362 13480
+11 1
+.names 13483 13484 13481
+1- 1
+-1 1
+.names 13481 13482
+1 1
+.names 13283 13396 13483
+11 1
+.names 13282 13392 13484
+11 1
+.names 13487 13488 13485
+1- 1
+-1 1
+.names 13485 13486
+1 1
+.names 13103 13162 13487
+11 1
+.names 13102 13158 13488
+11 1
+.names 13491 13492 13489
+1- 1
+-1 1
+.names 13489 13490
+1 1
+.names 13047 13406 13491
+11 1
+.names 13046 13402 13492
+11 1
+.names 13495 13496 13493
+1- 1
+-1 1
+.names 13493 13494
+1 1
+.names 13125 13376 13495
+11 1
+.names 13124 13372 13496
+11 1
+.names 13499 13500 13497
+1- 1
+-1 1
+.names 13497 13498
+1 1
+.names 13071 13356 13499
+11 1
+.names 13070 13352 13500
+11 1
+.names 7976 13501
+0 1
+.names 13840 13842 13502
+1- 1
+-1 1
+.names 13502 13503
+1 1
+.names 13503 13504
+0 1
+.names 13501 13504 13505
+11 1
+.names 13914 13916 13506
+1- 1
+-1 1
+.names 13506 13507
+1 1
+.names 13507 13508
+0 1
+.names 7976 13508 13509
+11 1
+.names 13844 13846 13510
+1- 1
+-1 1
+.names 13510 13511
+1 1
+.names 13511 13512
+0 1
+.names 13501 13512 13513
+11 1
+.names 13935 13937 13514
+1- 1
+-1 1
+.names 13514 13515
+1 1
+.names 13515 13516
+0 1
+.names 7976 13516 13517
+11 1
+.names 14017 14018 13518
+1- 1
+-1 1
+.names 13518 13519
+1 1
+.names 13519 13520
+0 1
+.names 13501 13520 13521
+11 1
+.names 14053 14054 13522
+1- 1
+-1 1
+.names 13522 13523
+1 1
+.names 13523 13524
+0 1
+.names 7976 13524 13525
+11 1
+.names 13854 13856 13526
+1- 1
+-1 1
+.names 13526 13527
+1 1
+.names 13527 13528
+0 1
+.names 13501 13528 13529
+11 1
+.names 13976 13978 13530
+1- 1
+-1 1
+.names 13530 13531
+1 1
+.names 13531 13532
+0 1
+.names 7976 13532 13533
+11 1
+.names 13858 13859 13534
+1- 1
+-1 1
+.names 13534 13535
+1 1
+.names 13535 13536
+0 1
+.names 13501 13536 13537
+11 1
+.names 14055 14056 13538
+1- 1
+-1 1
+.names 13538 13539
+1 1
+.names 13539 13540
+0 1
+.names 7976 13540 13541
+11 1
+.names 13861 13862 13542
+1- 1
+-1 1
+.names 13542 13543
+1 1
+.names 13543 13544
+0 1
+.names 13501 13544 13545
+11 1
+.names 13941 13943 13546
+1- 1
+-1 1
+.names 13546 13547
+1 1
+.names 13547 13548
+0 1
+.names 7976 13548 13549
+11 1
+.names 13867 13868 13550
+1- 1
+-1 1
+.names 13550 13551
+1 1
+.names 13551 13552
+0 1
+.names 13501 13552 13553
+11 1
+.names 13982 13984 13554
+1- 1
+-1 1
+.names 13554 13555
+1 1
+.names 13555 13556
+0 1
+.names 7976 13556 13557
+11 1
+.names 13873 13874 13558
+1- 1
+-1 1
+.names 13558 13559
+1 1
+.names 13559 13560
+0 1
+.names 13501 13560 13561
+11 1
+.names 14067 14068 13562
+1- 1
+-1 1
+.names 13562 13563
+1 1
+.names 13563 13564
+0 1
+.names 7976 13564 13565
+11 1
+.names 14071 14072 13566
+1- 1
+-1 1
+.names 13566 13567
+1 1
+.names 13567 13568
+0 1
+.names 13501 13568 13569
+11 1
+.names 14004 14006 13570
+1- 1
+-1 1
+.names 13570 13571
+1 1
+.names 13571 13572
+0 1
+.names 7976 13572 13573
+11 1
+.names 13879 13881 13574
+1- 1
+-1 1
+.names 13574 13575
+1 1
+.names 13575 13576
+0 1
+.names 13501 13576 13577
+11 1
+.names 14063 14064 13578
+1- 1
+-1 1
+.names 13578 13579
+1 1
+.names 13579 13580
+0 1
+.names 7976 13580 13581
+11 1
+.names 14042 14043 13582
+1- 1
+-1 1
+.names 13582 13583
+1 1
+.names 13583 13584
+0 1
+.names 13501 13584 13585
+11 1
+.names 13952 13954 13586
+1- 1
+-1 1
+.names 13586 13587
+1 1
+.names 13587 13588
+0 1
+.names 7976 13588 13589
+11 1
+.names 13883 13885 13590
+1- 1
+-1 1
+.names 13590 13591
+1 1
+.names 13591 13592
+0 1
+.names 13501 13592 13593
+11 1
+.names 13902 13904 13594
+1- 1
+-1 1
+.names 13594 13595
+1 1
+.names 13595 13596
+0 1
+.names 7976 13596 13597
+11 1
+.names 14030 14031 13598
+1- 1
+-1 1
+.names 13598 13599
+1 1
+.names 13599 13600
+0 1
+.names 13501 13600 13601
+11 1
+.names 13995 13996 13602
+1- 1
+-1 1
+.names 13602 13603
+1 1
+.names 13603 13604
+0 1
+.names 7976 13604 13605
+11 1
+.names 13887 13889 13606
+1- 1
+-1 1
+.names 13606 13607
+1 1
+.names 13607 13608
+0 1
+.names 13501 13608 13609
+11 1
+.names 13898 13900 13610
+1- 1
+-1 1
+.names 13610 13611
+1 1
+.names 13611 13612
+0 1
+.names 7976 13612 13613
+11 1
+.names 14046 14047 13614
+1- 1
+-1 1
+.names 13614 13615
+1 1
+.names 13615 13616
+0 1
+.names 13501 13616 13617
+11 1
+.names 14065 14066 13618
+1- 1
+-1 1
+.names 13618 13619
+1 1
+.names 13619 13620
+0 1
+.names 7976 13620 13621
+11 1
+.names 14014 14016 13622
+1- 1
+-1 1
+.names 13622 13623
+1 1
+.names 13623 13624
+0 1
+.names 13501 13624 13625
+11 1
+.names 13894 13896 13626
+1- 1
+-1 1
+.names 13626 13627
+1 1
+.names 13627 13628
+0 1
+.names 7976 13628 13629
+11 1
+.names 13891 13892 13630
+1- 1
+-1 1
+.names 13630 13631
+1 1
+.names 13631 13632
+0 1
+.names 13501 13632 13633
+11 1
+.names 13970 13972 13634
+1- 1
+-1 1
+.names 13634 13635
+1 1
+.names 13635 13636
+0 1
+.names 7976 13636 13637
+11 1
+.names 14032 14033 13638
+1- 1
+-1 1
+.names 13638 13639
+1 1
+.names 13639 13640
+0 1
+.names 13501 13640 13641
+11 1
+.names 14059 14060 13642
+1- 1
+-1 1
+.names 13642 13643
+1 1
+.names 13643 13644
+0 1
+.names 7976 13644 13645
+11 1
+.names 13906 13907 13646
+1- 1
+-1 1
+.names 13646 13647
+1 1
+.names 13647 13648
+0 1
+.names 13501 13648 13649
+11 1
+.names 14026 14027 13650
+1- 1
+-1 1
+.names 13650 13651
+1 1
+.names 13651 13652
+0 1
+.names 7976 13652 13653
+11 1
+.names 13922 13923 13654
+1- 1
+-1 1
+.names 13654 13655
+1 1
+.names 13655 13656
+0 1
+.names 13501 13656 13657
+11 1
+.names 13958 13960 13658
+1- 1
+-1 1
+.names 13658 13659
+1 1
+.names 13659 13660
+0 1
+.names 7976 13660 13661
+11 1
+.names 13924 13925 13662
+1- 1
+-1 1
+.names 13662 13663
+1 1
+.names 13663 13664
+0 1
+.names 13501 13664 13665
+11 1
+.names 13993 13994 13666
+1- 1
+-1 1
+.names 13666 13667
+1 1
+.names 13667 13668
+0 1
+.names 7976 13668 13669
+11 1
+.names 13501 13670
+1 1
+.names 13670 13671
+0 1
+.names 14038 14039 13672
+1- 1
+-1 1
+.names 13672 13673
+1 1
+.names 13673 13674
+0 1
+.names 13671 13674 13675
+11 1
+.names 14048 14050 13676
+1- 1
+-1 1
+.names 13676 13677
+1 1
+.names 13677 13678
+0 1
+.names 13670 13678 13679
+11 1
+.names 13912 13913 13680
+1- 1
+-1 1
+.names 13680 13681
+1 1
+.names 13681 13682
+0 1
+.names 13671 13682 13683
+11 1
+.names 14001 14002 13684
+1- 1
+-1 1
+.names 13684 13685
+1 1
+.names 13685 13686
+0 1
+.names 13670 13686 13687
+11 1
+.names 13671 13528 13688
+11 1
+.names 13670 13532 13689
+11 1
+.names 13671 13544 13690
+11 1
+.names 13670 13548 13691
+11 1
+.names 13918 13920 13692
+1- 1
+-1 1
+.names 13692 13693
+1 1
+.names 13693 13694
+0 1
+.names 13671 13694 13695
+11 1
+.names 14034 14035 13696
+1- 1
+-1 1
+.names 13696 13697
+1 1
+.names 13697 13698
+0 1
+.names 13670 13698 13699
+11 1
+.names 13671 13552 13700
+11 1
+.names 13670 13556 13701
+11 1
+.names 13671 13656 13702
+11 1
+.names 13670 13660 13703
+11 1
+.names 13864 13865 13704
+1- 1
+-1 1
+.names 13704 13705
+1 1
+.names 13705 13706
+0 1
+.names 13671 13706 13707
+11 1
+.names 14022 14023 13708
+1- 1
+-1 1
+.names 13708 13709
+1 1
+.names 13709 13710
+0 1
+.names 13670 13710 13711
+11 1
+.names 13671 13664 13712
+11 1
+.names 13670 13668 13713
+11 1
+.names 13671 13536 13714
+11 1
+.names 13670 13540 13715
+11 1
+.names 13671 13512 13716
+11 1
+.names 13670 13516 13717
+11 1
+.names 13671 13648 13718
+11 1
+.names 13670 13652 13719
+11 1
+.names 13671 13698 13720
+11 1
+.names 13670 13694 13721
+11 1
+.names 13671 13686 13722
+11 1
+.names 13670 13682 13723
+11 1
+.names 13947 13949 13724
+1- 1
+-1 1
+.names 13724 13725
+1 1
+.names 13725 13726
+0 1
+.names 13671 13726 13727
+11 1
+.names 14057 14058 13728
+1- 1
+-1 1
+.names 13728 13729
+1 1
+.names 13729 13730
+0 1
+.names 13670 13730 13731
+11 1
+.names 14069 14070 13732
+1- 1
+-1 1
+.names 13732 13733
+1 1
+.names 13733 13734
+0 1
+.names 13671 13734 13735
+11 1
+.names 13848 13849 13736
+1- 1
+-1 1
+.names 13736 13737
+1 1
+.names 13737 13738
+0 1
+.names 13670 13738 13739
+11 1
+.names 13671 13504 13740
+11 1
+.names 13670 13508 13741
+11 1
+.names 13909 13910 13742
+1- 1
+-1 1
+.names 13742 13743
+1 1
+.names 13743 13744
+0 1
+.names 13671 13744 13745
+11 1
+.names 13964 13966 13746
+1- 1
+-1 1
+.names 13746 13747
+1 1
+.names 13747 13748
+0 1
+.names 13670 13748 13749
+11 1
+.names 13929 13931 13750
+1- 1
+-1 1
+.names 13750 13751
+1 1
+.names 13751 13752
+0 1
+.names 13671 13752 13753
+11 1
+.names 13851 13852 13754
+1- 1
+-1 1
+.names 13754 13755
+1 1
+.names 13755 13756
+0 1
+.names 13670 13756 13757
+11 1
+.names 13671 13748 13758
+11 1
+.names 13670 13744 13759
+11 1
+.names 13671 13560 13760
+11 1
+.names 13670 13564 13761
+11 1
+.names 13671 13632 13762
+11 1
+.names 13670 13636 13763
+11 1
+.names 14010 14012 13764
+1- 1
+-1 1
+.names 13764 13765
+1 1
+.names 13765 13766
+0 1
+.names 13671 13766 13767
+11 1
+.names 14020 14021 13768
+1- 1
+-1 1
+.names 13768 13769
+1 1
+.names 13769 13770
+0 1
+.names 13670 13770 13771
+11 1
+.names 13671 13678 13772
+11 1
+.names 13670 13674 13773
+11 1
+.names 13988 13990 13774
+1- 1
+-1 1
+.names 13774 13775
+1 1
+.names 13775 13776
+0 1
+.names 13671 13776 13777
+11 1
+.names 13876 13877 13778
+1- 1
+-1 1
+.names 13778 13779
+1 1
+.names 13779 13780
+0 1
+.names 13670 13780 13781
+11 1
+.names 13671 13592 13782
+11 1
+.names 13670 13596 13783
+11 1
+.names 14024 14025 13784
+1- 1
+-1 1
+.names 13784 13785
+1 1
+.names 13785 13786
+0 1
+.names 13671 13786 13787
+11 1
+.names 13870 13871 13788
+1- 1
+-1 1
+.names 13788 13789
+1 1
+.names 13789 13790
+0 1
+.names 13670 13790 13791
+11 1
+.names 13671 13792
+1 1
+.names 13792 13793
+0 1
+.names 13793 13766 13794
+11 1
+.names 13792 13770 13795
+11 1
+.names 13671 13640 13796
+11 1
+.names 13670 13644 13797
+11 1
+.names 13671 13798
+1 1
+.names 13798 13799
+0 1
+.names 13799 13726 13800
+11 1
+.names 13798 13730 13801
+11 1
+.names 13671 13802
+1 1
+.names 13802 13803
+0 1
+.names 13803 13734 13804
+11 1
+.names 13802 13738 13805
+11 1
+.names 13671 13710 13806
+11 1
+.names 13670 13706 13807
+11 1
+.names 13671 13808
+1 1
+.names 13808 13809
+0 1
+.names 13809 13752 13810
+11 1
+.names 13808 13756 13811
+11 1
+.names 13671 13812
+1 1
+.names 13812 13813
+0 1
+.names 13813 13786 13814
+11 1
+.names 13812 13790 13815
+11 1
+.names 13671 13816
+1 1
+.names 13816 13817
+0 1
+.names 13817 13776 13818
+11 1
+.names 13816 13780 13819
+11 1
+.names 13671 13576 13820
+11 1
+.names 13670 13580 13821
+11 1
+.names 13671 13608 13822
+11 1
+.names 13670 13612 13823
+11 1
+.names 13671 13600 13824
+11 1
+.names 13670 13604 13825
+11 1
+.names 13671 13616 13826
+11 1
+.names 13670 13620 13827
+11 1
+.names 13671 13584 13828
+11 1
+.names 13670 13588 13829
+11 1
+.names 13671 13624 13830
+11 1
+.names 13670 13628 13831
+11 1
+.names 13501 13572 13832
+11 1
+.names 7976 13568 13833
+11 1
+.names 13671 13834
+1 1
+.names 13834 13835
+0 1
+.names 13835 13524 13836
+11 1
+.names 13834 13520 13837
+11 1
+.names 7639 13838
+0 1
+.names 12354 13839
+0 1
+.names 13838 13839 13840
+11 1
+.names 12309 13841
+0 1
+.names 7639 13841 13842
+11 1
+.names 12322 13843
+0 1
+.names 13838 13843 13844
+11 1
+.names 12316 13845
+0 1
+.names 7639 13845 13846
+11 1
+.names 12620 13847
+0 1
+.names 13838 13847 13848
+11 1
+.names 7639 13843 13849
+11 1
+.names 12328 13850
+0 1
+.names 13838 13850 13851
+11 1
+.names 7639 13847 13852
+11 1
+.names 12333 13853
+0 1
+.names 13838 13853 13854
+11 1
+.names 12610 13855
+0 1
+.names 7639 13855 13856
+11 1
+.names 12338 13857
+0 1
+.names 13838 13857 13858
+11 1
+.names 7639 13853 13859
+11 1
+.names 12344 13860
+0 1
+.names 13838 13860 13861
+11 1
+.names 7639 13857 13862
+11 1
+.names 12349 13863
+0 1
+.names 13838 13863 13864
+11 1
+.names 7639 13860 13865
+11 1
+.names 12670 13866
+0 1
+.names 13838 13866 13867
+11 1
+.names 7639 13863 13868
+11 1
+.names 12632 13869
+0 1
+.names 13838 13869 13870
+11 1
+.names 7639 13866 13871
+11 1
+.names 12378 13872
+0 1
+.names 13838 13872 13873
+11 1
+.names 7639 13839 13874
+11 1
+.names 12360 13875
+0 1
+.names 13838 13875 13876
+11 1
+.names 7639 13869 13877
+11 1
+.names 12575 13878
+0 1
+.names 13838 13878 13879
+11 1
+.names 12519 13880
+0 1
+.names 7639 13880 13881
+11 1
+.names 12372 13882
+0 1
+.names 13838 13882 13883
+11 1
+.names 12366 13884
+0 1
+.names 7639 13884 13885
+11 1
+.names 12564 13886
+0 1
+.names 13838 13886 13887
+11 1
+.names 12559 13888
+0 1
+.names 7639 13888 13889
+11 1
+.names 12401 13890
+0 1
+.names 13838 13890 13891
+11 1
+.names 7639 13872 13892
+11 1
+.names 12616 13893
+0 1
+.names 13838 13893 13894
+11 1
+.names 12389 13895
+0 1
+.names 7639 13895 13896
+11 1
+.names 12546 13897
+0 1
+.names 13838 13897 13898
+11 1
+.names 12490 13899
+0 1
+.names 7639 13899 13900
+11 1
+.names 12580 13901
+0 1
+.names 13838 13901 13902
+11 1
+.names 12395 13903
+0 1
+.names 7639 13903 13904
+11 1
+.names 12418 13905
+0 1
+.names 13838 13905 13906
+11 1
+.names 7639 13890 13907
+11 1
+.names 12440 13908
+0 1
+.names 13838 13908 13909
+11 1
+.names 7639 13905 13910
+11 1
+.names 12445 13911
+0 1
+.names 13838 13911 13912
+11 1
+.names 7639 13908 13913
+11 1
+.names 13838 12097 13914
+11 1
+.names 12524 13915
+0 1
+.names 7639 13915 13916
+11 1
+.names 12450 13917
+0 1
+.names 13838 13917 13918
+11 1
+.names 12649 13919
+0 1
+.names 7639 13919 13920
+11 1
+.names 12454 13921
+0 1
+.names 13838 13921 13922
+11 1
+.names 7639 13917 13923
+11 1
+.names 13838 13845 13924
+11 1
+.names 7639 13921 13925
+11 1
+.names 13838 13926
+1 1
+.names 13926 13927
+0 1
+.names 12653 13928
+0 1
+.names 13927 13928 13929
+11 1
+.names 12423 13930
+0 1
+.names 13926 13930 13931
+11 1
+.names 13838 13932
+1 1
+.names 13932 13933
+0 1
+.names 12504 13934
+0 1
+.names 13933 13934 13935
+11 1
+.names 12483 13936
+0 1
+.names 13932 13936 13937
+11 1
+.names 13838 13938
+1 1
+.names 13938 13939
+0 1
+.names 12657 13940
+0 1
+.names 13939 13940 13941
+11 1
+.names 12531 13942
+0 1
+.names 13938 13942 13943
+11 1
+.names 13838 13944
+1 1
+.names 13944 13945
+0 1
+.names 12429 13946
+0 1
+.names 13945 13946 13947
+11 1
+.names 12460 13948
+0 1
+.names 13944 13948 13949
+11 1
+.names 13838 13950
+1 1
+.names 13950 13951
+0 1
+.names 13951 13901 13952
+11 1
+.names 12512 13953
+0 1
+.names 13950 13953 13954
+11 1
+.names 13838 13955
+1 1
+.names 13955 13956
+0 1
+.names 12541 13957
+0 1
+.names 13956 13957 13958
+11 1
+.names 12602 13959
+0 1
+.names 13955 13959 13960
+11 1
+.names 13838 13961
+1 1
+.names 13961 13962
+0 1
+.names 12435 13963
+0 1
+.names 13962 13963 13964
+11 1
+.names 12674 13965
+0 1
+.names 13961 13965 13966
+11 1
+.names 13838 13967
+1 1
+.names 13967 13968
+0 1
+.names 12476 13969
+0 1
+.names 13968 13969 13970
+11 1
+.names 12665 13971
+0 1
+.names 13967 13971 13972
+11 1
+.names 13838 13973
+1 1
+.names 13973 13974
+0 1
+.names 12468 13975
+0 1
+.names 13974 13975 13976
+11 1
+.names 12552 13977
+0 1
+.names 13973 13977 13978
+11 1
+.names 13838 13979
+1 1
+.names 13979 13980
+0 1
+.names 12412 13981
+0 1
+.names 13980 13981 13982
+11 1
+.names 12644 13983
+0 1
+.names 13979 13983 13984
+11 1
+.names 13838 13985
+1 1
+.names 13985 13986
+0 1
+.names 12661 13987
+0 1
+.names 13986 13987 13988
+11 1
+.names 12406 13989
+0 1
+.names 13985 13989 13990
+11 1
+.names 13939 13991
+1 1
+.names 13991 13992
+0 1
+.names 13992 13957 13993
+11 1
+.names 13991 13936 13994
+11 1
+.names 13962 13897 13995
+11 1
+.names 13961 13903 13996
+11 1
+.names 13999 13997
+0 1
+.names 13997 13998
+1 1
+.names 13838 13999
+1 1
+.names 13998 14000
+0 1
+.names 14000 13963 14001
+11 1
+.names 13998 13948 14002
+11 1
+.names 12496 14003
+0 1
+.names 13997 14003 14004
+11 1
+.names 12627 14005
+0 1
+.names 13999 14005 14006
+11 1
+.names 13838 14007
+1 1
+.names 14007 14008
+0 1
+.names 12637 14009
+0 1
+.names 14008 14009 14010
+11 1
+.names 12383 14011
+0 1
+.names 14007 14011 14012
+11 1
+.names 12584 14013
+0 1
+.names 13956 14013 14014
+11 1
+.names 12593 14015
+0 1
+.names 13955 14015 14016
+11 1
+.names 14008 13850 14017
+11 1
+.names 14007 13855 14018
+11 1
+.names 12570 14019
+0 1
+.names 13986 14019 14020
+11 1
+.names 13985 14009 14021
+11 1
+.names 14000 13940 14022
+11 1
+.names 13998 13983 14023
+11 1
+.names 13992 13981 14024
+11 1
+.names 13991 13989 14025
+11 1
+.names 13992 13969 14026
+11 1
+.names 13991 13965 14027
+11 1
+.names 13997 14028
+1 1
+.names 14028 14029
+0 1
+.names 14029 13888 14030
+11 1
+.names 14028 13882 14031
+11 1
+.names 13945 14015 14032
+11 1
+.names 13944 14019 14033
+11 1
+.names 13927 13959 14034
+11 1
+.names 13926 13946 14035
+11 1
+.names 13974 14036
+1 1
+.names 14036 14037
+0 1
+.names 14037 13987 14038
+11 1
+.names 14036 14005 14039
+11 1
+.names 13951 14040
+1 1
+.names 14040 14041
+0 1
+.names 14041 13884 14042
+11 1
+.names 14040 13878 14043
+11 1
+.names 14008 14044
+1 1
+.names 14044 14045
+0 1
+.names 14045 14013 14046
+11 1
+.names 14044 13886 14047
+11 1
+.names 13945 13875 14048
+11 1
+.names 12683 14049
+0 1
+.names 13944 14049 14050
+11 1
+.names 14008 14051
+1 1
+.names 14051 14052
+0 1
+.names 14052 13928 14053
+11 1
+.names 14051 13977 14054
+11 1
+.names 13992 13975 14055
+11 1
+.names 13991 13942 14056
+11 1
+.names 13933 13911 14057
+11 1
+.names 13932 13919 14058
+11 1
+.names 13997 14011 14059
+11 1
+.names 13999 13895 14060
+11 1
+.names 13968 14061
+1 1
+.names 14061 14062
+0 1
+.names 14062 14003 14063
+11 1
+.names 14061 13953 14064
+11 1
+.names 13980 13893 14065
+11 1
+.names 13979 13899 14066
+11 1
+.names 14029 13915 14067
+11 1
+.names 14028 13971 14068
+11 1
+.names 14029 13934 14069
+11 1
+.names 14028 13930 14070
+11 1
+.names 14029 13880 14071
+11 1
+.names 14028 14049 14072
+11 1
+.names 7659 14073
+1 1
+.names 14073 14074
+0 1
+.names 13245 14075
+0 1
+.names 14074 14075 14076
+11 1
+.names 13458 14077
+0 1
+.names 14073 14077 14078
+11 1
+.names 7659 14079
+1 1
+.names 14079 14080
+0 1
+.names 13281 14081
+0 1
+.names 14080 14081 14082
+11 1
+.names 13450 14083
+0 1
+.names 14079 14083 14084
+11 1
+.names 13287 14085
+0 1
+.names 14074 14085 14086
+11 1
+.names 13462 14087
+0 1
+.names 14073 14087 14088
+11 1
+.names 13291 14089
+0 1
+.names 14080 14089 14090
+11 1
+.names 13470 14091
+0 1
+.names 14079 14091 14092
+11 1
+.names 13307 14093
+0 1
+.names 14074 14093 14094
+11 1
+.names 13081 14095
+0 1
+.names 14073 14095 14096
+11 1
+.names 7659 14097
+1 1
+.names 14097 14098
+0 1
+.names 13311 14099
+0 1
+.names 14098 14099 14100
+11 1
+.names 13091 14101
+0 1
+.names 14097 14101 14102
+11 1
+.names 13315 14103
+0 1
+.names 14080 14103 14104
+11 1
+.names 13101 14105
+0 1
+.names 14079 14105 14106
+11 1
+.names 13319 14107
+0 1
+.names 14098 14107 14108
+11 1
+.names 13113 14109
+0 1
+.names 14097 14109 14110
+11 1
+.names 13329 14111
+0 1
+.names 14098 14111 14112
+11 1
+.names 13123 14113
+0 1
+.names 14097 14113 14114
+11 1
+.names 13339 14115
+0 1
+.names 14074 14115 14116
+11 1
+.names 13135 14117
+0 1
+.names 14073 14117 14118
+11 1
+.names 13349 14119
+0 1
+.names 14098 14119 14120
+11 1
+.names 13145 14121
+0 1
+.names 14097 14121 14122
+11 1
+.names 13359 14123
+0 1
+.names 14080 14123 14124
+11 1
+.names 13155 14125
+0 1
+.names 14079 14125 14126
+11 1
+.names 13369 14127
+0 1
+.names 14098 14127 14128
+11 1
+.names 13165 14129
+0 1
+.names 14097 14129 14130
+11 1
+.names 13379 14131
+0 1
+.names 14080 14131 14132
+11 1
+.names 13175 14133
+0 1
+.names 14079 14133 14134
+11 1
+.names 13389 14135
+0 1
+.names 14098 14135 14136
+11 1
+.names 13185 14137
+0 1
+.names 14097 14137 14138
+11 1
+.names 13399 14139
+0 1
+.names 14098 14139 14140
+11 1
+.names 13195 14141
+0 1
+.names 14097 14141 14142
+11 1
+.names 13409 14143
+0 1
+.names 14074 14143 14144
+11 1
+.names 13205 14145
+0 1
+.names 14073 14145 14146
+11 1
+.names 13419 14147
+0 1
+.names 14098 14147 14148
+11 1
+.names 13215 14149
+0 1
+.names 14097 14149 14150
+11 1
+.names 13429 14151
+0 1
+.names 14074 14151 14152
+11 1
+.names 13225 14153
+0 1
+.names 14073 14153 14154
+11 1
+.names 13439 14155
+0 1
+.names 14098 14155 14156
+11 1
+.names 13235 14157
+0 1
+.names 14097 14157 14158
+11 1
+.names 14080 11592 14159
+11 1
+.names 14079 14075 14160
+11 1
+.names 14080 11607 14161
+11 1
+.names 13253 14162
+0 1
+.names 14079 14162 14163
+11 1
+.names 14080 11607 14164
+11 1
+.names 13257 14165
+0 1
+.names 14079 14165 14166
+11 1
+.names 14074 11607 14167
+11 1
+.names 13261 14168
+0 1
+.names 14073 14168 14169
+11 1
+.names 14074 11592 14170
+11 1
+.names 13277 14171
+0 1
+.names 14073 14171 14172
+11 1
+.names 14080 11612 14173
+11 1
+.names 13299 14174
+0 1
+.names 14079 14174 14175
+11 1
+.names 14074 11607 14176
+11 1
+.names 13303 14177
+0 1
+.names 14073 14177 14178
+11 1
+.names 14080 11612 14179
+11 1
+.names 14079 14099 14180
+11 1
+.names 14080 14168 14181
+11 1
+.names 13490 14182
+0 1
+.names 14079 14182 14183
+11 1
+.names 14080 11592 14184
+11 1
+.names 14079 14103 14185
+11 1
+.names 14080 11607 14186
+11 1
+.names 14079 14107 14187
+11 1
+.names 14074 11607 14188
+11 1
+.names 14073 14115 14189
+11 1
+.names 14074 8108 14190
+11 1
+.names 14073 14131 14191
+11 1
+.names 14074 11612 14192
+11 1
+.names 14073 14147 14193
+11 1
+.names 14074 11612 14194
+11 1
+.names 14073 14155 14195
+11 1
+.names 13486 14196
+0 1
+.names 14080 14196 14197
+11 1
+.names 13478 14198
+0 1
+.names 14079 14198 14199
+11 1
+.names 14098 14200
+1 1
+.names 14200 14201
+0 1
+.names 14201 14139 14202
+11 1
+.names 14200 11607 14203
+11 1
+.names 14098 14204
+1 1
+.names 14204 14205
+0 1
+.names 14205 14119 14206
+11 1
+.names 14204 11607 14207
+11 1
+.names 14201 14135 14208
+11 1
+.names 14200 11612 14209
+11 1
+.names 13265 14210
+0 1
+.names 14201 14210 14211
+11 1
+.names 14200 11612 14212
+11 1
+.names 14098 14213
+1 1
+.names 14213 14214
+0 1
+.names 13269 14215
+0 1
+.names 14214 14215 14216
+11 1
+.names 14213 8108 14217
+11 1
+.names 13249 14218
+0 1
+.names 14074 14218 14219
+11 1
+.names 13474 14220
+0 1
+.names 14073 14220 14221
+11 1
+.names 14074 14162 14222
+11 1
+.names 13454 14223
+0 1
+.names 14073 14223 14224
+11 1
+.names 13295 14225
+0 1
+.names 14098 14225 14226
+11 1
+.names 13045 14227
+0 1
+.names 14097 14227 14228
+11 1
+.names 14080 14215 14229
+11 1
+.names 13446 14230
+0 1
+.names 14079 14230 14231
+11 1
+.names 14074 14177 14232
+11 1
+.names 13069 14233
+0 1
+.names 14073 14233 14234
+11 1
+.names 14074 14174 14235
+11 1
+.names 13057 14236
+0 1
+.names 14073 14236 14237
+11 1
+.names 14074 14238
+1 1
+.names 14238 14239
+0 1
+.names 14239 14151 14240
+11 1
+.names 14238 8108 14241
+11 1
+.names 14080 14242
+1 1
+.names 14242 14243
+0 1
+.names 14243 14089 14244
+11 1
+.names 14242 11592 14245
+11 1
+.names 14239 14127 14246
+11 1
+.names 14238 11612 14247
+11 1
+.names 14243 14218 14248
+11 1
+.names 14242 8108 14249
+11 1
+.names 14080 14250
+1 1
+.names 14250 14251
+0 1
+.names 14251 14143 14252
+11 1
+.names 14250 11592 14253
+11 1
+.names 14080 14254
+1 1
+.names 14254 14255
+0 1
+.names 14255 14225 14256
+11 1
+.names 14254 8108 14257
+11 1
+.names 13273 14258
+0 1
+.names 14243 14258 14259
+11 1
+.names 14242 11612 14260
+11 1
+.names 14080 14165 14261
+11 1
+.names 13466 14262
+0 1
+.names 14079 14262 14263
+11 1
+.names 14074 14210 14264
+11 1
+.names 13482 14265
+0 1
+.names 14073 14265 14266
+11 1
+.names 14080 14267
+1 1
+.names 14267 14268
+0 1
+.names 14268 14123 14269
+11 1
+.names 14267 11607 14270
+11 1
+.names 14098 14271
+1 1
+.names 14271 14272
+0 1
+.names 14272 14093 14273
+11 1
+.names 14271 11612 14274
+11 1
+.names 14074 14275
+1 1
+.names 14275 14276
+0 1
+.names 14276 14085 14277
+11 1
+.names 14275 11592 14278
+11 1
+.names 14098 14279
+1 1
+.names 14279 14280
+0 1
+.names 14280 14196 14281
+11 1
+.names 14279 11592 14282
+11 1
+.names 14074 14258 14283
+11 1
+.names 13494 14284
+0 1
+.names 14073 14284 14285
+11 1
+.names 14074 14286
+1 1
+.names 14286 14287
+0 1
+.names 14287 14081 14288
+11 1
+.names 14286 8108 14289
+11 1
+.names 14074 14290
+1 1
+.names 14290 14291
+0 1
+.names 14291 14111 14292
+11 1
+.names 14290 11592 14293
+11 1
+.names 14080 14294
+1 1
+.names 14294 14295
+0 1
+.names 13498 14296
+0 1
+.names 14295 14296 14297
+11 1
+.names 14294 14171 14298
+11 1
+.names 2413 14305 14299
+11 1
+.names 14299 14300
+1 1
+.names 14300 14301
+0 1
+.names 14325 14302
+0 1
+.names 14302 14303
+1 1
+.names 14303 14304
+0 1
+.names 8181 14305
+0 1
+.names 14305 14306
+1 1
+.names 14306 14307
+0 1
+.names 14316 14317 14308
+11 1
+.names 14308 14309
+1 1
+.names 14309 14310
+0 1
+.names 14307 14310 14311
+1- 1
+-1 1
+.names 2413 14312
+1 1
+.names 14312 14313
+0 1
+.names 14311 14313 14314
+1- 1
+-1 1
+.names 978 14315
+0 1
+.names 979 14316
+0 1
+.names 980 14317
+0 1
+.names 14317 14318
+1 1
+.names 14318 14319
+0 1
+.names 14319 14320
+1 1
+.names 14305 14321
+1 1
+.names 14321 14322
+0 1
+.names 14320 14323
+0 1
+.names 14322 14323 14324
+1- 1
+-1 1
+.names 14316 14325
+1 1
+.names 14324 14302 14326
+1- 1
+-1 1
+.names 2413 14333 14327
+11 1
+.names 14327 14328
+1 1
+.names 14328 14329
+0 1
+.names 14353 14330
+0 1
+.names 14330 14331
+1 1
+.names 14331 14332
+0 1
+.names 8225 14333
+0 1
+.names 14333 14334
+1 1
+.names 14334 14335
+0 1
+.names 14344 14345 14336
+11 1
+.names 14336 14337
+1 1
+.names 14337 14338
+0 1
+.names 14335 14338 14339
+1- 1
+-1 1
+.names 2413 14340
+1 1
+.names 14340 14341
+0 1
+.names 14339 14341 14342
+1- 1
+-1 1
+.names 981 14343
+0 1
+.names 982 14344
+0 1
+.names 983 14345
+0 1
+.names 14345 14346
+1 1
+.names 14346 14347
+0 1
+.names 14347 14348
+1 1
+.names 14333 14349
+1 1
+.names 14349 14350
+0 1
+.names 14348 14351
+0 1
+.names 14350 14351 14352
+1- 1
+-1 1
+.names 14344 14353
+1 1
+.names 14352 14330 14354
+1- 1
+-1 1
+.names 8244 14355
+1 1
+.names 14355 14356
+0 1
+.names 3618 14357
+0 1
+.names 8314 14358
+0 1
+.names 8305 14358 14359
+1- 1
+-1 1
+.names 14368 14365 14360
+11 1
+.names 14360 14361
+1 1
+.names 14361 14362
+0 1
+.names 14365 14358 14363
+11 1
+.names 14363 14364
+1 1
+.names 8316 14365
+0 1
+.names 14368 14358 14366
+11 1
+.names 14366 14367
+1 1
+.names 8315 14368
+0 1
+.names 14365 8320 14369
+11 1
+.names 14369 14370
+1 1
+.names 14370 14371
+0 1
+.names 8305 8316 14372
+1- 1
+-1 1
+.names 14367 14373
+0 1
+.names 14372 14373 14374
+1- 1
+-1 1
+.names 3915 14375
+0 1
+.names 14378 14368 14376
+11 1
+.names 14376 14377
+1 1
+.names 3912 14378
+0 1
+.names 8320 14375 14379
+11 1
+.names 14379 14380
+1 1
+.names 14380 14381
+0 1
+.names 14377 14382
+0 1
+.names 14381 14382 14383
+1- 1
+-1 1
+.names 14364 14384
+0 1
+.names 14383 14384 14385
+1- 1
+-1 1
+.names 2934 14386
+0 1
+.names 14368 14358 14387
+11 1
+.names 14387 14388
+1 1
+.names 14365 14389
+1 1
+.names 14389 14390
+0 1
+.names 14390 14381 14391
+1- 1
+-1 1
+.names 14388 14392
+0 1
+.names 14391 14392 14393
+1- 1
+-1 1
+.names 2413 14400 14394
+11 1
+.names 14394 14395
+1 1
+.names 14395 14396
+0 1
+.names 14420 14397
+0 1
+.names 14397 14398
+1 1
+.names 14398 14399
+0 1
+.names 8363 14400
+0 1
+.names 14400 14401
+1 1
+.names 14401 14402
+0 1
+.names 14411 14412 14403
+11 1
+.names 14403 14404
+1 1
+.names 14404 14405
+0 1
+.names 14402 14405 14406
+1- 1
+-1 1
+.names 2413 14407
+1 1
+.names 14407 14408
+0 1
+.names 14406 14408 14409
+1- 1
+-1 1
+.names 985 14410
+0 1
+.names 986 14411
+0 1
+.names 987 14412
+0 1
+.names 14412 14413
+1 1
+.names 14413 14414
+0 1
+.names 14414 14415
+1 1
+.names 14400 14416
+1 1
+.names 14416 14417
+0 1
+.names 14415 14418
+0 1
+.names 14417 14418 14419
+1- 1
+-1 1
+.names 14411 14420
+1 1
+.names 14419 14397 14421
+1- 1
+-1 1
+.names 8425 14422
+0 1
+.names 8416 14422 14423
+1- 1
+-1 1
+.names 14432 14429 14424
+11 1
+.names 14424 14425
+1 1
+.names 14425 14426
+0 1
+.names 14429 14422 14427
+11 1
+.names 14427 14428
+1 1
+.names 8427 14429
+0 1
+.names 14432 14422 14430
+11 1
+.names 14430 14431
+1 1
+.names 8426 14432
+0 1
+.names 14429 8431 14433
+11 1
+.names 14433 14434
+1 1
+.names 14434 14435
+0 1
+.names 8416 8427 14436
+1- 1
+-1 1
+.names 14431 14437
+0 1
+.names 14436 14437 14438
+1- 1
+-1 1
+.names 5753 14439
+0 1
+.names 14442 14432 14440
+11 1
+.names 14440 14441
+1 1
+.names 5744 14442
+0 1
+.names 8431 14439 14443
+11 1
+.names 14443 14444
+1 1
+.names 14444 14445
+0 1
+.names 14441 14446
+0 1
+.names 14445 14446 14447
+1- 1
+-1 1
+.names 14428 14448
+0 1
+.names 14447 14448 14449
+1- 1
+-1 1
+.names 14432 14422 14450
+11 1
+.names 14450 14451
+1 1
+.names 14429 14452
+1 1
+.names 14452 14453
+0 1
+.names 14453 14445 14454
+1- 1
+-1 1
+.names 14451 14455
+0 1
+.names 14454 14455 14456
+1- 1
+-1 1
+.names 3669 14457
+0 1
+.names 14457 8450 14458
+11 1
+.names 14458 14459
+1 1
+.names 14459 14460
+0 1
+.names 3673 14461
+0 1
+.names 14465 14462
+0 1
+.names 14462 14463
+1 1
+.names 43193 43196 14464
+11 1
+.names 14464 14465
+1 1
+.names 14469 14466
+0 1
+.names 14466 14467
+1 1
+.names 43675 43676 14468
+11 1
+.names 14468 14469
+1 1
+.names 14473 14470
+0 1
+.names 14470 14471
+1 1
+.names 43675 43676 14472
+1- 1
+-1 1
+.names 14472 14473
+1 1
+.names 14477 14474
+0 1
+.names 14474 14475
+1 1
+.names 43320 43321 14476
+1- 1
+-1 1
+.names 14476 14477
+1 1
+.names 14481 14478
+0 1
+.names 14478 14479
+1 1
+.names 43201 43202 14480
+1- 1
+-1 1
+.names 14480 14481
+1 1
+.names 14485 14482
+0 1
+.names 14482 14483
+1 1
+.names 43010 43011 14484
+1- 1
+-1 1
+.names 14484 14485
+1 1
+.names 14489 14486
+0 1
+.names 14486 14487
+1 1
+.names 42562 42563 14488
+1- 1
+-1 1
+.names 14488 14489
+1 1
+.names 14493 14490
+0 1
+.names 14490 14491
+1 1
+.names 43504 43505 14492
+1- 1
+-1 1
+.names 14492 14493
+1 1
+.names 14497 14494
+0 1
+.names 14494 14495
+1 1
+.names 43090 43091 14496
+1- 1
+-1 1
+.names 14496 14497
+1 1
+.names 14501 14498
+0 1
+.names 14498 14499
+1 1
+.names 43318 43319 14500
+11 1
+.names 14500 14501
+1 1
+.names 14505 14502
+0 1
+.names 14502 14503
+1 1
+.names 42940 42941 14504
+11 1
+.names 14504 14505
+1 1
+.names 14509 14506
+0 1
+.names 14506 14507
+1 1
+.names 43318 43319 14508
+1- 1
+-1 1
+.names 14508 14509
+1 1
+.names 14513 14510
+0 1
+.names 14510 14511
+1 1
+.names 42940 42941 14512
+1- 1
+-1 1
+.names 14512 14513
+1 1
+.names 14517 14514
+0 1
+.names 14514 14515
+1 1
+.names 42786 42787 14516
+1- 1
+-1 1
+.names 14516 14517
+1 1
+.names 14521 14518
+0 1
+.names 14518 14519
+1 1
+.names 42938 42939 14520
+11 1
+.names 14520 14521
+1 1
+.names 14525 14522
+0 1
+.names 14522 14523
+1 1
+.names 43088 43089 14524
+1- 1
+-1 1
+.names 14524 14525
+1 1
+.names 14529 14526
+0 1
+.names 14526 14527
+1 1
+.names 43502 43503 14528
+1- 1
+-1 1
+.names 14528 14529
+1 1
+.names 14533 14530
+0 1
+.names 14530 14531
+1 1
+.names 42993 42994 14532
+11 1
+.names 14532 14533
+1 1
+.names 14537 14534
+0 1
+.names 14534 14535
+1 1
+.names 43499 43500 14536
+11 1
+.names 14536 14537
+1 1
+.names 14541 14538
+0 1
+.names 14538 14539
+1 1
+.names 42564 42565 14540
+11 1
+.names 14540 14541
+1 1
+.names 14545 14542
+0 1
+.names 14542 14543
+1 1
+.names 43677 43678 14544
+1- 1
+-1 1
+.names 14544 14545
+1 1
+.names 14549 14546
+0 1
+.names 14546 14547
+1 1
+.names 43012 43013 14548
+1- 1
+-1 1
+.names 14548 14549
+1 1
+.names 14553 14550
+0 1
+.names 14550 14551
+1 1
+.names 42942 42943 14552
+11 1
+.names 14552 14553
+1 1
+.names 14557 14554
+0 1
+.names 14554 14555
+1 1
+.names 43210 43211 14556
+1- 1
+-1 1
+.names 14556 14557
+1 1
+.names 14561 14558
+0 1
+.names 14558 14559
+1 1
+.names 43506 43507 14560
+1- 1
+-1 1
+.names 14560 14561
+1 1
+.names 14566 14569 14562
+11 1
+.names 14562 14563
+1 1
+.names 14749 14564
+0 1
+.names 14564 14565
+1 1
+.names 14565 14566
+0 1
+.names 14747 14567
+0 1
+.names 14567 14568
+1 1
+.names 14568 14569
+0 1
+.names 14573 14570
+0 1
+.names 14570 14571
+1 1
+.names 43084 43087 14572
+11 1
+.names 14572 14573
+1 1
+.names 3663 14574
+1 1
+.names 14578 14575
+0 1
+.names 14575 14576
+1 1
+.names 43012 43013 14577
+11 1
+.names 14577 14578
+1 1
+.names 14582 14579
+0 1
+.names 14579 14580
+1 1
+.names 42807 14581
+0 1
+.names 14581 14582
+1 1
+.names 14586 14583
+0 1
+.names 14583 14584
+1 1
+.names 43501 42495 14585
+11 1
+.names 14585 14586
+1 1
+.names 14590 14587
+0 1
+.names 14587 14588
+1 1
+.names 43010 43011 14589
+11 1
+.names 14589 14590
+1 1
+.names 14594 14591
+0 1
+.names 14591 14592
+1 1
+.names 43499 43500 14593
+1- 1
+-1 1
+.names 14593 14594
+1 1
+.names 14598 14595
+0 1
+.names 14595 14596
+1 1
+.names 42489 42490 14597
+11 1
+.names 14597 14598
+1 1
+.names 14571 14599
+0 1
+.names 14599 14600
+1 1
+.names 14604 14601
+0 1
+.names 14601 14602
+1 1
+.names 43197 43198 14603
+11 1
+.names 14603 14604
+1 1
+.names 14608 14605
+0 1
+.names 14605 14606
+1 1
+.names 42790 42791 14607
+11 1
+.names 14607 14608
+1 1
+.names 14612 14609
+0 1
+.names 14609 14610
+1 1
+.names 43199 43200 14611
+11 1
+.names 14611 14612
+1 1
+.names 14616 14613
+0 1
+.names 14613 14614
+1 1
+.names 43506 43507 14615
+11 1
+.names 14615 14616
+1 1
+.names 14620 14617
+0 1
+.names 14617 14618
+1 1
+.names 42792 42793 14619
+11 1
+.names 14619 14620
+1 1
+.names 14563 14621
+1 1
+.names 14625 14622
+0 1
+.names 14622 14623
+1 1
+.names 14567 14564 14624
+11 1
+.names 14624 14625
+1 1
+.names 14629 14626
+0 1
+.names 14626 14627
+1 1
+.names 42560 42561 14628
+11 1
+.names 14628 14629
+1 1
+.names 14633 14630
+0 1
+.names 14630 14631
+1 1
+.names 43088 43089 14632
+11 1
+.names 14632 14633
+1 1
+.names 14637 14634
+0 1
+.names 14634 14635
+1 1
+.names 42560 42561 14636
+1- 1
+-1 1
+.names 14636 14637
+1 1
+.names 14641 14638
+0 1
+.names 14638 14639
+1 1
+.names 42938 42939 14640
+1- 1
+-1 1
+.names 14640 14641
+1 1
+.names 14645 14642
+0 1
+.names 14642 14643
+1 1
+.names 43502 43503 14644
+11 1
+.names 14644 14645
+1 1
+.names 14649 14646
+0 1
+.names 14646 14647
+1 1
+.names 43242 43243 14648
+11 1
+.names 14648 14649
+1 1
+.names 14653 14650
+0 1
+.names 14650 14651
+1 1
+.names 43242 43243 14652
+1- 1
+-1 1
+.names 14652 14653
+1 1
+.names 14657 14654
+0 1
+.names 14654 14655
+1 1
+.names 42786 42787 14656
+11 1
+.names 14656 14657
+1 1
+.names 14661 14658
+0 1
+.names 14658 14659
+1 1
+.names 14743 14660
+0 1
+.names 14660 14661
+1 1
+.names 14665 14662
+0 1
+.names 14662 14663
+1 1
+.names 42999 43000 14664
+1- 1
+-1 1
+.names 14664 14665
+1 1
+.names 14669 14666
+0 1
+.names 14666 14667
+1 1
+.names 42489 42490 14668
+1- 1
+-1 1
+.names 14668 14669
+1 1
+.names 14673 14670
+0 1
+.names 14670 14671
+1 1
+.names 43001 43002 14672
+11 1
+.names 14672 14673
+1 1
+.names 14677 14674
+0 1
+.names 14674 14675
+1 1
+.names 43090 43091 14676
+11 1
+.names 14676 14677
+1 1
+.names 14681 14678
+0 1
+.names 14678 14679
+1 1
+.names 42788 42789 14680
+1- 1
+-1 1
+.names 14680 14681
+1 1
+.names 14685 14682
+0 1
+.names 14682 14683
+1 1
+.names 43001 43002 14684
+1- 1
+-1 1
+.names 14684 14685
+1 1
+.names 14689 14686
+0 1
+.names 14686 14687
+1 1
+.names 42562 42563 14688
+11 1
+.names 14688 14689
+1 1
+.names 14693 14690
+0 1
+.names 14690 14691
+1 1
+.names 43197 43198 14692
+1- 1
+-1 1
+.names 14692 14693
+1 1
+.names 14697 14694
+0 1
+.names 14694 14695
+1 1
+.names 43201 43202 14696
+11 1
+.names 14696 14697
+1 1
+.names 14701 14698
+0 1
+.names 14698 14699
+1 1
+.names 42942 42943 14700
+1- 1
+-1 1
+.names 14700 14701
+1 1
+.names 14705 14702
+0 1
+.names 14702 14703
+1 1
+.names 43210 43211 14704
+11 1
+.names 14704 14705
+1 1
+.names 14709 14706
+0 1
+.names 14706 14707
+1 1
+.names 42790 42791 14708
+1- 1
+-1 1
+.names 14708 14709
+1 1
+.names 14713 14710
+0 1
+.names 14710 14711
+1 1
+.names 43199 43200 14712
+1- 1
+-1 1
+.names 14712 14713
+1 1
+.names 14717 14714
+0 1
+.names 14714 14715
+1 1
+.names 42993 42994 14716
+1- 1
+-1 1
+.names 14716 14717
+1 1
+.names 14721 14718
+0 1
+.names 14718 14719
+1 1
+.names 42564 42565 14720
+1- 1
+-1 1
+.names 14720 14721
+1 1
+.names 14725 14722
+0 1
+.names 14722 14723
+1 1
+.names 42792 42793 14724
+1- 1
+-1 1
+.names 14724 14725
+1 1
+.names 14729 14726
+0 1
+.names 14726 14727
+1 1
+.names 43677 43678 14728
+11 1
+.names 14728 14729
+1 1
+.names 14733 14730
+0 1
+.names 14730 14731
+1 1
+.names 42788 42789 14732
+11 1
+.names 14732 14733
+1 1
+.names 14737 14734
+0 1
+.names 14734 14735
+1 1
+.names 42999 43000 14736
+11 1
+.names 14736 14737
+1 1
+.names 14741 14738
+0 1
+.names 14738 14739
+1 1
+.names 43320 43321 14740
+11 1
+.names 14740 14741
+1 1
+.names 14745 14742
+0 1
+.names 14742 14743
+1 1
+.names 43504 43505 14744
+11 1
+.names 14744 14745
+1 1
+.names 40959 40987 14746
+1- 1
+-1 1
+.names 14746 14747
+1 1
+.names 42147 42148 14748
+1- 1
+-1 1
+.names 14748 14749
+1 1
+.names 8460 14750
+1 1
+.names 14750 14751
+0 1
+.names 8523 14752
+1 1
+.names 14752 14753
+0 1
+.names 990 14754
+0 1
+.names 6491 14755
+0 1
+.names 14755 14756
+1 1
+.names 14756 14757
+0 1
+.names 6485 14758
+0 1
+.names 14757 14759
+1 1
+.names 56730 14760
+0 1
+.names 14760 14761
+1 1
+.names 27599 27603 56730
+1- 1
+-1 1
+.names 14765 14766 14763
+11 1
+.names 14763 14764
+1 1
+.names 9446 14765
+0 1
+.names 14761 14766
+0 1
+.names 14771 14772 14767
+1- 1
+-1 1
+.names 14767 14768
+1 1
+.names 9442 14769
+0 1
+.names 14764 14770
+0 1
+.names 14769 14770 14771
+11 1
+.names 9442 2413 14772
+11 1
+.names 14765 14773
+1 1
+.names 2413 14774
+1 1
+.names 14778 14779 57137
+1- 1
+-1 1
+.names 14774 14776
+0 1
+.names 14773 14777
+0 1
+.names 14776 14777 14778
+11 1
+.names 14768 14779
+0 1
+.names 14785 14788 57138
+1- 1
+-1 1
+.names 9458 14781
+0 1
+.names 56721 14782
+0 1
+.names 14782 14783
+1 1
+.names 14783 14784
+0 1
+.names 14781 14784 14785
+11 1
+.names 2413 14786
+1 1
+.names 14786 14787
+0 1
+.names 9458 14787 14788
+11 1
+.names 27468 27472 56721
+1- 1
+-1 1
+.names 14795 14798 57139
+1- 1
+-1 1
+.names 9462 14791
+0 1
+.names 56714 14792
+0 1
+.names 14792 14793
+1 1
+.names 14793 14794
+0 1
+.names 14791 14794 14795
+11 1
+.names 2413 14796
+1 1
+.names 14796 14797
+0 1
+.names 9462 14797 14798
+11 1
+.names 27670 27674 56714
+1- 1
+-1 1
+.names 14805 14808 57140
+1- 1
+-1 1
+.names 9466 14801
+0 1
+.names 56712 14802
+0 1
+.names 14802 14803
+1 1
+.names 14803 14804
+0 1
+.names 14801 14804 14805
+11 1
+.names 2413 14806
+1 1
+.names 14806 14807
+0 1
+.names 9466 14807 14808
+11 1
+.names 27614 27618 56712
+1- 1
+-1 1
+.names 14815 14818 57141
+1- 1
+-1 1
+.names 9470 14811
+0 1
+.names 56711 14812
+0 1
+.names 14812 14813
+1 1
+.names 14813 14814
+0 1
+.names 14811 14814 14815
+11 1
+.names 2413 14816
+1 1
+.names 14816 14817
+0 1
+.names 9470 14817 14818
+11 1
+.names 27635 27639 56711
+1- 1
+-1 1
+.names 14825 14828 57142
+1- 1
+-1 1
+.names 9474 14821
+0 1
+.names 56710 14822
+0 1
+.names 14822 14823
+1 1
+.names 14823 14824
+0 1
+.names 14821 14824 14825
+11 1
+.names 2413 14826
+1 1
+.names 14826 14827
+0 1
+.names 9474 14827 14828
+11 1
+.names 27543 27547 56710
+1- 1
+-1 1
+.names 14835 14838 57143
+1- 1
+-1 1
+.names 9478 14831
+0 1
+.names 56709 14832
+0 1
+.names 14832 14833
+1 1
+.names 14833 14834
+0 1
+.names 14831 14834 14835
+11 1
+.names 2413 14836
+1 1
+.names 14836 14837
+0 1
+.names 9478 14837 14838
+11 1
+.names 27514 27518 56709
+1- 1
+-1 1
+.names 14845 14848 57144
+1- 1
+-1 1
+.names 9482 14841
+0 1
+.names 56708 14842
+0 1
+.names 14842 14843
+1 1
+.names 14843 14844
+0 1
+.names 14841 14844 14845
+11 1
+.names 2413 14846
+1 1
+.names 14846 14847
+0 1
+.names 9482 14847 14848
+11 1
+.names 27528 27532 56708
+1- 1
+-1 1
+.names 14855 14858 57145
+1- 1
+-1 1
+.names 9486 14851
+0 1
+.names 56707 14852
+0 1
+.names 14852 14853
+1 1
+.names 14853 14854
+0 1
+.names 14851 14854 14855
+11 1
+.names 2413 14856
+1 1
+.names 14856 14857
+0 1
+.names 9486 14857 14858
+11 1
+.names 27507 27511 56707
+1- 1
+-1 1
+.names 14865 14868 57146
+1- 1
+-1 1
+.names 9490 14861
+0 1
+.names 56706 14862
+0 1
+.names 14862 14863
+1 1
+.names 14863 14864
+0 1
+.names 14861 14864 14865
+11 1
+.names 2413 14866
+1 1
+.names 14866 14867
+0 1
+.names 9490 14867 14868
+11 1
+.names 27571 27575 56706
+1- 1
+-1 1
+.names 14875 14878 57147
+1- 1
+-1 1
+.names 9494 14871
+0 1
+.names 56705 14872
+0 1
+.names 14872 14873
+1 1
+.names 14873 14874
+0 1
+.names 14871 14874 14875
+11 1
+.names 2413 14876
+1 1
+.names 14876 14877
+0 1
+.names 9494 14877 14878
+11 1
+.names 27460 27464 56705
+1- 1
+-1 1
+.names 14885 14889 57148
+1- 1
+-1 1
+.names 9498 14881
+0 1
+.names 56704 14882
+0 1
+.names 14882 14883
+1 1
+.names 14883 14884
+0 1
+.names 14881 14884 14885
+11 1
+.names 1775 14886
+0 1
+.names 14886 14887
+1 1
+.names 14887 14888
+0 1
+.names 9498 14888 14889
+11 1
+.names 27564 27568 56704
+1- 1
+-1 1
+.names 14896 14899 57149
+1- 1
+-1 1
+.names 9502 14892
+0 1
+.names 56703 14893
+0 1
+.names 14893 14894
+1 1
+.names 14894 14895
+0 1
+.names 14892 14895 14896
+11 1
+.names 2413 14897
+1 1
+.names 14897 14898
+0 1
+.names 9502 14898 14899
+11 1
+.names 27663 27667 56703
+1- 1
+-1 1
+.names 14905 14908 57150
+1- 1
+-1 1
+.names 9518 14902
+0 1
+.names 14760 14903
+1 1
+.names 14903 14904
+0 1
+.names 14902 14904 14905
+11 1
+.names 2413 14906
+1 1
+.names 14906 14907
+0 1
+.names 9518 14907 14908
+11 1
+.names 14913 14916 57151
+1- 1
+-1 1
+.names 9534 14910
+0 1
+.names 14822 14911
+1 1
+.names 14911 14912
+0 1
+.names 14910 14912 14913
+11 1
+.names 2413 14914
+1 1
+.names 14914 14915
+0 1
+.names 9534 14915 14916
+11 1
+.names 14921 14924 57152
+1- 1
+-1 1
+.names 9543 14918
+0 1
+.names 14852 14919
+1 1
+.names 14919 14920
+0 1
+.names 14918 14920 14921
+11 1
+.names 2413 14922
+1 1
+.names 14922 14923
+0 1
+.names 9543 14923 14924
+11 1
+.names 14930 14933 57153
+1- 1
+-1 1
+.names 9547 14926
+0 1
+.names 56702 14927
+0 1
+.names 14927 14928
+1 1
+.names 14928 14929
+0 1
+.names 14926 14929 14930
+11 1
+.names 2413 14931
+1 1
+.names 14931 14932
+0 1
+.names 9547 14932 14933
+11 1
+.names 27656 27660 56702
+1- 1
+-1 1
+.names 14940 14943 57154
+1- 1
+-1 1
+.names 9556 14936
+0 1
+.names 56699 14937
+0 1
+.names 14937 14938
+1 1
+.names 14938 14939
+0 1
+.names 14936 14939 14940
+11 1
+.names 2413 14941
+1 1
+.names 14941 14942
+0 1
+.names 9556 14942 14943
+11 1
+.names 27491 27495 56699
+1- 1
+-1 1
+.names 9562 14945
+1 1
+.names 14945 14946
+0 1
+.names 6435 14947
+0 1
+.names 14951 14948
+0 1
+.names 14948 14949
+1 1
+.names 1538 47772 14950
+1- 1
+-1 1
+.names 14950 14951
+1 1
+.names 14955 14952
+0 1
+.names 14952 14953
+1 1
+.names 47775 47776 14954
+1- 1
+-1 1
+.names 14954 14955
+1 1
+.names 14953 14956
+1 1
+.names 14953 14957
+1 1
+.names 14953 14958
+1 1
+.names 14949 14959
+1 1
+.names 14949 14960
+1 1
+.names 14949 14961
+1 1
+.names 14965 14962
+0 1
+.names 14962 14963
+1 1
+.names 1538 14964
+0 1
+.names 14964 14965
+1 1
+.names 14963 14966
+1 1
+.names 14953 14967
+1 1
+.names 14963 14968
+1 1
+.names 14963 14969
+1 1
+.names 14963 14970
+1 1
+.names 14974 14971
+0 1
+.names 14971 14972
+1 1
+.names 955 47777 14973
+1- 1
+-1 1
+.names 14973 14974
+1 1
+.names 14978 14975
+0 1
+.names 14975 14976
+1 1
+.names 47780 47781 14977
+1- 1
+-1 1
+.names 14977 14978
+1 1
+.names 14976 14979
+1 1
+.names 14976 14980
+1 1
+.names 14976 14981
+1 1
+.names 14972 14982
+1 1
+.names 14972 14983
+1 1
+.names 14972 14984
+1 1
+.names 14988 14985
+0 1
+.names 14985 14986
+1 1
+.names 955 14987
+0 1
+.names 14987 14988
+1 1
+.names 14986 14989
+1 1
+.names 14976 14990
+1 1
+.names 14986 14991
+1 1
+.names 14986 14992
+1 1
+.names 14986 14993
+1 1
+.names 14997 14994
+0 1
+.names 14994 14995
+1 1
+.names 951 47782 14996
+1- 1
+-1 1
+.names 14996 14997
+1 1
+.names 15001 14998
+0 1
+.names 14998 14999
+1 1
+.names 47785 47786 15000
+1- 1
+-1 1
+.names 15000 15001
+1 1
+.names 14999 15002
+1 1
+.names 14999 15003
+1 1
+.names 14999 15004
+1 1
+.names 14995 15005
+1 1
+.names 14995 15006
+1 1
+.names 14995 15007
+1 1
+.names 15011 15008
+0 1
+.names 15008 15009
+1 1
+.names 951 15010
+0 1
+.names 15010 15011
+1 1
+.names 15009 15012
+1 1
+.names 14999 15013
+1 1
+.names 15009 15014
+1 1
+.names 15009 15015
+1 1
+.names 15009 15016
+1 1
+.names 15020 15017
+0 1
+.names 15017 15018
+1 1
+.names 947 47787 15019
+1- 1
+-1 1
+.names 15019 15020
+1 1
+.names 15024 15021
+0 1
+.names 15021 15022
+1 1
+.names 47790 47791 15023
+1- 1
+-1 1
+.names 15023 15024
+1 1
+.names 15022 15025
+1 1
+.names 15022 15026
+1 1
+.names 15022 15027
+1 1
+.names 15018 15028
+1 1
+.names 15018 15029
+1 1
+.names 15018 15030
+1 1
+.names 15034 15031
+0 1
+.names 15031 15032
+1 1
+.names 947 15033
+0 1
+.names 15033 15034
+1 1
+.names 15032 15035
+1 1
+.names 15022 15036
+1 1
+.names 15032 15037
+1 1
+.names 15032 15038
+1 1
+.names 15032 15039
+1 1
+.names 15042 15040
+1 1
+.names 15040 15041
+1 1
+.names 15044 15042
+1 1
+.names 15040 15043
+1 1
+.names 15046 15044
+0 1
+.names 47794 47797 15045
+1- 1
+-1 1
+.names 15045 15046
+1 1
+.names 15050 15047
+0 1
+.names 15047 15048
+1 1
+.names 1783 47798 15049
+1- 1
+-1 1
+.names 15049 15050
+1 1
+.names 15048 15051
+1 1
+.names 15048 15052
+1 1
+.names 15048 15053
+1 1
+.names 15056 15054
+1 1
+.names 15054 15055
+1 1
+.names 15076 15056
+0 1
+.names 15056 15057
+1 1
+.names 15057 15058
+1 1
+.names 15062 15059
+0 1
+.names 15059 15060
+1 1
+.names 15074 15061
+0 1
+.names 15061 15062
+1 1
+.names 15066 15063
+0 1
+.names 15063 15064
+1 1
+.names 47807 47808 15065
+1- 1
+-1 1
+.names 15065 15066
+1 1
+.names 15070 15067
+0 1
+.names 15067 15068
+1 1
+.names 15055 15069
+0 1
+.names 15069 15070
+1 1
+.names 15063 15071
+1 1
+.names 15042 15072
+1 1
+.names 15048 15073
+1 1
+.names 15071 15074
+1 1
+.names 47819 47806 15075
+1- 1
+-1 1
+.names 15075 15076
+1 1
+.names 15057 15077
+1 1
+.names 15057 15078
+1 1
+.names 15042 15079
+1 1
+.names 942 15080
+1 1
+.names 15064 15081
+1 1
+.names 15064 15082
+1 1
+.names 15064 15083
+1 1
+.names 15086 15084
+1 1
+.names 15084 15085
+1 1
+.names 15088 15086
+1 1
+.names 15084 15087
+1 1
+.names 15090 15088
+0 1
+.names 47822 47825 15089
+1- 1
+-1 1
+.names 15089 15090
+1 1
+.names 15094 15091
+0 1
+.names 15091 15092
+1 1
+.names 1783 47826 15093
+1- 1
+-1 1
+.names 15093 15094
+1 1
+.names 15092 15095
+1 1
+.names 15092 15096
+1 1
+.names 15092 15097
+1 1
+.names 15100 15098
+1 1
+.names 15098 15099
+1 1
+.names 15120 15100
+0 1
+.names 15100 15101
+1 1
+.names 15101 15102
+1 1
+.names 15106 15103
+0 1
+.names 15103 15104
+1 1
+.names 15118 15105
+0 1
+.names 15105 15106
+1 1
+.names 15110 15107
+0 1
+.names 15107 15108
+1 1
+.names 47835 47836 15109
+1- 1
+-1 1
+.names 15109 15110
+1 1
+.names 15114 15111
+0 1
+.names 15111 15112
+1 1
+.names 15099 15113
+0 1
+.names 15113 15114
+1 1
+.names 15107 15115
+1 1
+.names 15086 15116
+1 1
+.names 15092 15117
+1 1
+.names 15115 15118
+1 1
+.names 47847 47834 15119
+1- 1
+-1 1
+.names 15119 15120
+1 1
+.names 15101 15121
+1 1
+.names 15101 15122
+1 1
+.names 15086 15123
+1 1
+.names 9583 15124
+1 1
+.names 15108 15125
+1 1
+.names 15108 15126
+1 1
+.names 15108 15127
+1 1
+.names 2413 15155 15128
+11 1
+.names 15128 15129
+1 1
+.names 15129 15130
+0 1
+.names 9646 15130 15131
+1- 1
+-1 1
+.names 15149 15156 15132
+11 1
+.names 15132 15133
+1 1
+.names 15133 15134
+0 1
+.names 15131 15134 15135
+1- 1
+-1 1
+.names 992 15136
+0 1
+.names 15155 2413 15137
+11 1
+.names 15137 15138
+1 1
+.names 15138 15139
+0 1
+.names 15136 15149 15140
+11 1
+.names 15140 15141
+1 1
+.names 15141 15142
+0 1
+.names 15139 15142 15143
+1- 1
+-1 1
+.names 15156 15150 15144
+11 1
+.names 15144 15145
+1 1
+.names 15145 15146
+0 1
+.names 15143 15146 15147
+1- 1
+-1 1
+.names 993 15148
+0 1
+.names 9639 15149
+0 1
+.names 9646 15150
+0 1
+.names 2413 15151
+1 1
+.names 15151 15152
+0 1
+.names 994 15153
+1 1
+.names 15153 15154
+0 1
+.names 994 15155
+0 1
+.names 995 15156
+0 1
+.names 15150 15155 15157
+11 1
+.names 15157 15158
+1 1
+.names 15158 15159
+0 1
+.names 1783 15159 15160
+1- 1
+-1 1
+.names 15164 15149 15161
+11 1
+.names 15161 15162
+1 1
+.names 15156 15163
+1 1
+.names 15163 15164
+0 1
+.names 1783 15159 15165
+1- 1
+-1 1
+.names 15162 15166
+0 1
+.names 15169 15170 15167
+1- 1
+-1 1
+.names 15167 15168
+1 1
+.names 996 15169
+0 1
+.names 997 15170
+0 1
+.names 15174 15171
+0 1
+.names 15171 15172
+1 1
+.names 15195 15173
+0 1
+.names 15173 15174
+1 1
+.names 15183 15187 15175
+1- 1
+-1 1
+.names 15175 15176
+1 1
+.names 15460 15739 15177
+11 1
+.names 15177 15178
+1 1
+.names 15178 15179
+0 1
+.names 15462 15466 15180
+1- 1
+-1 1
+.names 15180 15181
+1 1
+.names 15181 15182
+0 1
+.names 15179 15182 15183
+11 1
+.names 15481 15184
+0 1
+.names 15184 15185
+1 1
+.names 15185 15186
+0 1
+.names 15178 15186 15187
+11 1
+.names 15191 15188
+0 1
+.names 15188 15189
+1 1
+.names 15612 15615 15190
+1- 1
+-1 1
+.names 15190 15191
+1 1
+.names 15194 15192
+0 1
+.names 15192 15193
+1 1
+.names 999 998 15194
+01 1
+10 1
+.names 1001 1000 15195
+01 1
+10 1
+.names 15198 15196
+0 1
+.names 15196 15197
+1 1
+.names 1003 1002 15198
+01 1
+10 1
+.names 15201 15199
+0 1
+.names 15199 15200
+1 1
+.names 1005 1004 15201
+01 1
+10 1
+.names 15210 15213 15202
+1- 1
+-1 1
+.names 15202 15203
+1 1
+.names 15666 15668 15204
+11 1
+.names 15204 15205
+1 1
+.names 15205 15206
+0 1
+.names 15543 15280 15207
+11 1
+.names 15207 15208
+1 1
+.names 15208 15209
+0 1
+.names 15206 15209 15210
+11 1
+.names 15607 15211
+0 1
+.names 15211 15212
+1 1
+.names 15212 15213
+0 1
+.names 15217 15214
+0 1
+.names 15214 15215
+1 1
+.names 15298 15301 15216
+1- 1
+-1 1
+.names 15216 15217
+1 1
+.names 15224 15227 15218
+11 1
+.names 15218 15219
+1 1
+.names 15369 15370 15220
+1- 1
+-1 1
+.names 15220 15221
+1 1
+.names 15221 15222
+0 1
+.names 15215 15223
+0 1
+.names 15222 15223 15224
+1- 1
+-1 1
+.names 15228 15229 15225
+1- 1
+-1 1
+.names 15225 15226
+1 1
+.names 15226 15227
+0 1
+.names 15203 15228
+0 1
+.names 15189 15229
+0 1
+.names 15238 15229 15230
+1- 1
+-1 1
+.names 15230 15231
+1 1
+.names 15269 15232
+0 1
+.names 15232 15233
+1 1
+.names 15233 15234
+0 1
+.names 15265 15267 15235
+1- 1
+-1 1
+.names 15235 15236
+1 1
+.names 15236 15237
+0 1
+.names 15234 15237 15238
+11 1
+.names 15223 15243 15239
+1- 1
+-1 1
+.names 15239 15240
+1 1
+.names 1008 1007 15241
+01 1
+10 1
+.names 15241 15242
+1 1
+.names 15242 15243
+0 1
+.names 15248 15251 15244
+11 1
+.names 15244 15245
+1 1
+.names 15256 15260 15246
+1- 1
+-1 1
+.names 15246 15247
+1 1
+.names 15247 15248
+0 1
+.names 15427 15475 15249
+11 1
+.names 15249 15250
+1 1
+.names 15250 15251
+0 1
+.names 15172 15252
+0 1
+.names 15486 15487 15253
+1- 1
+-1 1
+.names 15253 15254
+1 1
+.names 15254 15255
+0 1
+.names 15252 15255 15256
+11 1
+.names 15489 15427 15257
+1- 1
+-1 1
+.names 15257 15258
+1 1
+.names 15258 15259
+0 1
+.names 15172 15259 15260
+11 1
+.names 15193 15261
+0 1
+.names 15261 15262
+1 1
+.names 15262 15263
+0 1
+.names 1006 15264
+0 1
+.names 15263 15264 15265
+11 1
+.names 998 15266
+0 1
+.names 15262 15266 15267
+11 1
+.names 15271 15261 15268
+1- 1
+-1 1
+.names 15268 15269
+1 1
+.names 1027 1006 15270
+01 1
+10 1
+.names 15270 15271
+1 1
+.names 15275 15278 15272
+1- 1
+-1 1
+.names 15272 15273
+1 1
+.names 1008 1007 15274
+01 1
+10 1
+.names 15274 15275
+1 1
+.names 15468 15470 15276
+11 1
+.names 15276 15277
+1 1
+.names 15277 15278
+0 1
+.names 15286 15289 15279
+1- 1
+-1 1
+.names 15279 15280
+1 1
+.names 15574 15281
+0 1
+.names 15281 15282
+1 1
+.names 15541 1783 15283
+01 1
+10 1
+.names 15283 15284
+1 1
+.names 15284 15285
+0 1
+.names 15282 15285 15286
+1- 1
+-1 1
+.names 15648 15579 15287
+11 1
+.names 15287 15288
+1 1
+.names 15288 15289
+0 1
+.names 15564 15552 15290
+1- 1
+-1 1
+.names 15290 15291
+1 1
+.names 15588 15292
+0 1
+.names 15292 15293
+1 1
+.names 15291 15293 15294
+1- 1
+-1 1
+.names 15540 1783 15295
+01 1
+10 1
+.names 15295 15296
+1 1
+.names 15296 15297
+0 1
+.names 15294 15297 15298
+1- 1
+-1 1
+.names 15591 15299
+0 1
+.names 15299 15300
+1 1
+.names 15300 15301
+0 1
+.names 15309 15312 15302
+1- 1
+-1 1
+.names 15302 15303
+1 1
+.names 15326 15304
+0 1
+.names 15304 15305
+1 1
+.names 15394 15397 15306
+11 1
+.names 15306 15307
+1 1
+.names 15307 15308
+0 1
+.names 15305 15308 15309
+1- 1
+-1 1
+.names 15200 15399 15310
+11 1
+.names 15310 15311
+1 1
+.names 15311 15312
+0 1
+.names 15321 15324 15313
+1- 1
+-1 1
+.names 15313 15314
+1 1
+.names 15638 15315
+0 1
+.names 15315 15316
+1 1
+.names 15316 15317
+0 1
+.names 15718 15719 15318
+11 1
+.names 15318 15319
+1 1
+.names 15319 15320
+0 1
+.names 15317 15320 15321
+11 1
+.names 2413 15322
+1 1
+.names 15322 15323
+0 1
+.names 15316 15323 15324
+11 1
+.names 15327 15325
+0 1
+.names 15325 15326
+1 1
+.names 1010 1009 15327
+01 1
+10 1
+.names 15332 15335 15328
+11 1
+.names 15328 15329
+1 1
+.names 15503 15506 15330
+1- 1
+-1 1
+.names 15330 15331
+1 1
+.names 15331 15332
+0 1
+.names 15526 15368 15333
+1- 1
+-1 1
+.names 15333 15334
+1 1
+.names 15334 15335
+0 1
+.names 15303 15336
+0 1
+.names 15336 15337
+1 1
+.names 15217 15341 15338
+1- 1
+-1 1
+.names 15338 15339
+1 1
+.names 1026 1025 15340
+01 1
+10 1
+.names 15340 15341
+1 1
+.names 15344 15304 15342
+11 1
+.names 15342 15343
+1 1
+.names 1010 15344
+0 1
+.names 15219 15345
+0 1
+.names 15492 15493 15346
+11 1
+.names 15346 15347
+1 1
+.names 15347 15348
+0 1
+.names 15345 15348 15349
+1- 1
+-1 1
+.names 15329 15350
+0 1
+.names 15354 15351
+0 1
+.names 15351 15352
+1 1
+.names 15478 15479 15353
+1- 1
+-1 1
+.names 15353 15354
+1 1
+.names 15358 15355
+0 1
+.names 15355 15356
+1 1
+.names 15416 15420 15357
+1- 1
+-1 1
+.names 15357 15358
+1 1
+.names 15747 15620 15359
+1- 1
+-1 1
+.names 15359 15360
+1 1
+.names 15360 15361
+0 1
+.names 15521 15524 15362
+1- 1
+-1 1
+.names 15362 15363
+1 1
+.names 15363 15364
+0 1
+.names 15361 15364 15365
+1- 1
+-1 1
+.names 15372 15385 15366
+1- 1
+-1 1
+.names 15366 15367
+1 1
+.names 15367 15368
+0 1
+.names 15365 15368 15369
+1- 1
+-1 1
+.names 15356 15370
+0 1
+.names 15379 15382 15371
+1- 1
+-1 1
+.names 15371 15372
+1 1
+.names 15586 15373
+0 1
+.names 15373 15374
+1 1
+.names 15374 15375
+0 1
+.names 15532 15536 15376
+1- 1
+-1 1
+.names 15376 15377
+1 1
+.names 15377 15378
+0 1
+.names 15375 15378 15379
+11 1
+.names 15663 15665 15380
+1- 1
+-1 1
+.names 15380 15381
+1 1
+.names 15381 15382
+0 1
+.names 15440 15442 15383
+11 1
+.names 15383 15384
+1 1
+.names 15384 15385
+0 1
+.names 15390 15391 15386
+11 1
+.names 15386 15387
+1 1
+.names 15514 15515 15388
+1- 1
+-1 1
+.names 15388 15389
+1 1
+.names 15389 15390
+0 1
+.names 15314 15391
+0 1
+.names 1022 1021 15392
+01 1
+10 1
+.names 15392 15393
+1 1
+.names 15393 15394
+0 1
+.names 15197 15395
+0 1
+.names 15395 15396
+1 1
+.names 15396 15397
+0 1
+.names 15400 15398
+0 1
+.names 15398 15399
+1 1
+.names 1012 1011 15400
+01 1
+10 1
+.names 15408 15411 15401
+1- 1
+-1 1
+.names 15401 15402
+1 1
+.names 1008 15403
+0 1
+.names 15403 15404
+1 1
+.names 15404 15405
+0 1
+.names 15278 15406
+1 1
+.names 15406 15407
+0 1
+.names 15405 15407 15408
+11 1
+.names 15591 15619 15409
+1- 1
+-1 1
+.names 15409 15410
+1 1
+.names 15410 15411
+0 1
+.names 15494 15412
+0 1
+.names 15412 15413
+1 1
+.names 15413 15414
+0 1
+.names 15245 15415
+0 1
+.names 15414 15415 15416
+11 1
+.names 1024 15417
+0 1
+.names 15417 15418
+1 1
+.names 15418 15419
+0 1
+.names 15413 15419 15420
+11 1
+.names 15423 15421
+0 1
+.names 15421 15422
+1 1
+.names 1014 1013 15423
+01 1
+10 1
+.names 15422 15424
+1 1
+.names 15432 15435 15425
+1- 1
+-1 1
+.names 15425 15426
+1 1
+.names 15424 15427
+0 1
+.names 15539 15428
+0 1
+.names 15428 15429
+1 1
+.names 15429 15430
+0 1
+.names 15427 15430 15431
+1- 1
+-1 1
+.names 15431 15171 15432
+1- 1
+-1 1
+.names 15496 15433
+0 1
+.names 15433 15434
+1 1
+.names 15434 15435
+0 1
+.names 15457 15436
+0 1
+.names 15436 15437
+1 1
+.names 15426 15438
+0 1
+.names 15438 15439
+1 1
+.names 15437 15439 15440
+11 1
+.names 15745 15460 15441
+11 1
+.names 15441 15442
+1 1
+.names 15445 15443
+0 1
+.names 15443 15444
+1 1
+.names 1016 1015 15445
+01 1
+10 1
+.names 15448 15446
+0 1
+.names 15446 15447
+1 1
+.names 1018 1017 15448
+01 1
+10 1
+.names 1020 1019 15449
+01 1
+10 1
+.names 15449 15450
+1 1
+.names 15455 15436 15451
+11 1
+.names 15451 15452
+1 1
+.names 15538 15430 15453
+1- 1
+-1 1
+.names 15453 15454
+1 1
+.names 15454 15455
+0 1
+.names 15510 15511 15456
+1- 1
+-1 1
+.names 15456 15457
+1 1
+.names 15399 15458
+0 1
+.names 15458 15459
+1 1
+.names 15459 15460
+0 1
+.names 1018 15461
+0 1
+.names 15460 15461 15462
+11 1
+.names 15658 15463
+0 1
+.names 15463 15464
+1 1
+.names 15464 15465
+0 1
+.names 15459 15465 15466
+11 1
+.names 15459 15467
+1 1
+.names 15452 15337 15468
+11 1
+.names 15339 15469
+0 1
+.names 15469 15470
+1 1
+.names 1005 15471
+0 1
+.names 15471 15472
+1 1
+.names 1013 15473
+0 1
+.names 15473 15474
+1 1
+.names 15474 15475
+0 1
+.names 15437 15476
+0 1
+.names 15439 15477
+0 1
+.names 15476 15477 15478
+1- 1
+-1 1
+.names 15337 15479
+0 1
+.names 15484 15485 15480
+1- 1
+-1 1
+.names 15480 15481
+1 1
+.names 15444 15482
+0 1
+.names 1016 15483
+1 1
+.names 15482 15483 15484
+11 1
+.names 15444 1019 15485
+11 1
+.names 15427 15170 15486
+1- 1
+-1 1
+.names 15168 15487
+0 1
+.names 1001 15488
+0 1
+.names 15488 15489
+1 1
+.names 15273 15490
+0 1
+.names 15490 15491
+1 1
+.names 15491 15492
+0 1
+.names 15402 15493
+0 1
+.names 15433 15494
+1 1
+.names 1024 1023 15495
+01 1
+10 1
+.names 15495 15496
+1 1
+.names 15240 15521 15497
+1- 1
+-1 1
+.names 15497 15498
+1 1
+.names 15498 15499
+0 1
+.names 15524 15521 15500
+1- 1
+-1 1
+.names 15500 15501
+1 1
+.names 15501 15502
+0 1
+.names 15499 15502 15503
+1- 1
+-1 1
+.names 15621 15622 15504
+11 1
+.names 15504 15505
+1 1
+.names 15505 15506
+0 1
+.names 15450 15507
+0 1
+.names 15507 15508
+1 1
+.names 15508 15509
+0 1
+.names 15509 15482 15510
+1- 1
+-1 1
+.names 15447 15511
+0 1
+.names 15467 15476 15512
+1- 1
+-1 1
+.names 15512 15513
+1 1
+.names 15414 15415 15514
+11 1
+.names 15413 15419 15515
+11 1
+.names 15519 15520 15516
+1- 1
+-1 1
+.names 15516 15517
+1 1
+.names 1025 15518
+0 1
+.names 15518 15519
+1 1
+.names 15341 15520
+0 1
+.names 15352 15521
+0 1
+.names 15517 15522
+0 1
+.names 15522 15523
+1 1
+.names 15523 15524
+0 1
+.names 15387 15525
+0 1
+.names 15231 15525 15526
+1- 1
+-1 1
+.names 15394 15397 15527
+11 1
+.names 15527 15528
+1 1
+.names 1003 15529
+0 1
+.names 15529 15530
+1 1
+.names 15530 15531
+0 1
+.names 15394 15531 15532
+11 1
+.names 1021 15533
+0 1
+.names 15533 15534
+1 1
+.names 15534 15535
+0 1
+.names 15393 15535 15536
+11 1
+.names 15427 15435 15537
+1- 1
+-1 1
+.names 15537 15171 15538
+1- 1
+-1 1
+.names 996 997 15539
+01 1
+10 1
+.names 2413 15540
+1 1
+.names 2413 15541
+1 1
+.names 15670 15674 15542
+1- 1
+-1 1
+.names 15542 15543
+1 1
+.names 2413 15544
+1 1
+.names 2413 15549 15545
+1- 1
+-1 1
+.names 15545 15546
+1 1
+.names 15547
+.names 15547 15548
+1 1
+.names 15548 15549
+0 1
+.names 15558 15561 15550
+1- 1
+-1 1
+.names 15550 15551
+1 1
+.names 15566 15552
+0 1
+.names 15552 15553
+1 1
+.names 15553 15554
+0 1
+.names 2413 15734 15555
+1- 1
+-1 1
+.names 15555 15556
+1 1
+.names 15556 15557
+0 1
+.names 15554 15557 15558
+11 1
+.names 2413 15559
+1 1
+.names 15559 15560
+0 1
+.names 15553 15560 15561
+11 1
+.names 1783 15631 15562
+01 1
+10 1
+.names 15562 15563
+1 1
+.names 15563 15564
+0 1
+.names 1783 15632 15565
+01 1
+10 1
+.names 15565 15566
+1 1
+.names 15570 15567
+0 1
+.names 15567 15568
+1 1
+.names 2413 15569
+1 1
+.names 15569 1783 15570
+01 1
+10 1
+.names 15568 15571
+0 1
+.names 15571 15572
+1 1
+.names 15549 15573
+1 1
+.names 15544 1783 15574
+01 1
+10 1
+.names 15282 15575
+0 1
+.names 15575 15576
+1 1
+.names 15577
+.names 15577 15578
+1 1
+.names 15578 15579
+0 1
+.names 15579 15580
+1 1
+.names 15584 15581
+0 1
+.names 15581 15582
+1 1
+.names 15624 15583
+0 1
+.names 15583 15584
+1 1
+.names 15661 15740 15585
+11 1
+.names 15585 15586
+1 1
+.names 15589 1783 15587
+01 1
+10 1
+.names 15587 15588
+1 1
+.names 2413 15589
+1 1
+.names 15602 15605 15590
+1- 1
+-1 1
+.names 15590 15591
+1 1
+.names 15636 15572 15592
+11 1
+.names 15592 15593
+1 1
+.names 15593 15594
+0 1
+.names 15640 15573 15595
+11 1
+.names 15595 15596
+1 1
+.names 15596 15597
+0 1
+.names 15594 15597 15598
+1- 1
+-1 1
+.names 15650 15580 15599
+11 1
+.names 15599 15600
+1 1
+.names 15600 15601
+0 1
+.names 15598 15601 15602
+1- 1
+-1 1
+.names 15656 15653 15603
+11 1
+.names 15603 15604
+1 1
+.names 15604 15605
+0 1
+.names 15629 15581 15606
+1- 1
+-1 1
+.names 15606 15607
+1 1
+.names 15582 15608
+0 1
+.names 15687 15688 15609
+11 1
+.names 15609 15610
+1 1
+.names 15610 15611
+0 1
+.names 15608 15611 15612
+11 1
+.names 2413 15613
+1 1
+.names 15613 15614
+0 1
+.names 15582 15614 15615
+11 1
+.names 15411 15616
+1 1
+.names 15696 15698 15617
+1- 1
+-1 1
+.names 15617 15618
+1 1
+.names 15618 15619
+0 1
+.names 15513 15620
+0 1
+.names 15620 15209 15621
+11 1
+.names 15616 15622
+0 1
+.names 2413 15623
+1 1
+.names 15624
+.names 15568 15548 15625
+1- 1
+-1 1
+.names 15655 15626
+0 1
+.names 15626 15627
+1 1
+.names 15627 15628
+0 1
+.names 15625 15628 15629
+1- 1
+-1 1
+.names 15564 15630
+1 1
+.names 2413 15631
+1 1
+.names 2413 15632
+1 1
+.names 2413 15633
+1 1
+.names 1783 15633 15634
+01 1
+10 1
+.names 15634 15635
+1 1
+.names 15635 15584 15636
+11 1
+.names 15639 15637
+0 1
+.names 15637 15638
+1 1
+.names 15639
+.names 15576 15638 15640
+11 1
+.names 15644 15641
+0 1
+.names 15641 15642
+1 1
+.names 1783 15645 15643
+01 1
+10 1
+.names 15643 15644
+1 1
+.names 2413 15645
+1 1
+.names 15646
+.names 15646 15647
+1 1
+.names 15647 15648
+0 1
+.names 15648 15649
+1 1
+.names 15649 15644 15650
+11 1
+.names 2413 15651
+1 1
+.names 1783 15651 15652
+01 1
+10 1
+.names 15652 15653
+1 1
+.names 2413 15654
+1 1
+.names 15654 15623 15655
+01 1
+10 1
+.names 15627 15284 15656
+11 1
+.names 1011 15657
+0 1
+.names 15657 15658
+1 1
+.names 15200 15659
+0 1
+.names 15659 15660
+1 1
+.names 15660 15661
+0 1
+.names 15343 15662
+0 1
+.names 15661 15662 15663
+11 1
+.names 15472 15664
+0 1
+.names 15660 15664 15665
+11 1
+.names 15280 15666
+0 1
+.names 15391 15667
+1 1
+.names 15667 15668
+0 1
+.names 15541 15669
+0 1
+.names 15285 15669 15670
+11 1
+.names 15725 15726 15671
+1- 1
+-1 1
+.names 15671 15672
+1 1
+.names 15672 15673
+0 1
+.names 15284 15673 15674
+11 1
+.names 15678 15680 15675
+1- 1
+-1 1
+.names 15675 15676
+1 1
+.names 15546 15677
+0 1
+.names 15571 15677 15678
+11 1
+.names 15569 15679
+0 1
+.names 15568 15679 15680
+11 1
+.names 15683 15686 15681
+11 1
+.names 15681 15682
+1 1
+.names 15654 15683
+0 1
+.names 15702 15703 15684
+1- 1
+-1 1
+.names 15684 15685
+1 1
+.names 15685 15686
+0 1
+.names 15682 15687
+0 1
+.names 15676 15688
+0 1
+.names 15693 15694 15689
+11 1
+.names 15689 15690
+1 1
+.names 15732 15733 15691
+11 1
+.names 15691 15692
+1 1
+.names 15692 15693
+0 1
+.names 15551 15694
+0 1
+.names 15540 15695
+0 1
+.names 15297 15695 15696
+11 1
+.names 15690 15697
+0 1
+.names 15296 15697 15698
+11 1
+.names 15623 15699
+0 1
+.names 15683 15699 15700
+11 1
+.names 15573 15701
+0 1
+.names 15700 15701 15702
+1- 1
+-1 1
+.names 15572 15703
+0 1
+.names 2413 15704
+1 1
+.names 15707 15708 15705
+11 1
+.names 15705 15706
+1 1
+.names 15653 15707
+0 1
+.names 15704 15708
+0 1
+.names 15714 15717 15709
+1- 1
+-1 1
+.names 15709 15710
+1 1
+.names 15642 15711
+0 1
+.names 15737 15736 15712
+11 1
+.names 15712 15713
+1 1
+.names 15711 15713 15714
+11 1
+.names 1783 15653 15715
+11 1
+.names 15715 15716
+1 1
+.names 15642 15716 15717
+11 1
+.names 15710 15718
+0 1
+.names 15706 15719
+0 1
+.names 15722 15723 15720
+1- 1
+-1 1
+.names 15720 15721
+1 1
+.names 15579 1783 15722
+11 1
+.names 15578 1783 15723
+11 1
+.names 15721 15724
+0 1
+.names 15575 15724 15725
+11 1
+.names 15282 2413 15726
+11 1
+.names 2413 15727
+1 1
+.names 15730 15731 15728
+1- 1
+-1 1
+.names 15728 15729
+1 1
+.names 15552 15292 15730
+1- 1
+-1 1
+.names 15630 15731
+0 1
+.names 15729 15732
+0 1
+.names 15727 15733
+0 1
+.names 15589 15734
+0 1
+.names 2413 15735
+1 1
+.names 15735 15736
+1 1
+.names 15653 1783 15737
+11 1
+.names 15511 15738
+1 1
+.names 15738 15739
+0 1
+.names 15305 15740
+0 1
+.names 15740 15661 15741
+11 1
+.names 15741 15742
+1 1
+.names 15742 15743
+0 1
+.names 15528 15744
+0 1
+.names 15743 15744 15745
+1- 1
+-1 1
+.names 15176 15746
+0 1
+.names 15426 15746 15747
+1- 1
+-1 1
+.names 15169 15750 15748
+1- 1
+-1 1
+.names 15748 15749
+1 1
+.names 1028 15750
+0 1
+.names 15754 15751
+0 1
+.names 15751 15752
+1 1
+.names 15777 15753
+0 1
+.names 15753 15754
+1 1
+.names 15759 15762 15755
+1- 1
+-1 1
+.names 15755 15756
+1 1
+.names 15769 15773 15757
+1- 1
+-1 1
+.names 15757 15758
+1 1
+.names 15758 15759
+0 1
+.names 15812 15763 15760
+1- 1
+-1 1
+.names 15760 15761
+1 1
+.names 15761 15762
+0 1
+.names 15775 15763
+0 1
+.names 15763 15764
+1 1
+.names 15764 15765
+0 1
+.names 1027 15766
+0 1
+.names 15766 15767
+1 1
+.names 15767 15768
+0 1
+.names 15765 15768 15769
+11 1
+.names 999 15770
+0 1
+.names 15770 15771
+1 1
+.names 15771 15772
+0 1
+.names 15764 15772 15773
+11 1
+.names 15776 15774
+0 1
+.names 15774 15775
+1 1
+.names 999 1029 15776
+01 1
+10 1
+.names 1030 1000 15777
+01 1
+10 1
+.names 15780 15778
+0 1
+.names 15778 15779
+1 1
+.names 1031 1002 15780
+01 1
+10 1
+.names 15783 15781
+0 1
+.names 15781 15782
+1 1
+.names 1032 1004 15783
+01 1
+10 1
+.names 15787 15784
+0 1
+.names 15784 15785
+1 1
+.names 15839 15842 15786
+1- 1
+-1 1
+.names 15786 15787
+1 1
+.names 15790 15793 15788
+1- 1
+-1 1
+.names 15788 15789
+1 1
+.names 15785 15790
+0 1
+.names 1033 1007 15791
+01 1
+10 1
+.names 15791 15792
+1 1
+.names 15792 15793
+0 1
+.names 15798 15801 15794
+11 1
+.names 15794 15795
+1 1
+.names 15806 15810 15796
+1- 1
+-1 1
+.names 15796 15797
+1 1
+.names 15797 15798
+0 1
+.names 16089 15799
+0 1
+.names 15799 15800
+1 1
+.names 15800 15801
+0 1
+.names 15752 15802
+0 1
+.names 15873 15874 15803
+1- 1
+-1 1
+.names 15803 15804
+1 1
+.names 15804 15805
+0 1
+.names 15802 15805 15806
+11 1
+.names 16310 15872 15807
+1- 1
+-1 1
+.names 15807 15808
+1 1
+.names 15808 15809
+0 1
+.names 15752 15809 15810
+11 1
+.names 1027 1043 15811
+01 1
+10 1
+.names 15811 15812
+1 1
+.names 15816 15819 15813
+1- 1
+-1 1
+.names 15813 15814
+1 1
+.names 1033 1007 15815
+01 1
+10 1
+.names 15815 15816
+1 1
+.names 16007 16009 15817
+11 1
+.names 15817 15818
+1 1
+.names 15818 15819
+0 1
+.names 15827 15830 15820
+1- 1
+-1 1
+.names 15820 15821
+1 1
+.names 16159 15822
+0 1
+.names 15822 15823
+1 1
+.names 16130 1783 15824
+01 1
+10 1
+.names 15824 15825
+1 1
+.names 15825 15826
+0 1
+.names 15823 15826 15827
+1- 1
+-1 1
+.names 16272 16164 15828
+11 1
+.names 15828 15829
+1 1
+.names 15829 15830
+0 1
+.names 16134 16137 15831
+1- 1
+-1 1
+.names 15831 15832
+1 1
+.names 16177 15833
+0 1
+.names 15833 15834
+1 1
+.names 15832 15834 15835
+1- 1
+-1 1
+.names 16129 1783 15836
+01 1
+10 1
+.names 15836 15837
+1 1
+.names 15837 15838
+0 1
+.names 15835 15838 15839
+1- 1
+-1 1
+.names 16180 15840
+0 1
+.names 15840 15841
+1 1
+.names 15841 15842
+0 1
+.names 15850 15853 15843
+1- 1
+-1 1
+.names 15843 15844
+1 1
+.names 15855 15845
+0 1
+.names 15845 15846
+1 1
+.names 15893 15896 15847
+11 1
+.names 15847 15848
+1 1
+.names 15848 15849
+0 1
+.names 15846 15849 15850
+1- 1
+-1 1
+.names 15782 15898 15851
+11 1
+.names 15851 15852
+1 1
+.names 15852 15853
+0 1
+.names 15856 15854
+0 1
+.names 15854 15855
+1 1
+.names 1034 1009 15856
+01 1
+10 1
+.names 15844 15857
+0 1
+.names 15857 15858
+1 1
+.names 15787 15862 15859
+1- 1
+-1 1
+.names 15859 15860
+1 1
+.names 1026 1042 15861
+01 1
+10 1
+.names 15861 15862
+1 1
+.names 15866 15863
+0 1
+.names 15863 15864
+1 1
+.names 16021 16022 15865
+1- 1
+-1 1
+.names 15865 15866
+1 1
+.names 15814 15867
+0 1
+.names 1023 15868
+0 1
+.names 15868 15869
+1 1
+.names 15901 15870
+1 1
+.names 15870 15871
+1 1
+.names 15871 15872
+0 1
+.names 15169 15872 15873
+1- 1
+-1 1
+.names 15749 15874
+0 1
+.names 15878 15879 15875
+1- 1
+-1 1
+.names 15875 15876
+1 1
+.names 1026 15877
+0 1
+.names 15877 15878
+1 1
+.names 15862 15879
+0 1
+.names 15884 15886 15880
+1- 1
+-1 1
+.names 15880 15881
+1 1
+.names 15876 15882
+0 1
+.names 15882 15883
+1 1
+.names 15883 15884
+0 1
+.names 15863 15885
+1 1
+.names 15885 15886
+0 1
+.names 15890 15887
+0 1
+.names 15887 15888
+1 1
+.names 16102 15907 15889
+1- 1
+-1 1
+.names 15889 15890
+1 1
+.names 1022 1040 15891
+01 1
+10 1
+.names 15891 15892
+1 1
+.names 15892 15893
+0 1
+.names 15779 15894
+0 1
+.names 15894 15895
+1 1
+.names 15895 15896
+0 1
+.names 15899 15897
+0 1
+.names 15897 15898
+1 1
+.names 1012 1035 15899
+01 1
+10 1
+.names 15819 15900
+1 1
+.names 15902 15901
+0 1
+.names 1014 1036 15902
+01 1
+10 1
+.names 15909 15912 15903
+1- 1
+-1 1
+.names 15903 15904
+1 1
+.names 16107 15905
+0 1
+.names 15905 15906
+1 1
+.names 15906 15907
+0 1
+.names 15872 15907 15908
+1- 1
+-1 1
+.names 15908 15751 15909
+1- 1
+-1 1
+.names 16083 15910
+0 1
+.names 15910 15911
+1 1
+.names 15911 15912
+0 1
+.names 15919 15921 15913
+11 1
+.names 15913 15914
+1 1
+.names 15967 15915
+0 1
+.names 15915 15916
+1 1
+.names 15904 15917
+0 1
+.names 15917 15918
+1 1
+.names 15916 15918 15919
+11 1
+.names 16340 16341 15920
+11 1
+.names 15920 15921
+1 1
+.names 15932 15784 15922
+11 1
+.names 15922 15923
+1 1
+.names 15939 15942 15924
+11 1
+.names 15924 15925
+1 1
+.names 15925 15926
+0 1
+.names 16069 16070 15927
+1- 1
+-1 1
+.names 15927 15928
+1 1
+.names 15928 15929
+0 1
+.names 15926 15929 15930
+1- 1
+-1 1
+.names 15881 15931
+0 1
+.names 15930 15931 15932
+1- 1
+-1 1
+.names 16019 15933
+0 1
+.names 15933 15934
+1 1
+.names 15934 15935
+0 1
+.names 16005 16006 15936
+1- 1
+-1 1
+.names 15936 15937
+1 1
+.names 15937 15938
+0 1
+.names 15935 15938 15939
+1- 1
+-1 1
+.names 16085 16087 15940
+1- 1
+-1 1
+.names 15940 15941
+1 1
+.names 15941 15942
+0 1
+.names 15947 15938 15943
+11 1
+.names 15943 15944
+1 1
+.names 16282 16283 15945
+1- 1
+-1 1
+.names 15945 15946
+1 1
+.names 15946 15947
+0 1
+.names 15952 15955 15948
+11 1
+.names 15948 15949
+1 1
+.names 16106 15931 15950
+1- 1
+-1 1
+.names 15950 15951
+1 1
+.names 15951 15952
+0 1
+.names 16080 16081 15953
+1- 1
+-1 1
+.names 15953 15954
+1 1
+.names 15954 15955
+0 1
+.names 15958 15956
+0 1
+.names 15956 15957
+1 1
+.names 1037 1015 15958
+01 1
+10 1
+.names 15961 15959
+0 1
+.names 15959 15960
+1 1
+.names 1038 1017 15961
+01 1
+10 1
+.names 1020 1039 15962
+01 1
+10 1
+.names 15962 15963
+1 1
+.names 15887 15915 15964
+11 1
+.names 15964 15965
+1 1
+.names 16054 15978 15966
+1- 1
+-1 1
+.names 15966 15967
+1 1
+.names 1015 15968
+1 1
+.names 15973 15975 15969
+1- 1
+-1 1
+.names 15969 15970
+1 1
+.names 15957 15971
+0 1
+.names 15968 15972
+0 1
+.names 15971 15972 15973
+11 1
+.names 1020 15974
+0 1
+.names 15957 15974 15975
+11 1
+.names 15982 15986 15976
+1- 1
+-1 1
+.names 15976 15977
+1 1
+.names 15960 15978
+0 1
+.names 15978 15979
+1 1
+.names 15979 15980
+0 1
+.names 15970 15981
+0 1
+.names 15980 15981 15982
+11 1
+.names 1017 15983
+0 1
+.names 15983 15984
+1 1
+.names 15984 15985
+0 1
+.names 15979 15985 15986
+11 1
+.names 15989 15987
+1 1
+.names 15987 15988
+1 1
+.names 15898 15989
+0 1
+.names 1012 15990
+0 1
+.names 15990 15991
+1 1
+.names 15994 15995 15992
+11 1
+.names 15992 15993
+1 1
+.names 15916 15994
+0 1
+.names 15988 15995
+0 1
+.names 15998 15999 15996
+1- 1
+-1 1
+.names 15996 15997
+1 1
+.names 15977 15998
+0 1
+.names 15993 15999
+0 1
+.names 15995 16004 16000
+1- 1
+-1 1
+.names 16000 16001
+1 1
+.names 15991 16002
+0 1
+.names 16002 16003
+1 1
+.names 16003 16004
+0 1
+.names 15997 16005
+0 1
+.names 16001 16006
+0 1
+.names 15965 15858 16007
+11 1
+.names 15860 16008
+0 1
+.names 16008 16009
+1 1
+.names 16013 16016 16010
+11 1
+.names 16010 16011
+1 1
+.names 15867 16012
+1 1
+.names 16012 16013
+0 1
+.names 16172 16173 16014
+1- 1
+-1 1
+.names 16014 16015
+1 1
+.names 16015 16016
+0 1
+.names 1014 16017
+1 1
+.names 15888 16018
+0 1
+.names 16018 16019
+1 1
+.names 15918 16020
+0 1
+.names 15994 16020 16021
+1- 1
+-1 1
+.names 15858 16022
+0 1
+.names 16031 16034 16023
+11 1
+.names 16023 16024
+1 1
+.names 16169 16025
+0 1
+.names 16025 16026
+1 1
+.names 16026 16027
+0 1
+.names 15900 16028
+0 1
+.names 16028 16029
+1 1
+.names 16029 16030
+0 1
+.names 16027 16030 16031
+1- 1
+-1 1
+.names 16114 16117 16032
+1- 1
+-1 1
+.names 16032 16033
+1 1
+.names 16033 16034
+0 1
+.names 16037 16038 16035
+1- 1
+-1 1
+.names 16035 16036
+1 1
+.names 16024 16037
+0 1
+.names 16011 16038
+0 1
+.names 16036 16039
+0 1
+.names 16039 16040
+1 1
+.names 16040 16041
+0 1
+.names 15923 16042
+0 1
+.names 16042 16043
+1 1
+.names 16043 16044
+0 1
+.names 16041 16044 16045
+1- 1
+-1 1
+.names 15949 16046
+0 1
+.names 16049 16050 16047
+1- 1
+-1 1
+.names 16047 16048
+1 1
+.names 15912 15869 16049
+11 1
+.names 15911 15795 16050
+11 1
+.names 15963 16051
+0 1
+.names 16051 16052
+1 1
+.names 16052 16053
+0 1
+.names 16053 15971 16054
+1- 1
+-1 1
+.names 16063 16066 16055
+1- 1
+-1 1
+.names 16055 16056
+1 1
+.names 16175 16057
+0 1
+.names 16057 16058
+1 1
+.names 16058 16059
+0 1
+.names 16096 16100 16060
+1- 1
+-1 1
+.names 16060 16061
+1 1
+.names 16061 16062
+0 1
+.names 16059 16062 16063
+11 1
+.names 16252 16254 16064
+1- 1
+-1 1
+.names 16064 16065
+1 1
+.names 16065 16066
+0 1
+.names 16056 16067
+0 1
+.names 16067 16068
+1 1
+.names 16068 16069
+0 1
+.names 15914 16070
+0 1
+.names 16074 16076 16071
+11 1
+.names 16071 16072
+1 1
+.names 16180 16242 16073
+1- 1
+-1 1
+.names 16073 16074
+1 1
+.names 16222 16223 16075
+1- 1
+-1 1
+.names 16075 16076
+1 1
+.names 15944 16077
+0 1
+.names 16077 15929 16078
+1- 1
+-1 1
+.names 16048 16079
+0 1
+.names 16078 16079 16080
+1- 1
+-1 1
+.names 16072 16081
+0 1
+.names 1041 1023 16082
+01 1
+10 1
+.names 16082 16083
+1 1
+.names 15795 16084
+0 1
+.names 15910 16084 16085
+11 1
+.names 15869 16086
+0 1
+.names 16083 16086 16087
+11 1
+.names 15871 16090 16088
+1- 1
+-1 1
+.names 16088 16089
+1 1
+.names 16017 16090
+0 1
+.names 15893 15896 16091
+11 1
+.names 16091 16092
+1 1
+.names 1002 16093
+0 1
+.names 16093 16094
+1 1
+.names 16094 16095
+0 1
+.names 15893 16095 16096
+11 1
+.names 1022 16097
+0 1
+.names 16097 16098
+1 1
+.names 16098 16099
+0 1
+.names 15892 16099 16100
+11 1
+.names 15872 15912 16101
+1- 1
+-1 1
+.names 16101 15751 16102
+1- 1
+-1 1
+.names 15789 16105 16103
+1- 1
+-1 1
+.names 16103 16104
+1 1
+.names 15864 16105
+0 1
+.names 16104 16106
+0 1
+.names 996 1028 16107
+01 1
+10 1
+.names 16167 16108
+0 1
+.names 16108 16109
+1 1
+.names 16109 16110
+0 1
+.names 16212 16213 16111
+11 1
+.names 16111 16112
+1 1
+.names 16112 16113
+0 1
+.names 16110 16113 16114
+11 1
+.names 2413 16115
+1 1
+.names 16115 16116
+0 1
+.names 16109 16116 16117
+11 1
+.names 16034 16118
+1 1
+.names 16126 16128 16119
+1- 1
+-1 1
+.names 16119 16120
+1 1
+.names 16262 16121
+0 1
+.names 16121 16122
+1 1
+.names 16122 16123
+0 1
+.names 16324 16325 16124
+11 1
+.names 16124 16125
+1 1
+.names 16123 16125 16126
+11 1
+.names 2413 16127
+1 1
+.names 16122 16127 16128
+11 1
+.names 2413 16129
+1 1
+.names 2413 16130
+1 1
+.names 2413 16131
+1 1
+.names 1783 16256 16132
+01 1
+10 1
+.names 16132 16133
+1 1
+.names 16133 16134
+0 1
+.names 1783 16146 16135
+01 1
+10 1
+.names 16135 16136
+1 1
+.names 16136 16137
+0 1
+.names 16145 16148 16138
+1- 1
+-1 1
+.names 16138 16139
+1 1
+.names 16137 16140
+1 1
+.names 16140 16141
+0 1
+.names 2413 16331 16142
+1- 1
+-1 1
+.names 16142 16143
+1 1
+.names 16143 16144
+0 1
+.names 16141 16144 16145
+11 1
+.names 2413 16146
+1 1
+.names 16146 16147
+0 1
+.names 16140 16147 16148
+11 1
+.names 16152 16149
+0 1
+.names 16149 16150
+1 1
+.names 2413 16151
+1 1
+.names 16151 1783 16152
+01 1
+10 1
+.names 16150 16153
+0 1
+.names 16153 16154
+1 1
+.names 16155
+.names 16155 16156
+1 1
+.names 16156 16157
+0 1
+.names 16157 16158
+1 1
+.names 16131 1783 16159
+01 1
+10 1
+.names 15823 16160
+0 1
+.names 16160 16161
+1 1
+.names 16162
+.names 16162 16163
+1 1
+.names 16163 16164
+0 1
+.names 16164 16165
+1 1
+.names 16214 16166
+0 1
+.names 16166 16167
+1 1
+.names 1007 16168
+0 1
+.names 16168 16169
+1 1
+.names 16231 16232 16170
+1- 1
+-1 1
+.names 16170 16171
+1 1
+.names 16171 16172
+0 1
+.names 16074 16173
+0 1
+.names 16250 16335 16174
+11 1
+.names 16174 16175
+1 1
+.names 16178 1783 16176
+01 1
+10 1
+.names 16176 16177
+1 1
+.names 2413 16178
+1 1
+.names 16191 16194 16179
+1- 1
+-1 1
+.names 16179 16180
+1 1
+.names 16260 16154 16181
+11 1
+.names 16181 16182
+1 1
+.names 16182 16183
+0 1
+.names 16264 16158 16184
+11 1
+.names 16184 16185
+1 1
+.names 16185 16186
+0 1
+.names 16183 16186 16187
+1- 1
+-1 1
+.names 16274 16165 16188
+11 1
+.names 16188 16189
+1 1
+.names 16189 16190
+0 1
+.names 16187 16190 16191
+1- 1
+-1 1
+.names 16280 16277 16192
+11 1
+.names 16192 16193
+1 1
+.names 16193 16194
+0 1
+.names 16198 16195
+0 1
+.names 16195 16196
+1 1
+.names 16219 16108 16197
+1- 1
+-1 1
+.names 16197 16198
+1 1
+.names 2413 16199
+1 1
+.names 16204 16205 16200
+11 1
+.names 16200 16201
+1 1
+.names 16287 16288 16202
+1- 1
+-1 1
+.names 16202 16203
+1 1
+.names 16203 16204
+0 1
+.names 16199 16205
+0 1
+.names 16210 16211 16206
+1- 1
+-1 1
+.names 16206 16207
+1 1
+.names 1783 16156 16208
+11 1
+.names 16208 16209
+1 1
+.names 16153 16209 16210
+11 1
+.names 16150 1783 16211
+11 1
+.names 16207 16212
+0 1
+.names 16201 16213
+0 1
+.names 16214
+.names 16150 16156 16215
+1- 1
+-1 1
+.names 16279 16216
+0 1
+.names 16216 16217
+1 1
+.names 16217 16218
+0 1
+.names 16215 16218 16219
+1- 1
+-1 1
+.names 16298 16300 16220
+1- 1
+-1 1
+.names 16220 16221
+1 1
+.names 16221 16222
+0 1
+.names 15821 16223
+0 1
+.names 16076 16224
+0 1
+.names 16224 16225
+1 1
+.names 16228 16223 16226
+11 1
+.names 16226 16227
+1 1
+.names 16120 16228
+0 1
+.names 16227 16229
+0 1
+.names 16225 16230
+0 1
+.names 16229 16230 16231
+11 1
+.names 16196 16232
+0 1
+.names 2413 16233
+1 1
+.names 16237 16241 16234
+1- 1
+-1 1
+.names 16234 16235
+1 1
+.names 16233 16236
+0 1
+.names 15838 16236 16237
+11 1
+.names 16307 16308 16238
+11 1
+.names 16238 16239
+1 1
+.names 16239 16240
+0 1
+.names 15837 16240 16241
+11 1
+.names 16235 16242
+0 1
+.names 1004 16243
+0 1
+.names 16243 16244
+1 1
+.names 16247 15845 16245
+11 1
+.names 16245 16246
+1 1
+.names 1009 16247
+0 1
+.names 15782 16248
+0 1
+.names 16248 16249
+1 1
+.names 16249 16250
+0 1
+.names 16246 16251
+0 1
+.names 16250 16251 16252
+11 1
+.names 16244 16253
+0 1
+.names 16249 16253 16254
+11 1
+.names 16134 16255
+1 1
+.names 2413 16256
+1 1
+.names 2413 16257
+1 1
+.names 1783 16257 16258
+01 1
+10 1
+.names 16258 16259
+1 1
+.names 16259 16167 16260
+11 1
+.names 16263 16261
+0 1
+.names 16261 16262
+1 1
+.names 16263
+.names 16161 16262 16264
+11 1
+.names 16268 16265
+0 1
+.names 16265 16266
+1 1
+.names 1783 16269 16267
+01 1
+10 1
+.names 16267 16268
+1 1
+.names 2413 16269
+1 1
+.names 16270
+.names 16270 16271
+1 1
+.names 16271 16272
+0 1
+.names 16272 16273
+1 1
+.names 16273 16268 16274
+11 1
+.names 2413 16275
+1 1
+.names 1783 16275 16276
+01 1
+10 1
+.names 16276 16277
+1 1
+.names 2413 16278
+1 1
+.names 16278 16199 16279
+01 1
+10 1
+.names 16217 15825 16280
+11 1
+.names 15756 16281
+0 1
+.names 16228 16281 16282
+1- 1
+-1 1
+.names 16118 16283
+0 1
+.names 16278 16284
+0 1
+.names 16284 16205 16285
+11 1
+.names 16158 16286
+0 1
+.names 16285 16286 16287
+1- 1
+-1 1
+.names 16154 16288
+0 1
+.names 16294 16295 16289
+1- 1
+-1 1
+.names 16289 16290
+1 1
+.names 16328 16329 16291
+1- 1
+-1 1
+.names 16291 16292
+1 1
+.names 16292 16293
+0 1
+.names 16160 16293 16294
+11 1
+.names 15823 2413 16295
+11 1
+.names 2413 16296
+1 1
+.names 16296 16297
+0 1
+.names 15826 16297 16298
+11 1
+.names 16290 16299
+0 1
+.names 15825 16299 16300
+11 1
+.names 16303 16306 16301
+11 1
+.names 16301 16302
+1 1
+.names 16256 16303
+0 1
+.names 16326 16327 16304
+1- 1
+-1 1
+.names 16304 16305
+1 1
+.names 16305 16306
+0 1
+.names 16302 16307
+0 1
+.names 16139 16308
+0 1
+.names 1000 16309
+0 1
+.names 16309 16310
+1 1
+.names 16313 16314 16311
+11 1
+.names 16311 16312
+1 1
+.names 16277 16313
+0 1
+.names 16275 16314
+0 1
+.names 16320 16323 16315
+1- 1
+-1 1
+.names 16315 16316
+1 1
+.names 16266 16317
+0 1
+.names 16334 16333 16318
+11 1
+.names 16318 16319
+1 1
+.names 16317 16319 16320
+11 1
+.names 1783 16277 16321
+11 1
+.names 16321 16322
+1 1
+.names 16266 16322 16323
+11 1
+.names 16316 16324
+0 1
+.names 16312 16325
+0 1
+.names 16137 15833 16326
+1- 1
+-1 1
+.names 16255 16327
+0 1
+.names 16164 1783 16328
+11 1
+.names 16163 1783 16329
+11 1
+.names 2413 16330
+1 1
+.names 16330 16331
+0 1
+.names 2413 16332
+1 1
+.names 16332 16333
+1 1
+.names 16277 1783 16334
+11 1
+.names 15846 16335
+0 1
+.names 16335 16250 16336
+11 1
+.names 16336 16337
+1 1
+.names 16337 16338
+0 1
+.names 16092 16339
+0 1
+.names 16338 16339 16340
+1- 1
+-1 1
+.names 15987 16341
+0 1
+.names 15169 16344 16342
+1- 1
+-1 1
+.names 16342 16343
+1 1
+.names 1044 16344
+0 1
+.names 16348 16345
+0 1
+.names 16345 16346
+1 1
+.names 16369 16347
+0 1
+.names 16347 16348
+1 1
+.names 16357 16361 16349
+1- 1
+-1 1
+.names 16349 16350
+1 1
+.names 16634 16913 16351
+11 1
+.names 16351 16352
+1 1
+.names 16352 16353
+0 1
+.names 16636 16640 16354
+1- 1
+-1 1
+.names 16354 16355
+1 1
+.names 16355 16356
+0 1
+.names 16353 16356 16357
+11 1
+.names 16655 16358
+0 1
+.names 16358 16359
+1 1
+.names 16359 16360
+0 1
+.names 16352 16360 16361
+11 1
+.names 16365 16362
+0 1
+.names 16362 16363
+1 1
+.names 16786 16789 16364
+1- 1
+-1 1
+.names 16364 16365
+1 1
+.names 16368 16366
+0 1
+.names 16366 16367
+1 1
+.names 999 1045 16368
+01 1
+10 1
+.names 1046 1000 16369
+01 1
+10 1
+.names 16372 16370
+0 1
+.names 16370 16371
+1 1
+.names 1047 1002 16372
+01 1
+10 1
+.names 16375 16373
+0 1
+.names 16373 16374
+1 1
+.names 1048 1004 16375
+01 1
+10 1
+.names 16384 16387 16376
+1- 1
+-1 1
+.names 16376 16377
+1 1
+.names 16840 16842 16378
+11 1
+.names 16378 16379
+1 1
+.names 16379 16380
+0 1
+.names 16717 16454 16381
+11 1
+.names 16381 16382
+1 1
+.names 16382 16383
+0 1
+.names 16380 16383 16384
+11 1
+.names 16781 16385
+0 1
+.names 16385 16386
+1 1
+.names 16386 16387
+0 1
+.names 16391 16388
+0 1
+.names 16388 16389
+1 1
+.names 16472 16475 16390
+1- 1
+-1 1
+.names 16390 16391
+1 1
+.names 16398 16401 16392
+11 1
+.names 16392 16393
+1 1
+.names 16543 16544 16394
+1- 1
+-1 1
+.names 16394 16395
+1 1
+.names 16395 16396
+0 1
+.names 16389 16397
+0 1
+.names 16396 16397 16398
+1- 1
+-1 1
+.names 16402 16403 16399
+1- 1
+-1 1
+.names 16399 16400
+1 1
+.names 16400 16401
+0 1
+.names 16377 16402
+0 1
+.names 16363 16403
+0 1
+.names 16412 16403 16404
+1- 1
+-1 1
+.names 16404 16405
+1 1
+.names 16443 16406
+0 1
+.names 16406 16407
+1 1
+.names 16407 16408
+0 1
+.names 16439 16441 16409
+1- 1
+-1 1
+.names 16409 16410
+1 1
+.names 16410 16411
+0 1
+.names 16408 16411 16412
+11 1
+.names 16397 16417 16413
+1- 1
+-1 1
+.names 16413 16414
+1 1
+.names 1050 1007 16415
+01 1
+10 1
+.names 16415 16416
+1 1
+.names 16416 16417
+0 1
+.names 16422 16425 16418
+11 1
+.names 16418 16419
+1 1
+.names 16430 16434 16420
+1- 1
+-1 1
+.names 16420 16421
+1 1
+.names 16421 16422
+0 1
+.names 16601 16649 16423
+11 1
+.names 16423 16424
+1 1
+.names 16424 16425
+0 1
+.names 16346 16426
+0 1
+.names 16660 16661 16427
+1- 1
+-1 1
+.names 16427 16428
+1 1
+.names 16428 16429
+0 1
+.names 16426 16429 16430
+11 1
+.names 16663 16601 16431
+1- 1
+-1 1
+.names 16431 16432
+1 1
+.names 16432 16433
+0 1
+.names 16346 16433 16434
+11 1
+.names 16367 16435
+0 1
+.names 16435 16436
+1 1
+.names 16436 16437
+0 1
+.names 1049 16438
+0 1
+.names 16437 16438 16439
+11 1
+.names 1045 16440
+0 1
+.names 16436 16440 16441
+11 1
+.names 16445 16435 16442
+1- 1
+-1 1
+.names 16442 16443
+1 1
+.names 1027 1049 16444
+01 1
+10 1
+.names 16444 16445
+1 1
+.names 16449 16452 16446
+1- 1
+-1 1
+.names 16446 16447
+1 1
+.names 1050 1007 16448
+01 1
+10 1
+.names 16448 16449
+1 1
+.names 16642 16644 16450
+11 1
+.names 16450 16451
+1 1
+.names 16451 16452
+0 1
+.names 16460 16463 16453
+1- 1
+-1 1
+.names 16453 16454
+1 1
+.names 16748 16455
+0 1
+.names 16455 16456
+1 1
+.names 16715 1783 16457
+01 1
+10 1
+.names 16457 16458
+1 1
+.names 16458 16459
+0 1
+.names 16456 16459 16460
+1- 1
+-1 1
+.names 16822 16753 16461
+11 1
+.names 16461 16462
+1 1
+.names 16462 16463
+0 1
+.names 16738 16726 16464
+1- 1
+-1 1
+.names 16464 16465
+1 1
+.names 16762 16466
+0 1
+.names 16466 16467
+1 1
+.names 16465 16467 16468
+1- 1
+-1 1
+.names 16714 1783 16469
+01 1
+10 1
+.names 16469 16470
+1 1
+.names 16470 16471
+0 1
+.names 16468 16471 16472
+1- 1
+-1 1
+.names 16765 16473
+0 1
+.names 16473 16474
+1 1
+.names 16474 16475
+0 1
+.names 16483 16486 16476
+1- 1
+-1 1
+.names 16476 16477
+1 1
+.names 16500 16478
+0 1
+.names 16478 16479
+1 1
+.names 16568 16571 16480
+11 1
+.names 16480 16481
+1 1
+.names 16481 16482
+0 1
+.names 16479 16482 16483
+1- 1
+-1 1
+.names 16374 16573 16484
+11 1
+.names 16484 16485
+1 1
+.names 16485 16486
+0 1
+.names 16495 16498 16487
+1- 1
+-1 1
+.names 16487 16488
+1 1
+.names 16812 16489
+0 1
+.names 16489 16490
+1 1
+.names 16490 16491
+0 1
+.names 16892 16893 16492
+11 1
+.names 16492 16493
+1 1
+.names 16493 16494
+0 1
+.names 16491 16494 16495
+11 1
+.names 2413 16496
+1 1
+.names 16496 16497
+0 1
+.names 16490 16497 16498
+11 1
+.names 16501 16499
+0 1
+.names 16499 16500
+1 1
+.names 1051 1009 16501
+01 1
+10 1
+.names 16506 16509 16502
+11 1
+.names 16502 16503
+1 1
+.names 16677 16680 16504
+1- 1
+-1 1
+.names 16504 16505
+1 1
+.names 16505 16506
+0 1
+.names 16700 16542 16507
+1- 1
+-1 1
+.names 16507 16508
+1 1
+.names 16508 16509
+0 1
+.names 16477 16510
+0 1
+.names 16510 16511
+1 1
+.names 16391 16515 16512
+1- 1
+-1 1
+.names 16512 16513
+1 1
+.names 1026 1059 16514
+01 1
+10 1
+.names 16514 16515
+1 1
+.names 16518 16478 16516
+11 1
+.names 16516 16517
+1 1
+.names 1051 16518
+0 1
+.names 16393 16519
+0 1
+.names 16666 16667 16520
+11 1
+.names 16520 16521
+1 1
+.names 16521 16522
+0 1
+.names 16519 16522 16523
+1- 1
+-1 1
+.names 16503 16524
+0 1
+.names 16528 16525
+0 1
+.names 16525 16526
+1 1
+.names 16652 16653 16527
+1- 1
+-1 1
+.names 16527 16528
+1 1
+.names 16532 16529
+0 1
+.names 16529 16530
+1 1
+.names 16590 16594 16531
+1- 1
+-1 1
+.names 16531 16532
+1 1
+.names 16921 16794 16533
+1- 1
+-1 1
+.names 16533 16534
+1 1
+.names 16534 16535
+0 1
+.names 16695 16698 16536
+1- 1
+-1 1
+.names 16536 16537
+1 1
+.names 16537 16538
+0 1
+.names 16535 16538 16539
+1- 1
+-1 1
+.names 16546 16559 16540
+1- 1
+-1 1
+.names 16540 16541
+1 1
+.names 16541 16542
+0 1
+.names 16539 16542 16543
+1- 1
+-1 1
+.names 16530 16544
+0 1
+.names 16553 16556 16545
+1- 1
+-1 1
+.names 16545 16546
+1 1
+.names 16760 16547
+0 1
+.names 16547 16548
+1 1
+.names 16548 16549
+0 1
+.names 16706 16710 16550
+1- 1
+-1 1
+.names 16550 16551
+1 1
+.names 16551 16552
+0 1
+.names 16549 16552 16553
+11 1
+.names 16837 16839 16554
+1- 1
+-1 1
+.names 16554 16555
+1 1
+.names 16555 16556
+0 1
+.names 16614 16616 16557
+11 1
+.names 16557 16558
+1 1
+.names 16558 16559
+0 1
+.names 16564 16565 16560
+11 1
+.names 16560 16561
+1 1
+.names 16688 16689 16562
+1- 1
+-1 1
+.names 16562 16563
+1 1
+.names 16563 16564
+0 1
+.names 16488 16565
+0 1
+.names 1022 1057 16566
+01 1
+10 1
+.names 16566 16567
+1 1
+.names 16567 16568
+0 1
+.names 16371 16569
+0 1
+.names 16569 16570
+1 1
+.names 16570 16571
+0 1
+.names 16574 16572
+0 1
+.names 16572 16573
+1 1
+.names 1012 1052 16574
+01 1
+10 1
+.names 16582 16585 16575
+1- 1
+-1 1
+.names 16575 16576
+1 1
+.names 1050 16577
+0 1
+.names 16577 16578
+1 1
+.names 16578 16579
+0 1
+.names 16452 16580
+1 1
+.names 16580 16581
+0 1
+.names 16579 16581 16582
+11 1
+.names 16765 16793 16583
+1- 1
+-1 1
+.names 16583 16584
+1 1
+.names 16584 16585
+0 1
+.names 16668 16586
+0 1
+.names 16586 16587
+1 1
+.names 16587 16588
+0 1
+.names 16419 16589
+0 1
+.names 16588 16589 16590
+11 1
+.names 1058 16591
+0 1
+.names 16591 16592
+1 1
+.names 16592 16593
+0 1
+.names 16587 16593 16594
+11 1
+.names 16597 16595
+0 1
+.names 16595 16596
+1 1
+.names 1014 1053 16597
+01 1
+10 1
+.names 16596 16598
+1 1
+.names 16606 16609 16599
+1- 1
+-1 1
+.names 16599 16600
+1 1
+.names 16598 16601
+0 1
+.names 16713 16602
+0 1
+.names 16602 16603
+1 1
+.names 16603 16604
+0 1
+.names 16601 16604 16605
+1- 1
+-1 1
+.names 16605 16345 16606
+1- 1
+-1 1
+.names 16670 16607
+0 1
+.names 16607 16608
+1 1
+.names 16608 16609
+0 1
+.names 16631 16610
+0 1
+.names 16610 16611
+1 1
+.names 16600 16612
+0 1
+.names 16612 16613
+1 1
+.names 16611 16613 16614
+11 1
+.names 16919 16634 16615
+11 1
+.names 16615 16616
+1 1
+.names 16619 16617
+0 1
+.names 16617 16618
+1 1
+.names 1054 1015 16619
+01 1
+10 1
+.names 16622 16620
+0 1
+.names 16620 16621
+1 1
+.names 1055 1017 16622
+01 1
+10 1
+.names 1020 1056 16623
+01 1
+10 1
+.names 16623 16624
+1 1
+.names 16629 16610 16625
+11 1
+.names 16625 16626
+1 1
+.names 16712 16604 16627
+1- 1
+-1 1
+.names 16627 16628
+1 1
+.names 16628 16629
+0 1
+.names 16684 16685 16630
+1- 1
+-1 1
+.names 16630 16631
+1 1
+.names 16573 16632
+0 1
+.names 16632 16633
+1 1
+.names 16633 16634
+0 1
+.names 1055 16635
+0 1
+.names 16634 16635 16636
+11 1
+.names 16832 16637
+0 1
+.names 16637 16638
+1 1
+.names 16638 16639
+0 1
+.names 16633 16639 16640
+11 1
+.names 16633 16641
+1 1
+.names 16626 16511 16642
+11 1
+.names 16513 16643
+0 1
+.names 16643 16644
+1 1
+.names 1048 16645
+0 1
+.names 16645 16646
+1 1
+.names 1053 16647
+0 1
+.names 16647 16648
+1 1
+.names 16648 16649
+0 1
+.names 16611 16650
+0 1
+.names 16613 16651
+0 1
+.names 16650 16651 16652
+1- 1
+-1 1
+.names 16511 16653
+0 1
+.names 16658 16659 16654
+1- 1
+-1 1
+.names 16654 16655
+1 1
+.names 16618 16656
+0 1
+.names 1054 16657
+1 1
+.names 16656 16657 16658
+11 1
+.names 16618 1056 16659
+11 1
+.names 16601 16344 16660
+1- 1
+-1 1
+.names 16343 16661
+0 1
+.names 1046 16662
+0 1
+.names 16662 16663
+1 1
+.names 16447 16664
+0 1
+.names 16664 16665
+1 1
+.names 16665 16666
+0 1
+.names 16576 16667
+0 1
+.names 16607 16668
+1 1
+.names 1058 1023 16669
+01 1
+10 1
+.names 16669 16670
+1 1
+.names 16414 16695 16671
+1- 1
+-1 1
+.names 16671 16672
+1 1
+.names 16672 16673
+0 1
+.names 16698 16695 16674
+1- 1
+-1 1
+.names 16674 16675
+1 1
+.names 16675 16676
+0 1
+.names 16673 16676 16677
+1- 1
+-1 1
+.names 16795 16796 16678
+11 1
+.names 16678 16679
+1 1
+.names 16679 16680
+0 1
+.names 16624 16681
+0 1
+.names 16681 16682
+1 1
+.names 16682 16683
+0 1
+.names 16683 16656 16684
+1- 1
+-1 1
+.names 16621 16685
+0 1
+.names 16641 16650 16686
+1- 1
+-1 1
+.names 16686 16687
+1 1
+.names 16588 16589 16688
+11 1
+.names 16587 16593 16689
+11 1
+.names 16693 16694 16690
+1- 1
+-1 1
+.names 16690 16691
+1 1
+.names 1059 16692
+0 1
+.names 16692 16693
+1 1
+.names 16515 16694
+0 1
+.names 16526 16695
+0 1
+.names 16691 16696
+0 1
+.names 16696 16697
+1 1
+.names 16697 16698
+0 1
+.names 16561 16699
+0 1
+.names 16405 16699 16700
+1- 1
+-1 1
+.names 16568 16571 16701
+11 1
+.names 16701 16702
+1 1
+.names 1047 16703
+0 1
+.names 16703 16704
+1 1
+.names 16704 16705
+0 1
+.names 16568 16705 16706
+11 1
+.names 1057 16707
+0 1
+.names 16707 16708
+1 1
+.names 16708 16709
+0 1
+.names 16567 16709 16710
+11 1
+.names 16601 16609 16711
+1- 1
+-1 1
+.names 16711 16345 16712
+1- 1
+-1 1
+.names 996 1044 16713
+01 1
+10 1
+.names 2413 16714
+1 1
+.names 2413 16715
+1 1
+.names 16844 16848 16716
+1- 1
+-1 1
+.names 16716 16717
+1 1
+.names 2413 16718
+1 1
+.names 2413 16723 16719
+1- 1
+-1 1
+.names 16719 16720
+1 1
+.names 16721
+.names 16721 16722
+1 1
+.names 16722 16723
+0 1
+.names 16732 16735 16724
+1- 1
+-1 1
+.names 16724 16725
+1 1
+.names 16740 16726
+0 1
+.names 16726 16727
+1 1
+.names 16727 16728
+0 1
+.names 2413 16908 16729
+1- 1
+-1 1
+.names 16729 16730
+1 1
+.names 16730 16731
+0 1
+.names 16728 16731 16732
+11 1
+.names 2413 16733
+1 1
+.names 16733 16734
+0 1
+.names 16727 16734 16735
+11 1
+.names 1783 16805 16736
+01 1
+10 1
+.names 16736 16737
+1 1
+.names 16737 16738
+0 1
+.names 1783 16806 16739
+01 1
+10 1
+.names 16739 16740
+1 1
+.names 16744 16741
+0 1
+.names 16741 16742
+1 1
+.names 2413 16743
+1 1
+.names 16743 1783 16744
+01 1
+10 1
+.names 16742 16745
+0 1
+.names 16745 16746
+1 1
+.names 16723 16747
+1 1
+.names 16718 1783 16748
+01 1
+10 1
+.names 16456 16749
+0 1
+.names 16749 16750
+1 1
+.names 16751
+.names 16751 16752
+1 1
+.names 16752 16753
+0 1
+.names 16753 16754
+1 1
+.names 16758 16755
+0 1
+.names 16755 16756
+1 1
+.names 16798 16757
+0 1
+.names 16757 16758
+1 1
+.names 16835 16914 16759
+11 1
+.names 16759 16760
+1 1
+.names 16763 1783 16761
+01 1
+10 1
+.names 16761 16762
+1 1
+.names 2413 16763
+1 1
+.names 16776 16779 16764
+1- 1
+-1 1
+.names 16764 16765
+1 1
+.names 16810 16746 16766
+11 1
+.names 16766 16767
+1 1
+.names 16767 16768
+0 1
+.names 16814 16747 16769
+11 1
+.names 16769 16770
+1 1
+.names 16770 16771
+0 1
+.names 16768 16771 16772
+1- 1
+-1 1
+.names 16824 16754 16773
+11 1
+.names 16773 16774
+1 1
+.names 16774 16775
+0 1
+.names 16772 16775 16776
+1- 1
+-1 1
+.names 16830 16827 16777
+11 1
+.names 16777 16778
+1 1
+.names 16778 16779
+0 1
+.names 16803 16755 16780
+1- 1
+-1 1
+.names 16780 16781
+1 1
+.names 16756 16782
+0 1
+.names 16861 16862 16783
+11 1
+.names 16783 16784
+1 1
+.names 16784 16785
+0 1
+.names 16782 16785 16786
+11 1
+.names 2413 16787
+1 1
+.names 16787 16788
+0 1
+.names 16756 16788 16789
+11 1
+.names 16585 16790
+1 1
+.names 16870 16872 16791
+1- 1
+-1 1
+.names 16791 16792
+1 1
+.names 16792 16793
+0 1
+.names 16687 16794
+0 1
+.names 16794 16383 16795
+11 1
+.names 16790 16796
+0 1
+.names 2413 16797
+1 1
+.names 16798
+.names 16742 16722 16799
+1- 1
+-1 1
+.names 16829 16800
+0 1
+.names 16800 16801
+1 1
+.names 16801 16802
+0 1
+.names 16799 16802 16803
+1- 1
+-1 1
+.names 16738 16804
+1 1
+.names 2413 16805
+1 1
+.names 2413 16806
+1 1
+.names 2413 16807
+1 1
+.names 1783 16807 16808
+01 1
+10 1
+.names 16808 16809
+1 1
+.names 16809 16758 16810
+11 1
+.names 16813 16811
+0 1
+.names 16811 16812
+1 1
+.names 16813
+.names 16750 16812 16814
+11 1
+.names 16818 16815
+0 1
+.names 16815 16816
+1 1
+.names 1783 16819 16817
+01 1
+10 1
+.names 16817 16818
+1 1
+.names 2413 16819
+1 1
+.names 16820
+.names 16820 16821
+1 1
+.names 16821 16822
+0 1
+.names 16822 16823
+1 1
+.names 16823 16818 16824
+11 1
+.names 2413 16825
+1 1
+.names 1783 16825 16826
+01 1
+10 1
+.names 16826 16827
+1 1
+.names 2413 16828
+1 1
+.names 16828 16797 16829
+01 1
+10 1
+.names 16801 16458 16830
+11 1
+.names 1052 16831
+0 1
+.names 16831 16832
+1 1
+.names 16374 16833
+0 1
+.names 16833 16834
+1 1
+.names 16834 16835
+0 1
+.names 16517 16836
+0 1
+.names 16835 16836 16837
+11 1
+.names 16646 16838
+0 1
+.names 16834 16838 16839
+11 1
+.names 16454 16840
+0 1
+.names 16565 16841
+1 1
+.names 16841 16842
+0 1
+.names 16715 16843
+0 1
+.names 16459 16843 16844
+11 1
+.names 16899 16900 16845
+1- 1
+-1 1
+.names 16845 16846
+1 1
+.names 16846 16847
+0 1
+.names 16458 16847 16848
+11 1
+.names 16852 16854 16849
+1- 1
+-1 1
+.names 16849 16850
+1 1
+.names 16720 16851
+0 1
+.names 16745 16851 16852
+11 1
+.names 16743 16853
+0 1
+.names 16742 16853 16854
+11 1
+.names 16857 16860 16855
+11 1
+.names 16855 16856
+1 1
+.names 16828 16857
+0 1
+.names 16876 16877 16858
+1- 1
+-1 1
+.names 16858 16859
+1 1
+.names 16859 16860
+0 1
+.names 16856 16861
+0 1
+.names 16850 16862
+0 1
+.names 16867 16868 16863
+11 1
+.names 16863 16864
+1 1
+.names 16906 16907 16865
+11 1
+.names 16865 16866
+1 1
+.names 16866 16867
+0 1
+.names 16725 16868
+0 1
+.names 16714 16869
+0 1
+.names 16471 16869 16870
+11 1
+.names 16864 16871
+0 1
+.names 16470 16871 16872
+11 1
+.names 16797 16873
+0 1
+.names 16857 16873 16874
+11 1
+.names 16747 16875
+0 1
+.names 16874 16875 16876
+1- 1
+-1 1
+.names 16746 16877
+0 1
+.names 2413 16878
+1 1
+.names 16881 16882 16879
+11 1
+.names 16879 16880
+1 1
+.names 16827 16881
+0 1
+.names 16878 16882
+0 1
+.names 16888 16891 16883
+1- 1
+-1 1
+.names 16883 16884
+1 1
+.names 16816 16885
+0 1
+.names 16911 16910 16886
+11 1
+.names 16886 16887
+1 1
+.names 16885 16887 16888
+11 1
+.names 1783 16827 16889
+11 1
+.names 16889 16890
+1 1
+.names 16816 16890 16891
+11 1
+.names 16884 16892
+0 1
+.names 16880 16893
+0 1
+.names 16896 16897 16894
+1- 1
+-1 1
+.names 16894 16895
+1 1
+.names 16753 1783 16896
+11 1
+.names 16752 1783 16897
+11 1
+.names 16895 16898
+0 1
+.names 16749 16898 16899
+11 1
+.names 16456 2413 16900
+11 1
+.names 2413 16901
+1 1
+.names 16904 16905 16902
+1- 1
+-1 1
+.names 16902 16903
+1 1
+.names 16726 16466 16904
+1- 1
+-1 1
+.names 16804 16905
+0 1
+.names 16903 16906
+0 1
+.names 16901 16907
+0 1
+.names 16763 16908
+0 1
+.names 2413 16909
+1 1
+.names 16909 16910
+1 1
+.names 16827 1783 16911
+11 1
+.names 16685 16912
+1 1
+.names 16912 16913
+0 1
+.names 16479 16914
+0 1
+.names 16914 16835 16915
+11 1
+.names 16915 16916
+1 1
+.names 16916 16917
+0 1
+.names 16702 16918
+0 1
+.names 16917 16918 16919
+1- 1
+-1 1
+.names 16350 16920
+0 1
+.names 16600 16920 16921
+1- 1
+-1 1
+.names 15169 16924 16922
+1- 1
+-1 1
+.names 16922 16923
+1 1
+.names 1060 16924
+0 1
+.names 16928 16925
+0 1
+.names 16925 16926
+1 1
+.names 16949 16927
+0 1
+.names 16927 16928
+1 1
+.names 16933 16936 16929
+1- 1
+-1 1
+.names 16929 16930
+1 1
+.names 16942 16945 16931
+1- 1
+-1 1
+.names 16931 16932
+1 1
+.names 16932 16933
+0 1
+.names 16984 16937 16934
+1- 1
+-1 1
+.names 16934 16935
+1 1
+.names 16935 16936
+0 1
+.names 16947 16937
+0 1
+.names 16937 16938
+1 1
+.names 16938 16939
+0 1
+.names 15766 16940
+1 1
+.names 16940 16941
+0 1
+.names 16939 16941 16942
+11 1
+.names 15770 16943
+1 1
+.names 16943 16944
+0 1
+.names 16938 16944 16945
+11 1
+.names 16948 16946
+0 1
+.names 16946 16947
+1 1
+.names 999 1061 16948
+01 1
+10 1
+.names 1062 1000 16949
+01 1
+10 1
+.names 16952 16950
+0 1
+.names 16950 16951
+1 1
+.names 1063 1002 16952
+01 1
+10 1
+.names 16955 16953
+0 1
+.names 16953 16954
+1 1
+.names 1064 1004 16955
+01 1
+10 1
+.names 16959 16956
+0 1
+.names 16956 16957
+1 1
+.names 17011 17014 16958
+1- 1
+-1 1
+.names 16958 16959
+1 1
+.names 16962 16965 16960
+1- 1
+-1 1
+.names 16960 16961
+1 1
+.names 16957 16962
+0 1
+.names 1065 1007 16963
+01 1
+10 1
+.names 16963 16964
+1 1
+.names 16964 16965
+0 1
+.names 16970 16973 16966
+11 1
+.names 16966 16967
+1 1
+.names 16978 16982 16968
+1- 1
+-1 1
+.names 16968 16969
+1 1
+.names 16969 16970
+0 1
+.names 17256 16971
+0 1
+.names 16971 16972
+1 1
+.names 16972 16973
+0 1
+.names 16926 16974
+0 1
+.names 17044 17045 16975
+1- 1
+-1 1
+.names 16975 16976
+1 1
+.names 16976 16977
+0 1
+.names 16974 16977 16978
+11 1
+.names 17471 17043 16979
+1- 1
+-1 1
+.names 16979 16980
+1 1
+.names 16980 16981
+0 1
+.names 16926 16981 16982
+11 1
+.names 1027 1075 16983
+01 1
+10 1
+.names 16983 16984
+1 1
+.names 16988 16991 16985
+1- 1
+-1 1
+.names 16985 16986
+1 1
+.names 1065 1007 16987
+01 1
+10 1
+.names 16987 16988
+1 1
+.names 17174 17176 16989
+11 1
+.names 16989 16990
+1 1
+.names 16990 16991
+0 1
+.names 16999 17002 16992
+1- 1
+-1 1
+.names 16992 16993
+1 1
+.names 17324 16994
+0 1
+.names 16994 16995
+1 1
+.names 17295 1783 16996
+01 1
+10 1
+.names 16996 16997
+1 1
+.names 16997 16998
+0 1
+.names 16995 16998 16999
+1- 1
+-1 1
+.names 17434 17329 17000
+11 1
+.names 17000 17001
+1 1
+.names 17001 17002
+0 1
+.names 17299 17302 17003
+1- 1
+-1 1
+.names 17003 17004
+1 1
+.names 17341 17005
+0 1
+.names 17005 17006
+1 1
+.names 17004 17006 17007
+1- 1
+-1 1
+.names 17294 1783 17008
+01 1
+10 1
+.names 17008 17009
+1 1
+.names 17009 17010
+0 1
+.names 17007 17010 17011
+1- 1
+-1 1
+.names 17344 17012
+0 1
+.names 17012 17013
+1 1
+.names 17013 17014
+0 1
+.names 17022 17025 17015
+1- 1
+-1 1
+.names 17015 17016
+1 1
+.names 17027 17017
+0 1
+.names 17017 17018
+1 1
+.names 17063 17066 17019
+11 1
+.names 17019 17020
+1 1
+.names 17020 17021
+0 1
+.names 17018 17021 17022
+1- 1
+-1 1
+.names 16954 17068 17023
+11 1
+.names 17023 17024
+1 1
+.names 17024 17025
+0 1
+.names 17028 17026
+0 1
+.names 17026 17027
+1 1
+.names 1066 1009 17028
+01 1
+10 1
+.names 17016 17029
+0 1
+.names 17029 17030
+1 1
+.names 16959 17034 17031
+1- 1
+-1 1
+.names 17031 17032
+1 1
+.names 1026 1074 17033
+01 1
+10 1
+.names 17033 17034
+1 1
+.names 17038 17035
+0 1
+.names 17035 17036
+1 1
+.names 17188 17189 17037
+1- 1
+-1 1
+.names 17037 17038
+1 1
+.names 16986 17039
+0 1
+.names 15868 17040
+1 1
+.names 17071 17041
+1 1
+.names 17041 17042
+1 1
+.names 17042 17043
+0 1
+.names 15169 17043 17044
+1- 1
+-1 1
+.names 16923 17045
+0 1
+.names 17048 17049 17046
+1- 1
+-1 1
+.names 17046 17047
+1 1
+.names 15877 17048
+1 1
+.names 17034 17049
+0 1
+.names 17054 17056 17050
+1- 1
+-1 1
+.names 17050 17051
+1 1
+.names 17047 17052
+0 1
+.names 17052 17053
+1 1
+.names 17053 17054
+0 1
+.names 17035 17055
+1 1
+.names 17055 17056
+0 1
+.names 17060 17057
+0 1
+.names 17057 17058
+1 1
+.names 17267 17077 17059
+1- 1
+-1 1
+.names 17059 17060
+1 1
+.names 1022 1072 17061
+01 1
+10 1
+.names 17061 17062
+1 1
+.names 17062 17063
+0 1
+.names 16951 17064
+0 1
+.names 17064 17065
+1 1
+.names 17065 17066
+0 1
+.names 17069 17067
+0 1
+.names 17067 17068
+1 1
+.names 1012 1067 17069
+01 1
+10 1
+.names 16991 17070
+1 1
+.names 17072 17071
+0 1
+.names 1014 1068 17072
+01 1
+10 1
+.names 17079 17082 17073
+1- 1
+-1 1
+.names 17073 17074
+1 1
+.names 17272 17075
+0 1
+.names 17075 17076
+1 1
+.names 17076 17077
+0 1
+.names 17043 17077 17078
+1- 1
+-1 1
+.names 17078 16925 17079
+1- 1
+-1 1
+.names 17250 17080
+0 1
+.names 17080 17081
+1 1
+.names 17081 17082
+0 1
+.names 17089 17091 17083
+11 1
+.names 17083 17084
+1 1
+.names 17137 17085
+0 1
+.names 17085 17086
+1 1
+.names 17074 17087
+0 1
+.names 17087 17088
+1 1
+.names 17086 17088 17089
+11 1
+.names 17501 17502 17090
+11 1
+.names 17090 17091
+1 1
+.names 17102 16956 17092
+11 1
+.names 17092 17093
+1 1
+.names 17109 17112 17094
+11 1
+.names 17094 17095
+1 1
+.names 17095 17096
+0 1
+.names 17236 17237 17097
+1- 1
+-1 1
+.names 17097 17098
+1 1
+.names 17098 17099
+0 1
+.names 17096 17099 17100
+1- 1
+-1 1
+.names 17051 17101
+0 1
+.names 17100 17101 17102
+1- 1
+-1 1
+.names 17186 17103
+0 1
+.names 17103 17104
+1 1
+.names 17104 17105
+0 1
+.names 17172 17173 17106
+1- 1
+-1 1
+.names 17106 17107
+1 1
+.names 17107 17108
+0 1
+.names 17105 17108 17109
+1- 1
+-1 1
+.names 17252 17254 17110
+1- 1
+-1 1
+.names 17110 17111
+1 1
+.names 17111 17112
+0 1
+.names 17117 17108 17113
+11 1
+.names 17113 17114
+1 1
+.names 17444 17445 17115
+1- 1
+-1 1
+.names 17115 17116
+1 1
+.names 17116 17117
+0 1
+.names 17122 17125 17118
+11 1
+.names 17118 17119
+1 1
+.names 17271 17101 17120
+1- 1
+-1 1
+.names 17120 17121
+1 1
+.names 17121 17122
+0 1
+.names 17247 17248 17123
+1- 1
+-1 1
+.names 17123 17124
+1 1
+.names 17124 17125
+0 1
+.names 17128 17126
+0 1
+.names 17126 17127
+1 1
+.names 1069 1015 17128
+01 1
+10 1
+.names 17131 17129
+0 1
+.names 17129 17130
+1 1
+.names 1070 1017 17131
+01 1
+10 1
+.names 1020 1071 17132
+01 1
+10 1
+.names 17132 17133
+1 1
+.names 17057 17085 17134
+11 1
+.names 17134 17135
+1 1
+.names 17221 17147 17136
+1- 1
+-1 1
+.names 17136 17137
+1 1
+.names 1015 17138
+1 1
+.names 17143 17144 17139
+1- 1
+-1 1
+.names 17139 17140
+1 1
+.names 17127 17141
+0 1
+.names 17138 17142
+0 1
+.names 17141 17142 17143
+11 1
+.names 17127 15974 17144
+11 1
+.names 17151 17154 17145
+1- 1
+-1 1
+.names 17145 17146
+1 1
+.names 17130 17147
+0 1
+.names 17147 17148
+1 1
+.names 17148 17149
+0 1
+.names 17140 17150
+0 1
+.names 17149 17150 17151
+11 1
+.names 15983 17152
+1 1
+.names 17152 17153
+0 1
+.names 17148 17153 17154
+11 1
+.names 17157 17155
+1 1
+.names 17155 17156
+1 1
+.names 17068 17157
+0 1
+.names 15990 17158
+1 1
+.names 17161 17162 17159
+11 1
+.names 17159 17160
+1 1
+.names 17086 17161
+0 1
+.names 17156 17162
+0 1
+.names 17165 17166 17163
+1- 1
+-1 1
+.names 17163 17164
+1 1
+.names 17146 17165
+0 1
+.names 17160 17166
+0 1
+.names 17162 17171 17167
+1- 1
+-1 1
+.names 17167 17168
+1 1
+.names 17158 17169
+0 1
+.names 17169 17170
+1 1
+.names 17170 17171
+0 1
+.names 17164 17172
+0 1
+.names 17168 17173
+0 1
+.names 17135 17030 17174
+11 1
+.names 17032 17175
+0 1
+.names 17175 17176
+1 1
+.names 17180 17183 17177
+11 1
+.names 17177 17178
+1 1
+.names 17039 17179
+1 1
+.names 17179 17180
+0 1
+.names 17336 17337 17181
+1- 1
+-1 1
+.names 17181 17182
+1 1
+.names 17182 17183
+0 1
+.names 1014 17184
+1 1
+.names 17058 17185
+0 1
+.names 17185 17186
+1 1
+.names 17088 17187
+0 1
+.names 17161 17187 17188
+1- 1
+-1 1
+.names 17030 17189
+0 1
+.names 17198 17201 17190
+11 1
+.names 17190 17191
+1 1
+.names 17333 17192
+0 1
+.names 17192 17193
+1 1
+.names 17193 17194
+0 1
+.names 17070 17195
+0 1
+.names 17195 17196
+1 1
+.names 17196 17197
+0 1
+.names 17194 17197 17198
+1- 1
+-1 1
+.names 17279 17282 17199
+1- 1
+-1 1
+.names 17199 17200
+1 1
+.names 17200 17201
+0 1
+.names 17204 17205 17202
+1- 1
+-1 1
+.names 17202 17203
+1 1
+.names 17191 17204
+0 1
+.names 17178 17205
+0 1
+.names 17203 17206
+0 1
+.names 17206 17207
+1 1
+.names 17207 17208
+0 1
+.names 17093 17209
+0 1
+.names 17209 17210
+1 1
+.names 17210 17211
+0 1
+.names 17208 17211 17212
+1- 1
+-1 1
+.names 17119 17213
+0 1
+.names 17216 17217 17214
+1- 1
+-1 1
+.names 17214 17215
+1 1
+.names 17082 17040 17216
+11 1
+.names 17081 16967 17217
+11 1
+.names 17133 17218
+0 1
+.names 17218 17219
+1 1
+.names 17219 17220
+0 1
+.names 17220 17141 17221
+1- 1
+-1 1
+.names 17230 17233 17222
+1- 1
+-1 1
+.names 17222 17223
+1 1
+.names 17339 17224
+0 1
+.names 17224 17225
+1 1
+.names 17225 17226
+0 1
+.names 17262 17265 17227
+1- 1
+-1 1
+.names 17227 17228
+1 1
+.names 17228 17229
+0 1
+.names 17226 17229 17230
+11 1
+.names 17414 17416 17231
+1- 1
+-1 1
+.names 17231 17232
+1 1
+.names 17232 17233
+0 1
+.names 17223 17234
+0 1
+.names 17234 17235
+1 1
+.names 17235 17236
+0 1
+.names 17084 17237
+0 1
+.names 17241 17243 17238
+11 1
+.names 17238 17239
+1 1
+.names 17344 17406 17240
+1- 1
+-1 1
+.names 17240 17241
+1 1
+.names 17386 17387 17242
+1- 1
+-1 1
+.names 17242 17243
+1 1
+.names 17114 17244
+0 1
+.names 17244 17099 17245
+1- 1
+-1 1
+.names 17215 17246
+0 1
+.names 17245 17246 17247
+1- 1
+-1 1
+.names 17239 17248
+0 1
+.names 1073 1023 17249
+01 1
+10 1
+.names 17249 17250
+1 1
+.names 16967 17251
+0 1
+.names 17080 17251 17252
+11 1
+.names 17040 17253
+0 1
+.names 17250 17253 17254
+11 1
+.names 17042 17257 17255
+1- 1
+-1 1
+.names 17255 17256
+1 1
+.names 17184 17257
+0 1
+.names 17063 17066 17258
+11 1
+.names 17258 17259
+1 1
+.names 16093 17260
+1 1
+.names 17260 17261
+0 1
+.names 17063 17261 17262
+11 1
+.names 16097 17263
+1 1
+.names 17263 17264
+0 1
+.names 17062 17264 17265
+11 1
+.names 17043 17082 17266
+1- 1
+-1 1
+.names 17266 16925 17267
+1- 1
+-1 1
+.names 16961 17270 17268
+1- 1
+-1 1
+.names 17268 17269
+1 1
+.names 17036 17270
+0 1
+.names 17269 17271
+0 1
+.names 996 1060 17272
+01 1
+10 1
+.names 17332 17273
+0 1
+.names 17273 17274
+1 1
+.names 17274 17275
+0 1
+.names 17376 17377 17276
+11 1
+.names 17276 17277
+1 1
+.names 17277 17278
+0 1
+.names 17275 17278 17279
+11 1
+.names 2413 17280
+1 1
+.names 17280 17281
+0 1
+.names 17274 17281 17282
+11 1
+.names 17201 17283
+1 1
+.names 17291 17293 17284
+1- 1
+-1 1
+.names 17284 17285
+1 1
+.names 17424 17286
+0 1
+.names 17286 17287
+1 1
+.names 17287 17288
+0 1
+.names 17485 17486 17289
+11 1
+.names 17289 17290
+1 1
+.names 17288 17290 17291
+11 1
+.names 2413 17292
+1 1
+.names 17287 17292 17293
+11 1
+.names 2413 17294
+1 1
+.names 2413 17295
+1 1
+.names 2413 17296
+1 1
+.names 1783 17418 17297
+01 1
+10 1
+.names 17297 17298
+1 1
+.names 17298 17299
+0 1
+.names 1783 17311 17300
+01 1
+10 1
+.names 17300 17301
+1 1
+.names 17301 17302
+0 1
+.names 17310 17313 17303
+1- 1
+-1 1
+.names 17303 17304
+1 1
+.names 17302 17305
+1 1
+.names 17305 17306
+0 1
+.names 2413 17492 17307
+1- 1
+-1 1
+.names 17307 17308
+1 1
+.names 17308 17309
+0 1
+.names 17306 17309 17310
+11 1
+.names 2413 17311
+1 1
+.names 17311 17312
+0 1
+.names 17305 17312 17313
+11 1
+.names 17317 17314
+0 1
+.names 17314 17315
+1 1
+.names 2413 17316
+1 1
+.names 17316 1783 17317
+01 1
+10 1
+.names 17315 17318
+0 1
+.names 17318 17319
+1 1
+.names 17320
+.names 17320 17321
+1 1
+.names 17321 17322
+0 1
+.names 17322 17323
+1 1
+.names 17296 1783 17324
+01 1
+10 1
+.names 16995 17325
+0 1
+.names 17325 17326
+1 1
+.names 17327
+.names 17327 17328
+1 1
+.names 17328 17329
+0 1
+.names 17329 17330
+1 1
+.names 17378 17331
+0 1
+.names 17331 17332
+1 1
+.names 16168 17333
+1 1
+.names 17395 17396 17334
+1- 1
+-1 1
+.names 17334 17335
+1 1
+.names 17335 17336
+0 1
+.names 17241 17337
+0 1
+.names 17412 17496 17338
+11 1
+.names 17338 17339
+1 1
+.names 17342 1783 17340
+01 1
+10 1
+.names 17340 17341
+1 1
+.names 2413 17342
+1 1
+.names 17355 17358 17343
+1- 1
+-1 1
+.names 17343 17344
+1 1
+.names 17422 17319 17345
+11 1
+.names 17345 17346
+1 1
+.names 17346 17347
+0 1
+.names 17426 17323 17348
+11 1
+.names 17348 17349
+1 1
+.names 17349 17350
+0 1
+.names 17347 17350 17351
+1- 1
+-1 1
+.names 17436 17330 17352
+11 1
+.names 17352 17353
+1 1
+.names 17353 17354
+0 1
+.names 17351 17354 17355
+1- 1
+-1 1
+.names 17442 17439 17356
+11 1
+.names 17356 17357
+1 1
+.names 17357 17358
+0 1
+.names 17362 17359
+0 1
+.names 17359 17360
+1 1
+.names 17383 17273 17361
+1- 1
+-1 1
+.names 17361 17362
+1 1
+.names 2413 17363
+1 1
+.names 17368 17369 17364
+11 1
+.names 17364 17365
+1 1
+.names 17449 17450 17366
+1- 1
+-1 1
+.names 17366 17367
+1 1
+.names 17367 17368
+0 1
+.names 17363 17369
+0 1
+.names 17374 17375 17370
+1- 1
+-1 1
+.names 17370 17371
+1 1
+.names 1783 17321 17372
+11 1
+.names 17372 17373
+1 1
+.names 17318 17373 17374
+11 1
+.names 17315 1783 17375
+11 1
+.names 17371 17376
+0 1
+.names 17365 17377
+0 1
+.names 17378
+.names 17315 17321 17379
+1- 1
+-1 1
+.names 17441 17380
+0 1
+.names 17380 17381
+1 1
+.names 17381 17382
+0 1
+.names 17379 17382 17383
+1- 1
+-1 1
+.names 17460 17462 17384
+1- 1
+-1 1
+.names 17384 17385
+1 1
+.names 17385 17386
+0 1
+.names 16993 17387
+0 1
+.names 17243 17388
+0 1
+.names 17388 17389
+1 1
+.names 17392 17387 17390
+11 1
+.names 17390 17391
+1 1
+.names 17285 17392
+0 1
+.names 17391 17393
+0 1
+.names 17389 17394
+0 1
+.names 17393 17394 17395
+11 1
+.names 17360 17396
+0 1
+.names 2413 17397
+1 1
+.names 17401 17405 17398
+1- 1
+-1 1
+.names 17398 17399
+1 1
+.names 17397 17400
+0 1
+.names 17010 17400 17401
+11 1
+.names 17469 17470 17402
+11 1
+.names 17402 17403
+1 1
+.names 17403 17404
+0 1
+.names 17009 17404 17405
+11 1
+.names 17399 17406
+0 1
+.names 16243 17407
+1 1
+.names 16247 17017 17408
+11 1
+.names 17408 17409
+1 1
+.names 16954 17410
+0 1
+.names 17410 17411
+1 1
+.names 17411 17412
+0 1
+.names 17409 17413
+0 1
+.names 17412 17413 17414
+11 1
+.names 17407 17415
+0 1
+.names 17411 17415 17416
+11 1
+.names 17299 17417
+1 1
+.names 2413 17418
+1 1
+.names 2413 17419
+1 1
+.names 1783 17419 17420
+01 1
+10 1
+.names 17420 17421
+1 1
+.names 17421 17332 17422
+11 1
+.names 17425 17423
+0 1
+.names 17423 17424
+1 1
+.names 17425
+.names 17326 17424 17426
+11 1
+.names 17430 17427
+0 1
+.names 17427 17428
+1 1
+.names 1783 17431 17429
+01 1
+10 1
+.names 17429 17430
+1 1
+.names 2413 17431
+1 1
+.names 17432
+.names 17432 17433
+1 1
+.names 17433 17434
+0 1
+.names 17434 17435
+1 1
+.names 17435 17430 17436
+11 1
+.names 2413 17437
+1 1
+.names 1783 17437 17438
+01 1
+10 1
+.names 17438 17439
+1 1
+.names 2413 17440
+1 1
+.names 17440 17363 17441
+01 1
+10 1
+.names 17381 16997 17442
+11 1
+.names 16930 17443
+0 1
+.names 17392 17443 17444
+1- 1
+-1 1
+.names 17283 17445
+0 1
+.names 17440 17446
+0 1
+.names 17446 17369 17447
+11 1
+.names 17323 17448
+0 1
+.names 17447 17448 17449
+1- 1
+-1 1
+.names 17319 17450
+0 1
+.names 17456 17457 17451
+1- 1
+-1 1
+.names 17451 17452
+1 1
+.names 17489 17490 17453
+1- 1
+-1 1
+.names 17453 17454
+1 1
+.names 17454 17455
+0 1
+.names 17325 17455 17456
+11 1
+.names 16995 2413 17457
+11 1
+.names 2413 17458
+1 1
+.names 17458 17459
+0 1
+.names 16998 17459 17460
+11 1
+.names 17452 17461
+0 1
+.names 16997 17461 17462
+11 1
+.names 17465 17468 17463
+11 1
+.names 17463 17464
+1 1
+.names 17418 17465
+0 1
+.names 17487 17488 17466
+1- 1
+-1 1
+.names 17466 17467
+1 1
+.names 17467 17468
+0 1
+.names 17464 17469
+0 1
+.names 17304 17470
+0 1
+.names 16309 17471
+1 1
+.names 17474 17475 17472
+11 1
+.names 17472 17473
+1 1
+.names 17439 17474
+0 1
+.names 17437 17475
+0 1
+.names 17481 17484 17476
+1- 1
+-1 1
+.names 17476 17477
+1 1
+.names 17428 17478
+0 1
+.names 17495 17494 17479
+11 1
+.names 17479 17480
+1 1
+.names 17478 17480 17481
+11 1
+.names 1783 17439 17482
+11 1
+.names 17482 17483
+1 1
+.names 17428 17483 17484
+11 1
+.names 17477 17485
+0 1
+.names 17473 17486
+0 1
+.names 17302 17005 17487
+1- 1
+-1 1
+.names 17417 17488
+0 1
+.names 17329 1783 17489
+11 1
+.names 17328 1783 17490
+11 1
+.names 2413 17491
+1 1
+.names 17491 17492
+0 1
+.names 2413 17493
+1 1
+.names 17493 17494
+1 1
+.names 17439 1783 17495
+11 1
+.names 17018 17496
+0 1
+.names 17496 17412 17497
+11 1
+.names 17497 17498
+1 1
+.names 17498 17499
+0 1
+.names 17259 17500
+0 1
+.names 17499 17500 17501
+1- 1
+-1 1
+.names 17155 17502
+0 1
+.names 17505 15170 17503
+1- 1
+-1 1
+.names 17503 17504
+1 1
+.names 1076 17505
+0 1
+.names 17509 17506
+0 1
+.names 17506 17507
+1 1
+.names 17530 17508
+0 1
+.names 17508 17509
+1 1
+.names 17518 17522 17510
+1- 1
+-1 1
+.names 17510 17511
+1 1
+.names 17790 18061 17512
+11 1
+.names 17512 17513
+1 1
+.names 17513 17514
+0 1
+.names 17791 17795 17515
+1- 1
+-1 1
+.names 17515 17516
+1 1
+.names 17516 17517
+0 1
+.names 17514 17517 17518
+11 1
+.names 17808 17519
+0 1
+.names 17519 17520
+1 1
+.names 17520 17521
+0 1
+.names 17513 17521 17522
+11 1
+.names 17526 17523
+0 1
+.names 17523 17524
+1 1
+.names 17935 17938 17525
+1- 1
+-1 1
+.names 17525 17526
+1 1
+.names 17529 17527
+0 1
+.names 17527 17528
+1 1
+.names 1077 998 17529
+01 1
+10 1
+.names 1001 1078 17530
+01 1
+10 1
+.names 17533 17531
+0 1
+.names 17531 17532
+1 1
+.names 1003 1079 17533
+01 1
+10 1
+.names 17536 17534
+0 1
+.names 17534 17535
+1 1
+.names 1005 1080 17536
+01 1
+10 1
+.names 17545 17548 17537
+1- 1
+-1 1
+.names 17537 17538
+1 1
+.names 17988 17990 17539
+11 1
+.names 17539 17540
+1 1
+.names 17540 17541
+0 1
+.names 17866 17613 17542
+11 1
+.names 17542 17543
+1 1
+.names 17543 17544
+0 1
+.names 17541 17544 17545
+11 1
+.names 17930 17546
+0 1
+.names 17546 17547
+1 1
+.names 17547 17548
+0 1
+.names 17552 17549
+0 1
+.names 17549 17550
+1 1
+.names 17631 17634 17551
+1- 1
+-1 1
+.names 17551 17552
+1 1
+.names 17559 17562 17553
+11 1
+.names 17553 17554
+1 1
+.names 17701 17702 17555
+1- 1
+-1 1
+.names 17555 17556
+1 1
+.names 17556 17557
+0 1
+.names 17550 17558
+0 1
+.names 17557 17558 17559
+1- 1
+-1 1
+.names 17563 17564 17560
+1- 1
+-1 1
+.names 17560 17561
+1 1
+.names 17561 17562
+0 1
+.names 17538 17563
+0 1
+.names 17524 17564
+0 1
+.names 17573 17564 17565
+1- 1
+-1 1
+.names 17565 17566
+1 1
+.names 17602 17567
+0 1
+.names 17567 17568
+1 1
+.names 17568 17569
+0 1
+.names 17599 17600 17570
+1- 1
+-1 1
+.names 17570 17571
+1 1
+.names 17571 17572
+0 1
+.names 17569 17572 17573
+11 1
+.names 17558 17578 17574
+1- 1
+-1 1
+.names 17574 17575
+1 1
+.names 1008 1081 17576
+01 1
+10 1
+.names 17576 17577
+1 1
+.names 17577 17578
+0 1
+.names 17583 17586 17579
+11 1
+.names 17579 17580
+1 1
+.names 17591 17595 17581
+1- 1
+-1 1
+.names 17581 17582
+1 1
+.names 17582 17583
+0 1
+.names 17757 17802 17584
+11 1
+.names 17584 17585
+1 1
+.names 17585 17586
+0 1
+.names 17507 17587
+0 1
+.names 17813 17814 17588
+1- 1
+-1 1
+.names 17588 17589
+1 1
+.names 17589 17590
+0 1
+.names 17587 17590 17591
+11 1
+.names 17815 17757 17592
+1- 1
+-1 1
+.names 17592 17593
+1 1
+.names 17593 17594
+0 1
+.names 17507 17594 17595
+11 1
+.names 17528 17596
+0 1
+.names 17596 17597
+1 1
+.names 17597 17598
+0 1
+.names 17598 15264 17599
+11 1
+.names 17597 15266 17600
+11 1
+.names 17604 17596 17601
+1- 1
+-1 1
+.names 17601 17602
+1 1
+.names 1091 1006 17603
+01 1
+10 1
+.names 17603 17604
+1 1
+.names 17608 17611 17605
+1- 1
+-1 1
+.names 17605 17606
+1 1
+.names 1008 1081 17607
+01 1
+10 1
+.names 17607 17608
+1 1
+.names 17797 17799 17609
+11 1
+.names 17609 17610
+1 1
+.names 17610 17611
+0 1
+.names 17619 17622 17612
+1- 1
+-1 1
+.names 17612 17613
+1 1
+.names 17897 17614
+0 1
+.names 17614 17615
+1 1
+.names 17864 1783 17616
+01 1
+10 1
+.names 17616 17617
+1 1
+.names 17617 17618
+0 1
+.names 17615 17618 17619
+1- 1
+-1 1
+.names 17971 17902 17620
+11 1
+.names 17620 17621
+1 1
+.names 17621 17622
+0 1
+.names 17887 17875 17623
+1- 1
+-1 1
+.names 17623 17624
+1 1
+.names 17911 17625
+0 1
+.names 17625 17626
+1 1
+.names 17624 17626 17627
+1- 1
+-1 1
+.names 17863 1783 17628
+01 1
+10 1
+.names 17628 17629
+1 1
+.names 17629 17630
+0 1
+.names 17627 17630 17631
+1- 1
+-1 1
+.names 17914 17632
+0 1
+.names 17632 17633
+1 1
+.names 17633 17634
+0 1
+.names 17642 17645 17635
+1- 1
+-1 1
+.names 17635 17636
+1 1
+.names 17659 17637
+0 1
+.names 17637 17638
+1 1
+.names 17726 17729 17639
+11 1
+.names 17639 17640
+1 1
+.names 17640 17641
+0 1
+.names 17638 17641 17642
+1- 1
+-1 1
+.names 17535 17731 17643
+11 1
+.names 17643 17644
+1 1
+.names 17644 17645
+0 1
+.names 17654 17657 17646
+1- 1
+-1 1
+.names 17646 17647
+1 1
+.names 17961 17648
+0 1
+.names 17648 17649
+1 1
+.names 17649 17650
+0 1
+.names 18040 18041 17651
+11 1
+.names 17651 17652
+1 1
+.names 17652 17653
+0 1
+.names 17650 17653 17654
+11 1
+.names 2413 17655
+1 1
+.names 17655 17656
+0 1
+.names 17649 17656 17657
+11 1
+.names 17660 17658
+0 1
+.names 17658 17659
+1 1
+.names 1010 1082 17660
+01 1
+10 1
+.names 17665 17668 17661
+11 1
+.names 17661 17662
+1 1
+.names 17829 17832 17663
+1- 1
+-1 1
+.names 17663 17664
+1 1
+.names 17664 17665
+0 1
+.names 17851 17700 17666
+1- 1
+-1 1
+.names 17666 17667
+1 1
+.names 17667 17668
+0 1
+.names 17636 17669
+0 1
+.names 17669 17670
+1 1
+.names 17552 17674 17671
+1- 1
+-1 1
+.names 17671 17672
+1 1
+.names 1090 1025 17673
+01 1
+10 1
+.names 17673 17674
+1 1
+.names 15344 17637 17675
+11 1
+.names 17675 17676
+1 1
+.names 17554 17677
+0 1
+.names 17818 17819 17678
+11 1
+.names 17678 17679
+1 1
+.names 17679 17680
+0 1
+.names 17677 17680 17681
+1- 1
+-1 1
+.names 17662 17682
+0 1
+.names 17686 17683
+0 1
+.names 17683 17684
+1 1
+.names 17805 17806 17685
+1- 1
+-1 1
+.names 17685 17686
+1 1
+.names 17690 17687
+0 1
+.names 17687 17688
+1 1
+.names 17747 17750 17689
+1- 1
+-1 1
+.names 17689 17690
+1 1
+.names 18069 17943 17691
+1- 1
+-1 1
+.names 17691 17692
+1 1
+.names 17692 17693
+0 1
+.names 17846 17849 17694
+1- 1
+-1 1
+.names 17694 17695
+1 1
+.names 17695 17696
+0 1
+.names 17693 17696 17697
+1- 1
+-1 1
+.names 17704 17717 17698
+1- 1
+-1 1
+.names 17698 17699
+1 1
+.names 17699 17700
+0 1
+.names 17697 17700 17701
+1- 1
+-1 1
+.names 17688 17702
+0 1
+.names 17711 17714 17703
+1- 1
+-1 1
+.names 17703 17704
+1 1
+.names 17909 17705
+0 1
+.names 17705 17706
+1 1
+.names 17706 17707
+0 1
+.names 17856 17859 17708
+1- 1
+-1 1
+.names 17708 17709
+1 1
+.names 17709 17710
+0 1
+.names 17707 17710 17711
+11 1
+.names 17985 17987 17712
+1- 1
+-1 1
+.names 17712 17713
+1 1
+.names 17713 17714
+0 1
+.names 17770 17772 17715
+11 1
+.names 17715 17716
+1 1
+.names 17716 17717
+0 1
+.names 17722 17723 17718
+11 1
+.names 17718 17719
+1 1
+.names 17840 17841 17720
+1- 1
+-1 1
+.names 17720 17721
+1 1
+.names 17721 17722
+0 1
+.names 17647 17723
+0 1
+.names 1088 1021 17724
+01 1
+10 1
+.names 17724 17725
+1 1
+.names 17725 17726
+0 1
+.names 17532 17727
+0 1
+.names 17727 17728
+1 1
+.names 17728 17729
+0 1
+.names 17732 17730
+0 1
+.names 17730 17731
+1 1
+.names 1083 1011 17732
+01 1
+10 1
+.names 17739 17742 17733
+1- 1
+-1 1
+.names 17733 17734
+1 1
+.names 15403 17735
+1 1
+.names 17735 17736
+0 1
+.names 17611 17737
+1 1
+.names 17737 17738
+0 1
+.names 17736 17738 17739
+11 1
+.names 17914 17942 17740
+1- 1
+-1 1
+.names 17740 17741
+1 1
+.names 17741 17742
+0 1
+.names 17820 17743
+0 1
+.names 17743 17744
+1 1
+.names 17744 17745
+0 1
+.names 17580 17746
+0 1
+.names 17745 17746 17747
+11 1
+.names 15417 17748
+1 1
+.names 17748 17749
+0 1
+.names 17744 17749 17750
+11 1
+.names 17753 17751
+0 1
+.names 17751 17752
+1 1
+.names 1084 1013 17753
+01 1
+10 1
+.names 17752 17754
+1 1
+.names 17762 17765 17755
+1- 1
+-1 1
+.names 17755 17756
+1 1
+.names 17754 17757
+0 1
+.names 17862 17758
+0 1
+.names 17758 17759
+1 1
+.names 17759 17760
+0 1
+.names 17757 17760 17761
+1- 1
+-1 1
+.names 17761 17506 17762
+1- 1
+-1 1
+.names 17822 17763
+0 1
+.names 17763 17764
+1 1
+.names 17764 17765
+0 1
+.names 17787 17766
+0 1
+.names 17766 17767
+1 1
+.names 17756 17768
+0 1
+.names 17768 17769
+1 1
+.names 17767 17769 17770
+11 1
+.names 18067 17790 17771
+11 1
+.names 17771 17772
+1 1
+.names 17775 17773
+0 1
+.names 17773 17774
+1 1
+.names 1016 1085 17775
+01 1
+10 1
+.names 17778 17776
+0 1
+.names 17776 17777
+1 1
+.names 1018 1086 17778
+01 1
+10 1
+.names 1087 1019 17779
+01 1
+10 1
+.names 17779 17780
+1 1
+.names 17785 17766 17781
+11 1
+.names 17781 17782
+1 1
+.names 17861 17760 17783
+1- 1
+-1 1
+.names 17783 17784
+1 1
+.names 17784 17785
+0 1
+.names 17836 17837 17786
+1- 1
+-1 1
+.names 17786 17787
+1 1
+.names 17731 17788
+0 1
+.names 17788 17789
+1 1
+.names 17789 17790
+0 1
+.names 17790 15461 17791
+11 1
+.names 17980 17792
+0 1
+.names 17792 17793
+1 1
+.names 17793 17794
+0 1
+.names 17789 17794 17795
+11 1
+.names 17789 17796
+1 1
+.names 17782 17670 17797
+11 1
+.names 17672 17798
+0 1
+.names 17798 17799
+1 1
+.names 15471 17800
+1 1
+.names 15473 17801
+1 1
+.names 17801 17802
+0 1
+.names 17767 17803
+0 1
+.names 17769 17804
+0 1
+.names 17803 17804 17805
+1- 1
+-1 1
+.names 17670 17806
+0 1
+.names 17811 17812 17807
+1- 1
+-1 1
+.names 17807 17808
+1 1
+.names 17774 17809
+0 1
+.names 1016 17810
+1 1
+.names 17809 17810 17811
+11 1
+.names 17774 1019 17812
+11 1
+.names 17757 15170 17813
+1- 1
+-1 1
+.names 17504 17814
+0 1
+.names 15488 17815
+1 1
+.names 17606 17816
+0 1
+.names 17816 17817
+1 1
+.names 17817 17818
+0 1
+.names 17734 17819
+0 1
+.names 17763 17820
+1 1
+.names 1024 1089 17821
+01 1
+10 1
+.names 17821 17822
+1 1
+.names 17575 17846 17823
+1- 1
+-1 1
+.names 17823 17824
+1 1
+.names 17824 17825
+0 1
+.names 17849 17846 17826
+1- 1
+-1 1
+.names 17826 17827
+1 1
+.names 17827 17828
+0 1
+.names 17825 17828 17829
+1- 1
+-1 1
+.names 17944 17945 17830
+11 1
+.names 17830 17831
+1 1
+.names 17831 17832
+0 1
+.names 17780 17833
+0 1
+.names 17833 17834
+1 1
+.names 17834 17835
+0 1
+.names 17835 17809 17836
+1- 1
+-1 1
+.names 17777 17837
+0 1
+.names 17796 17803 17838
+1- 1
+-1 1
+.names 17838 17839
+1 1
+.names 17745 17746 17840
+11 1
+.names 17744 17749 17841
+11 1
+.names 17844 17845 17842
+1- 1
+-1 1
+.names 17842 17843
+1 1
+.names 15518 17844
+1 1
+.names 17674 17845
+0 1
+.names 17684 17846
+0 1
+.names 17843 17847
+0 1
+.names 17847 17848
+1 1
+.names 17848 17849
+0 1
+.names 17719 17850
+0 1
+.names 17566 17850 17851
+1- 1
+-1 1
+.names 17726 17729 17852
+11 1
+.names 17852 17853
+1 1
+.names 15529 17854
+1 1
+.names 17854 17855
+0 1
+.names 17726 17855 17856
+11 1
+.names 15533 17857
+1 1
+.names 17857 17858
+0 1
+.names 17725 17858 17859
+11 1
+.names 17757 17765 17860
+1- 1
+-1 1
+.names 17860 17506 17861
+1- 1
+-1 1
+.names 1076 997 17862
+01 1
+10 1
+.names 2413 17863
+1 1
+.names 2413 17864
+1 1
+.names 17992 17996 17865
+1- 1
+-1 1
+.names 17865 17866
+1 1
+.names 2413 17867
+1 1
+.names 2413 17872 17868
+1- 1
+-1 1
+.names 17868 17869
+1 1
+.names 17870
+.names 17870 17871
+1 1
+.names 17871 17872
+0 1
+.names 17881 17884 17873
+1- 1
+-1 1
+.names 17873 17874
+1 1
+.names 17889 17875
+0 1
+.names 17875 17876
+1 1
+.names 17876 17877
+0 1
+.names 2413 18056 17878
+1- 1
+-1 1
+.names 17878 17879
+1 1
+.names 17879 17880
+0 1
+.names 17877 17880 17881
+11 1
+.names 2413 17882
+1 1
+.names 17882 17883
+0 1
+.names 17876 17883 17884
+11 1
+.names 1783 17954 17885
+01 1
+10 1
+.names 17885 17886
+1 1
+.names 17886 17887
+0 1
+.names 1783 17955 17888
+01 1
+10 1
+.names 17888 17889
+1 1
+.names 17893 17890
+0 1
+.names 17890 17891
+1 1
+.names 2413 17892
+1 1
+.names 17892 1783 17893
+01 1
+10 1
+.names 17891 17894
+0 1
+.names 17894 17895
+1 1
+.names 17872 17896
+1 1
+.names 17867 1783 17897
+01 1
+10 1
+.names 17615 17898
+0 1
+.names 17898 17899
+1 1
+.names 17900
+.names 17900 17901
+1 1
+.names 17901 17902
+0 1
+.names 17902 17903
+1 1
+.names 17907 17904
+0 1
+.names 17904 17905
+1 1
+.names 17947 17906
+0 1
+.names 17906 17907
+1 1
+.names 17983 18062 17908
+11 1
+.names 17908 17909
+1 1
+.names 17912 1783 17910
+01 1
+10 1
+.names 17910 17911
+1 1
+.names 2413 17912
+1 1
+.names 17925 17928 17913
+1- 1
+-1 1
+.names 17913 17914
+1 1
+.names 17959 17895 17915
+11 1
+.names 17915 17916
+1 1
+.names 17916 17917
+0 1
+.names 17963 17896 17918
+11 1
+.names 17918 17919
+1 1
+.names 17919 17920
+0 1
+.names 17917 17920 17921
+1- 1
+-1 1
+.names 17973 17903 17922
+11 1
+.names 17922 17923
+1 1
+.names 17923 17924
+0 1
+.names 17921 17924 17925
+1- 1
+-1 1
+.names 17979 17976 17926
+11 1
+.names 17926 17927
+1 1
+.names 17927 17928
+0 1
+.names 17952 17904 17929
+1- 1
+-1 1
+.names 17929 17930
+1 1
+.names 17905 17931
+0 1
+.names 18009 18010 17932
+11 1
+.names 17932 17933
+1 1
+.names 17933 17934
+0 1
+.names 17931 17934 17935
+11 1
+.names 2413 17936
+1 1
+.names 17936 17937
+0 1
+.names 17905 17937 17938
+11 1
+.names 17742 17939
+1 1
+.names 18018 18020 17940
+1- 1
+-1 1
+.names 17940 17941
+1 1
+.names 17941 17942
+0 1
+.names 17839 17943
+0 1
+.names 17943 17544 17944
+11 1
+.names 17939 17945
+0 1
+.names 2413 17946
+1 1
+.names 17947
+.names 17891 17871 17948
+1- 1
+-1 1
+.names 17978 17949
+0 1
+.names 17949 17950
+1 1
+.names 17950 17951
+0 1
+.names 17948 17951 17952
+1- 1
+-1 1
+.names 17887 17953
+1 1
+.names 2413 17954
+1 1
+.names 2413 17955
+1 1
+.names 2413 17956
+1 1
+.names 1783 17956 17957
+01 1
+10 1
+.names 17957 17958
+1 1
+.names 17958 17907 17959
+11 1
+.names 17962 17960
+0 1
+.names 17960 17961
+1 1
+.names 17962
+.names 17899 17961 17963
+11 1
+.names 17967 17964
+0 1
+.names 17964 17965
+1 1
+.names 1783 17968 17966
+01 1
+10 1
+.names 17966 17967
+1 1
+.names 2413 17968
+1 1
+.names 17969
+.names 17969 17970
+1 1
+.names 17970 17971
+0 1
+.names 17971 17972
+1 1
+.names 17972 17967 17973
+11 1
+.names 2413 17974
+1 1
+.names 1783 17974 17975
+01 1
+10 1
+.names 17975 17976
+1 1
+.names 2413 17977
+1 1
+.names 17977 17946 17978
+01 1
+10 1
+.names 17950 17617 17979
+11 1
+.names 15657 17980
+1 1
+.names 17535 17981
+0 1
+.names 17981 17982
+1 1
+.names 17982 17983
+0 1
+.names 17676 17984
+0 1
+.names 17983 17984 17985
+11 1
+.names 17800 17986
+0 1
+.names 17982 17986 17987
+11 1
+.names 17613 17988
+0 1
+.names 17723 17989
+1 1
+.names 17989 17990
+0 1
+.names 17864 17991
+0 1
+.names 17618 17991 17992
+11 1
+.names 18047 18048 17993
+1- 1
+-1 1
+.names 17993 17994
+1 1
+.names 17994 17995
+0 1
+.names 17617 17995 17996
+11 1
+.names 18000 18002 17997
+1- 1
+-1 1
+.names 17997 17998
+1 1
+.names 17869 17999
+0 1
+.names 17894 17999 18000
+11 1
+.names 17892 18001
+0 1
+.names 17891 18001 18002
+11 1
+.names 18005 18008 18003
+11 1
+.names 18003 18004
+1 1
+.names 17977 18005
+0 1
+.names 18024 18025 18006
+1- 1
+-1 1
+.names 18006 18007
+1 1
+.names 18007 18008
+0 1
+.names 18004 18009
+0 1
+.names 17998 18010
+0 1
+.names 18015 18016 18011
+11 1
+.names 18011 18012
+1 1
+.names 18054 18055 18013
+11 1
+.names 18013 18014
+1 1
+.names 18014 18015
+0 1
+.names 17874 18016
+0 1
+.names 17863 18017
+0 1
+.names 17630 18017 18018
+11 1
+.names 18012 18019
+0 1
+.names 17629 18019 18020
+11 1
+.names 17946 18021
+0 1
+.names 18005 18021 18022
+11 1
+.names 17896 18023
+0 1
+.names 18022 18023 18024
+1- 1
+-1 1
+.names 17895 18025
+0 1
+.names 2413 18026
+1 1
+.names 18029 18030 18027
+11 1
+.names 18027 18028
+1 1
+.names 17976 18029
+0 1
+.names 18026 18030
+0 1
+.names 18036 18039 18031
+1- 1
+-1 1
+.names 18031 18032
+1 1
+.names 17965 18033
+0 1
+.names 18059 18058 18034
+11 1
+.names 18034 18035
+1 1
+.names 18033 18035 18036
+11 1
+.names 1783 17976 18037
+11 1
+.names 18037 18038
+1 1
+.names 17965 18038 18039
+11 1
+.names 18032 18040
+0 1
+.names 18028 18041
+0 1
+.names 18044 18045 18042
+1- 1
+-1 1
+.names 18042 18043
+1 1
+.names 17902 1783 18044
+11 1
+.names 17901 1783 18045
+11 1
+.names 18043 18046
+0 1
+.names 17898 18046 18047
+11 1
+.names 17615 2413 18048
+11 1
+.names 2413 18049
+1 1
+.names 18052 18053 18050
+1- 1
+-1 1
+.names 18050 18051
+1 1
+.names 17875 17625 18052
+1- 1
+-1 1
+.names 17953 18053
+0 1
+.names 18051 18054
+0 1
+.names 18049 18055
+0 1
+.names 17912 18056
+0 1
+.names 2413 18057
+1 1
+.names 18057 18058
+1 1
+.names 17976 1783 18059
+11 1
+.names 17837 18060
+1 1
+.names 18060 18061
+0 1
+.names 17638 18062
+0 1
+.names 18062 17983 18063
+11 1
+.names 18063 18064
+1 1
+.names 18064 18065
+0 1
+.names 17853 18066
+0 1
+.names 18065 18066 18067
+1- 1
+-1 1
+.names 17511 18068
+0 1
+.names 17756 18068 18069
+1- 1
+-1 1
+.names 17505 15750 18070
+1- 1
+-1 1
+.names 18070 18071
+1 1
+.names 18075 18072
+0 1
+.names 18072 18073
+1 1
+.names 18098 18074
+0 1
+.names 18074 18075
+1 1
+.names 18080 18083 18076
+1- 1
+-1 1
+.names 18076 18077
+1 1
+.names 18090 18094 18078
+1- 1
+-1 1
+.names 18078 18079
+1 1
+.names 18079 18080
+0 1
+.names 18133 18084 18081
+1- 1
+-1 1
+.names 18081 18082
+1 1
+.names 18082 18083
+0 1
+.names 18096 18084
+0 1
+.names 18084 18085
+1 1
+.names 18085 18086
+0 1
+.names 1091 18087
+0 1
+.names 18087 18088
+1 1
+.names 18088 18089
+0 1
+.names 18086 18089 18090
+11 1
+.names 1077 18091
+0 1
+.names 18091 18092
+1 1
+.names 18092 18093
+0 1
+.names 18085 18093 18094
+11 1
+.names 18097 18095
+0 1
+.names 18095 18096
+1 1
+.names 1077 1029 18097
+01 1
+10 1
+.names 1030 1078 18098
+01 1
+10 1
+.names 18101 18099
+0 1
+.names 18099 18100
+1 1
+.names 1031 1079 18101
+01 1
+10 1
+.names 18104 18102
+0 1
+.names 18102 18103
+1 1
+.names 1032 1080 18104
+01 1
+10 1
+.names 18108 18105
+0 1
+.names 18105 18106
+1 1
+.names 18160 18163 18107
+1- 1
+-1 1
+.names 18107 18108
+1 1
+.names 18111 18114 18109
+1- 1
+-1 1
+.names 18109 18110
+1 1
+.names 18106 18111
+0 1
+.names 1033 1081 18112
+01 1
+10 1
+.names 18112 18113
+1 1
+.names 18113 18114
+0 1
+.names 18119 18122 18115
+11 1
+.names 18115 18116
+1 1
+.names 18127 18131 18117
+1- 1
+-1 1
+.names 18117 18118
+1 1
+.names 18118 18119
+0 1
+.names 18410 18120
+0 1
+.names 18120 18121
+1 1
+.names 18121 18122
+0 1
+.names 18073 18123
+0 1
+.names 18194 18195 18124
+1- 1
+-1 1
+.names 18124 18125
+1 1
+.names 18125 18126
+0 1
+.names 18123 18126 18127
+11 1
+.names 18631 18193 18128
+1- 1
+-1 1
+.names 18128 18129
+1 1
+.names 18129 18130
+0 1
+.names 18073 18130 18131
+11 1
+.names 1091 1043 18132
+01 1
+10 1
+.names 18132 18133
+1 1
+.names 18137 18140 18134
+1- 1
+-1 1
+.names 18134 18135
+1 1
+.names 1033 1081 18136
+01 1
+10 1
+.names 18136 18137
+1 1
+.names 18328 18330 18138
+11 1
+.names 18138 18139
+1 1
+.names 18139 18140
+0 1
+.names 18148 18151 18141
+1- 1
+-1 1
+.names 18141 18142
+1 1
+.names 18480 18143
+0 1
+.names 18143 18144
+1 1
+.names 18451 1783 18145
+01 1
+10 1
+.names 18145 18146
+1 1
+.names 18146 18147
+0 1
+.names 18144 18147 18148
+1- 1
+-1 1
+.names 18593 18485 18149
+11 1
+.names 18149 18150
+1 1
+.names 18150 18151
+0 1
+.names 18455 18458 18152
+1- 1
+-1 1
+.names 18152 18153
+1 1
+.names 18498 18154
+0 1
+.names 18154 18155
+1 1
+.names 18153 18155 18156
+1- 1
+-1 1
+.names 18450 1783 18157
+01 1
+10 1
+.names 18157 18158
+1 1
+.names 18158 18159
+0 1
+.names 18156 18159 18160
+1- 1
+-1 1
+.names 18501 18161
+0 1
+.names 18161 18162
+1 1
+.names 18162 18163
+0 1
+.names 18171 18174 18164
+1- 1
+-1 1
+.names 18164 18165
+1 1
+.names 18176 18166
+0 1
+.names 18166 18167
+1 1
+.names 18214 18217 18168
+11 1
+.names 18168 18169
+1 1
+.names 18169 18170
+0 1
+.names 18167 18170 18171
+1- 1
+-1 1
+.names 18103 18219 18172
+11 1
+.names 18172 18173
+1 1
+.names 18173 18174
+0 1
+.names 18177 18175
+0 1
+.names 18175 18176
+1 1
+.names 1034 1082 18177
+01 1
+10 1
+.names 18165 18178
+0 1
+.names 18178 18179
+1 1
+.names 18108 18183 18180
+1- 1
+-1 1
+.names 18180 18181
+1 1
+.names 1090 1042 18182
+01 1
+10 1
+.names 18182 18183
+1 1
+.names 18187 18184
+0 1
+.names 18184 18185
+1 1
+.names 18342 18343 18186
+1- 1
+-1 1
+.names 18186 18187
+1 1
+.names 18135 18188
+0 1
+.names 1089 18189
+0 1
+.names 18189 18190
+1 1
+.names 18222 18191
+1 1
+.names 18191 18192
+1 1
+.names 18192 18193
+0 1
+.names 17505 18193 18194
+1- 1
+-1 1
+.names 18071 18195
+0 1
+.names 18199 18200 18196
+1- 1
+-1 1
+.names 18196 18197
+1 1
+.names 1090 18198
+0 1
+.names 18198 18199
+1 1
+.names 18183 18200
+0 1
+.names 18205 18207 18201
+1- 1
+-1 1
+.names 18201 18202
+1 1
+.names 18197 18203
+0 1
+.names 18203 18204
+1 1
+.names 18204 18205
+0 1
+.names 18184 18206
+1 1
+.names 18206 18207
+0 1
+.names 18211 18208
+0 1
+.names 18208 18209
+1 1
+.names 18423 18228 18210
+1- 1
+-1 1
+.names 18210 18211
+1 1
+.names 1088 1040 18212
+01 1
+10 1
+.names 18212 18213
+1 1
+.names 18213 18214
+0 1
+.names 18100 18215
+0 1
+.names 18215 18216
+1 1
+.names 18216 18217
+0 1
+.names 18220 18218
+0 1
+.names 18218 18219
+1 1
+.names 1083 1035 18220
+01 1
+10 1
+.names 18140 18221
+1 1
+.names 18223 18222
+0 1
+.names 1084 1036 18223
+01 1
+10 1
+.names 18230 18233 18224
+1- 1
+-1 1
+.names 18224 18225
+1 1
+.names 18428 18226
+0 1
+.names 18226 18227
+1 1
+.names 18227 18228
+0 1
+.names 18193 18228 18229
+1- 1
+-1 1
+.names 18229 18072 18230
+1- 1
+-1 1
+.names 18404 18231
+0 1
+.names 18231 18232
+1 1
+.names 18232 18233
+0 1
+.names 18240 18242 18234
+11 1
+.names 18234 18235
+1 1
+.names 18288 18236
+0 1
+.names 18236 18237
+1 1
+.names 18225 18238
+0 1
+.names 18238 18239
+1 1
+.names 18237 18239 18240
+11 1
+.names 18661 18662 18241
+11 1
+.names 18241 18242
+1 1
+.names 18253 18105 18243
+11 1
+.names 18243 18244
+1 1
+.names 18260 18263 18245
+11 1
+.names 18245 18246
+1 1
+.names 18246 18247
+0 1
+.names 18390 18391 18248
+1- 1
+-1 1
+.names 18248 18249
+1 1
+.names 18249 18250
+0 1
+.names 18247 18250 18251
+1- 1
+-1 1
+.names 18202 18252
+0 1
+.names 18251 18252 18253
+1- 1
+-1 1
+.names 18340 18254
+0 1
+.names 18254 18255
+1 1
+.names 18255 18256
+0 1
+.names 18326 18327 18257
+1- 1
+-1 1
+.names 18257 18258
+1 1
+.names 18258 18259
+0 1
+.names 18256 18259 18260
+1- 1
+-1 1
+.names 18406 18408 18261
+1- 1
+-1 1
+.names 18261 18262
+1 1
+.names 18262 18263
+0 1
+.names 18268 18259 18264
+11 1
+.names 18264 18265
+1 1
+.names 18603 18604 18266
+1- 1
+-1 1
+.names 18266 18267
+1 1
+.names 18267 18268
+0 1
+.names 18273 18276 18269
+11 1
+.names 18269 18270
+1 1
+.names 18427 18252 18271
+1- 1
+-1 1
+.names 18271 18272
+1 1
+.names 18272 18273
+0 1
+.names 18401 18402 18274
+1- 1
+-1 1
+.names 18274 18275
+1 1
+.names 18275 18276
+0 1
+.names 18279 18277
+0 1
+.names 18277 18278
+1 1
+.names 1037 1085 18279
+01 1
+10 1
+.names 18282 18280
+0 1
+.names 18280 18281
+1 1
+.names 1038 1086 18282
+01 1
+10 1
+.names 1087 1039 18283
+01 1
+10 1
+.names 18283 18284
+1 1
+.names 18208 18236 18285
+11 1
+.names 18285 18286
+1 1
+.names 18375 18299 18287
+1- 1
+-1 1
+.names 18287 18288
+1 1
+.names 1085 18289
+1 1
+.names 18294 18296 18290
+1- 1
+-1 1
+.names 18290 18291
+1 1
+.names 18278 18292
+0 1
+.names 18289 18293
+0 1
+.names 18292 18293 18294
+11 1
+.names 1087 18295
+0 1
+.names 18278 18295 18296
+11 1
+.names 18303 18307 18297
+1- 1
+-1 1
+.names 18297 18298
+1 1
+.names 18281 18299
+0 1
+.names 18299 18300
+1 1
+.names 18300 18301
+0 1
+.names 18291 18302
+0 1
+.names 18301 18302 18303
+11 1
+.names 1086 18304
+0 1
+.names 18304 18305
+1 1
+.names 18305 18306
+0 1
+.names 18300 18306 18307
+11 1
+.names 18310 18308
+1 1
+.names 18308 18309
+1 1
+.names 18219 18310
+0 1
+.names 1083 18311
+0 1
+.names 18311 18312
+1 1
+.names 18315 18316 18313
+11 1
+.names 18313 18314
+1 1
+.names 18237 18315
+0 1
+.names 18309 18316
+0 1
+.names 18319 18320 18317
+1- 1
+-1 1
+.names 18317 18318
+1 1
+.names 18298 18319
+0 1
+.names 18314 18320
+0 1
+.names 18316 18325 18321
+1- 1
+-1 1
+.names 18321 18322
+1 1
+.names 18312 18323
+0 1
+.names 18323 18324
+1 1
+.names 18324 18325
+0 1
+.names 18318 18326
+0 1
+.names 18322 18327
+0 1
+.names 18286 18179 18328
+11 1
+.names 18181 18329
+0 1
+.names 18329 18330
+1 1
+.names 18334 18337 18331
+11 1
+.names 18331 18332
+1 1
+.names 18188 18333
+1 1
+.names 18333 18334
+0 1
+.names 18493 18494 18335
+1- 1
+-1 1
+.names 18335 18336
+1 1
+.names 18336 18337
+0 1
+.names 1084 18338
+1 1
+.names 18209 18339
+0 1
+.names 18339 18340
+1 1
+.names 18239 18341
+0 1
+.names 18315 18341 18342
+1- 1
+-1 1
+.names 18179 18343
+0 1
+.names 18352 18355 18344
+11 1
+.names 18344 18345
+1 1
+.names 18490 18346
+0 1
+.names 18346 18347
+1 1
+.names 18347 18348
+0 1
+.names 18221 18349
+0 1
+.names 18349 18350
+1 1
+.names 18350 18351
+0 1
+.names 18348 18351 18352
+1- 1
+-1 1
+.names 18435 18438 18353
+1- 1
+-1 1
+.names 18353 18354
+1 1
+.names 18354 18355
+0 1
+.names 18358 18359 18356
+1- 1
+-1 1
+.names 18356 18357
+1 1
+.names 18345 18358
+0 1
+.names 18332 18359
+0 1
+.names 18357 18360
+0 1
+.names 18360 18361
+1 1
+.names 18361 18362
+0 1
+.names 18244 18363
+0 1
+.names 18363 18364
+1 1
+.names 18364 18365
+0 1
+.names 18362 18365 18366
+1- 1
+-1 1
+.names 18270 18367
+0 1
+.names 18370 18371 18368
+1- 1
+-1 1
+.names 18368 18369
+1 1
+.names 18233 18190 18370
+11 1
+.names 18232 18116 18371
+11 1
+.names 18284 18372
+0 1
+.names 18372 18373
+1 1
+.names 18373 18374
+0 1
+.names 18374 18292 18375
+1- 1
+-1 1
+.names 18384 18387 18376
+1- 1
+-1 1
+.names 18376 18377
+1 1
+.names 18496 18378
+0 1
+.names 18378 18379
+1 1
+.names 18379 18380
+0 1
+.names 18417 18421 18381
+1- 1
+-1 1
+.names 18381 18382
+1 1
+.names 18382 18383
+0 1
+.names 18380 18383 18384
+11 1
+.names 18573 18575 18385
+1- 1
+-1 1
+.names 18385 18386
+1 1
+.names 18386 18387
+0 1
+.names 18377 18388
+0 1
+.names 18388 18389
+1 1
+.names 18389 18390
+0 1
+.names 18235 18391
+0 1
+.names 18395 18397 18392
+11 1
+.names 18392 18393
+1 1
+.names 18501 18563 18394
+1- 1
+-1 1
+.names 18394 18395
+1 1
+.names 18543 18544 18396
+1- 1
+-1 1
+.names 18396 18397
+1 1
+.names 18265 18398
+0 1
+.names 18398 18250 18399
+1- 1
+-1 1
+.names 18369 18400
+0 1
+.names 18399 18400 18401
+1- 1
+-1 1
+.names 18393 18402
+0 1
+.names 1041 1089 18403
+01 1
+10 1
+.names 18403 18404
+1 1
+.names 18116 18405
+0 1
+.names 18231 18405 18406
+11 1
+.names 18190 18407
+0 1
+.names 18404 18407 18408
+11 1
+.names 18192 18411 18409
+1- 1
+-1 1
+.names 18409 18410
+1 1
+.names 18338 18411
+0 1
+.names 18214 18217 18412
+11 1
+.names 18412 18413
+1 1
+.names 1079 18414
+0 1
+.names 18414 18415
+1 1
+.names 18415 18416
+0 1
+.names 18214 18416 18417
+11 1
+.names 1088 18418
+0 1
+.names 18418 18419
+1 1
+.names 18419 18420
+0 1
+.names 18213 18420 18421
+11 1
+.names 18193 18233 18422
+1- 1
+-1 1
+.names 18422 18072 18423
+1- 1
+-1 1
+.names 18110 18426 18424
+1- 1
+-1 1
+.names 18424 18425
+1 1
+.names 18185 18426
+0 1
+.names 18425 18427
+0 1
+.names 1076 1028 18428
+01 1
+10 1
+.names 18488 18429
+0 1
+.names 18429 18430
+1 1
+.names 18430 18431
+0 1
+.names 18533 18534 18432
+11 1
+.names 18432 18433
+1 1
+.names 18433 18434
+0 1
+.names 18431 18434 18435
+11 1
+.names 2413 18436
+1 1
+.names 18436 18437
+0 1
+.names 18430 18437 18438
+11 1
+.names 18355 18439
+1 1
+.names 18447 18449 18440
+1- 1
+-1 1
+.names 18440 18441
+1 1
+.names 18583 18442
+0 1
+.names 18442 18443
+1 1
+.names 18443 18444
+0 1
+.names 18645 18646 18445
+11 1
+.names 18445 18446
+1 1
+.names 18444 18446 18447
+11 1
+.names 2413 18448
+1 1
+.names 18443 18448 18449
+11 1
+.names 2413 18450
+1 1
+.names 2413 18451
+1 1
+.names 2413 18452
+1 1
+.names 1783 18577 18453
+01 1
+10 1
+.names 18453 18454
+1 1
+.names 18454 18455
+0 1
+.names 1783 18467 18456
+01 1
+10 1
+.names 18456 18457
+1 1
+.names 18457 18458
+0 1
+.names 18466 18469 18459
+1- 1
+-1 1
+.names 18459 18460
+1 1
+.names 18458 18461
+1 1
+.names 18461 18462
+0 1
+.names 2413 18652 18463
+1- 1
+-1 1
+.names 18463 18464
+1 1
+.names 18464 18465
+0 1
+.names 18462 18465 18466
+11 1
+.names 2413 18467
+1 1
+.names 18467 18468
+0 1
+.names 18461 18468 18469
+11 1
+.names 18473 18470
+0 1
+.names 18470 18471
+1 1
+.names 2413 18472
+1 1
+.names 18472 1783 18473
+01 1
+10 1
+.names 18471 18474
+0 1
+.names 18474 18475
+1 1
+.names 18476
+.names 18476 18477
+1 1
+.names 18477 18478
+0 1
+.names 18478 18479
+1 1
+.names 18452 1783 18480
+01 1
+10 1
+.names 18144 18481
+0 1
+.names 18481 18482
+1 1
+.names 18483
+.names 18483 18484
+1 1
+.names 18484 18485
+0 1
+.names 18485 18486
+1 1
+.names 18535 18487
+0 1
+.names 18487 18488
+1 1
+.names 1081 18489
+0 1
+.names 18489 18490
+1 1
+.names 18552 18553 18491
+1- 1
+-1 1
+.names 18491 18492
+1 1
+.names 18492 18493
+0 1
+.names 18395 18494
+0 1
+.names 18571 18656 18495
+11 1
+.names 18495 18496
+1 1
+.names 18499 1783 18497
+01 1
+10 1
+.names 18497 18498
+1 1
+.names 2413 18499
+1 1
+.names 18512 18515 18500
+1- 1
+-1 1
+.names 18500 18501
+1 1
+.names 18581 18475 18502
+11 1
+.names 18502 18503
+1 1
+.names 18503 18504
+0 1
+.names 18585 18479 18505
+11 1
+.names 18505 18506
+1 1
+.names 18506 18507
+0 1
+.names 18504 18507 18508
+1- 1
+-1 1
+.names 18595 18486 18509
+11 1
+.names 18509 18510
+1 1
+.names 18510 18511
+0 1
+.names 18508 18511 18512
+1- 1
+-1 1
+.names 18601 18598 18513
+11 1
+.names 18513 18514
+1 1
+.names 18514 18515
+0 1
+.names 18519 18516
+0 1
+.names 18516 18517
+1 1
+.names 18540 18429 18518
+1- 1
+-1 1
+.names 18518 18519
+1 1
+.names 2413 18520
+1 1
+.names 18525 18526 18521
+11 1
+.names 18521 18522
+1 1
+.names 18608 18609 18523
+1- 1
+-1 1
+.names 18523 18524
+1 1
+.names 18524 18525
+0 1
+.names 18520 18526
+0 1
+.names 18531 18532 18527
+1- 1
+-1 1
+.names 18527 18528
+1 1
+.names 1783 18477 18529
+11 1
+.names 18529 18530
+1 1
+.names 18474 18530 18531
+11 1
+.names 18471 1783 18532
+11 1
+.names 18528 18533
+0 1
+.names 18522 18534
+0 1
+.names 18535
+.names 18471 18477 18536
+1- 1
+-1 1
+.names 18600 18537
+0 1
+.names 18537 18538
+1 1
+.names 18538 18539
+0 1
+.names 18536 18539 18540
+1- 1
+-1 1
+.names 18619 18621 18541
+1- 1
+-1 1
+.names 18541 18542
+1 1
+.names 18542 18543
+0 1
+.names 18142 18544
+0 1
+.names 18397 18545
+0 1
+.names 18545 18546
+1 1
+.names 18549 18544 18547
+11 1
+.names 18547 18548
+1 1
+.names 18441 18549
+0 1
+.names 18548 18550
+0 1
+.names 18546 18551
+0 1
+.names 18550 18551 18552
+11 1
+.names 18517 18553
+0 1
+.names 2413 18554
+1 1
+.names 18558 18562 18555
+1- 1
+-1 1
+.names 18555 18556
+1 1
+.names 18554 18557
+0 1
+.names 18159 18557 18558
+11 1
+.names 18628 18629 18559
+11 1
+.names 18559 18560
+1 1
+.names 18560 18561
+0 1
+.names 18158 18561 18562
+11 1
+.names 18556 18563
+0 1
+.names 1080 18564
+0 1
+.names 18564 18565
+1 1
+.names 18568 18166 18566
+11 1
+.names 18566 18567
+1 1
+.names 1082 18568
+0 1
+.names 18103 18569
+0 1
+.names 18569 18570
+1 1
+.names 18570 18571
+0 1
+.names 18567 18572
+0 1
+.names 18571 18572 18573
+11 1
+.names 18565 18574
+0 1
+.names 18570 18574 18575
+11 1
+.names 18455 18576
+1 1
+.names 2413 18577
+1 1
+.names 2413 18578
+1 1
+.names 1783 18578 18579
+01 1
+10 1
+.names 18579 18580
+1 1
+.names 18580 18488 18581
+11 1
+.names 18584 18582
+0 1
+.names 18582 18583
+1 1
+.names 18584
+.names 18482 18583 18585
+11 1
+.names 18589 18586
+0 1
+.names 18586 18587
+1 1
+.names 1783 18590 18588
+01 1
+10 1
+.names 18588 18589
+1 1
+.names 2413 18590
+1 1
+.names 18591
+.names 18591 18592
+1 1
+.names 18592 18593
+0 1
+.names 18593 18594
+1 1
+.names 18594 18589 18595
+11 1
+.names 2413 18596
+1 1
+.names 1783 18596 18597
+01 1
+10 1
+.names 18597 18598
+1 1
+.names 2413 18599
+1 1
+.names 18599 18520 18600
+01 1
+10 1
+.names 18538 18146 18601
+11 1
+.names 18077 18602
+0 1
+.names 18549 18602 18603
+1- 1
+-1 1
+.names 18439 18604
+0 1
+.names 18599 18605
+0 1
+.names 18605 18526 18606
+11 1
+.names 18479 18607
+0 1
+.names 18606 18607 18608
+1- 1
+-1 1
+.names 18475 18609
+0 1
+.names 18615 18616 18610
+1- 1
+-1 1
+.names 18610 18611
+1 1
+.names 18649 18650 18612
+1- 1
+-1 1
+.names 18612 18613
+1 1
+.names 18613 18614
+0 1
+.names 18481 18614 18615
+11 1
+.names 18144 2413 18616
+11 1
+.names 2413 18617
+1 1
+.names 18617 18618
+0 1
+.names 18147 18618 18619
+11 1
+.names 18611 18620
+0 1
+.names 18146 18620 18621
+11 1
+.names 18624 18627 18622
+11 1
+.names 18622 18623
+1 1
+.names 18577 18624
+0 1
+.names 18647 18648 18625
+1- 1
+-1 1
+.names 18625 18626
+1 1
+.names 18626 18627
+0 1
+.names 18623 18628
+0 1
+.names 18460 18629
+0 1
+.names 1078 18630
+0 1
+.names 18630 18631
+1 1
+.names 18634 18635 18632
+11 1
+.names 18632 18633
+1 1
+.names 18598 18634
+0 1
+.names 18596 18635
+0 1
+.names 18641 18644 18636
+1- 1
+-1 1
+.names 18636 18637
+1 1
+.names 18587 18638
+0 1
+.names 18655 18654 18639
+11 1
+.names 18639 18640
+1 1
+.names 18638 18640 18641
+11 1
+.names 1783 18598 18642
+11 1
+.names 18642 18643
+1 1
+.names 18587 18643 18644
+11 1
+.names 18637 18645
+0 1
+.names 18633 18646
+0 1
+.names 18458 18154 18647
+1- 1
+-1 1
+.names 18576 18648
+0 1
+.names 18485 1783 18649
+11 1
+.names 18484 1783 18650
+11 1
+.names 2413 18651
+1 1
+.names 18651 18652
+0 1
+.names 2413 18653
+1 1
+.names 18653 18654
+1 1
+.names 18598 1783 18655
+11 1
+.names 18167 18656
+0 1
+.names 18656 18571 18657
+11 1
+.names 18657 18658
+1 1
+.names 18658 18659
+0 1
+.names 18413 18660
+0 1
+.names 18659 18660 18661
+1- 1
+-1 1
+.names 18308 18662
+0 1
+.names 17505 16344 18663
+1- 1
+-1 1
+.names 18663 18664
+1 1
+.names 18668 18665
+0 1
+.names 18665 18666
+1 1
+.names 18689 18667
+0 1
+.names 18667 18668
+1 1
+.names 18677 18681 18669
+1- 1
+-1 1
+.names 18669 18670
+1 1
+.names 18949 19220 18671
+11 1
+.names 18671 18672
+1 1
+.names 18672 18673
+0 1
+.names 18950 18954 18674
+1- 1
+-1 1
+.names 18674 18675
+1 1
+.names 18675 18676
+0 1
+.names 18673 18676 18677
+11 1
+.names 18967 18678
+0 1
+.names 18678 18679
+1 1
+.names 18679 18680
+0 1
+.names 18672 18680 18681
+11 1
+.names 18685 18682
+0 1
+.names 18682 18683
+1 1
+.names 19094 19097 18684
+1- 1
+-1 1
+.names 18684 18685
+1 1
+.names 18688 18686
+0 1
+.names 18686 18687
+1 1
+.names 1077 1045 18688
+01 1
+10 1
+.names 1046 1078 18689
+01 1
+10 1
+.names 18692 18690
+0 1
+.names 18690 18691
+1 1
+.names 1047 1079 18692
+01 1
+10 1
+.names 18695 18693
+0 1
+.names 18693 18694
+1 1
+.names 1048 1080 18695
+01 1
+10 1
+.names 18704 18707 18696
+1- 1
+-1 1
+.names 18696 18697
+1 1
+.names 19147 19149 18698
+11 1
+.names 18698 18699
+1 1
+.names 18699 18700
+0 1
+.names 19025 18772 18701
+11 1
+.names 18701 18702
+1 1
+.names 18702 18703
+0 1
+.names 18700 18703 18704
+11 1
+.names 19089 18705
+0 1
+.names 18705 18706
+1 1
+.names 18706 18707
+0 1
+.names 18711 18708
+0 1
+.names 18708 18709
+1 1
+.names 18790 18793 18710
+1- 1
+-1 1
+.names 18710 18711
+1 1
+.names 18718 18721 18712
+11 1
+.names 18712 18713
+1 1
+.names 18860 18861 18714
+1- 1
+-1 1
+.names 18714 18715
+1 1
+.names 18715 18716
+0 1
+.names 18709 18717
+0 1
+.names 18716 18717 18718
+1- 1
+-1 1
+.names 18722 18723 18719
+1- 1
+-1 1
+.names 18719 18720
+1 1
+.names 18720 18721
+0 1
+.names 18697 18722
+0 1
+.names 18683 18723
+0 1
+.names 18732 18723 18724
+1- 1
+-1 1
+.names 18724 18725
+1 1
+.names 18761 18726
+0 1
+.names 18726 18727
+1 1
+.names 18727 18728
+0 1
+.names 18758 18759 18729
+1- 1
+-1 1
+.names 18729 18730
+1 1
+.names 18730 18731
+0 1
+.names 18728 18731 18732
+11 1
+.names 18717 18737 18733
+1- 1
+-1 1
+.names 18733 18734
+1 1
+.names 1050 1081 18735
+01 1
+10 1
+.names 18735 18736
+1 1
+.names 18736 18737
+0 1
+.names 18742 18745 18738
+11 1
+.names 18738 18739
+1 1
+.names 18750 18754 18740
+1- 1
+-1 1
+.names 18740 18741
+1 1
+.names 18741 18742
+0 1
+.names 18916 18961 18743
+11 1
+.names 18743 18744
+1 1
+.names 18744 18745
+0 1
+.names 18666 18746
+0 1
+.names 18972 18973 18747
+1- 1
+-1 1
+.names 18747 18748
+1 1
+.names 18748 18749
+0 1
+.names 18746 18749 18750
+11 1
+.names 18974 18916 18751
+1- 1
+-1 1
+.names 18751 18752
+1 1
+.names 18752 18753
+0 1
+.names 18666 18753 18754
+11 1
+.names 18687 18755
+0 1
+.names 18755 18756
+1 1
+.names 18756 18757
+0 1
+.names 18757 16438 18758
+11 1
+.names 18756 16440 18759
+11 1
+.names 18763 18755 18760
+1- 1
+-1 1
+.names 18760 18761
+1 1
+.names 1091 1049 18762
+01 1
+10 1
+.names 18762 18763
+1 1
+.names 18767 18770 18764
+1- 1
+-1 1
+.names 18764 18765
+1 1
+.names 1050 1081 18766
+01 1
+10 1
+.names 18766 18767
+1 1
+.names 18956 18958 18768
+11 1
+.names 18768 18769
+1 1
+.names 18769 18770
+0 1
+.names 18778 18781 18771
+1- 1
+-1 1
+.names 18771 18772
+1 1
+.names 19056 18773
+0 1
+.names 18773 18774
+1 1
+.names 19023 1783 18775
+01 1
+10 1
+.names 18775 18776
+1 1
+.names 18776 18777
+0 1
+.names 18774 18777 18778
+1- 1
+-1 1
+.names 19130 19061 18779
+11 1
+.names 18779 18780
+1 1
+.names 18780 18781
+0 1
+.names 19046 19034 18782
+1- 1
+-1 1
+.names 18782 18783
+1 1
+.names 19070 18784
+0 1
+.names 18784 18785
+1 1
+.names 18783 18785 18786
+1- 1
+-1 1
+.names 19022 1783 18787
+01 1
+10 1
+.names 18787 18788
+1 1
+.names 18788 18789
+0 1
+.names 18786 18789 18790
+1- 1
+-1 1
+.names 19073 18791
+0 1
+.names 18791 18792
+1 1
+.names 18792 18793
+0 1
+.names 18801 18804 18794
+1- 1
+-1 1
+.names 18794 18795
+1 1
+.names 18818 18796
+0 1
+.names 18796 18797
+1 1
+.names 18885 18888 18798
+11 1
+.names 18798 18799
+1 1
+.names 18799 18800
+0 1
+.names 18797 18800 18801
+1- 1
+-1 1
+.names 18694 18890 18802
+11 1
+.names 18802 18803
+1 1
+.names 18803 18804
+0 1
+.names 18813 18816 18805
+1- 1
+-1 1
+.names 18805 18806
+1 1
+.names 19120 18807
+0 1
+.names 18807 18808
+1 1
+.names 18808 18809
+0 1
+.names 19199 19200 18810
+11 1
+.names 18810 18811
+1 1
+.names 18811 18812
+0 1
+.names 18809 18812 18813
+11 1
+.names 2413 18814
+1 1
+.names 18814 18815
+0 1
+.names 18808 18815 18816
+11 1
+.names 18819 18817
+0 1
+.names 18817 18818
+1 1
+.names 1051 1082 18819
+01 1
+10 1
+.names 18824 18827 18820
+11 1
+.names 18820 18821
+1 1
+.names 18988 18991 18822
+1- 1
+-1 1
+.names 18822 18823
+1 1
+.names 18823 18824
+0 1
+.names 19010 18859 18825
+1- 1
+-1 1
+.names 18825 18826
+1 1
+.names 18826 18827
+0 1
+.names 18795 18828
+0 1
+.names 18828 18829
+1 1
+.names 18711 18833 18830
+1- 1
+-1 1
+.names 18830 18831
+1 1
+.names 1090 1059 18832
+01 1
+10 1
+.names 18832 18833
+1 1
+.names 16518 18796 18834
+11 1
+.names 18834 18835
+1 1
+.names 18713 18836
+0 1
+.names 18977 18978 18837
+11 1
+.names 18837 18838
+1 1
+.names 18838 18839
+0 1
+.names 18836 18839 18840
+1- 1
+-1 1
+.names 18821 18841
+0 1
+.names 18845 18842
+0 1
+.names 18842 18843
+1 1
+.names 18964 18965 18844
+1- 1
+-1 1
+.names 18844 18845
+1 1
+.names 18849 18846
+0 1
+.names 18846 18847
+1 1
+.names 18906 18909 18848
+1- 1
+-1 1
+.names 18848 18849
+1 1
+.names 19228 19102 18850
+1- 1
+-1 1
+.names 18850 18851
+1 1
+.names 18851 18852
+0 1
+.names 19005 19008 18853
+1- 1
+-1 1
+.names 18853 18854
+1 1
+.names 18854 18855
+0 1
+.names 18852 18855 18856
+1- 1
+-1 1
+.names 18863 18876 18857
+1- 1
+-1 1
+.names 18857 18858
+1 1
+.names 18858 18859
+0 1
+.names 18856 18859 18860
+1- 1
+-1 1
+.names 18847 18861
+0 1
+.names 18870 18873 18862
+1- 1
+-1 1
+.names 18862 18863
+1 1
+.names 19068 18864
+0 1
+.names 18864 18865
+1 1
+.names 18865 18866
+0 1
+.names 19015 19018 18867
+1- 1
+-1 1
+.names 18867 18868
+1 1
+.names 18868 18869
+0 1
+.names 18866 18869 18870
+11 1
+.names 19144 19146 18871
+1- 1
+-1 1
+.names 18871 18872
+1 1
+.names 18872 18873
+0 1
+.names 18929 18931 18874
+11 1
+.names 18874 18875
+1 1
+.names 18875 18876
+0 1
+.names 18881 18882 18877
+11 1
+.names 18877 18878
+1 1
+.names 18999 19000 18879
+1- 1
+-1 1
+.names 18879 18880
+1 1
+.names 18880 18881
+0 1
+.names 18806 18882
+0 1
+.names 1088 1057 18883
+01 1
+10 1
+.names 18883 18884
+1 1
+.names 18884 18885
+0 1
+.names 18691 18886
+0 1
+.names 18886 18887
+1 1
+.names 18887 18888
+0 1
+.names 18891 18889
+0 1
+.names 18889 18890
+1 1
+.names 1083 1052 18891
+01 1
+10 1
+.names 18898 18901 18892
+1- 1
+-1 1
+.names 18892 18893
+1 1
+.names 16577 18894
+1 1
+.names 18894 18895
+0 1
+.names 18770 18896
+1 1
+.names 18896 18897
+0 1
+.names 18895 18897 18898
+11 1
+.names 19073 19101 18899
+1- 1
+-1 1
+.names 18899 18900
+1 1
+.names 18900 18901
+0 1
+.names 18979 18902
+0 1
+.names 18902 18903
+1 1
+.names 18903 18904
+0 1
+.names 18739 18905
+0 1
+.names 18904 18905 18906
+11 1
+.names 16591 18907
+1 1
+.names 18907 18908
+0 1
+.names 18903 18908 18909
+11 1
+.names 18912 18910
+0 1
+.names 18910 18911
+1 1
+.names 1084 1053 18912
+01 1
+10 1
+.names 18911 18913
+1 1
+.names 18921 18924 18914
+1- 1
+-1 1
+.names 18914 18915
+1 1
+.names 18913 18916
+0 1
+.names 19021 18917
+0 1
+.names 18917 18918
+1 1
+.names 18918 18919
+0 1
+.names 18916 18919 18920
+1- 1
+-1 1
+.names 18920 18665 18921
+1- 1
+-1 1
+.names 18981 18922
+0 1
+.names 18922 18923
+1 1
+.names 18923 18924
+0 1
+.names 18946 18925
+0 1
+.names 18925 18926
+1 1
+.names 18915 18927
+0 1
+.names 18927 18928
+1 1
+.names 18926 18928 18929
+11 1
+.names 19226 18949 18930
+11 1
+.names 18930 18931
+1 1
+.names 18934 18932
+0 1
+.names 18932 18933
+1 1
+.names 1054 1085 18934
+01 1
+10 1
+.names 18937 18935
+0 1
+.names 18935 18936
+1 1
+.names 1055 1086 18937
+01 1
+10 1
+.names 1087 1056 18938
+01 1
+10 1
+.names 18938 18939
+1 1
+.names 18944 18925 18940
+11 1
+.names 18940 18941
+1 1
+.names 19020 18919 18942
+1- 1
+-1 1
+.names 18942 18943
+1 1
+.names 18943 18944
+0 1
+.names 18995 18996 18945
+1- 1
+-1 1
+.names 18945 18946
+1 1
+.names 18890 18947
+0 1
+.names 18947 18948
+1 1
+.names 18948 18949
+0 1
+.names 18949 16635 18950
+11 1
+.names 19139 18951
+0 1
+.names 18951 18952
+1 1
+.names 18952 18953
+0 1
+.names 18948 18953 18954
+11 1
+.names 18948 18955
+1 1
+.names 18941 18829 18956
+11 1
+.names 18831 18957
+0 1
+.names 18957 18958
+1 1
+.names 16645 18959
+1 1
+.names 16647 18960
+1 1
+.names 18960 18961
+0 1
+.names 18926 18962
+0 1
+.names 18928 18963
+0 1
+.names 18962 18963 18964
+1- 1
+-1 1
+.names 18829 18965
+0 1
+.names 18970 18971 18966
+1- 1
+-1 1
+.names 18966 18967
+1 1
+.names 18933 18968
+0 1
+.names 1054 18969
+1 1
+.names 18968 18969 18970
+11 1
+.names 18933 1056 18971
+11 1
+.names 18916 16344 18972
+1- 1
+-1 1
+.names 18664 18973
+0 1
+.names 16662 18974
+1 1
+.names 18765 18975
+0 1
+.names 18975 18976
+1 1
+.names 18976 18977
+0 1
+.names 18893 18978
+0 1
+.names 18922 18979
+1 1
+.names 1058 1089 18980
+01 1
+10 1
+.names 18980 18981
+1 1
+.names 18734 19005 18982
+1- 1
+-1 1
+.names 18982 18983
+1 1
+.names 18983 18984
+0 1
+.names 19008 19005 18985
+1- 1
+-1 1
+.names 18985 18986
+1 1
+.names 18986 18987
+0 1
+.names 18984 18987 18988
+1- 1
+-1 1
+.names 19103 19104 18989
+11 1
+.names 18989 18990
+1 1
+.names 18990 18991
+0 1
+.names 18939 18992
+0 1
+.names 18992 18993
+1 1
+.names 18993 18994
+0 1
+.names 18994 18968 18995
+1- 1
+-1 1
+.names 18936 18996
+0 1
+.names 18955 18962 18997
+1- 1
+-1 1
+.names 18997 18998
+1 1
+.names 18904 18905 18999
+11 1
+.names 18903 18908 19000
+11 1
+.names 19003 19004 19001
+1- 1
+-1 1
+.names 19001 19002
+1 1
+.names 16692 19003
+1 1
+.names 18833 19004
+0 1
+.names 18843 19005
+0 1
+.names 19002 19006
+0 1
+.names 19006 19007
+1 1
+.names 19007 19008
+0 1
+.names 18878 19009
+0 1
+.names 18725 19009 19010
+1- 1
+-1 1
+.names 18885 18888 19011
+11 1
+.names 19011 19012
+1 1
+.names 16703 19013
+1 1
+.names 19013 19014
+0 1
+.names 18885 19014 19015
+11 1
+.names 16707 19016
+1 1
+.names 19016 19017
+0 1
+.names 18884 19017 19018
+11 1
+.names 18916 18924 19019
+1- 1
+-1 1
+.names 19019 18665 19020
+1- 1
+-1 1
+.names 1076 1044 19021
+01 1
+10 1
+.names 2413 19022
+1 1
+.names 2413 19023
+1 1
+.names 19151 19155 19024
+1- 1
+-1 1
+.names 19024 19025
+1 1
+.names 2413 19026
+1 1
+.names 2413 19031 19027
+1- 1
+-1 1
+.names 19027 19028
+1 1
+.names 19029
+.names 19029 19030
+1 1
+.names 19030 19031
+0 1
+.names 19040 19043 19032
+1- 1
+-1 1
+.names 19032 19033
+1 1
+.names 19048 19034
+0 1
+.names 19034 19035
+1 1
+.names 19035 19036
+0 1
+.names 2413 19215 19037
+1- 1
+-1 1
+.names 19037 19038
+1 1
+.names 19038 19039
+0 1
+.names 19036 19039 19040
+11 1
+.names 2413 19041
+1 1
+.names 19041 19042
+0 1
+.names 19035 19042 19043
+11 1
+.names 1783 19113 19044
+01 1
+10 1
+.names 19044 19045
+1 1
+.names 19045 19046
+0 1
+.names 1783 19114 19047
+01 1
+10 1
+.names 19047 19048
+1 1
+.names 19052 19049
+0 1
+.names 19049 19050
+1 1
+.names 2413 19051
+1 1
+.names 19051 1783 19052
+01 1
+10 1
+.names 19050 19053
+0 1
+.names 19053 19054
+1 1
+.names 19031 19055
+1 1
+.names 19026 1783 19056
+01 1
+10 1
+.names 18774 19057
+0 1
+.names 19057 19058
+1 1
+.names 19059
+.names 19059 19060
+1 1
+.names 19060 19061
+0 1
+.names 19061 19062
+1 1
+.names 19066 19063
+0 1
+.names 19063 19064
+1 1
+.names 19106 19065
+0 1
+.names 19065 19066
+1 1
+.names 19142 19221 19067
+11 1
+.names 19067 19068
+1 1
+.names 19071 1783 19069
+01 1
+10 1
+.names 19069 19070
+1 1
+.names 2413 19071
+1 1
+.names 19084 19087 19072
+1- 1
+-1 1
+.names 19072 19073
+1 1
+.names 19118 19054 19074
+11 1
+.names 19074 19075
+1 1
+.names 19075 19076
+0 1
+.names 19122 19055 19077
+11 1
+.names 19077 19078
+1 1
+.names 19078 19079
+0 1
+.names 19076 19079 19080
+1- 1
+-1 1
+.names 19132 19062 19081
+11 1
+.names 19081 19082
+1 1
+.names 19082 19083
+0 1
+.names 19080 19083 19084
+1- 1
+-1 1
+.names 19138 19135 19085
+11 1
+.names 19085 19086
+1 1
+.names 19086 19087
+0 1
+.names 19111 19063 19088
+1- 1
+-1 1
+.names 19088 19089
+1 1
+.names 19064 19090
+0 1
+.names 19168 19169 19091
+11 1
+.names 19091 19092
+1 1
+.names 19092 19093
+0 1
+.names 19090 19093 19094
+11 1
+.names 2413 19095
+1 1
+.names 19095 19096
+0 1
+.names 19064 19096 19097
+11 1
+.names 18901 19098
+1 1
+.names 19177 19179 19099
+1- 1
+-1 1
+.names 19099 19100
+1 1
+.names 19100 19101
+0 1
+.names 18998 19102
+0 1
+.names 19102 18703 19103
+11 1
+.names 19098 19104
+0 1
+.names 2413 19105
+1 1
+.names 19106
+.names 19050 19030 19107
+1- 1
+-1 1
+.names 19137 19108
+0 1
+.names 19108 19109
+1 1
+.names 19109 19110
+0 1
+.names 19107 19110 19111
+1- 1
+-1 1
+.names 19046 19112
+1 1
+.names 2413 19113
+1 1
+.names 2413 19114
+1 1
+.names 2413 19115
+1 1
+.names 1783 19115 19116
+01 1
+10 1
+.names 19116 19117
+1 1
+.names 19117 19066 19118
+11 1
+.names 19121 19119
+0 1
+.names 19119 19120
+1 1
+.names 19121
+.names 19058 19120 19122
+11 1
+.names 19126 19123
+0 1
+.names 19123 19124
+1 1
+.names 1783 19127 19125
+01 1
+10 1
+.names 19125 19126
+1 1
+.names 2413 19127
+1 1
+.names 19128
+.names 19128 19129
+1 1
+.names 19129 19130
+0 1
+.names 19130 19131
+1 1
+.names 19131 19126 19132
+11 1
+.names 2413 19133
+1 1
+.names 1783 19133 19134
+01 1
+10 1
+.names 19134 19135
+1 1
+.names 2413 19136
+1 1
+.names 19136 19105 19137
+01 1
+10 1
+.names 19109 18776 19138
+11 1
+.names 16831 19139
+1 1
+.names 18694 19140
+0 1
+.names 19140 19141
+1 1
+.names 19141 19142
+0 1
+.names 18835 19143
+0 1
+.names 19142 19143 19144
+11 1
+.names 18959 19145
+0 1
+.names 19141 19145 19146
+11 1
+.names 18772 19147
+0 1
+.names 18882 19148
+1 1
+.names 19148 19149
+0 1
+.names 19023 19150
+0 1
+.names 18777 19150 19151
+11 1
+.names 19206 19207 19152
+1- 1
+-1 1
+.names 19152 19153
+1 1
+.names 19153 19154
+0 1
+.names 18776 19154 19155
+11 1
+.names 19159 19161 19156
+1- 1
+-1 1
+.names 19156 19157
+1 1
+.names 19028 19158
+0 1
+.names 19053 19158 19159
+11 1
+.names 19051 19160
+0 1
+.names 19050 19160 19161
+11 1
+.names 19164 19167 19162
+11 1
+.names 19162 19163
+1 1
+.names 19136 19164
+0 1
+.names 19183 19184 19165
+1- 1
+-1 1
+.names 19165 19166
+1 1
+.names 19166 19167
+0 1
+.names 19163 19168
+0 1
+.names 19157 19169
+0 1
+.names 19174 19175 19170
+11 1
+.names 19170 19171
+1 1
+.names 19213 19214 19172
+11 1
+.names 19172 19173
+1 1
+.names 19173 19174
+0 1
+.names 19033 19175
+0 1
+.names 19022 19176
+0 1
+.names 18789 19176 19177
+11 1
+.names 19171 19178
+0 1
+.names 18788 19178 19179
+11 1
+.names 19105 19180
+0 1
+.names 19164 19180 19181
+11 1
+.names 19055 19182
+0 1
+.names 19181 19182 19183
+1- 1
+-1 1
+.names 19054 19184
+0 1
+.names 2413 19185
+1 1
+.names 19188 19189 19186
+11 1
+.names 19186 19187
+1 1
+.names 19135 19188
+0 1
+.names 19185 19189
+0 1
+.names 19195 19198 19190
+1- 1
+-1 1
+.names 19190 19191
+1 1
+.names 19124 19192
+0 1
+.names 19218 19217 19193
+11 1
+.names 19193 19194
+1 1
+.names 19192 19194 19195
+11 1
+.names 1783 19135 19196
+11 1
+.names 19196 19197
+1 1
+.names 19124 19197 19198
+11 1
+.names 19191 19199
+0 1
+.names 19187 19200
+0 1
+.names 19203 19204 19201
+1- 1
+-1 1
+.names 19201 19202
+1 1
+.names 19061 1783 19203
+11 1
+.names 19060 1783 19204
+11 1
+.names 19202 19205
+0 1
+.names 19057 19205 19206
+11 1
+.names 18774 2413 19207
+11 1
+.names 2413 19208
+1 1
+.names 19211 19212 19209
+1- 1
+-1 1
+.names 19209 19210
+1 1
+.names 19034 18784 19211
+1- 1
+-1 1
+.names 19112 19212
+0 1
+.names 19210 19213
+0 1
+.names 19208 19214
+0 1
+.names 19071 19215
+0 1
+.names 2413 19216
+1 1
+.names 19216 19217
+1 1
+.names 19135 1783 19218
+11 1
+.names 18996 19219
+1 1
+.names 19219 19220
+0 1
+.names 18797 19221
+0 1
+.names 19221 19142 19222
+11 1
+.names 19222 19223
+1 1
+.names 19223 19224
+0 1
+.names 19012 19225
+0 1
+.names 19224 19225 19226
+1- 1
+-1 1
+.names 18670 19227
+0 1
+.names 18915 19227 19228
+1- 1
+-1 1
+.names 17505 16924 19229
+1- 1
+-1 1
+.names 19229 19230
+1 1
+.names 19234 19231
+0 1
+.names 19231 19232
+1 1
+.names 19255 19233
+0 1
+.names 19233 19234
+1 1
+.names 19239 19242 19235
+1- 1
+-1 1
+.names 19235 19236
+1 1
+.names 19248 19251 19237
+1- 1
+-1 1
+.names 19237 19238
+1 1
+.names 19238 19239
+0 1
+.names 19290 19243 19240
+1- 1
+-1 1
+.names 19240 19241
+1 1
+.names 19241 19242
+0 1
+.names 19253 19243
+0 1
+.names 19243 19244
+1 1
+.names 19244 19245
+0 1
+.names 18087 19246
+1 1
+.names 19246 19247
+0 1
+.names 19245 19247 19248
+11 1
+.names 18091 19249
+1 1
+.names 19249 19250
+0 1
+.names 19244 19250 19251
+11 1
+.names 19254 19252
+0 1
+.names 19252 19253
+1 1
+.names 1077 1061 19254
+01 1
+10 1
+.names 1062 1078 19255
+01 1
+10 1
+.names 19258 19256
+0 1
+.names 19256 19257
+1 1
+.names 1063 1079 19258
+01 1
+10 1
+.names 19261 19259
+0 1
+.names 19259 19260
+1 1
+.names 1064 1080 19261
+01 1
+10 1
+.names 19265 19262
+0 1
+.names 19262 19263
+1 1
+.names 19317 19320 19264
+1- 1
+-1 1
+.names 19264 19265
+1 1
+.names 19268 19271 19266
+1- 1
+-1 1
+.names 19266 19267
+1 1
+.names 19263 19268
+0 1
+.names 1065 1081 19269
+01 1
+10 1
+.names 19269 19270
+1 1
+.names 19270 19271
+0 1
+.names 19276 19279 19272
+11 1
+.names 19272 19273
+1 1
+.names 19284 19288 19274
+1- 1
+-1 1
+.names 19274 19275
+1 1
+.names 19275 19276
+0 1
+.names 19562 19277
+0 1
+.names 19277 19278
+1 1
+.names 19278 19279
+0 1
+.names 19232 19280
+0 1
+.names 19350 19351 19281
+1- 1
+-1 1
+.names 19281 19282
+1 1
+.names 19282 19283
+0 1
+.names 19280 19283 19284
+11 1
+.names 19777 19349 19285
+1- 1
+-1 1
+.names 19285 19286
+1 1
+.names 19286 19287
+0 1
+.names 19232 19287 19288
+11 1
+.names 1091 1075 19289
+01 1
+10 1
+.names 19289 19290
+1 1
+.names 19294 19297 19291
+1- 1
+-1 1
+.names 19291 19292
+1 1
+.names 1065 1081 19293
+01 1
+10 1
+.names 19293 19294
+1 1
+.names 19480 19482 19295
+11 1
+.names 19295 19296
+1 1
+.names 19296 19297
+0 1
+.names 19305 19308 19298
+1- 1
+-1 1
+.names 19298 19299
+1 1
+.names 19630 19300
+0 1
+.names 19300 19301
+1 1
+.names 19601 1783 19302
+01 1
+10 1
+.names 19302 19303
+1 1
+.names 19303 19304
+0 1
+.names 19301 19304 19305
+1- 1
+-1 1
+.names 19740 19635 19306
+11 1
+.names 19306 19307
+1 1
+.names 19307 19308
+0 1
+.names 19605 19608 19309
+1- 1
+-1 1
+.names 19309 19310
+1 1
+.names 19647 19311
+0 1
+.names 19311 19312
+1 1
+.names 19310 19312 19313
+1- 1
+-1 1
+.names 19600 1783 19314
+01 1
+10 1
+.names 19314 19315
+1 1
+.names 19315 19316
+0 1
+.names 19313 19316 19317
+1- 1
+-1 1
+.names 19650 19318
+0 1
+.names 19318 19319
+1 1
+.names 19319 19320
+0 1
+.names 19328 19331 19321
+1- 1
+-1 1
+.names 19321 19322
+1 1
+.names 19333 19323
+0 1
+.names 19323 19324
+1 1
+.names 19369 19372 19325
+11 1
+.names 19325 19326
+1 1
+.names 19326 19327
+0 1
+.names 19324 19327 19328
+1- 1
+-1 1
+.names 19260 19374 19329
+11 1
+.names 19329 19330
+1 1
+.names 19330 19331
+0 1
+.names 19334 19332
+0 1
+.names 19332 19333
+1 1
+.names 1066 1082 19334
+01 1
+10 1
+.names 19322 19335
+0 1
+.names 19335 19336
+1 1
+.names 19265 19340 19337
+1- 1
+-1 1
+.names 19337 19338
+1 1
+.names 1090 1074 19339
+01 1
+10 1
+.names 19339 19340
+1 1
+.names 19344 19341
+0 1
+.names 19341 19342
+1 1
+.names 19494 19495 19343
+1- 1
+-1 1
+.names 19343 19344
+1 1
+.names 19292 19345
+0 1
+.names 18189 19346
+1 1
+.names 19377 19347
+1 1
+.names 19347 19348
+1 1
+.names 19348 19349
+0 1
+.names 17505 19349 19350
+1- 1
+-1 1
+.names 19230 19351
+0 1
+.names 19354 19355 19352
+1- 1
+-1 1
+.names 19352 19353
+1 1
+.names 18198 19354
+1 1
+.names 19340 19355
+0 1
+.names 19360 19362 19356
+1- 1
+-1 1
+.names 19356 19357
+1 1
+.names 19353 19358
+0 1
+.names 19358 19359
+1 1
+.names 19359 19360
+0 1
+.names 19341 19361
+1 1
+.names 19361 19362
+0 1
+.names 19366 19363
+0 1
+.names 19363 19364
+1 1
+.names 19573 19383 19365
+1- 1
+-1 1
+.names 19365 19366
+1 1
+.names 1088 1072 19367
+01 1
+10 1
+.names 19367 19368
+1 1
+.names 19368 19369
+0 1
+.names 19257 19370
+0 1
+.names 19370 19371
+1 1
+.names 19371 19372
+0 1
+.names 19375 19373
+0 1
+.names 19373 19374
+1 1
+.names 1083 1067 19375
+01 1
+10 1
+.names 19297 19376
+1 1
+.names 19378 19377
+0 1
+.names 1084 1068 19378
+01 1
+10 1
+.names 19385 19388 19379
+1- 1
+-1 1
+.names 19379 19380
+1 1
+.names 19578 19381
+0 1
+.names 19381 19382
+1 1
+.names 19382 19383
+0 1
+.names 19349 19383 19384
+1- 1
+-1 1
+.names 19384 19231 19385
+1- 1
+-1 1
+.names 19556 19386
+0 1
+.names 19386 19387
+1 1
+.names 19387 19388
+0 1
+.names 19395 19397 19389
+11 1
+.names 19389 19390
+1 1
+.names 19443 19391
+0 1
+.names 19391 19392
+1 1
+.names 19380 19393
+0 1
+.names 19393 19394
+1 1
+.names 19392 19394 19395
+11 1
+.names 19807 19808 19396
+11 1
+.names 19396 19397
+1 1
+.names 19408 19262 19398
+11 1
+.names 19398 19399
+1 1
+.names 19415 19418 19400
+11 1
+.names 19400 19401
+1 1
+.names 19401 19402
+0 1
+.names 19542 19543 19403
+1- 1
+-1 1
+.names 19403 19404
+1 1
+.names 19404 19405
+0 1
+.names 19402 19405 19406
+1- 1
+-1 1
+.names 19357 19407
+0 1
+.names 19406 19407 19408
+1- 1
+-1 1
+.names 19492 19409
+0 1
+.names 19409 19410
+1 1
+.names 19410 19411
+0 1
+.names 19478 19479 19412
+1- 1
+-1 1
+.names 19412 19413
+1 1
+.names 19413 19414
+0 1
+.names 19411 19414 19415
+1- 1
+-1 1
+.names 19558 19560 19416
+1- 1
+-1 1
+.names 19416 19417
+1 1
+.names 19417 19418
+0 1
+.names 19423 19414 19419
+11 1
+.names 19419 19420
+1 1
+.names 19750 19751 19421
+1- 1
+-1 1
+.names 19421 19422
+1 1
+.names 19422 19423
+0 1
+.names 19428 19431 19424
+11 1
+.names 19424 19425
+1 1
+.names 19577 19407 19426
+1- 1
+-1 1
+.names 19426 19427
+1 1
+.names 19427 19428
+0 1
+.names 19553 19554 19429
+1- 1
+-1 1
+.names 19429 19430
+1 1
+.names 19430 19431
+0 1
+.names 19434 19432
+0 1
+.names 19432 19433
+1 1
+.names 1069 1085 19434
+01 1
+10 1
+.names 19437 19435
+0 1
+.names 19435 19436
+1 1
+.names 1070 1086 19437
+01 1
+10 1
+.names 1087 1071 19438
+01 1
+10 1
+.names 19438 19439
+1 1
+.names 19363 19391 19440
+11 1
+.names 19440 19441
+1 1
+.names 19527 19453 19442
+1- 1
+-1 1
+.names 19442 19443
+1 1
+.names 1085 19444
+1 1
+.names 19449 19450 19445
+1- 1
+-1 1
+.names 19445 19446
+1 1
+.names 19433 19447
+0 1
+.names 19444 19448
+0 1
+.names 19447 19448 19449
+11 1
+.names 19433 18295 19450
+11 1
+.names 19457 19460 19451
+1- 1
+-1 1
+.names 19451 19452
+1 1
+.names 19436 19453
+0 1
+.names 19453 19454
+1 1
+.names 19454 19455
+0 1
+.names 19446 19456
+0 1
+.names 19455 19456 19457
+11 1
+.names 18304 19458
+1 1
+.names 19458 19459
+0 1
+.names 19454 19459 19460
+11 1
+.names 19463 19461
+1 1
+.names 19461 19462
+1 1
+.names 19374 19463
+0 1
+.names 18311 19464
+1 1
+.names 19467 19468 19465
+11 1
+.names 19465 19466
+1 1
+.names 19392 19467
+0 1
+.names 19462 19468
+0 1
+.names 19471 19472 19469
+1- 1
+-1 1
+.names 19469 19470
+1 1
+.names 19452 19471
+0 1
+.names 19466 19472
+0 1
+.names 19468 19477 19473
+1- 1
+-1 1
+.names 19473 19474
+1 1
+.names 19464 19475
+0 1
+.names 19475 19476
+1 1
+.names 19476 19477
+0 1
+.names 19470 19478
+0 1
+.names 19474 19479
+0 1
+.names 19441 19336 19480
+11 1
+.names 19338 19481
+0 1
+.names 19481 19482
+1 1
+.names 19486 19489 19483
+11 1
+.names 19483 19484
+1 1
+.names 19345 19485
+1 1
+.names 19485 19486
+0 1
+.names 19642 19643 19487
+1- 1
+-1 1
+.names 19487 19488
+1 1
+.names 19488 19489
+0 1
+.names 1084 19490
+1 1
+.names 19364 19491
+0 1
+.names 19491 19492
+1 1
+.names 19394 19493
+0 1
+.names 19467 19493 19494
+1- 1
+-1 1
+.names 19336 19495
+0 1
+.names 19504 19507 19496
+11 1
+.names 19496 19497
+1 1
+.names 19639 19498
+0 1
+.names 19498 19499
+1 1
+.names 19499 19500
+0 1
+.names 19376 19501
+0 1
+.names 19501 19502
+1 1
+.names 19502 19503
+0 1
+.names 19500 19503 19504
+1- 1
+-1 1
+.names 19585 19588 19505
+1- 1
+-1 1
+.names 19505 19506
+1 1
+.names 19506 19507
+0 1
+.names 19510 19511 19508
+1- 1
+-1 1
+.names 19508 19509
+1 1
+.names 19497 19510
+0 1
+.names 19484 19511
+0 1
+.names 19509 19512
+0 1
+.names 19512 19513
+1 1
+.names 19513 19514
+0 1
+.names 19399 19515
+0 1
+.names 19515 19516
+1 1
+.names 19516 19517
+0 1
+.names 19514 19517 19518
+1- 1
+-1 1
+.names 19425 19519
+0 1
+.names 19522 19523 19520
+1- 1
+-1 1
+.names 19520 19521
+1 1
+.names 19388 19346 19522
+11 1
+.names 19387 19273 19523
+11 1
+.names 19439 19524
+0 1
+.names 19524 19525
+1 1
+.names 19525 19526
+0 1
+.names 19526 19447 19527
+1- 1
+-1 1
+.names 19536 19539 19528
+1- 1
+-1 1
+.names 19528 19529
+1 1
+.names 19645 19530
+0 1
+.names 19530 19531
+1 1
+.names 19531 19532
+0 1
+.names 19568 19571 19533
+1- 1
+-1 1
+.names 19533 19534
+1 1
+.names 19534 19535
+0 1
+.names 19532 19535 19536
+11 1
+.names 19720 19722 19537
+1- 1
+-1 1
+.names 19537 19538
+1 1
+.names 19538 19539
+0 1
+.names 19529 19540
+0 1
+.names 19540 19541
+1 1
+.names 19541 19542
+0 1
+.names 19390 19543
+0 1
+.names 19547 19549 19544
+11 1
+.names 19544 19545
+1 1
+.names 19650 19712 19546
+1- 1
+-1 1
+.names 19546 19547
+1 1
+.names 19692 19693 19548
+1- 1
+-1 1
+.names 19548 19549
+1 1
+.names 19420 19550
+0 1
+.names 19550 19405 19551
+1- 1
+-1 1
+.names 19521 19552
+0 1
+.names 19551 19552 19553
+1- 1
+-1 1
+.names 19545 19554
+0 1
+.names 1073 1089 19555
+01 1
+10 1
+.names 19555 19556
+1 1
+.names 19273 19557
+0 1
+.names 19386 19557 19558
+11 1
+.names 19346 19559
+0 1
+.names 19556 19559 19560
+11 1
+.names 19348 19563 19561
+1- 1
+-1 1
+.names 19561 19562
+1 1
+.names 19490 19563
+0 1
+.names 19369 19372 19564
+11 1
+.names 19564 19565
+1 1
+.names 18414 19566
+1 1
+.names 19566 19567
+0 1
+.names 19369 19567 19568
+11 1
+.names 18418 19569
+1 1
+.names 19569 19570
+0 1
+.names 19368 19570 19571
+11 1
+.names 19349 19388 19572
+1- 1
+-1 1
+.names 19572 19231 19573
+1- 1
+-1 1
+.names 19267 19576 19574
+1- 1
+-1 1
+.names 19574 19575
+1 1
+.names 19342 19576
+0 1
+.names 19575 19577
+0 1
+.names 1076 1060 19578
+01 1
+10 1
+.names 19638 19579
+0 1
+.names 19579 19580
+1 1
+.names 19580 19581
+0 1
+.names 19682 19683 19582
+11 1
+.names 19582 19583
+1 1
+.names 19583 19584
+0 1
+.names 19581 19584 19585
+11 1
+.names 2413 19586
+1 1
+.names 19586 19587
+0 1
+.names 19580 19587 19588
+11 1
+.names 19507 19589
+1 1
+.names 19597 19599 19590
+1- 1
+-1 1
+.names 19590 19591
+1 1
+.names 19730 19592
+0 1
+.names 19592 19593
+1 1
+.names 19593 19594
+0 1
+.names 19791 19792 19595
+11 1
+.names 19595 19596
+1 1
+.names 19594 19596 19597
+11 1
+.names 2413 19598
+1 1
+.names 19593 19598 19599
+11 1
+.names 2413 19600
+1 1
+.names 2413 19601
+1 1
+.names 2413 19602
+1 1
+.names 1783 19724 19603
+01 1
+10 1
+.names 19603 19604
+1 1
+.names 19604 19605
+0 1
+.names 1783 19617 19606
+01 1
+10 1
+.names 19606 19607
+1 1
+.names 19607 19608
+0 1
+.names 19616 19619 19609
+1- 1
+-1 1
+.names 19609 19610
+1 1
+.names 19608 19611
+1 1
+.names 19611 19612
+0 1
+.names 2413 19798 19613
+1- 1
+-1 1
+.names 19613 19614
+1 1
+.names 19614 19615
+0 1
+.names 19612 19615 19616
+11 1
+.names 2413 19617
+1 1
+.names 19617 19618
+0 1
+.names 19611 19618 19619
+11 1
+.names 19623 19620
+0 1
+.names 19620 19621
+1 1
+.names 2413 19622
+1 1
+.names 19622 1783 19623
+01 1
+10 1
+.names 19621 19624
+0 1
+.names 19624 19625
+1 1
+.names 19626
+.names 19626 19627
+1 1
+.names 19627 19628
+0 1
+.names 19628 19629
+1 1
+.names 19602 1783 19630
+01 1
+10 1
+.names 19301 19631
+0 1
+.names 19631 19632
+1 1
+.names 19633
+.names 19633 19634
+1 1
+.names 19634 19635
+0 1
+.names 19635 19636
+1 1
+.names 19684 19637
+0 1
+.names 19637 19638
+1 1
+.names 18489 19639
+1 1
+.names 19701 19702 19640
+1- 1
+-1 1
+.names 19640 19641
+1 1
+.names 19641 19642
+0 1
+.names 19547 19643
+0 1
+.names 19718 19802 19644
+11 1
+.names 19644 19645
+1 1
+.names 19648 1783 19646
+01 1
+10 1
+.names 19646 19647
+1 1
+.names 2413 19648
+1 1
+.names 19661 19664 19649
+1- 1
+-1 1
+.names 19649 19650
+1 1
+.names 19728 19625 19651
+11 1
+.names 19651 19652
+1 1
+.names 19652 19653
+0 1
+.names 19732 19629 19654
+11 1
+.names 19654 19655
+1 1
+.names 19655 19656
+0 1
+.names 19653 19656 19657
+1- 1
+-1 1
+.names 19742 19636 19658
+11 1
+.names 19658 19659
+1 1
+.names 19659 19660
+0 1
+.names 19657 19660 19661
+1- 1
+-1 1
+.names 19748 19745 19662
+11 1
+.names 19662 19663
+1 1
+.names 19663 19664
+0 1
+.names 19668 19665
+0 1
+.names 19665 19666
+1 1
+.names 19689 19579 19667
+1- 1
+-1 1
+.names 19667 19668
+1 1
+.names 2413 19669
+1 1
+.names 19674 19675 19670
+11 1
+.names 19670 19671
+1 1
+.names 19755 19756 19672
+1- 1
+-1 1
+.names 19672 19673
+1 1
+.names 19673 19674
+0 1
+.names 19669 19675
+0 1
+.names 19680 19681 19676
+1- 1
+-1 1
+.names 19676 19677
+1 1
+.names 1783 19627 19678
+11 1
+.names 19678 19679
+1 1
+.names 19624 19679 19680
+11 1
+.names 19621 1783 19681
+11 1
+.names 19677 19682
+0 1
+.names 19671 19683
+0 1
+.names 19684
+.names 19621 19627 19685
+1- 1
+-1 1
+.names 19747 19686
+0 1
+.names 19686 19687
+1 1
+.names 19687 19688
+0 1
+.names 19685 19688 19689
+1- 1
+-1 1
+.names 19766 19768 19690
+1- 1
+-1 1
+.names 19690 19691
+1 1
+.names 19691 19692
+0 1
+.names 19299 19693
+0 1
+.names 19549 19694
+0 1
+.names 19694 19695
+1 1
+.names 19698 19693 19696
+11 1
+.names 19696 19697
+1 1
+.names 19591 19698
+0 1
+.names 19697 19699
+0 1
+.names 19695 19700
+0 1
+.names 19699 19700 19701
+11 1
+.names 19666 19702
+0 1
+.names 2413 19703
+1 1
+.names 19707 19711 19704
+1- 1
+-1 1
+.names 19704 19705
+1 1
+.names 19703 19706
+0 1
+.names 19316 19706 19707
+11 1
+.names 19775 19776 19708
+11 1
+.names 19708 19709
+1 1
+.names 19709 19710
+0 1
+.names 19315 19710 19711
+11 1
+.names 19705 19712
+0 1
+.names 18564 19713
+1 1
+.names 18568 19323 19714
+11 1
+.names 19714 19715
+1 1
+.names 19260 19716
+0 1
+.names 19716 19717
+1 1
+.names 19717 19718
+0 1
+.names 19715 19719
+0 1
+.names 19718 19719 19720
+11 1
+.names 19713 19721
+0 1
+.names 19717 19721 19722
+11 1
+.names 19605 19723
+1 1
+.names 2413 19724
+1 1
+.names 2413 19725
+1 1
+.names 1783 19725 19726
+01 1
+10 1
+.names 19726 19727
+1 1
+.names 19727 19638 19728
+11 1
+.names 19731 19729
+0 1
+.names 19729 19730
+1 1
+.names 19731
+.names 19632 19730 19732
+11 1
+.names 19736 19733
+0 1
+.names 19733 19734
+1 1
+.names 1783 19737 19735
+01 1
+10 1
+.names 19735 19736
+1 1
+.names 2413 19737
+1 1
+.names 19738
+.names 19738 19739
+1 1
+.names 19739 19740
+0 1
+.names 19740 19741
+1 1
+.names 19741 19736 19742
+11 1
+.names 2413 19743
+1 1
+.names 1783 19743 19744
+01 1
+10 1
+.names 19744 19745
+1 1
+.names 2413 19746
+1 1
+.names 19746 19669 19747
+01 1
+10 1
+.names 19687 19303 19748
+11 1
+.names 19236 19749
+0 1
+.names 19698 19749 19750
+1- 1
+-1 1
+.names 19589 19751
+0 1
+.names 19746 19752
+0 1
+.names 19752 19675 19753
+11 1
+.names 19629 19754
+0 1
+.names 19753 19754 19755
+1- 1
+-1 1
+.names 19625 19756
+0 1
+.names 19762 19763 19757
+1- 1
+-1 1
+.names 19757 19758
+1 1
+.names 19795 19796 19759
+1- 1
+-1 1
+.names 19759 19760
+1 1
+.names 19760 19761
+0 1
+.names 19631 19761 19762
+11 1
+.names 19301 2413 19763
+11 1
+.names 2413 19764
+1 1
+.names 19764 19765
+0 1
+.names 19304 19765 19766
+11 1
+.names 19758 19767
+0 1
+.names 19303 19767 19768
+11 1
+.names 19771 19774 19769
+11 1
+.names 19769 19770
+1 1
+.names 19724 19771
+0 1
+.names 19793 19794 19772
+1- 1
+-1 1
+.names 19772 19773
+1 1
+.names 19773 19774
+0 1
+.names 19770 19775
+0 1
+.names 19610 19776
+0 1
+.names 18630 19777
+1 1
+.names 19780 19781 19778
+11 1
+.names 19778 19779
+1 1
+.names 19745 19780
+0 1
+.names 19743 19781
+0 1
+.names 19787 19790 19782
+1- 1
+-1 1
+.names 19782 19783
+1 1
+.names 19734 19784
+0 1
+.names 19801 19800 19785
+11 1
+.names 19785 19786
+1 1
+.names 19784 19786 19787
+11 1
+.names 1783 19745 19788
+11 1
+.names 19788 19789
+1 1
+.names 19734 19789 19790
+11 1
+.names 19783 19791
+0 1
+.names 19779 19792
+0 1
+.names 19608 19311 19793
+1- 1
+-1 1
+.names 19723 19794
+0 1
+.names 19635 1783 19795
+11 1
+.names 19634 1783 19796
+11 1
+.names 2413 19797
+1 1
+.names 19797 19798
+0 1
+.names 2413 19799
+1 1
+.names 19799 19800
+1 1
+.names 19745 1783 19801
+11 1
+.names 19324 19802
+0 1
+.names 19802 19718 19803
+11 1
+.names 19803 19804
+1 1
+.names 19804 19805
+0 1
+.names 19565 19806
+0 1
+.names 19805 19806 19807
+1- 1
+-1 1
+.names 19461 19808
+0 1
+.names 19812 19809
+0 1
+.names 19809 19810
+1 1
+.names 42733 42737 56688
+1- 1
+-1 1
+.names 1092 56688 19812
+01 1
+10 1
+.names 19815 19813
+0 1
+.names 19813 19814
+1 1
+.names 1093 1783 19815
+01 1
+10 1
+.names 19819 19816
+0 1
+.names 19816 19817
+1 1
+.names 42960 42964 56684
+1- 1
+-1 1
+.names 1094 56684 19819
+01 1
+10 1
+.names 19822 19810 19820
+11 1
+.names 19820 19821
+1 1
+.names 19814 19817 19822
+11 1
+.names 19826 19823
+0 1
+.names 19823 19824
+1 1
+.names 42952 42956 56686
+1- 1
+-1 1
+.names 1095 56686 19826
+01 1
+10 1
+.names 19830 19827
+0 1
+.names 19827 19828
+1 1
+.names 43614 43618 56694
+1- 1
+-1 1
+.names 1096 56694 19830
+01 1
+10 1
+.names 19834 19831
+0 1
+.names 19831 19832
+1 1
+.names 43039 43043 56680
+1- 1
+-1 1
+.names 1097 56680 19834
+01 1
+10 1
+.names 19839 19840 19835
+1- 1
+-1 1
+.names 19835 19836
+1 1
+.names 19824 19837
+0 1
+.names 19828 19838
+0 1
+.names 19837 19838 19839
+1- 1
+-1 1
+.names 19832 19840
+0 1
+.names 19836 19841
+0 1
+.names 19841 19842
+1 1
+.names 1098 56692 19843
+01 1
+10 1
+.names 19843 19844
+1 1
+.names 43600 43604 56692
+1- 1
+-1 1
+.names 1099 56685 19846
+01 1
+10 1
+.names 19846 19847
+1 1
+.names 42974 42978 56685
+1- 1
+-1 1
+.names 19851 19852 19849
+11 1
+.names 19849 19850
+1 1
+.names 19844 19851
+0 1
+.names 19847 19852
+0 1
+.names 1100 56696 19853
+01 1
+10 1
+.names 19853 19854
+1 1
+.names 1101 1783 19855
+01 1
+10 1
+.names 19855 19856
+1 1
+.names 19859 19860 19857
+11 1
+.names 19857 19858
+1 1
+.names 19854 19859
+0 1
+.names 19856 19860
+0 1
+.names 19867 19868 19861
+1- 1
+-1 1
+.names 19861 19862
+1 1
+.names 19821 19863
+0 1
+.names 19842 19864
+0 1
+.names 19863 19864 19865
+1- 1
+-1 1
+.names 19850 19866
+0 1
+.names 19865 19866 19867
+1- 1
+-1 1
+.names 19858 19868
+0 1
+.names 19872 19869
+0 1
+.names 19869 19870
+1 1
+.names 42749 42753 56690
+1- 1
+-1 1
+.names 1102 56690 19872
+01 1
+10 1
+.names 19876 19873
+0 1
+.names 19873 19874
+1 1
+.names 42725 42729 56687
+1- 1
+-1 1
+.names 1103 56687 19876
+01 1
+10 1
+.names 19880 19877
+0 1
+.names 19877 19878
+1 1
+.names 42741 42745 56689
+1- 1
+-1 1
+.names 1104 56689 19880
+01 1
+10 1
+.names 19883 19878 19881
+11 1
+.names 19881 19882
+1 1
+.names 19870 19874 19883
+11 1
+.names 19886 19884
+0 1
+.names 19884 19885
+1 1
+.names 1105 56695 19886
+01 1
+10 1
+.names 19890 19887
+0 1
+.names 19887 19888
+1 1
+.names 42966 42970 56683
+1- 1
+-1 1
+.names 1106 56683 19890
+01 1
+10 1
+.names 19893 19891
+0 1
+.names 19891 19892
+1 1
+.names 19893
+.names 19896 19892 19894
+11 1
+.names 19894 19895
+1 1
+.names 19885 19888 19896
+11 1
+.names 1107 56691 19897
+01 1
+10 1
+.names 19897 19898
+1 1
+.names 43592 43596 56691
+1- 1
+-1 1
+.names 1108 56693 19900
+01 1
+10 1
+.names 19900 19901
+1 1
+.names 43608 43612 56693
+1- 1
+-1 1
+.names 19905 19906 19903
+11 1
+.names 19903 19904
+1 1
+.names 19898 19905
+0 1
+.names 19901 19906
+0 1
+.names 1109 56681 19907
+01 1
+10 1
+.names 19907 19908
+1 1
+.names 43047 43051 56681
+1- 1
+-1 1
+.names 19916 19919 19910
+1- 1
+-1 1
+.names 19910 19911
+1 1
+.names 19882 19912
+0 1
+.names 19895 19913
+0 1
+.names 19912 19913 19914
+1- 1
+-1 1
+.names 19904 19915
+0 1
+.names 19914 19915 19916
+1- 1
+-1 1
+.names 19925 19928 19917
+11 1
+.names 19917 19918
+1 1
+.names 19918 19919
+0 1
+.names 19862 19920
+0 1
+.names 19911 19921
+0 1
+.names 56682 19922
+0 1
+.names 19922 19923
+1 1
+.names 43055 43059 56682
+1- 1
+-1 1
+.names 19908 19925
+0 1
+.names 19929 19926
+0 1
+.names 19926 19927
+1 1
+.names 19927 19928
+0 1
+.names 1110 19923 19929
+01 1
+10 1
+.names 19933 19930
+0 1
+.names 19930 19931
+1 1
+.names 42888 42892 56673
+1- 1
+-1 1
+.names 1111 56673 19933
+01 1
+10 1
+.names 19937 19934
+0 1
+.names 19934 19935
+1 1
+.names 42896 42900 56672
+1- 1
+-1 1
+.names 56672 1112 19937
+01 1
+10 1
+.names 19943 19944 19938
+1- 1
+-1 1
+.names 19938 19939
+1 1
+.names 20021 20022 19940
+1- 1
+-1 1
+.names 19940 19941
+1 1
+.names 19931 19942
+0 1
+.names 19941 19942 19943
+1- 1
+-1 1
+.names 19935 19944
+0 1
+.names 19948 19945
+0 1
+.names 19945 19946
+1 1
+.names 42906 42908 56674
+1- 1
+-1 1
+.names 56674 1113 19948
+01 1
+10 1
+.names 19952 19949
+0 1
+.names 19949 19950
+1 1
+.names 42914 42916 56671
+1- 1
+-1 1
+.names 56671 1114 19952
+01 1
+10 1
+.names 19955 19956 19953
+1- 1
+-1 1
+.names 19953 19954
+1 1
+.names 19946 19955
+0 1
+.names 19950 19956
+0 1
+.names 19960 19957
+0 1
+.names 19957 19958
+1 1
+.names 43645 43646 56678
+1- 1
+-1 1
+.names 56678 1115 19960
+01 1
+10 1
+.names 19964 19961
+0 1
+.names 19961 19962
+1 1
+.names 43638 43642 56675
+1- 1
+-1 1
+.names 56675 1116 19964
+01 1
+10 1
+.names 19968 19965
+0 1
+.names 19965 19966
+1 1
+.names 43624 43626 56677
+1- 1
+-1 1
+.names 56677 1117 19968
+01 1
+10 1
+.names 19979 19982 19969
+1- 1
+-1 1
+.names 19969 19970
+1 1
+.names 19970 19971
+0 1
+.names 19939 19972
+0 1
+.names 19971 19972 19973
+11 1
+.names 19954 19974
+0 1
+.names 19958 19975
+0 1
+.names 19962 19976
+0 1
+.names 19975 19976 19977
+1- 1
+-1 1
+.names 19966 19978
+0 1
+.names 19977 19978 19979
+1- 1
+-1 1
+.names 19984 19980
+0 1
+.names 19980 19981
+1 1
+.names 19981 19982
+0 1
+.names 43630 43634 56676
+1- 1
+-1 1
+.names 56676 1118 19984
+01 1
+10 1
+.names 19989 19992 19985
+11 1
+.names 19985 19986
+1 1
+.names 19987
+.names 19987 19988
+1 1
+.names 19988 19989
+0 1
+.names 19990
+.names 19990 19991
+1 1
+.names 19991 19992
+0 1
+.names 19997 20000 19993
+11 1
+.names 19993 19994
+1 1
+.names 19995
+.names 19995 19996
+1 1
+.names 19996 19997
+0 1
+.names 19998
+.names 19998 19999
+1 1
+.names 19999 20000
+0 1
+.names 20005 20008 20001
+11 1
+.names 20001 20002
+1 1
+.names 20003
+.names 20003 20004
+1 1
+.names 20004 20005
+0 1
+.names 20006
+.names 20006 20007
+1 1
+.names 20007 20008
+0 1
+.names 20013 20016 20009
+11 1
+.names 20009 20010
+1 1
+.names 20011
+.names 20011 20012
+1 1
+.names 20012 20013
+0 1
+.names 20014
+.names 20014 20015
+1 1
+.names 20015 20016
+0 1
+.names 20010 20017
+0 1
+.names 20002 20018
+0 1
+.names 20017 20018 20019
+1- 1
+-1 1
+.names 19994 20020
+0 1
+.names 20019 20020 20021
+1- 1
+-1 1
+.names 19986 20022
+0 1
+.names 20025 20023
+0 1
+.names 20023 20024
+1 1
+.names 1119 56688 20025
+01 1
+10 1
+.names 20028 20026
+0 1
+.names 20026 20027
+1 1
+.names 1120 1783 20028
+01 1
+10 1
+.names 20031 20029
+0 1
+.names 20029 20030
+1 1
+.names 1121 56684 20031
+01 1
+10 1
+.names 20034 20024 20032
+11 1
+.names 20032 20033
+1 1
+.names 20027 20030 20034
+11 1
+.names 20037 20035
+0 1
+.names 20035 20036
+1 1
+.names 1122 56686 20037
+01 1
+10 1
+.names 20040 20038
+0 1
+.names 20038 20039
+1 1
+.names 1123 56694 20040
+01 1
+10 1
+.names 20043 20041
+0 1
+.names 20041 20042
+1 1
+.names 1124 56680 20043
+01 1
+10 1
+.names 20048 20049 20044
+1- 1
+-1 1
+.names 20044 20045
+1 1
+.names 20036 20046
+0 1
+.names 20039 20047
+0 1
+.names 20046 20047 20048
+1- 1
+-1 1
+.names 20042 20049
+0 1
+.names 20045 20050
+0 1
+.names 20050 20051
+1 1
+.names 1125 56692 20052
+01 1
+10 1
+.names 20052 20053
+1 1
+.names 1126 56685 20054
+01 1
+10 1
+.names 20054 20055
+1 1
+.names 20058 20059 20056
+11 1
+.names 20056 20057
+1 1
+.names 20053 20058
+0 1
+.names 20055 20059
+0 1
+.names 1127 56696 20060
+01 1
+10 1
+.names 20060 20061
+1 1
+.names 1128 1783 20062
+01 1
+10 1
+.names 20062 20063
+1 1
+.names 20066 20067 20064
+11 1
+.names 20064 20065
+1 1
+.names 20061 20066
+0 1
+.names 20063 20067
+0 1
+.names 20074 20075 20068
+1- 1
+-1 1
+.names 20068 20069
+1 1
+.names 20033 20070
+0 1
+.names 20051 20071
+0 1
+.names 20070 20071 20072
+1- 1
+-1 1
+.names 20057 20073
+0 1
+.names 20072 20073 20074
+1- 1
+-1 1
+.names 20065 20075
+0 1
+.names 20078 20076
+0 1
+.names 20076 20077
+1 1
+.names 1129 56690 20078
+01 1
+10 1
+.names 20081 20079
+0 1
+.names 20079 20080
+1 1
+.names 1130 56687 20081
+01 1
+10 1
+.names 20084 20082
+0 1
+.names 20082 20083
+1 1
+.names 1131 56689 20084
+01 1
+10 1
+.names 20087 20083 20085
+11 1
+.names 20085 20086
+1 1
+.names 20077 20080 20087
+11 1
+.names 20090 20088
+0 1
+.names 20088 20089
+1 1
+.names 1132 56695 20090
+01 1
+10 1
+.names 20093 20091
+0 1
+.names 20091 20092
+1 1
+.names 1133 56683 20093
+01 1
+10 1
+.names 20096 20094
+0 1
+.names 20094 20095
+1 1
+.names 20096
+.names 20099 20095 20097
+11 1
+.names 20097 20098
+1 1
+.names 20089 20092 20099
+11 1
+.names 1134 56691 20100
+01 1
+10 1
+.names 20100 20101
+1 1
+.names 1135 56693 20102
+01 1
+10 1
+.names 20102 20103
+1 1
+.names 20106 20107 20104
+11 1
+.names 20104 20105
+1 1
+.names 20101 20106
+0 1
+.names 20103 20107
+0 1
+.names 1136 56681 20108
+01 1
+10 1
+.names 20108 20109
+1 1
+.names 20116 20119 20110
+1- 1
+-1 1
+.names 20110 20111
+1 1
+.names 20086 20112
+0 1
+.names 20098 20113
+0 1
+.names 20112 20113 20114
+1- 1
+-1 1
+.names 20105 20115
+0 1
+.names 20114 20115 20116
+1- 1
+-1 1
+.names 20123 20126 20117
+11 1
+.names 20117 20118
+1 1
+.names 20118 20119
+0 1
+.names 20069 20120
+0 1
+.names 20111 20121
+0 1
+.names 19922 20122
+1 1
+.names 20109 20123
+0 1
+.names 20127 20124
+0 1
+.names 20124 20125
+1 1
+.names 20125 20126
+0 1
+.names 1137 20122 20127
+01 1
+10 1
+.names 20130 20128
+0 1
+.names 20128 20129
+1 1
+.names 1138 56673 20130
+01 1
+10 1
+.names 20133 20131
+0 1
+.names 20131 20132
+1 1
+.names 56672 1139 20133
+01 1
+10 1
+.names 20139 20140 20134
+1- 1
+-1 1
+.names 20134 20135
+1 1
+.names 20211 20212 20136
+1- 1
+-1 1
+.names 20136 20137
+1 1
+.names 20129 20138
+0 1
+.names 20137 20138 20139
+1- 1
+-1 1
+.names 20132 20140
+0 1
+.names 20143 20141
+0 1
+.names 20141 20142
+1 1
+.names 56674 1140 20143
+01 1
+10 1
+.names 20146 20144
+0 1
+.names 20144 20145
+1 1
+.names 56671 1141 20146
+01 1
+10 1
+.names 20149 20150 20147
+1- 1
+-1 1
+.names 20147 20148
+1 1
+.names 20142 20149
+0 1
+.names 20145 20150
+0 1
+.names 20153 20151
+0 1
+.names 20151 20152
+1 1
+.names 56678 1142 20153
+01 1
+10 1
+.names 20156 20154
+0 1
+.names 20154 20155
+1 1
+.names 56675 1143 20156
+01 1
+10 1
+.names 20159 20157
+0 1
+.names 20157 20158
+1 1
+.names 56677 1144 20159
+01 1
+10 1
+.names 20170 20173 20160
+1- 1
+-1 1
+.names 20160 20161
+1 1
+.names 20161 20162
+0 1
+.names 20135 20163
+0 1
+.names 20162 20163 20164
+11 1
+.names 20148 20165
+0 1
+.names 20152 20166
+0 1
+.names 20155 20167
+0 1
+.names 20166 20167 20168
+1- 1
+-1 1
+.names 20158 20169
+0 1
+.names 20168 20169 20170
+1- 1
+-1 1
+.names 20174 20171
+0 1
+.names 20171 20172
+1 1
+.names 20172 20173
+0 1
+.names 56676 1145 20174
+01 1
+10 1
+.names 20179 20182 20175
+11 1
+.names 20175 20176
+1 1
+.names 20177
+.names 20177 20178
+1 1
+.names 20178 20179
+0 1
+.names 20180
+.names 20180 20181
+1 1
+.names 20181 20182
+0 1
+.names 20187 20190 20183
+11 1
+.names 20183 20184
+1 1
+.names 20185
+.names 20185 20186
+1 1
+.names 20186 20187
+0 1
+.names 20188
+.names 20188 20189
+1 1
+.names 20189 20190
+0 1
+.names 20195 20198 20191
+11 1
+.names 20191 20192
+1 1
+.names 20193
+.names 20193 20194
+1 1
+.names 20194 20195
+0 1
+.names 20196
+.names 20196 20197
+1 1
+.names 20197 20198
+0 1
+.names 20203 20206 20199
+11 1
+.names 20199 20200
+1 1
+.names 20201
+.names 20201 20202
+1 1
+.names 20202 20203
+0 1
+.names 20204
+.names 20204 20205
+1 1
+.names 20205 20206
+0 1
+.names 20200 20207
+0 1
+.names 20192 20208
+0 1
+.names 20207 20208 20209
+1- 1
+-1 1
+.names 20184 20210
+0 1
+.names 20209 20210 20211
+1- 1
+-1 1
+.names 20176 20212
+0 1
+.names 20215 20213
+0 1
+.names 20213 20214
+1 1
+.names 1092 257 20215
+01 1
+10 1
+.names 20218 20216
+0 1
+.names 20216 20217
+1 1
+.names 1093 267 20218
+01 1
+10 1
+.names 20221 20219
+0 1
+.names 20219 20220
+1 1
+.names 1094 253 20221
+01 1
+10 1
+.names 20224 20214 20222
+11 1
+.names 20222 20223
+1 1
+.names 20217 20220 20224
+11 1
+.names 20227 20225
+0 1
+.names 20225 20226
+1 1
+.names 1095 255 20227
+01 1
+10 1
+.names 20230 20228
+0 1
+.names 20228 20229
+1 1
+.names 1096 263 20230
+01 1
+10 1
+.names 20233 20231
+0 1
+.names 20231 20232
+1 1
+.names 1097 249 20233
+01 1
+10 1
+.names 20238 20239 20234
+1- 1
+-1 1
+.names 20234 20235
+1 1
+.names 20226 20236
+0 1
+.names 20229 20237
+0 1
+.names 20236 20237 20238
+1- 1
+-1 1
+.names 20232 20239
+0 1
+.names 20235 20240
+0 1
+.names 20240 20241
+1 1
+.names 1098 261 20242
+01 1
+10 1
+.names 20242 20243
+1 1
+.names 1099 254 20244
+01 1
+10 1
+.names 20244 20245
+1 1
+.names 20248 20249 20246
+11 1
+.names 20246 20247
+1 1
+.names 20243 20248
+0 1
+.names 20245 20249
+0 1
+.names 1100 265 20250
+01 1
+10 1
+.names 20250 20251
+1 1
+.names 1101 266 20252
+01 1
+10 1
+.names 20252 20253
+1 1
+.names 20256 20257 20254
+11 1
+.names 20254 20255
+1 1
+.names 20251 20256
+0 1
+.names 20253 20257
+0 1
+.names 20264 20265 20258
+1- 1
+-1 1
+.names 20258 20259
+1 1
+.names 20223 20260
+0 1
+.names 20241 20261
+0 1
+.names 20260 20261 20262
+1- 1
+-1 1
+.names 20247 20263
+0 1
+.names 20262 20263 20264
+1- 1
+-1 1
+.names 20255 20265
+0 1
+.names 20268 20266
+0 1
+.names 20266 20267
+1 1
+.names 1102 259 20268
+01 1
+10 1
+.names 20271 20269
+0 1
+.names 20269 20270
+1 1
+.names 1103 256 20271
+01 1
+10 1
+.names 20274 20272
+0 1
+.names 20272 20273
+1 1
+.names 1104 258 20274
+01 1
+10 1
+.names 20277 20273 20275
+11 1
+.names 20275 20276
+1 1
+.names 20267 20270 20277
+11 1
+.names 20280 20278
+0 1
+.names 20278 20279
+1 1
+.names 1105 264 20280
+01 1
+10 1
+.names 20283 20281
+0 1
+.names 20281 20282
+1 1
+.names 1106 252 20283
+01 1
+10 1
+.names 20286 20284
+0 1
+.names 20284 20285
+1 1
+.names 20286
+.names 20289 20285 20287
+11 1
+.names 20287 20288
+1 1
+.names 20279 20282 20289
+11 1
+.names 1107 260 20290
+01 1
+10 1
+.names 20290 20291
+1 1
+.names 1108 262 20292
+01 1
+10 1
+.names 20292 20293
+1 1
+.names 20296 20297 20294
+11 1
+.names 20294 20295
+1 1
+.names 20291 20296
+0 1
+.names 20293 20297
+0 1
+.names 1109 250 20298
+01 1
+10 1
+.names 20298 20299
+1 1
+.names 20306 20309 20300
+1- 1
+-1 1
+.names 20300 20301
+1 1
+.names 20276 20302
+0 1
+.names 20288 20303
+0 1
+.names 20302 20303 20304
+1- 1
+-1 1
+.names 20295 20305
+0 1
+.names 20304 20305 20306
+1- 1
+-1 1
+.names 20314 20317 20307
+11 1
+.names 20307 20308
+1 1
+.names 20308 20309
+0 1
+.names 20259 20310
+0 1
+.names 20301 20311
+0 1
+.names 251 20312
+0 1
+.names 20312 20313
+1 1
+.names 20299 20314
+0 1
+.names 20318 20315
+0 1
+.names 20315 20316
+1 1
+.names 20316 20317
+0 1
+.names 1110 20313 20318
+01 1
+10 1
+.names 20321 20319
+0 1
+.names 20319 20320
+1 1
+.names 1111 242 20321
+01 1
+10 1
+.names 20324 20322
+0 1
+.names 20322 20323
+1 1
+.names 241 1112 20324
+01 1
+10 1
+.names 20330 20331 20325
+1- 1
+-1 1
+.names 20325 20326
+1 1
+.names 20402 20403 20327
+1- 1
+-1 1
+.names 20327 20328
+1 1
+.names 20320 20329
+0 1
+.names 20328 20329 20330
+1- 1
+-1 1
+.names 20323 20331
+0 1
+.names 20334 20332
+0 1
+.names 20332 20333
+1 1
+.names 243 1113 20334
+01 1
+10 1
+.names 20337 20335
+0 1
+.names 20335 20336
+1 1
+.names 240 1114 20337
+01 1
+10 1
+.names 20340 20341 20338
+1- 1
+-1 1
+.names 20338 20339
+1 1
+.names 20333 20340
+0 1
+.names 20336 20341
+0 1
+.names 20344 20342
+0 1
+.names 20342 20343
+1 1
+.names 247 1115 20344
+01 1
+10 1
+.names 20347 20345
+0 1
+.names 20345 20346
+1 1
+.names 244 1116 20347
+01 1
+10 1
+.names 20350 20348
+0 1
+.names 20348 20349
+1 1
+.names 246 1117 20350
+01 1
+10 1
+.names 20361 20364 20351
+1- 1
+-1 1
+.names 20351 20352
+1 1
+.names 20352 20353
+0 1
+.names 20326 20354
+0 1
+.names 20353 20354 20355
+11 1
+.names 20339 20356
+0 1
+.names 20343 20357
+0 1
+.names 20346 20358
+0 1
+.names 20357 20358 20359
+1- 1
+-1 1
+.names 20349 20360
+0 1
+.names 20359 20360 20361
+1- 1
+-1 1
+.names 20365 20362
+0 1
+.names 20362 20363
+1 1
+.names 20363 20364
+0 1
+.names 245 1118 20365
+01 1
+10 1
+.names 20370 20373 20366
+11 1
+.names 20366 20367
+1 1
+.names 20368
+.names 20368 20369
+1 1
+.names 20369 20370
+0 1
+.names 20371
+.names 20371 20372
+1 1
+.names 20372 20373
+0 1
+.names 20378 20381 20374
+11 1
+.names 20374 20375
+1 1
+.names 20376
+.names 20376 20377
+1 1
+.names 20377 20378
+0 1
+.names 20379
+.names 20379 20380
+1 1
+.names 20380 20381
+0 1
+.names 20386 20389 20382
+11 1
+.names 20382 20383
+1 1
+.names 20384
+.names 20384 20385
+1 1
+.names 20385 20386
+0 1
+.names 20387
+.names 20387 20388
+1 1
+.names 20388 20389
+0 1
+.names 20394 20397 20390
+11 1
+.names 20390 20391
+1 1
+.names 20392
+.names 20392 20393
+1 1
+.names 20393 20394
+0 1
+.names 20395
+.names 20395 20396
+1 1
+.names 20396 20397
+0 1
+.names 20391 20398
+0 1
+.names 20383 20399
+0 1
+.names 20398 20399 20400
+1- 1
+-1 1
+.names 20375 20401
+0 1
+.names 20400 20401 20402
+1- 1
+-1 1
+.names 20367 20403
+0 1
+.names 20406 20404
+0 1
+.names 20404 20405
+1 1
+.names 1119 257 20406
+01 1
+10 1
+.names 20409 20407
+0 1
+.names 20407 20408
+1 1
+.names 1120 267 20409
+01 1
+10 1
+.names 20412 20410
+0 1
+.names 20410 20411
+1 1
+.names 1121 253 20412
+01 1
+10 1
+.names 20415 20405 20413
+11 1
+.names 20413 20414
+1 1
+.names 20408 20411 20415
+11 1
+.names 20418 20416
+0 1
+.names 20416 20417
+1 1
+.names 1122 255 20418
+01 1
+10 1
+.names 20421 20419
+0 1
+.names 20419 20420
+1 1
+.names 1123 263 20421
+01 1
+10 1
+.names 20424 20422
+0 1
+.names 20422 20423
+1 1
+.names 1124 249 20424
+01 1
+10 1
+.names 20429 20430 20425
+1- 1
+-1 1
+.names 20425 20426
+1 1
+.names 20417 20427
+0 1
+.names 20420 20428
+0 1
+.names 20427 20428 20429
+1- 1
+-1 1
+.names 20423 20430
+0 1
+.names 20426 20431
+0 1
+.names 20431 20432
+1 1
+.names 1125 261 20433
+01 1
+10 1
+.names 20433 20434
+1 1
+.names 1126 254 20435
+01 1
+10 1
+.names 20435 20436
+1 1
+.names 20439 20440 20437
+11 1
+.names 20437 20438
+1 1
+.names 20434 20439
+0 1
+.names 20436 20440
+0 1
+.names 1127 265 20441
+01 1
+10 1
+.names 20441 20442
+1 1
+.names 1128 266 20443
+01 1
+10 1
+.names 20443 20444
+1 1
+.names 20447 20448 20445
+11 1
+.names 20445 20446
+1 1
+.names 20442 20447
+0 1
+.names 20444 20448
+0 1
+.names 20455 20456 20449
+1- 1
+-1 1
+.names 20449 20450
+1 1
+.names 20414 20451
+0 1
+.names 20432 20452
+0 1
+.names 20451 20452 20453
+1- 1
+-1 1
+.names 20438 20454
+0 1
+.names 20453 20454 20455
+1- 1
+-1 1
+.names 20446 20456
+0 1
+.names 20459 20457
+0 1
+.names 20457 20458
+1 1
+.names 1129 259 20459
+01 1
+10 1
+.names 20462 20460
+0 1
+.names 20460 20461
+1 1
+.names 1130 256 20462
+01 1
+10 1
+.names 20465 20463
+0 1
+.names 20463 20464
+1 1
+.names 1131 258 20465
+01 1
+10 1
+.names 20468 20464 20466
+11 1
+.names 20466 20467
+1 1
+.names 20458 20461 20468
+11 1
+.names 20471 20469
+0 1
+.names 20469 20470
+1 1
+.names 1132 264 20471
+01 1
+10 1
+.names 20474 20472
+0 1
+.names 20472 20473
+1 1
+.names 1133 252 20474
+01 1
+10 1
+.names 20477 20475
+0 1
+.names 20475 20476
+1 1
+.names 20477
+.names 20480 20476 20478
+11 1
+.names 20478 20479
+1 1
+.names 20470 20473 20480
+11 1
+.names 1134 260 20481
+01 1
+10 1
+.names 20481 20482
+1 1
+.names 1135 262 20483
+01 1
+10 1
+.names 20483 20484
+1 1
+.names 20487 20488 20485
+11 1
+.names 20485 20486
+1 1
+.names 20482 20487
+0 1
+.names 20484 20488
+0 1
+.names 1136 250 20489
+01 1
+10 1
+.names 20489 20490
+1 1
+.names 20497 20500 20491
+1- 1
+-1 1
+.names 20491 20492
+1 1
+.names 20467 20493
+0 1
+.names 20479 20494
+0 1
+.names 20493 20494 20495
+1- 1
+-1 1
+.names 20486 20496
+0 1
+.names 20495 20496 20497
+1- 1
+-1 1
+.names 20504 20507 20498
+11 1
+.names 20498 20499
+1 1
+.names 20499 20500
+0 1
+.names 20450 20501
+0 1
+.names 20492 20502
+0 1
+.names 20312 20503
+1 1
+.names 20490 20504
+0 1
+.names 20508 20505
+0 1
+.names 20505 20506
+1 1
+.names 20506 20507
+0 1
+.names 1137 20503 20508
+01 1
+10 1
+.names 20511 20509
+0 1
+.names 20509 20510
+1 1
+.names 1138 242 20511
+01 1
+10 1
+.names 20514 20512
+0 1
+.names 20512 20513
+1 1
+.names 241 1139 20514
+01 1
+10 1
+.names 20520 20521 20515
+1- 1
+-1 1
+.names 20515 20516
+1 1
+.names 20592 20593 20517
+1- 1
+-1 1
+.names 20517 20518
+1 1
+.names 20510 20519
+0 1
+.names 20518 20519 20520
+1- 1
+-1 1
+.names 20513 20521
+0 1
+.names 20524 20522
+0 1
+.names 20522 20523
+1 1
+.names 243 1140 20524
+01 1
+10 1
+.names 20527 20525
+0 1
+.names 20525 20526
+1 1
+.names 240 1141 20527
+01 1
+10 1
+.names 20530 20531 20528
+1- 1
+-1 1
+.names 20528 20529
+1 1
+.names 20523 20530
+0 1
+.names 20526 20531
+0 1
+.names 20534 20532
+0 1
+.names 20532 20533
+1 1
+.names 247 1142 20534
+01 1
+10 1
+.names 20537 20535
+0 1
+.names 20535 20536
+1 1
+.names 244 1143 20537
+01 1
+10 1
+.names 20540 20538
+0 1
+.names 20538 20539
+1 1
+.names 246 1144 20540
+01 1
+10 1
+.names 20551 20554 20541
+1- 1
+-1 1
+.names 20541 20542
+1 1
+.names 20542 20543
+0 1
+.names 20516 20544
+0 1
+.names 20543 20544 20545
+11 1
+.names 20529 20546
+0 1
+.names 20533 20547
+0 1
+.names 20536 20548
+0 1
+.names 20547 20548 20549
+1- 1
+-1 1
+.names 20539 20550
+0 1
+.names 20549 20550 20551
+1- 1
+-1 1
+.names 20555 20552
+0 1
+.names 20552 20553
+1 1
+.names 20553 20554
+0 1
+.names 245 1145 20555
+01 1
+10 1
+.names 20560 20563 20556
+11 1
+.names 20556 20557
+1 1
+.names 20558
+.names 20558 20559
+1 1
+.names 20559 20560
+0 1
+.names 20561
+.names 20561 20562
+1 1
+.names 20562 20563
+0 1
+.names 20568 20571 20564
+11 1
+.names 20564 20565
+1 1
+.names 20566
+.names 20566 20567
+1 1
+.names 20567 20568
+0 1
+.names 20569
+.names 20569 20570
+1 1
+.names 20570 20571
+0 1
+.names 20576 20579 20572
+11 1
+.names 20572 20573
+1 1
+.names 20574
+.names 20574 20575
+1 1
+.names 20575 20576
+0 1
+.names 20577
+.names 20577 20578
+1 1
+.names 20578 20579
+0 1
+.names 20584 20587 20580
+11 1
+.names 20580 20581
+1 1
+.names 20582
+.names 20582 20583
+1 1
+.names 20583 20584
+0 1
+.names 20585
+.names 20585 20586
+1 1
+.names 20586 20587
+0 1
+.names 20581 20588
+0 1
+.names 20573 20589
+0 1
+.names 20588 20589 20590
+1- 1
+-1 1
+.names 20565 20591
+0 1
+.names 20590 20591 20592
+1- 1
+-1 1
+.names 20557 20593
+0 1
+.names 2413 20598 20594
+1- 1
+-1 1
+.names 20594 20595
+1 1
+.names 1783 508 20596
+01 1
+10 1
+.names 20596 20597
+1 1
+.names 20597 20598
+0 1
+.names 20601 20602 20599
+11 1
+.names 20599 20600
+1 1
+.names 1146 20601
+0 1
+.names 1147 20602
+0 1
+.names 20605 20606 20603
+11 1
+.names 20603 20604
+1 1
+.names 1148 20605
+0 1
+.names 1149 20606
+0 1
+.names 20609 20610 20607
+1- 1
+-1 1
+.names 20607 20608
+1 1
+.names 20600 20609
+0 1
+.names 20604 20610
+0 1
+.names 20613 20614 20611
+11 1
+.names 20611 20612
+1 1
+.names 1150 20613
+0 1
+.names 1151 20614
+0 1
+.names 20617 20618 20615
+11 1
+.names 20615 20616
+1 1
+.names 1152 20617
+0 1
+.names 1153 20618
+0 1
+.names 20621 20622 20619
+1- 1
+-1 1
+.names 20619 20620
+1 1
+.names 20612 20621
+0 1
+.names 20616 20622
+0 1
+.names 20625 20626 20623
+11 1
+.names 20623 20624
+1 1
+.names 20608 20625
+0 1
+.names 20620 20626
+0 1
+.names 20629 2413 20627
+11 1
+.names 20627 20628
+1 1
+.names 1154 20629
+0 1
+.names 20632 20633 20630
+11 1
+.names 20630 20631
+1 1
+.names 1155 20632
+0 1
+.names 1156 20633
+0 1
+.names 20636 20637 20634
+1- 1
+-1 1
+.names 20634 20635
+1 1
+.names 20628 20636
+0 1
+.names 20631 20637
+0 1
+.names 20640 20641 20638
+11 1
+.names 20638 20639
+1 1
+.names 1157 20640
+0 1
+.names 1158 20641
+0 1
+.names 20644 20645 20642
+11 1
+.names 20642 20643
+1 1
+.names 1159 20644
+0 1
+.names 1160 20645
+0 1
+.names 20648 20649 20646
+1- 1
+-1 1
+.names 20646 20647
+1 1
+.names 20639 20648
+0 1
+.names 20643 20649
+0 1
+.names 20652 20653 20650
+11 1
+.names 20650 20651
+1 1
+.names 20635 20652
+0 1
+.names 20647 20653
+0 1
+.names 20656 20657 20654
+1- 1
+-1 1
+.names 20654 20655
+1 1
+.names 20624 20656
+0 1
+.names 20651 20657
+0 1
+.names 20660 20661 20658
+11 1
+.names 20658 20659
+1 1
+.names 1161 20660
+0 1
+.names 1162 20661
+0 1
+.names 20664 20665 20662
+11 1
+.names 20662 20663
+1 1
+.names 1163 20664
+0 1
+.names 1164 20665
+0 1
+.names 20668 20669 20666
+11 1
+.names 20666 20667
+1 1
+.names 1165 20668
+0 1
+.names 1166 20669
+0 1
+.names 20672 20673 20670
+11 1
+.names 20670 20671
+1 1
+.names 1167 20672
+0 1
+.names 1168 20673
+0 1
+.names 2413 20674
+1 1
+.names 20674 20675
+1 1
+.names 20678 2413 20676
+11 1
+.names 20676 20677
+1 1
+.names 1169 20678
+0 1
+.names 20655 20679
+0 1
+.names 20685 20688 20680
+1- 1
+-1 1
+.names 20680 20681
+1 1
+.names 20681 20682
+0 1
+.names 20698 20671 20683
+11 1
+.names 20683 20684
+1 1
+.names 20684 20685
+0 1
+.names 20700 20686
+0 1
+.names 20686 20687
+1 1
+.names 20687 20688
+0 1
+.names 20691 20692 20689
+11 1
+.names 20689 20690
+1 1
+.names 1170 20691
+0 1
+.names 1171 20692
+0 1
+.names 20695 20696 20693
+11 1
+.names 20693 20694
+1 1
+.names 1172 20695
+0 1
+.names 1173 20696
+0 1
+.names 20659 20663 20697
+11 1
+.names 20697 20667 20698
+11 1
+.names 20705 20706 20699
+1- 1
+-1 1
+.names 20699 20700
+1 1
+.names 20675 20701
+0 1
+.names 20677 20702
+0 1
+.names 20701 20702 20703
+1- 1
+-1 1
+.names 20690 20704
+0 1
+.names 20703 20704 20705
+1- 1
+-1 1
+.names 20694 20706
+0 1
+.names 20709 20710 20707
+11 1
+.names 20707 20708
+1 1
+.names 1174 20709
+0 1
+.names 1175 20710
+0 1
+.names 20713 20714 20711
+11 1
+.names 20711 20712
+1 1
+.names 1176 20713
+0 1
+.names 1177 20714
+0 1
+.names 20717 20718 20715
+1- 1
+-1 1
+.names 20715 20716
+1 1
+.names 20708 20717
+0 1
+.names 20712 20718
+0 1
+.names 20721 20722 20719
+11 1
+.names 20719 20720
+1 1
+.names 1178 20721
+0 1
+.names 1179 20722
+0 1
+.names 20725 20726 20723
+11 1
+.names 20723 20724
+1 1
+.names 1180 20725
+0 1
+.names 1181 20726
+0 1
+.names 20729 20730 20727
+1- 1
+-1 1
+.names 20727 20728
+1 1
+.names 20720 20729
+0 1
+.names 20724 20730
+0 1
+.names 20733 20734 20731
+11 1
+.names 20731 20732
+1 1
+.names 20716 20733
+0 1
+.names 20728 20734
+0 1
+.names 20737 2413 20735
+11 1
+.names 20735 20736
+1 1
+.names 1182 20737
+0 1
+.names 20740 20741 20738
+11 1
+.names 20738 20739
+1 1
+.names 1183 20740
+0 1
+.names 1184 20741
+0 1
+.names 20744 20745 20742
+1- 1
+-1 1
+.names 20742 20743
+1 1
+.names 20736 20744
+0 1
+.names 20739 20745
+0 1
+.names 20748 20749 20746
+11 1
+.names 20746 20747
+1 1
+.names 1185 20748
+0 1
+.names 1186 20749
+0 1
+.names 20752 20753 20750
+11 1
+.names 20750 20751
+1 1
+.names 1187 20752
+0 1
+.names 1188 20753
+0 1
+.names 20756 20757 20754
+1- 1
+-1 1
+.names 20754 20755
+1 1
+.names 20747 20756
+0 1
+.names 20751 20757
+0 1
+.names 20760 20761 20758
+11 1
+.names 20758 20759
+1 1
+.names 20743 20760
+0 1
+.names 20755 20761
+0 1
+.names 20764 20765 20762
+1- 1
+-1 1
+.names 20762 20763
+1 1
+.names 20732 20764
+0 1
+.names 20759 20765
+0 1
+.names 20768 20769 20766
+11 1
+.names 20766 20767
+1 1
+.names 1189 20768
+0 1
+.names 1190 20769
+0 1
+.names 20772 20773 20770
+11 1
+.names 20770 20771
+1 1
+.names 1191 20772
+0 1
+.names 1192 20773
+0 1
+.names 20776 20777 20774
+11 1
+.names 20774 20775
+1 1
+.names 1193 20776
+0 1
+.names 1194 20777
+0 1
+.names 20780 20781 20778
+11 1
+.names 20778 20779
+1 1
+.names 1195 20780
+0 1
+.names 1196 20781
+0 1
+.names 2413 20782
+1 1
+.names 20782 20783
+1 1
+.names 20786 2413 20784
+11 1
+.names 20784 20785
+1 1
+.names 1197 20786
+0 1
+.names 20763 20787
+0 1
+.names 20793 20796 20788
+1- 1
+-1 1
+.names 20788 20789
+1 1
+.names 20789 20790
+0 1
+.names 20806 20779 20791
+11 1
+.names 20791 20792
+1 1
+.names 20792 20793
+0 1
+.names 20808 20794
+0 1
+.names 20794 20795
+1 1
+.names 20795 20796
+0 1
+.names 20799 20800 20797
+11 1
+.names 20797 20798
+1 1
+.names 1198 20799
+0 1
+.names 1199 20800
+0 1
+.names 20803 20804 20801
+11 1
+.names 20801 20802
+1 1
+.names 1200 20803
+0 1
+.names 1201 20804
+0 1
+.names 20767 20771 20805
+11 1
+.names 20805 20775 20806
+11 1
+.names 20813 20814 20807
+1- 1
+-1 1
+.names 20807 20808
+1 1
+.names 20783 20809
+0 1
+.names 20785 20810
+0 1
+.names 20809 20810 20811
+1- 1
+-1 1
+.names 20798 20812
+0 1
+.names 20811 20812 20813
+1- 1
+-1 1
+.names 20802 20814
+0 1
+.names 20819 20822 20815
+1- 1
+-1 1
+.names 20815 20816
+1 1
+.names 20853 20817
+0 1
+.names 20817 20818
+1 1
+.names 20818 20819
+0 1
+.names 20854 20820
+0 1
+.names 20820 20821
+1 1
+.names 20821 20822
+0 1
+.names 20827 20830 20823
+1- 1
+-1 1
+.names 20823 20824
+1 1
+.names 20840 20825
+0 1
+.names 20825 20826
+1 1
+.names 20826 20827
+0 1
+.names 20842 20828
+0 1
+.names 20828 20829
+1 1
+.names 20829 20830
+0 1
+.names 20835 20838 20831
+1- 1
+-1 1
+.names 20831 20832
+1 1
+.names 20844 20833
+0 1
+.names 20833 20834
+1 1
+.names 20834 20835
+0 1
+.names 20845 20836
+0 1
+.names 20836 20837
+1 1
+.names 20837 20838
+0 1
+.names 27550 27554 56723
+1- 1
+-1 1
+.names 56723 1148 20840
+01 1
+10 1
+.names 27592 27596 56722
+1- 1
+-1 1
+.names 56722 1173 20842
+01 1
+10 1
+.names 27536 27540 56724
+1- 1
+-1 1
+.names 56724 1164 20844
+01 1
+10 1
+.names 56721 1163 20845
+01 1
+10 1
+.names 20848 20846
+0 1
+.names 20846 20847
+1 1
+.names 56709 1158 20848
+01 1
+10 1
+.names 20852 20849
+0 1
+.names 20849 20850
+1 1
+.names 27585 27589 56715
+1- 1
+-1 1
+.names 56715 1157 20852
+01 1
+10 1
+.names 56710 1159 20853
+01 1
+10 1
+.names 56713 1170 20854
+01 1
+10 1
+.names 20858 20855
+0 1
+.names 20855 20856
+1 1
+.names 27607 27611 56720
+1- 1
+-1 1
+.names 56720 1165 20858
+01 1
+10 1
+.names 20862 20859
+0 1
+.names 20859 20860
+1 1
+.names 27578 27582 56717
+1- 1
+-1 1
+.names 56717 1168 20862
+01 1
+10 1
+.names 20866 20863
+0 1
+.names 20863 20864
+1 1
+.names 27521 27525 56718
+1- 1
+-1 1
+.names 56718 1154 20866
+01 1
+10 1
+.names 20870 20867
+0 1
+.names 20867 20868
+1 1
+.names 27677 27681 56719
+1- 1
+-1 1
+.names 56719 1152 20870
+01 1
+10 1
+.names 20873 20871
+0 1
+.names 20871 20872
+1 1
+.names 56705 1166 20873
+01 1
+10 1
+.names 20876 20874
+0 1
+.names 20874 20875
+1 1
+.names 56703 1167 20876
+01 1
+10 1
+.names 20879 20877
+0 1
+.names 20877 20878
+1 1
+.names 56704 1155 20879
+01 1
+10 1
+.names 20882 20880
+0 1
+.names 20880 20881
+1 1
+.names 56706 1162 20882
+01 1
+10 1
+.names 20885 20886 20883
+11 1
+.names 20883 20884
+1 1
+.names 20832 20885
+0 1
+.names 20824 20886
+0 1
+.names 20893 20894 20887
+1- 1
+-1 1
+.names 20887 20888
+1 1
+.names 20856 20889
+0 1
+.names 20860 20890
+0 1
+.names 20889 20890 20891
+1- 1
+-1 1
+.names 20864 20892
+0 1
+.names 20891 20892 20893
+1- 1
+-1 1
+.names 20868 20894
+0 1
+.names 20901 20902 20895
+1- 1
+-1 1
+.names 20895 20896
+1 1
+.names 20872 20897
+0 1
+.names 20875 20898
+0 1
+.names 20897 20898 20899
+1- 1
+-1 1
+.names 20878 20900
+0 1
+.names 20899 20900 20901
+1- 1
+-1 1
+.names 20881 20902
+0 1
+.names 20905 20906 20903
+1- 1
+-1 1
+.names 20903 20904
+1 1
+.names 20847 20905
+0 1
+.names 20850 20906
+0 1
+.names 20909 20910 20907
+11 1
+.names 20907 20908
+1 1
+.names 20816 20909
+0 1
+.names 20904 20910
+0 1
+.names 20915 20916 20911
+1- 1
+-1 1
+.names 20911 20912
+1 1
+.names 20896 20888 20913
+1- 1
+-1 1
+.names 20884 20914
+0 1
+.names 20913 20914 20915
+1- 1
+-1 1
+.names 20908 20916
+0 1
+.names 20920 20917
+0 1
+.names 20917 20918
+1 1
+.names 27649 27653 56726
+1- 1
+-1 1
+.names 56726 1153 20920
+01 1
+10 1
+.names 20924 20921
+0 1
+.names 20921 20922
+1 1
+.names 27642 27646 56725
+1- 1
+-1 1
+.names 56725 1171 20924
+01 1
+10 1
+.names 20927 20925
+0 1
+.names 20925 20926
+1 1
+.names 20927
+.names 20930 20928
+0 1
+.names 20928 20929
+1 1
+.names 20930
+.names 20934 20929 20931
+11 1
+.names 20931 20932
+1 1
+.names 20918 20922 20933
+11 1
+.names 20933 20926 20934
+11 1
+.names 20937 20935
+0 1
+.names 20935 20936
+1 1
+.names 56708 1161 20937
+01 1
+10 1
+.names 20941 20938
+0 1
+.names 20938 20939
+1 1
+.names 27557 27561 56701
+1- 1
+-1 1
+.names 56701 1149 20941
+01 1
+10 1
+.names 20944 20942
+0 1
+.names 20942 20943
+1 1
+.names 56702 1160 20944
+01 1
+10 1
+.names 20947 20945
+0 1
+.names 20945 20946
+1 1
+.names 56707 1172 20947
+01 1
+10 1
+.names 20954 20955 20948
+1- 1
+-1 1
+.names 20948 20949
+1 1
+.names 20936 20950
+0 1
+.names 20939 20951
+0 1
+.names 20950 20951 20952
+1- 1
+-1 1
+.names 20943 20953
+0 1
+.names 20952 20953 20954
+1- 1
+-1 1
+.names 20946 20955
+0 1
+.names 20949 20956
+0 1
+.names 20956 20957
+1 1
+.names 20960 20958
+0 1
+.names 20958 20959
+1 1
+.names 56714 1147 20960
+01 1
+10 1
+.names 20964 20961
+0 1
+.names 20961 20962
+1 1
+.names 27475 27479 56716
+1- 1
+-1 1
+.names 56716 1146 20964
+01 1
+10 1
+.names 20967 20968 20965
+1- 1
+-1 1
+.names 20965 20966
+1 1
+.names 20959 20967
+0 1
+.names 20962 20968
+0 1
+.names 20971 20969
+0 1
+.names 20969 20970
+1 1
+.names 56712 1156 20971
+01 1
+10 1
+.names 20974 20972
+0 1
+.names 20972 20973
+1 1
+.names 56711 1151 20974
+01 1
+10 1
+.names 20977 20978 20975
+1- 1
+-1 1
+.names 20975 20976
+1 1
+.names 20970 20977
+0 1
+.names 20973 20978
+0 1
+.names 20981 20982 20979
+11 1
+.names 20979 20980
+1 1
+.names 20966 20981
+0 1
+.names 20976 20982
+0 1
+.names 20985 20983
+0 1
+.names 20983 20984
+1 1
+.names 20985
+.names 20989 20986
+0 1
+.names 20986 20987
+1 1
+.names 27684 27688 56728
+1- 1
+-1 1
+.names 56728 1150 20989
+01 1
+10 1
+.names 20992 20993 20990
+1- 1
+-1 1
+.names 20990 20991
+1 1
+.names 20984 20992
+0 1
+.names 20987 20993
+0 1
+.names 21000 21003 20994
+1- 1
+-1 1
+.names 20994 20995
+1 1
+.names 20932 20996
+0 1
+.names 20957 20997
+0 1
+.names 20996 20997 20998
+1- 1
+-1 1
+.names 20980 20999
+0 1
+.names 20998 20999 21000
+1- 1
+-1 1
+.names 21010 21013 21001
+11 1
+.names 21001 21002
+1 1
+.names 21002 21003
+0 1
+.names 20912 21004
+0 1
+.names 20995 21005
+0 1
+.names 21009 21006
+0 1
+.names 21006 21007
+1 1
+.names 27628 27632 56727
+1- 1
+-1 1
+.names 56727 1169 21009
+01 1
+10 1
+.names 20991 21010
+0 1
+.names 21014 21017 21011
+1- 1
+-1 1
+.names 21011 21012
+1 1
+.names 21012 21013
+0 1
+.names 21007 21014
+0 1
+.names 21018 21015
+0 1
+.names 21015 21016
+1 1
+.names 21016 21017
+0 1
+.names 21018
+.names 21023 21026 21019
+1- 1
+-1 1
+.names 21019 21020
+1 1
+.names 21053 21021
+0 1
+.names 21021 21022
+1 1
+.names 21022 21023
+0 1
+.names 21054 21024
+0 1
+.names 21024 21025
+1 1
+.names 21025 21026
+0 1
+.names 21031 21034 21027
+1- 1
+-1 1
+.names 21027 21028
+1 1
+.names 21043 21029
+0 1
+.names 21029 21030
+1 1
+.names 21030 21031
+0 1
+.names 21044 21032
+0 1
+.names 21032 21033
+1 1
+.names 21033 21034
+0 1
+.names 21039 21042 21035
+1- 1
+-1 1
+.names 21035 21036
+1 1
+.names 21045 21037
+0 1
+.names 21037 21038
+1 1
+.names 21038 21039
+0 1
+.names 21046 21040
+0 1
+.names 21040 21041
+1 1
+.names 21041 21042
+0 1
+.names 56723 1176 21043
+01 1
+10 1
+.names 56722 1201 21044
+01 1
+10 1
+.names 56724 1192 21045
+01 1
+10 1
+.names 56721 1191 21046
+01 1
+10 1
+.names 21049 21047
+0 1
+.names 21047 21048
+1 1
+.names 56709 1186 21049
+01 1
+10 1
+.names 21052 21050
+0 1
+.names 21050 21051
+1 1
+.names 56715 1185 21052
+01 1
+10 1
+.names 56710 1187 21053
+01 1
+10 1
+.names 56713 1198 21054
+01 1
+10 1
+.names 21057 21055
+0 1
+.names 21055 21056
+1 1
+.names 56720 1193 21057
+01 1
+10 1
+.names 21060 21058
+0 1
+.names 21058 21059
+1 1
+.names 56717 1196 21060
+01 1
+10 1
+.names 21063 21061
+0 1
+.names 21061 21062
+1 1
+.names 56718 1182 21063
+01 1
+10 1
+.names 21066 21064
+0 1
+.names 21064 21065
+1 1
+.names 56719 1180 21066
+01 1
+10 1
+.names 21069 21067
+0 1
+.names 21067 21068
+1 1
+.names 56705 1194 21069
+01 1
+10 1
+.names 21072 21070
+0 1
+.names 21070 21071
+1 1
+.names 56703 1195 21072
+01 1
+10 1
+.names 21075 21073
+0 1
+.names 21073 21074
+1 1
+.names 56704 1183 21075
+01 1
+10 1
+.names 21078 21076
+0 1
+.names 21076 21077
+1 1
+.names 56706 1190 21078
+01 1
+10 1
+.names 21081 21082 21079
+11 1
+.names 21079 21080
+1 1
+.names 21036 21081
+0 1
+.names 21028 21082
+0 1
+.names 21089 21090 21083
+1- 1
+-1 1
+.names 21083 21084
+1 1
+.names 21056 21085
+0 1
+.names 21059 21086
+0 1
+.names 21085 21086 21087
+1- 1
+-1 1
+.names 21062 21088
+0 1
+.names 21087 21088 21089
+1- 1
+-1 1
+.names 21065 21090
+0 1
+.names 21097 21098 21091
+1- 1
+-1 1
+.names 21091 21092
+1 1
+.names 21068 21093
+0 1
+.names 21071 21094
+0 1
+.names 21093 21094 21095
+1- 1
+-1 1
+.names 21074 21096
+0 1
+.names 21095 21096 21097
+1- 1
+-1 1
+.names 21077 21098
+0 1
+.names 21101 21102 21099
+1- 1
+-1 1
+.names 21099 21100
+1 1
+.names 21048 21101
+0 1
+.names 21051 21102
+0 1
+.names 21105 21106 21103
+11 1
+.names 21103 21104
+1 1
+.names 21020 21105
+0 1
+.names 21100 21106
+0 1
+.names 21111 21112 21107
+1- 1
+-1 1
+.names 21107 21108
+1 1
+.names 21092 21084 21109
+1- 1
+-1 1
+.names 21080 21110
+0 1
+.names 21109 21110 21111
+1- 1
+-1 1
+.names 21104 21112
+0 1
+.names 21115 21113
+0 1
+.names 21113 21114
+1 1
+.names 56726 1181 21115
+01 1
+10 1
+.names 21118 21116
+0 1
+.names 21116 21117
+1 1
+.names 56725 1199 21118
+01 1
+10 1
+.names 21121 21119
+0 1
+.names 21119 21120
+1 1
+.names 21121
+.names 21124 21122
+0 1
+.names 21122 21123
+1 1
+.names 21124
+.names 21128 21123 21125
+11 1
+.names 21125 21126
+1 1
+.names 21114 21117 21127
+11 1
+.names 21127 21120 21128
+11 1
+.names 21131 21129
+0 1
+.names 21129 21130
+1 1
+.names 56708 1189 21131
+01 1
+10 1
+.names 21134 21132
+0 1
+.names 21132 21133
+1 1
+.names 56701 1177 21134
+01 1
+10 1
+.names 21137 21135
+0 1
+.names 21135 21136
+1 1
+.names 56702 1188 21137
+01 1
+10 1
+.names 21140 21138
+0 1
+.names 21138 21139
+1 1
+.names 56707 1200 21140
+01 1
+10 1
+.names 21147 21148 21141
+1- 1
+-1 1
+.names 21141 21142
+1 1
+.names 21130 21143
+0 1
+.names 21133 21144
+0 1
+.names 21143 21144 21145
+1- 1
+-1 1
+.names 21136 21146
+0 1
+.names 21145 21146 21147
+1- 1
+-1 1
+.names 21139 21148
+0 1
+.names 21142 21149
+0 1
+.names 21149 21150
+1 1
+.names 21153 21151
+0 1
+.names 21151 21152
+1 1
+.names 56714 1175 21153
+01 1
+10 1
+.names 21156 21154
+0 1
+.names 21154 21155
+1 1
+.names 56716 1174 21156
+01 1
+10 1
+.names 21159 21160 21157
+1- 1
+-1 1
+.names 21157 21158
+1 1
+.names 21152 21159
+0 1
+.names 21155 21160
+0 1
+.names 21163 21161
+0 1
+.names 21161 21162
+1 1
+.names 56712 1184 21163
+01 1
+10 1
+.names 21166 21164
+0 1
+.names 21164 21165
+1 1
+.names 56711 1179 21166
+01 1
+10 1
+.names 21169 21170 21167
+1- 1
+-1 1
+.names 21167 21168
+1 1
+.names 21162 21169
+0 1
+.names 21165 21170
+0 1
+.names 21173 21174 21171
+11 1
+.names 21171 21172
+1 1
+.names 21158 21173
+0 1
+.names 21168 21174
+0 1
+.names 21177 21175
+0 1
+.names 21175 21176
+1 1
+.names 21177
+.names 21180 21178
+0 1
+.names 21178 21179
+1 1
+.names 56728 1178 21180
+01 1
+10 1
+.names 21183 21184 21181
+1- 1
+-1 1
+.names 21181 21182
+1 1
+.names 21176 21183
+0 1
+.names 21179 21184
+0 1
+.names 21191 21194 21185
+1- 1
+-1 1
+.names 21185 21186
+1 1
+.names 21126 21187
+0 1
+.names 21150 21188
+0 1
+.names 21187 21188 21189
+1- 1
+-1 1
+.names 21172 21190
+0 1
+.names 21189 21190 21191
+1- 1
+-1 1
+.names 21200 21203 21192
+11 1
+.names 21192 21193
+1 1
+.names 21193 21194
+0 1
+.names 21108 21195
+0 1
+.names 21186 21196
+0 1
+.names 21199 21197
+0 1
+.names 21197 21198
+1 1
+.names 56727 1197 21199
+01 1
+10 1
+.names 21182 21200
+0 1
+.names 21204 21207 21201
+1- 1
+-1 1
+.names 21201 21202
+1 1
+.names 21202 21203
+0 1
+.names 21198 21204
+0 1
+.names 21208 21205
+0 1
+.names 21205 21206
+1 1
+.names 21206 21207
+0 1
+.names 21208
+.names 21211 21209
+0 1
+.names 21209 21210
+1 1
+.names 1783 1202 21211
+01 1
+10 1
+.names 21214 21212
+0 1
+.names 21212 21213
+1 1
+.names 1203 1783 21214
+01 1
+10 1
+.names 21220 21221 21215
+1- 1
+-1 1
+.names 21215 21216
+1 1
+.names 21292 21293 21217
+1- 1
+-1 1
+.names 21217 21218
+1 1
+.names 21210 21219
+0 1
+.names 21218 21219 21220
+1- 1
+-1 1
+.names 21213 21221
+0 1
+.names 21224 21222
+0 1
+.names 21222 21223
+1 1
+.names 1204 1783 21224
+01 1
+10 1
+.names 21227 21225
+0 1
+.names 21225 21226
+1 1
+.names 1205 1783 21227
+01 1
+10 1
+.names 21230 21231 21228
+1- 1
+-1 1
+.names 21228 21229
+1 1
+.names 21223 21230
+0 1
+.names 21226 21231
+0 1
+.names 21234 21232
+0 1
+.names 21232 21233
+1 1
+.names 1206 1783 21234
+01 1
+10 1
+.names 21237 21235
+0 1
+.names 21235 21236
+1 1
+.names 1207 1783 21237
+01 1
+10 1
+.names 21240 21238
+0 1
+.names 21238 21239
+1 1
+.names 1208 1783 21240
+01 1
+10 1
+.names 21251 21254 21241
+1- 1
+-1 1
+.names 21241 21242
+1 1
+.names 21242 21243
+0 1
+.names 21216 21244
+0 1
+.names 21243 21244 21245
+11 1
+.names 21229 21246
+0 1
+.names 21233 21247
+0 1
+.names 21236 21248
+0 1
+.names 21247 21248 21249
+1- 1
+-1 1
+.names 21239 21250
+0 1
+.names 21249 21250 21251
+1- 1
+-1 1
+.names 21255 21252
+0 1
+.names 21252 21253
+1 1
+.names 21253 21254
+0 1
+.names 1209 1783 21255
+01 1
+10 1
+.names 21260 21263 21256
+11 1
+.names 21256 21257
+1 1
+.names 21258
+.names 21258 21259
+1 1
+.names 21259 21260
+0 1
+.names 21261
+.names 21261 21262
+1 1
+.names 21262 21263
+0 1
+.names 21268 21271 21264
+11 1
+.names 21264 21265
+1 1
+.names 21266
+.names 21266 21267
+1 1
+.names 21267 21268
+0 1
+.names 21269
+.names 21269 21270
+1 1
+.names 21270 21271
+0 1
+.names 21276 21279 21272
+11 1
+.names 21272 21273
+1 1
+.names 21274
+.names 21274 21275
+1 1
+.names 21275 21276
+0 1
+.names 21277
+.names 21277 21278
+1 1
+.names 21278 21279
+0 1
+.names 21284 21287 21280
+11 1
+.names 21280 21281
+1 1
+.names 21282
+.names 21282 21283
+1 1
+.names 21283 21284
+0 1
+.names 21285
+.names 21285 21286
+1 1
+.names 21286 21287
+0 1
+.names 21281 21288
+0 1
+.names 21273 21289
+0 1
+.names 21288 21289 21290
+1- 1
+-1 1
+.names 21265 21291
+0 1
+.names 21290 21291 21292
+1- 1
+-1 1
+.names 21257 21293
+0 1
+.names 21296 21294
+0 1
+.names 21294 21295
+1 1
+.names 1783 1210 21296
+01 1
+10 1
+.names 21299 21297
+0 1
+.names 21297 21298
+1 1
+.names 1211 1783 21299
+01 1
+10 1
+.names 21305 21306 21300
+1- 1
+-1 1
+.names 21300 21301
+1 1
+.names 21377 21378 21302
+1- 1
+-1 1
+.names 21302 21303
+1 1
+.names 21295 21304
+0 1
+.names 21303 21304 21305
+1- 1
+-1 1
+.names 21298 21306
+0 1
+.names 21309 21307
+0 1
+.names 21307 21308
+1 1
+.names 1212 1783 21309
+01 1
+10 1
+.names 21312 21310
+0 1
+.names 21310 21311
+1 1
+.names 1213 1783 21312
+01 1
+10 1
+.names 21315 21316 21313
+1- 1
+-1 1
+.names 21313 21314
+1 1
+.names 21308 21315
+0 1
+.names 21311 21316
+0 1
+.names 21319 21317
+0 1
+.names 21317 21318
+1 1
+.names 1214 1783 21319
+01 1
+10 1
+.names 21322 21320
+0 1
+.names 21320 21321
+1 1
+.names 1215 1783 21322
+01 1
+10 1
+.names 21325 21323
+0 1
+.names 21323 21324
+1 1
+.names 1216 1783 21325
+01 1
+10 1
+.names 21336 21339 21326
+1- 1
+-1 1
+.names 21326 21327
+1 1
+.names 21327 21328
+0 1
+.names 21301 21329
+0 1
+.names 21328 21329 21330
+11 1
+.names 21314 21331
+0 1
+.names 21318 21332
+0 1
+.names 21321 21333
+0 1
+.names 21332 21333 21334
+1- 1
+-1 1
+.names 21324 21335
+0 1
+.names 21334 21335 21336
+1- 1
+-1 1
+.names 21340 21337
+0 1
+.names 21337 21338
+1 1
+.names 21338 21339
+0 1
+.names 1217 1783 21340
+01 1
+10 1
+.names 21345 21348 21341
+11 1
+.names 21341 21342
+1 1
+.names 21343
+.names 21343 21344
+1 1
+.names 21344 21345
+0 1
+.names 21346
+.names 21346 21347
+1 1
+.names 21347 21348
+0 1
+.names 21353 21356 21349
+11 1
+.names 21349 21350
+1 1
+.names 21351
+.names 21351 21352
+1 1
+.names 21352 21353
+0 1
+.names 21354
+.names 21354 21355
+1 1
+.names 21355 21356
+0 1
+.names 21361 21364 21357
+11 1
+.names 21357 21358
+1 1
+.names 21359
+.names 21359 21360
+1 1
+.names 21360 21361
+0 1
+.names 21362
+.names 21362 21363
+1 1
+.names 21363 21364
+0 1
+.names 21369 21372 21365
+11 1
+.names 21365 21366
+1 1
+.names 21367
+.names 21367 21368
+1 1
+.names 21368 21369
+0 1
+.names 21370
+.names 21370 21371
+1 1
+.names 21371 21372
+0 1
+.names 21366 21373
+0 1
+.names 21358 21374
+0 1
+.names 21373 21374 21375
+1- 1
+-1 1
+.names 21350 21376
+0 1
+.names 21375 21376 21377
+1- 1
+-1 1
+.names 21342 21378
+0 1
+.names 21382 21379
+0 1
+.names 21379 21380
+1 1
+.names 50447 50335 21381
+11 1
+.names 21381 21382
+1 1
+.names 21386 21383
+0 1
+.names 21383 21384
+1 1
+.names 50499 21385
+1 1
+.names 21385 21386
+1 1
+.names 21390 21387
+0 1
+.names 21387 21388
+1 1
+.names 50423 50424 21389
+1- 1
+-1 1
+.names 21389 21390
+1 1
+.names 21394 21391
+0 1
+.names 21391 21392
+1 1
+.names 50495 50497 21393
+11 1
+.names 21393 21394
+1 1
+.names 50471 2413 21395
+11 1
+.names 21395 21396
+1 1
+.names 21396 21397
+0 1
+.names 21392 21398
+0 1
+.names 21402 21399
+0 1
+.names 21399 21400
+1 1
+.names 50408 2413 21401
+1- 1
+-1 1
+.names 21401 21402
+1 1
+.names 21406 21403
+0 1
+.names 21403 21404
+1 1
+.names 50383 2413 21405
+11 1
+.names 21405 21406
+1 1
+.names 21410 21407
+0 1
+.names 21407 21408
+1 1
+.names 2413 50315 21409
+1- 1
+-1 1
+.names 21409 21410
+1 1
+.names 2413 21411
+1 1
+.names 21411 21412
+0 1
+.names 21412 21413
+1 1
+.names 1775 21414
+1 1
+.names 14886 2413 21415
+11 1
+.names 21415 21416
+1 1
+.names 21422 21425 21417
+1- 1
+-1 1
+.names 21417 21418
+1 1
+.names 21414 21419
+0 1
+.names 1205 21420
+1 1
+.names 21420 21421
+0 1
+.names 21419 21421 21422
+11 1
+.names 21434 21435 21423
+11 1
+.names 21423 21424
+1 1
+.names 21424 21425
+0 1
+.names 21429 21426
+0 1
+.names 21426 21427
+1 1
+.names 50359 2413 21428
+11 1
+.names 21428 21429
+1 1
+.names 21433 21430
+0 1
+.names 21430 21431
+1 1
+.names 50320 21469 21432
+1- 1
+-1 1
+.names 21432 21433
+1 1
+.names 1205 1783 21434
+1- 1
+-1 1
+.names 21416 21435
+0 1
+.names 21439 21436
+0 1
+.names 21436 21437
+1 1
+.names 50418 21438
+0 1
+.names 21438 21439
+1 1
+.names 21443 21440
+0 1
+.names 21440 21441
+1 1
+.names 50315 2413 21442
+11 1
+.names 21442 21443
+1 1
+.names 21447 21444
+0 1
+.names 21444 21445
+1 1
+.names 50470 2413 21446
+11 1
+.names 21446 21447
+1 1
+.names 21451 21448
+0 1
+.names 21448 21449
+1 1
+.names 50470 2413 21450
+1- 1
+-1 1
+.names 21450 21451
+1 1
+.names 21455 21452
+0 1
+.names 21452 21453
+1 1
+.names 50359 2413 21454
+1- 1
+-1 1
+.names 21454 21455
+1 1
+.names 21459 21456
+0 1
+.names 21456 21457
+1 1
+.names 50408 2413 21458
+11 1
+.names 21458 21459
+1 1
+.names 21397 21460
+1 1
+.names 21464 21461
+0 1
+.names 21461 21462
+1 1
+.names 50471 2413 21463
+1- 1
+-1 1
+.names 21463 21464
+1 1
+.names 21468 21465
+0 1
+.names 21465 21466
+1 1
+.names 50383 2413 21467
+1- 1
+-1 1
+.names 21467 21468
+1 1
+.names 21472 21469
+0 1
+.names 21469 21470
+1 1
+.names 50498 2413 21471
+1- 1
+-1 1
+.names 21471 21472
+1 1
+.names 21476 21473
+0 1
+.names 21473 21474
+1 1
+.names 50644 50556 21475
+11 1
+.names 21475 21476
+1 1
+.names 21480 21477
+0 1
+.names 21477 21478
+1 1
+.names 50685 21479
+1 1
+.names 21479 21480
+1 1
+.names 21484 21481
+0 1
+.names 21481 21482
+1 1
+.names 50624 50625 21483
+1- 1
+-1 1
+.names 21483 21484
+1 1
+.names 21488 21485
+0 1
+.names 21485 21486
+1 1
+.names 50408 14886 21487
+1- 1
+-1 1
+.names 21487 21488
+1 1
+.names 21492 21489
+0 1
+.names 21489 21490
+1 1
+.names 50383 14886 21491
+11 1
+.names 21491 21492
+1 1
+.names 21496 21493
+0 1
+.names 21493 21494
+1 1
+.names 14886 50315 21495
+1- 1
+-1 1
+.names 21495 21496
+1 1
+.names 2413 21497
+1 1
+.names 21497 21498
+0 1
+.names 21498 21499
+1 1
+.names 1775 21500
+1 1
+.names 14886 2413 21501
+11 1
+.names 21501 21502
+1 1
+.names 21508 21511 21503
+1- 1
+-1 1
+.names 21503 21504
+1 1
+.names 21500 21505
+0 1
+.names 1205 21506
+1 1
+.names 21506 21507
+0 1
+.names 21505 21507 21508
+11 1
+.names 21520 21521 21509
+11 1
+.names 21509 21510
+1 1
+.names 21510 21511
+0 1
+.names 21515 21512
+0 1
+.names 21512 21513
+1 1
+.names 50359 14886 21514
+11 1
+.names 21514 21515
+1 1
+.names 21519 21516
+0 1
+.names 21516 21517
+1 1
+.names 50541 21558 21518
+1- 1
+-1 1
+.names 21518 21519
+1 1
+.names 1205 1783 21520
+1- 1
+-1 1
+.names 21502 21521
+0 1
+.names 21525 21522
+0 1
+.names 21522 21523
+1 1
+.names 50620 21524
+0 1
+.names 21524 21525
+1 1
+.names 21529 21526
+0 1
+.names 21526 21527
+1 1
+.names 50315 14886 21528
+11 1
+.names 21528 21529
+1 1
+.names 21533 21530
+0 1
+.names 21530 21531
+1 1
+.names 50470 14886 21532
+11 1
+.names 21532 21533
+1 1
+.names 21537 21534
+0 1
+.names 21534 21535
+1 1
+.names 50470 14886 21536
+1- 1
+-1 1
+.names 21536 21537
+1 1
+.names 21541 21538
+0 1
+.names 21538 21539
+1 1
+.names 50359 14886 21540
+1- 1
+-1 1
+.names 21540 21541
+1 1
+.names 21545 21542
+0 1
+.names 21542 21543
+1 1
+.names 50408 14886 21544
+11 1
+.names 21544 21545
+1 1
+.names 21549 21546
+0 1
+.names 21546 21547
+1 1
+.names 50471 14886 21548
+11 1
+.names 21548 21549
+1 1
+.names 21553 21550
+0 1
+.names 21550 21551
+1 1
+.names 50471 14886 21552
+1- 1
+-1 1
+.names 21552 21553
+1 1
+.names 21557 21554
+0 1
+.names 21554 21555
+1 1
+.names 50383 14886 21556
+1- 1
+-1 1
+.names 21556 21557
+1 1
+.names 21561 21558
+0 1
+.names 21558 21559
+1 1
+.names 50498 14886 21560
+1- 1
+-1 1
+.names 21560 21561
+1 1
+.names 21565 21562
+0 1
+.names 21562 21563
+1 1
+.names 50851 50739 21564
+11 1
+.names 21564 21565
+1 1
+.names 21569 21566
+0 1
+.names 21566 21567
+1 1
+.names 50903 21568
+1 1
+.names 21568 21569
+1 1
+.names 21573 21570
+0 1
+.names 21570 21571
+1 1
+.names 50827 50828 21572
+1- 1
+-1 1
+.names 21572 21573
+1 1
+.names 21577 21574
+0 1
+.names 21574 21575
+1 1
+.names 50899 50901 21576
+11 1
+.names 21576 21577
+1 1
+.names 50875 2413 21578
+11 1
+.names 21578 21579
+1 1
+.names 21579 21580
+0 1
+.names 21575 21581
+0 1
+.names 21585 21582
+0 1
+.names 21582 21583
+1 1
+.names 50812 2413 21584
+1- 1
+-1 1
+.names 21584 21585
+1 1
+.names 21589 21586
+0 1
+.names 21586 21587
+1 1
+.names 50787 2413 21588
+11 1
+.names 21588 21589
+1 1
+.names 21593 21590
+0 1
+.names 21590 21591
+1 1
+.names 2413 50719 21592
+1- 1
+-1 1
+.names 21592 21593
+1 1
+.names 2413 21594
+1 1
+.names 21594 21595
+0 1
+.names 21595 21596
+1 1
+.names 1775 21597
+1 1
+.names 14886 2413 21598
+11 1
+.names 21598 21599
+1 1
+.names 21605 21608 21600
+1- 1
+-1 1
+.names 21600 21601
+1 1
+.names 21597 21602
+0 1
+.names 1213 21603
+1 1
+.names 21603 21604
+0 1
+.names 21602 21604 21605
+11 1
+.names 21617 21618 21606
+11 1
+.names 21606 21607
+1 1
+.names 21607 21608
+0 1
+.names 21612 21609
+0 1
+.names 21609 21610
+1 1
+.names 50763 2413 21611
+11 1
+.names 21611 21612
+1 1
+.names 21616 21613
+0 1
+.names 21613 21614
+1 1
+.names 50724 21652 21615
+1- 1
+-1 1
+.names 21615 21616
+1 1
+.names 1213 1783 21617
+1- 1
+-1 1
+.names 21599 21618
+0 1
+.names 21622 21619
+0 1
+.names 21619 21620
+1 1
+.names 50822 21621
+0 1
+.names 21621 21622
+1 1
+.names 21626 21623
+0 1
+.names 21623 21624
+1 1
+.names 50719 2413 21625
+11 1
+.names 21625 21626
+1 1
+.names 21630 21627
+0 1
+.names 21627 21628
+1 1
+.names 50874 2413 21629
+11 1
+.names 21629 21630
+1 1
+.names 21634 21631
+0 1
+.names 21631 21632
+1 1
+.names 50874 2413 21633
+1- 1
+-1 1
+.names 21633 21634
+1 1
+.names 21638 21635
+0 1
+.names 21635 21636
+1 1
+.names 50763 2413 21637
+1- 1
+-1 1
+.names 21637 21638
+1 1
+.names 21642 21639
+0 1
+.names 21639 21640
+1 1
+.names 50812 2413 21641
+11 1
+.names 21641 21642
+1 1
+.names 21580 21643
+1 1
+.names 21647 21644
+0 1
+.names 21644 21645
+1 1
+.names 50875 2413 21646
+1- 1
+-1 1
+.names 21646 21647
+1 1
+.names 21651 21648
+0 1
+.names 21648 21649
+1 1
+.names 50787 2413 21650
+1- 1
+-1 1
+.names 21650 21651
+1 1
+.names 21655 21652
+0 1
+.names 21652 21653
+1 1
+.names 50902 2413 21654
+1- 1
+-1 1
+.names 21654 21655
+1 1
+.names 21659 21656
+0 1
+.names 21656 21657
+1 1
+.names 51048 50960 21658
+11 1
+.names 21658 21659
+1 1
+.names 21663 21660
+0 1
+.names 21660 21661
+1 1
+.names 51089 21662
+1 1
+.names 21662 21663
+1 1
+.names 21667 21664
+0 1
+.names 21664 21665
+1 1
+.names 51028 51029 21666
+1- 1
+-1 1
+.names 21666 21667
+1 1
+.names 21671 21668
+0 1
+.names 21668 21669
+1 1
+.names 50812 14886 21670
+1- 1
+-1 1
+.names 21670 21671
+1 1
+.names 21675 21672
+0 1
+.names 21672 21673
+1 1
+.names 50787 14886 21674
+11 1
+.names 21674 21675
+1 1
+.names 21679 21676
+0 1
+.names 21676 21677
+1 1
+.names 14886 50719 21678
+1- 1
+-1 1
+.names 21678 21679
+1 1
+.names 2413 21680
+1 1
+.names 21680 21681
+0 1
+.names 21681 21682
+1 1
+.names 1775 21683
+1 1
+.names 14886 2413 21684
+11 1
+.names 21684 21685
+1 1
+.names 21691 21694 21686
+1- 1
+-1 1
+.names 21686 21687
+1 1
+.names 21683 21688
+0 1
+.names 1213 21689
+1 1
+.names 21689 21690
+0 1
+.names 21688 21690 21691
+11 1
+.names 21703 21704 21692
+11 1
+.names 21692 21693
+1 1
+.names 21693 21694
+0 1
+.names 21698 21695
+0 1
+.names 21695 21696
+1 1
+.names 50763 14886 21697
+11 1
+.names 21697 21698
+1 1
+.names 21702 21699
+0 1
+.names 21699 21700
+1 1
+.names 50945 21741 21701
+1- 1
+-1 1
+.names 21701 21702
+1 1
+.names 1213 1783 21703
+1- 1
+-1 1
+.names 21685 21704
+0 1
+.names 21708 21705
+0 1
+.names 21705 21706
+1 1
+.names 51024 21707
+0 1
+.names 21707 21708
+1 1
+.names 21712 21709
+0 1
+.names 21709 21710
+1 1
+.names 50719 14886 21711
+11 1
+.names 21711 21712
+1 1
+.names 21716 21713
+0 1
+.names 21713 21714
+1 1
+.names 50874 14886 21715
+11 1
+.names 21715 21716
+1 1
+.names 21720 21717
+0 1
+.names 21717 21718
+1 1
+.names 50874 14886 21719
+1- 1
+-1 1
+.names 21719 21720
+1 1
+.names 21724 21721
+0 1
+.names 21721 21722
+1 1
+.names 50763 14886 21723
+1- 1
+-1 1
+.names 21723 21724
+1 1
+.names 21728 21725
+0 1
+.names 21725 21726
+1 1
+.names 50812 14886 21727
+11 1
+.names 21727 21728
+1 1
+.names 21732 21729
+0 1
+.names 21729 21730
+1 1
+.names 50875 14886 21731
+11 1
+.names 21731 21732
+1 1
+.names 21736 21733
+0 1
+.names 21733 21734
+1 1
+.names 50875 14886 21735
+1- 1
+-1 1
+.names 21735 21736
+1 1
+.names 21740 21737
+0 1
+.names 21737 21738
+1 1
+.names 50787 14886 21739
+1- 1
+-1 1
+.names 21739 21740
+1 1
+.names 21744 21741
+0 1
+.names 21741 21742
+1 1
+.names 50902 14886 21743
+1- 1
+-1 1
+.names 21743 21744
+1 1
+.names 21749 21745
+0 1
+.names 21745 21746
+1 1
+.names 50707 50708 21747
+1- 1
+-1 1
+.names 21747 21748
+1 1
+.names 1783 21748 21749
+01 1
+10 1
+.names 21754 21750
+0 1
+.names 21750 21751
+1 1
+.names 50677 50679 21752
+1- 1
+-1 1
+.names 21752 21753
+1 1
+.names 21753 1783 21754
+01 1
+10 1
+.names 21760 21761 21755
+1- 1
+-1 1
+.names 21755 21756
+1 1
+.names 21844 21845 21757
+1- 1
+-1 1
+.names 21757 21758
+1 1
+.names 21746 21759
+0 1
+.names 21758 21759 21760
+1- 1
+-1 1
+.names 21751 21761
+0 1
+.names 21766 21762
+0 1
+.names 21762 21763
+1 1
+.names 50716 50718 21764
+1- 1
+-1 1
+.names 21764 21765
+1 1
+.names 21765 1783 21766
+01 1
+10 1
+.names 21771 21767
+0 1
+.names 21767 21768
+1 1
+.names 50670 50671 21769
+1- 1
+-1 1
+.names 21769 21770
+1 1
+.names 21770 1783 21771
+01 1
+10 1
+.names 21774 21775 21772
+1- 1
+-1 1
+.names 21772 21773
+1 1
+.names 21763 21774
+0 1
+.names 21768 21775
+0 1
+.names 21780 21776
+0 1
+.names 21776 21777
+1 1
+.names 50596 50598 21778
+1- 1
+-1 1
+.names 21778 21779
+1 1
+.names 21779 1783 21780
+01 1
+10 1
+.names 21785 21781
+0 1
+.names 21781 21782
+1 1
+.names 50631 50635 21783
+1- 1
+-1 1
+.names 21783 21784
+1 1
+.names 21784 1783 21785
+01 1
+10 1
+.names 21790 21786
+0 1
+.names 21786 21787
+1 1
+.names 50586 50590 21788
+1- 1
+-1 1
+.names 21788 21789
+1 1
+.names 21789 1783 21790
+01 1
+10 1
+.names 21801 21804 21791
+1- 1
+-1 1
+.names 21791 21792
+1 1
+.names 21792 21793
+0 1
+.names 21756 21794
+0 1
+.names 21793 21794 21795
+11 1
+.names 21773 21796
+0 1
+.names 21777 21797
+0 1
+.names 21782 21798
+0 1
+.names 21797 21798 21799
+1- 1
+-1 1
+.names 21787 21800
+0 1
+.names 21799 21800 21801
+1- 1
+-1 1
+.names 21807 21802
+0 1
+.names 21802 21803
+1 1
+.names 21803 21804
+0 1
+.names 50639 50643 21805
+1- 1
+-1 1
+.names 21805 21806
+1 1
+.names 21806 1783 21807
+01 1
+10 1
+.names 21812 21815 21808
+11 1
+.names 21808 21809
+1 1
+.names 21810
+.names 21810 21811
+1 1
+.names 21811 21812
+0 1
+.names 21813
+.names 21813 21814
+1 1
+.names 21814 21815
+0 1
+.names 21820 21823 21816
+11 1
+.names 21816 21817
+1 1
+.names 21818
+.names 21818 21819
+1 1
+.names 21819 21820
+0 1
+.names 21821
+.names 21821 21822
+1 1
+.names 21822 21823
+0 1
+.names 21828 21831 21824
+11 1
+.names 21824 21825
+1 1
+.names 21826
+.names 21826 21827
+1 1
+.names 21827 21828
+0 1
+.names 21829
+.names 21829 21830
+1 1
+.names 21830 21831
+0 1
+.names 21836 21839 21832
+11 1
+.names 21832 21833
+1 1
+.names 21834
+.names 21834 21835
+1 1
+.names 21835 21836
+0 1
+.names 21837
+.names 21837 21838
+1 1
+.names 21838 21839
+0 1
+.names 21833 21840
+0 1
+.names 21825 21841
+0 1
+.names 21840 21841 21842
+1- 1
+-1 1
+.names 21817 21843
+0 1
+.names 21842 21843 21844
+1- 1
+-1 1
+.names 21809 21845
+0 1
+.names 21850 21846
+0 1
+.names 21846 21847
+1 1
+.names 51111 51112 21848
+1- 1
+-1 1
+.names 21848 21849
+1 1
+.names 1783 21849 21850
+01 1
+10 1
+.names 21855 21851
+0 1
+.names 21851 21852
+1 1
+.names 51081 51083 21853
+1- 1
+-1 1
+.names 21853 21854
+1 1
+.names 21854 1783 21855
+01 1
+10 1
+.names 21861 21862 21856
+1- 1
+-1 1
+.names 21856 21857
+1 1
+.names 21945 21946 21858
+1- 1
+-1 1
+.names 21858 21859
+1 1
+.names 21847 21860
+0 1
+.names 21859 21860 21861
+1- 1
+-1 1
+.names 21852 21862
+0 1
+.names 21867 21863
+0 1
+.names 21863 21864
+1 1
+.names 51120 51122 21865
+1- 1
+-1 1
+.names 21865 21866
+1 1
+.names 21866 1783 21867
+01 1
+10 1
+.names 21872 21868
+0 1
+.names 21868 21869
+1 1
+.names 51074 51075 21870
+1- 1
+-1 1
+.names 21870 21871
+1 1
+.names 21871 1783 21872
+01 1
+10 1
+.names 21875 21876 21873
+1- 1
+-1 1
+.names 21873 21874
+1 1
+.names 21864 21875
+0 1
+.names 21869 21876
+0 1
+.names 21881 21877
+0 1
+.names 21877 21878
+1 1
+.names 51000 51002 21879
+1- 1
+-1 1
+.names 21879 21880
+1 1
+.names 21880 1783 21881
+01 1
+10 1
+.names 21886 21882
+0 1
+.names 21882 21883
+1 1
+.names 51035 51039 21884
+1- 1
+-1 1
+.names 21884 21885
+1 1
+.names 21885 1783 21886
+01 1
+10 1
+.names 21891 21887
+0 1
+.names 21887 21888
+1 1
+.names 50990 50994 21889
+1- 1
+-1 1
+.names 21889 21890
+1 1
+.names 21890 1783 21891
+01 1
+10 1
+.names 21902 21905 21892
+1- 1
+-1 1
+.names 21892 21893
+1 1
+.names 21893 21894
+0 1
+.names 21857 21895
+0 1
+.names 21894 21895 21896
+11 1
+.names 21874 21897
+0 1
+.names 21878 21898
+0 1
+.names 21883 21899
+0 1
+.names 21898 21899 21900
+1- 1
+-1 1
+.names 21888 21901
+0 1
+.names 21900 21901 21902
+1- 1
+-1 1
+.names 21908 21903
+0 1
+.names 21903 21904
+1 1
+.names 21904 21905
+0 1
+.names 51043 51047 21906
+1- 1
+-1 1
+.names 21906 21907
+1 1
+.names 21907 1783 21908
+01 1
+10 1
+.names 21913 21916 21909
+11 1
+.names 21909 21910
+1 1
+.names 21911
+.names 21911 21912
+1 1
+.names 21912 21913
+0 1
+.names 21914
+.names 21914 21915
+1 1
+.names 21915 21916
+0 1
+.names 21921 21924 21917
+11 1
+.names 21917 21918
+1 1
+.names 21919
+.names 21919 21920
+1 1
+.names 21920 21921
+0 1
+.names 21922
+.names 21922 21923
+1 1
+.names 21923 21924
+0 1
+.names 21929 21932 21925
+11 1
+.names 21925 21926
+1 1
+.names 21927
+.names 21927 21928
+1 1
+.names 21928 21929
+0 1
+.names 21930
+.names 21930 21931
+1 1
+.names 21931 21932
+0 1
+.names 21937 21940 21933
+11 1
+.names 21933 21934
+1 1
+.names 21935
+.names 21935 21936
+1 1
+.names 21936 21937
+0 1
+.names 21938
+.names 21938 21939
+1 1
+.names 21939 21940
+0 1
+.names 21934 21941
+0 1
+.names 21926 21942
+0 1
+.names 21941 21942 21943
+1- 1
+-1 1
+.names 21918 21944
+0 1
+.names 21943 21944 21945
+1- 1
+-1 1
+.names 21910 21946
+0 1
+.names 21951 9676 21947
+11 1
+.names 21947 21948
+1 1
+.names 21948 21949
+0 1
+.names 3104 21950
+0 1
+.names 3212 21951
+0 1
+.names 21956 21959 57155
+1- 1
+-1 1
+.names 9686 21953
+0 1
+.names 14792 21954
+1 1
+.names 21954 21955
+0 1
+.names 21953 21955 21956
+11 1
+.names 14886 21957
+1 1
+.names 21957 21958
+0 1
+.names 9686 21958 21959
+11 1
+.names 21964 9696 21960
+11 1
+.names 21960 21961
+1 1
+.names 21961 21962
+0 1
+.names 3092 21963
+0 1
+.names 3206 21964
+0 1
+.names 21969 21972 57156
+1- 1
+-1 1
+.names 9706 21966
+0 1
+.names 14792 21967
+1 1
+.names 21967 21968
+0 1
+.names 21966 21968 21969
+11 1
+.names 14886 21970
+1 1
+.names 21970 21971
+0 1
+.names 9706 21971 21972
+11 1
+.names 10009 21973
+0 1
+.names 9761 21974
+0 1
+.names 10017 21975
+0 1
+.names 9951 21976
+0 1
+.names 9932 21977
+0 1
+.names 9989 21978
+0 1
+.names 9980 21979
+0 1
+.names 9957 21980
+0 1
+.names 10014 21981
+0 1
+.names 10163 21982
+0 1
+.names 9939 21983
+0 1
+.names 9966 21984
+0 1
+.names 9972 21985
+0 1
+.names 10002 21986
+0 1
+.names 9924 21987
+0 1
+.names 9945 21988
+0 1
+.names 9982 21989
+0 1
+.names 9918 21990
+0 1
+.names 9950 21991
+0 1
+.names 9725 21992
+0 1
+.names 9930 21993
+0 1
+.names 9988 21994
+0 1
+.names 9978 21995
+0 1
+.names 9937 21996
+0 1
+.names 10212 21997
+0 1
+.names 10011 21998
+0 1
+.names 9745 21999
+0 1
+.names 9923 22000
+0 1
+.names 10007 22001
+0 1
+.names 10025 22002
+0 1
+.names 10016 22003
+0 1
+.names 9964 22004
+0 1
+.names 9944 22005
+0 1
+.names 9916 22006
+0 1
+.names 10000 22007
+0 1
+.names 9971 22008
+0 1
+.names 9925 22009
+0 1
+.names 10021 22010
+0 1
+.names 9729 22011
+0 1
+.names 10008 22012
+0 1
+.names 9990 22013
+0 1
+.names 9979 22014
+0 1
+.names 9959 22015
+0 1
+.names 9973 22016
+0 1
+.names 9938 22017
+0 1
+.names 9983 22018
+0 1
+.names 10024 22019
+0 1
+.names 9713 22020
+0 1
+.names 9931 22021
+0 1
+.names 9958 22022
+0 1
+.names 22026 22023
+0 1
+.names 22023 22024
+1 1
+.names 51442 10026 22025
+1- 1
+-1 1
+.names 22025 22026
+1 1
+.names 22030 22027
+0 1
+.names 22027 22028
+1 1
+.names 51441 9768 22029
+1- 1
+-1 1
+.names 22029 22030
+1 1
+.names 22034 22031
+0 1
+.names 22031 22032
+1 1
+.names 9768 10026 22033
+1- 1
+-1 1
+.names 22033 22034
+1 1
+.names 22038 22035
+0 1
+.names 22035 22036
+1 1
+.names 9766 9764 22037
+1- 1
+-1 1
+.names 22037 22038
+1 1
+.names 22042 22039
+0 1
+.names 22039 22040
+1 1
+.names 51442 51441 22041
+1- 1
+-1 1
+.names 22041 22042
+1 1
+.names 22046 22043
+0 1
+.names 22043 22044
+1 1
+.names 51433 51434 22045
+1- 1
+-1 1
+.names 22045 22046
+1 1
+.names 22050 22047
+0 1
+.names 22047 22048
+1 1
+.names 51433 9766 22049
+1- 1
+-1 1
+.names 22049 22050
+1 1
+.names 22054 22051
+0 1
+.names 22051 22052
+1 1
+.names 51434 9764 22053
+1- 1
+-1 1
+.names 22053 22054
+1 1
+.names 9709 22055
+0 1
+.names 10019 22056
+0 1
+.names 10018 22057
+0 1
+.names 9952 22058
+0 1
+.names 9965 22059
+0 1
+.names 9917 22060
+0 1
+.names 9992 22061
+0 1
+.names 9757 22062
+0 1
+.names 9981 22063
+0 1
+.names 10020 22064
+0 1
+.names 9749 22065
+0 1
+.names 9995 22066
+0 1
+.names 9717 22067
+0 1
+.names 10001 22068
+0 1
+.names 9737 22069
+0 1
+.names 10023 22070
+0 1
+.names 9733 22071
+0 1
+.names 22075 22072
+0 1
+.names 22072 22073
+1 1
+.names 10164 9774 22074
+1- 1
+-1 1
+.names 22074 22075
+1 1
+.names 22079 22076
+0 1
+.names 22076 22077
+1 1
+.names 51454 51453 22078
+1- 1
+-1 1
+.names 22078 22079
+1 1
+.names 22083 22080
+0 1
+.names 22080 22081
+1 1
+.names 51453 9774 22082
+1- 1
+-1 1
+.names 22082 22083
+1 1
+.names 22087 22084
+0 1
+.names 22084 22085
+1 1
+.names 9770 10157 22086
+1- 1
+-1 1
+.names 22086 22087
+1 1
+.names 22091 22088
+0 1
+.names 22088 22089
+1 1
+.names 10164 51454 22090
+1- 1
+-1 1
+.names 22090 22091
+1 1
+.names 22095 22092
+0 1
+.names 22092 22093
+1 1
+.names 51446 51445 22094
+1- 1
+-1 1
+.names 22094 22095
+1 1
+.names 22099 22096
+0 1
+.names 22096 22097
+1 1
+.names 51446 10157 22098
+1- 1
+-1 1
+.names 22098 22099
+1 1
+.names 22103 22100
+0 1
+.names 22100 22101
+1 1
+.names 51445 9770 22102
+1- 1
+-1 1
+.names 22102 22103
+1 1
+.names 10015 22104
+0 1
+.names 9741 22105
+0 1
+.names 9941 22106
+0 1
+.names 9753 22107
+0 1
+.names 10022 22108
+0 1
+.names 9721 22109
+0 1
+.names 10336 22110
+0 1
+.names 10527 22111
+0 1
+.names 10529 22112
+0 1
+.names 10450 22113
+0 1
+.names 10498 22114
+0 1
+.names 10544 22115
+0 1
+.names 10534 22116
+0 1
+.names 10484 22117
+0 1
+.names 10464 22118
+0 1
+.names 10437 22119
+0 1
+.names 10491 22120
+0 1
+.names 10457 22121
+0 1
+.names 10528 22122
+0 1
+.names 10501 22123
+0 1
+.names 10445 22124
+0 1
+.names 10340 22125
+0 1
+.names 10525 22126
+0 1
+.names 10531 22127
+0 1
+.names 10472 22128
+0 1
+.names 10452 22129
+0 1
+.names 10509 22130
+0 1
+.names 10500 22131
+0 1
+.names 10478 22132
+0 1
+.names 10465 22133
+0 1
+.names 10438 22134
+0 1
+.names 10459 22135
+0 1
+.names 10532 22136
+0 1
+.names 10503 22137
+0 1
+.names 10344 22138
+0 1
+.names 10526 22139
+0 1
+.names 10470 22140
+0 1
+.names 10510 22141
+0 1
+.names 10499 22142
+0 1
+.names 10479 22143
+0 1
+.names 10520 22144
+0 1
+.names 10493 22145
+0 1
+.names 10458 22146
+0 1
+.names 22150 22147
+0 1
+.names 22147 22148
+1 1
+.names 51460 10535 22149
+1- 1
+-1 1
+.names 22149 22150
+1 1
+.names 22154 22151
+0 1
+.names 22151 22152
+1 1
+.names 51459 10359 22153
+1- 1
+-1 1
+.names 22153 22154
+1 1
+.names 22158 22155
+0 1
+.names 22155 22156
+1 1
+.names 10359 10535 22157
+1- 1
+-1 1
+.names 22157 22158
+1 1
+.names 22162 22159
+0 1
+.names 22159 22160
+1 1
+.names 51460 51459 22161
+1- 1
+-1 1
+.names 22161 22162
+1 1
+.names 22166 22163
+0 1
+.names 22163 22164
+1 1
+.names 51457 51458 22165
+1- 1
+-1 1
+.names 22165 22166
+1 1
+.names 22170 22167
+0 1
+.names 22167 22168
+1 1
+.names 51457 10357 22169
+1- 1
+-1 1
+.names 22169 22170
+1 1
+.names 10332 22171
+0 1
+.names 10511 22172
+0 1
+.names 10485 22173
+0 1
+.names 10492 22174
+0 1
+.names 10324 22175
+0 1
+.names 10530 22176
+0 1
+.names 10581 22177
+0 1
+.names 10513 22178
+0 1
+.names 10486 22179
+0 1
+.names 10439 22180
+0 1
+.names 10519 22181
+0 1
+.names 10352 22182
+0 1
+.names 10502 22183
+0 1
+.names 10508 22184
+0 1
+.names 10477 22185
+0 1
+.names 10518 22186
+0 1
+.names 10533 22187
+0 1
+.names 10444 22188
+0 1
+.names 10348 22189
+0 1
+.names 10471 22190
+0 1
+.names 22194 22191
+0 1
+.names 22191 22192
+1 1
+.names 10545 10365 22193
+1- 1
+-1 1
+.names 22193 22194
+1 1
+.names 22198 22195
+0 1
+.names 22195 22196
+1 1
+.names 51476 51475 22197
+1- 1
+-1 1
+.names 22197 22198
+1 1
+.names 22202 22199
+0 1
+.names 22199 22200
+1 1
+.names 51475 10365 22201
+1- 1
+-1 1
+.names 22201 22202
+1 1
+.names 22206 22203
+0 1
+.names 22203 22204
+1 1
+.names 10361 10538 22205
+1- 1
+-1 1
+.names 22205 22206
+1 1
+.names 22210 22207
+0 1
+.names 22207 22208
+1 1
+.names 10545 51476 22209
+1- 1
+-1 1
+.names 22209 22210
+1 1
+.names 22214 22211
+0 1
+.names 22211 22212
+1 1
+.names 51468 51467 22213
+1- 1
+-1 1
+.names 22213 22214
+1 1
+.names 22218 22215
+0 1
+.names 22215 22216
+1 1
+.names 51468 10538 22217
+1- 1
+-1 1
+.names 22217 22218
+1 1
+.names 22222 22219
+0 1
+.names 22219 22220
+1 1
+.names 51467 10361 22221
+1- 1
+-1 1
+.names 22221 22222
+1 1
+.names 10328 22223
+0 1
+.names 10451 22224
+0 1
+.names 10512 22225
+0 1
+.names 10461 22226
+0 1
+.names 22232 22236 56417
+1- 1
+-1 1
+.names 6776 22228
+0 1
+.names 5370 22229
+0 1
+.names 22229 22230
+1 1
+.names 22230 22231
+0 1
+.names 22228 22231 22232
+11 1
+.names 2381 22233
+0 1
+.names 22233 22234
+1 1
+.names 22234 22235
+0 1
+.names 6776 22235 22236
+11 1
+.names 22241 22245 56418
+1- 1
+-1 1
+.names 5374 22238
+0 1
+.names 22238 22239
+1 1
+.names 22239 22240
+0 1
+.names 22228 22240 22241
+11 1
+.names 2374 22242
+0 1
+.names 22242 22243
+1 1
+.names 22243 22244
+0 1
+.names 6776 22244 22245
+11 1
+.names 22251 22254 56421
+1- 1
+-1 1
+.names 6780 22247
+0 1
+.names 5383 22248
+0 1
+.names 22248 22249
+1 1
+.names 22249 22250
+0 1
+.names 22247 22250 22251
+11 1
+.names 2413 22252
+1 1
+.names 22252 22253
+0 1
+.names 6780 22253 22254
+11 1
+.names 22260 22263 56424
+1- 1
+-1 1
+.names 6779 22256
+0 1
+.names 5394 22257
+0 1
+.names 22257 22258
+1 1
+.names 22258 22259
+0 1
+.names 22256 22259 22260
+11 1
+.names 2413 22261
+1 1
+.names 22261 22262
+0 1
+.names 6779 22262 22263
+11 1
+.names 22268 22272 56422
+1- 1
+-1 1
+.names 5385 22265
+0 1
+.names 22265 22266
+1 1
+.names 22266 22267
+0 1
+.names 22247 22267 22268
+11 1
+.names 5365 22269
+0 1
+.names 22269 22270
+1 1
+.names 22270 22271
+0 1
+.names 6780 22271 22272
+11 1
+.names 22277 22280 56423
+1- 1
+-1 1
+.names 5391 22274
+0 1
+.names 22274 22275
+1 1
+.names 22275 22276
+0 1
+.names 22256 22276 22277
+11 1
+.names 2413 22278
+1 1
+.names 22278 22279
+0 1
+.names 6779 22279 22280
+11 1
+.names 22287 22289 22281
+1- 1
+-1 1
+.names 22281 22282
+1 1
+.names 6788 22283
+0 1
+.names 22290 22293 22284
+11 1
+.names 22284 22285
+1 1
+.names 22285 22286
+0 1
+.names 22283 22286 22287
+11 1
+.names 56564 22288
+0 1
+.names 6788 22288 22289
+11 1
+.names 6786 22290
+0 1
+.names 1218 22291
+0 1
+.names 22291 22292
+1 1
+.names 22292 22293
+0 1
+.names 22290 22294
+1 1
+.names 22294 22295
+0 1
+.names 22288 22296
+1 1
+.names 22296 22297
+0 1
+.names 22295 22297 22298
+11 1
+.names 22282 22299
+0 1
+.names 22305 22307 22300
+1- 1
+-1 1
+.names 22300 22301
+1 1
+.names 22290 22310 22302
+11 1
+.names 22302 22303
+1 1
+.names 22303 22304
+0 1
+.names 22283 22304 22305
+11 1
+.names 56563 22306
+0 1
+.names 6788 22306 22307
+11 1
+.names 1219 22308
+0 1
+.names 22308 22309
+1 1
+.names 22309 22310
+0 1
+.names 22290 22311
+1 1
+.names 22311 22312
+0 1
+.names 22306 22313
+1 1
+.names 22313 22314
+0 1
+.names 22312 22314 22315
+11 1
+.names 22301 22316
+0 1
+.names 6785 22317
+0 1
+.names 22317 22318
+1 1
+.names 22324 22326 22319
+1- 1
+-1 1
+.names 22319 22320
+1 1
+.names 22317 22329 22321
+11 1
+.names 22321 22322
+1 1
+.names 22322 22323
+0 1
+.names 22283 22323 22324
+11 1
+.names 56565 22325
+0 1
+.names 6788 22325 22326
+11 1
+.names 1220 22327
+0 1
+.names 22327 22328
+1 1
+.names 22328 22329
+0 1
+.names 22325 22330
+1 1
+.names 22318 22331
+0 1
+.names 22330 22332
+0 1
+.names 22331 22332 22333
+11 1
+.names 22320 22334
+0 1
+.names 22317 22335
+1 1
+.names 22341 22342 22336
+1- 1
+-1 1
+.names 22336 22337
+1 1
+.names 22317 22345 22338
+11 1
+.names 22338 22339
+1 1
+.names 22339 22340
+0 1
+.names 22283 22340 22341
+11 1
+.names 6788 2413 22342
+11 1
+.names 1221 22343
+0 1
+.names 22343 22344
+1 1
+.names 22344 22345
+0 1
+.names 56568 22346
+0 1
+.names 22346 22347
+1 1
+.names 22335 22348
+0 1
+.names 22347 22349
+0 1
+.names 22348 22349 22350
+11 1
+.names 22337 22351
+0 1
+.names 22317 22352
+1 1
+.names 22358 22360 22353
+1- 1
+-1 1
+.names 22353 22354
+1 1
+.names 22317 22363 22355
+11 1
+.names 22355 22356
+1 1
+.names 22356 22357
+0 1
+.names 22283 22357 22358
+11 1
+.names 56566 22359
+0 1
+.names 6788 22359 22360
+11 1
+.names 1222 22361
+0 1
+.names 22361 22362
+1 1
+.names 22362 22363
+0 1
+.names 22359 22364
+1 1
+.names 22352 22365
+0 1
+.names 22364 22366
+0 1
+.names 22365 22366 22367
+11 1
+.names 22354 22368
+0 1
+.names 22290 22369
+1 1
+.names 22375 22377 22370
+1- 1
+-1 1
+.names 22370 22371
+1 1
+.names 22290 22380 22372
+11 1
+.names 22372 22373
+1 1
+.names 22373 22374
+0 1
+.names 22283 22374 22375
+11 1
+.names 56567 22376
+0 1
+.names 6788 22376 22377
+11 1
+.names 1223 22378
+0 1
+.names 22378 22379
+1 1
+.names 22379 22380
+0 1
+.names 22376 22381
+1 1
+.names 22369 22382
+0 1
+.names 22381 22383
+0 1
+.names 22382 22383 22384
+11 1
+.names 22371 22385
+0 1
+.names 22392 22396 22386
+1- 1
+-1 1
+.names 22386 22387
+1 1
+.names 6803 22388
+0 1
+.names 274 22389
+0 1
+.names 22389 22390
+1 1
+.names 22390 22391
+0 1
+.names 22388 22391 22392
+11 1
+.names 1224 22393
+0 1
+.names 22393 22394
+1 1
+.names 22394 22395
+0 1
+.names 6803 22395 22396
+11 1
+.names 22403 22407 22397
+1- 1
+-1 1
+.names 22397 22398
+1 1
+.names 6797 22399
+0 1
+.names 290 22400
+0 1
+.names 22400 22401
+1 1
+.names 22401 22402
+0 1
+.names 22399 22402 22403
+11 1
+.names 1225 22404
+0 1
+.names 22404 22405
+1 1
+.names 22405 22406
+0 1
+.names 6797 22406 22407
+11 1
+.names 22413 22417 22408
+1- 1
+-1 1
+.names 22408 22409
+1 1
+.names 285 22410
+0 1
+.names 22410 22411
+1 1
+.names 22411 22412
+0 1
+.names 22388 22412 22413
+11 1
+.names 1226 22414
+0 1
+.names 22414 22415
+1 1
+.names 22415 22416
+0 1
+.names 6803 22416 22417
+11 1
+.names 22423 22427 22418
+1- 1
+-1 1
+.names 22418 22419
+1 1
+.names 298 22420
+0 1
+.names 22420 22421
+1 1
+.names 22421 22422
+0 1
+.names 22399 22422 22423
+11 1
+.names 1227 22424
+0 1
+.names 22424 22425
+1 1
+.names 22425 22426
+0 1
+.names 6797 22426 22427
+11 1
+.names 22433 22436 22428
+1- 1
+-1 1
+.names 22428 22429
+1 1
+.names 6798 22430
+0 1
+.names 10718 22431
+1 1
+.names 22431 22432
+0 1
+.names 22430 22432 22433
+11 1
+.names 10722 22434
+1 1
+.names 22434 22435
+0 1
+.names 6798 22435 22436
+11 1
+.names 22443 22447 22437
+1- 1
+-1 1
+.names 22437 22438
+1 1
+.names 6800 22439
+0 1
+.names 269 22440
+0 1
+.names 22440 22441
+1 1
+.names 22441 22442
+0 1
+.names 22439 22442 22443
+11 1
+.names 1228 22444
+0 1
+.names 22444 22445
+1 1
+.names 22445 22446
+0 1
+.names 6800 22446 22447
+11 1
+.names 22453 22457 22448
+1- 1
+-1 1
+.names 22448 22449
+1 1
+.names 276 22450
+0 1
+.names 22450 22451
+1 1
+.names 22451 22452
+0 1
+.names 22439 22452 22453
+11 1
+.names 1229 22454
+0 1
+.names 22454 22455
+1 1
+.names 22455 22456
+0 1
+.names 6800 22456 22457
+11 1
+.names 22463 22467 22458
+1- 1
+-1 1
+.names 22458 22459
+1 1
+.names 278 22460
+0 1
+.names 22460 22461
+1 1
+.names 22461 22462
+0 1
+.names 22399 22462 22463
+11 1
+.names 1230 22464
+0 1
+.names 22464 22465
+1 1
+.names 22465 22466
+0 1
+.names 6797 22466 22467
+11 1
+.names 22473 22477 22468
+1- 1
+-1 1
+.names 22468 22469
+1 1
+.names 287 22470
+0 1
+.names 22470 22471
+1 1
+.names 22471 22472
+0 1
+.names 22439 22472 22473
+11 1
+.names 1231 22474
+0 1
+.names 22474 22475
+1 1
+.names 22475 22476
+0 1
+.names 6800 22476 22477
+11 1
+.names 22483 22487 22478
+1- 1
+-1 1
+.names 22478 22479
+1 1
+.names 277 22480
+0 1
+.names 22480 22481
+1 1
+.names 22481 22482
+0 1
+.names 22388 22482 22483
+11 1
+.names 1232 22484
+0 1
+.names 22484 22485
+1 1
+.names 22485 22486
+0 1
+.names 6803 22486 22487
+11 1
+.names 22494 22498 22488
+1- 1
+-1 1
+.names 22488 22489
+1 1
+.names 6802 22490
+0 1
+.names 293 22491
+0 1
+.names 22491 22492
+1 1
+.names 22492 22493
+0 1
+.names 22490 22493 22494
+11 1
+.names 1233 22495
+0 1
+.names 22495 22496
+1 1
+.names 22496 22497
+0 1
+.names 6802 22497 22498
+11 1
+.names 22504 22508 22499
+1- 1
+-1 1
+.names 22499 22500
+1 1
+.names 279 22501
+0 1
+.names 22501 22502
+1 1
+.names 22502 22503
+0 1
+.names 22430 22503 22504
+11 1
+.names 1234 22505
+0 1
+.names 22505 22506
+1 1
+.names 22506 22507
+0 1
+.names 6798 22507 22508
+11 1
+.names 22514 22518 22509
+1- 1
+-1 1
+.names 22509 22510
+1 1
+.names 292 22511
+0 1
+.names 22511 22512
+1 1
+.names 22512 22513
+0 1
+.names 22430 22513 22514
+11 1
+.names 1235 22515
+0 1
+.names 22515 22516
+1 1
+.names 22516 22517
+0 1
+.names 6798 22517 22518
+11 1
+.names 22524 22528 22519
+1- 1
+-1 1
+.names 22519 22520
+1 1
+.names 270 22521
+0 1
+.names 22521 22522
+1 1
+.names 22522 22523
+0 1
+.names 22430 22523 22524
+11 1
+.names 1236 22525
+0 1
+.names 22525 22526
+1 1
+.names 22526 22527
+0 1
+.names 6798 22527 22528
+11 1
+.names 22534 22538 22529
+1- 1
+-1 1
+.names 22529 22530
+1 1
+.names 273 22531
+0 1
+.names 22531 22532
+1 1
+.names 22532 22533
+0 1
+.names 22490 22533 22534
+11 1
+.names 1237 22535
+0 1
+.names 22535 22536
+1 1
+.names 22536 22537
+0 1
+.names 6802 22537 22538
+11 1
+.names 22544 22548 22539
+1- 1
+-1 1
+.names 22539 22540
+1 1
+.names 275 22541
+0 1
+.names 22541 22542
+1 1
+.names 22542 22543
+0 1
+.names 22399 22543 22544
+11 1
+.names 1238 22545
+0 1
+.names 22545 22546
+1 1
+.names 22546 22547
+0 1
+.names 6797 22547 22548
+11 1
+.names 22554 22558 22549
+1- 1
+-1 1
+.names 22549 22550
+1 1
+.names 286 22551
+0 1
+.names 22551 22552
+1 1
+.names 22552 22553
+0 1
+.names 22490 22553 22554
+11 1
+.names 1239 22555
+0 1
+.names 22555 22556
+1 1
+.names 22556 22557
+0 1
+.names 6802 22557 22558
+11 1
+.names 22564 22568 22559
+1- 1
+-1 1
+.names 22559 22560
+1 1
+.names 284 22561
+0 1
+.names 22561 22562
+1 1
+.names 22562 22563
+0 1
+.names 22439 22563 22564
+11 1
+.names 1240 22565
+0 1
+.names 22565 22566
+1 1
+.names 22566 22567
+0 1
+.names 6800 22567 22568
+11 1
+.names 22574 22578 22569
+1- 1
+-1 1
+.names 22569 22570
+1 1
+.names 291 22571
+0 1
+.names 22571 22572
+1 1
+.names 22572 22573
+0 1
+.names 22439 22573 22574
+11 1
+.names 1241 22575
+0 1
+.names 22575 22576
+1 1
+.names 22576 22577
+0 1
+.names 6800 22577 22578
+11 1
+.names 22584 22588 22579
+1- 1
+-1 1
+.names 22579 22580
+1 1
+.names 299 22581
+0 1
+.names 22581 22582
+1 1
+.names 22582 22583
+0 1
+.names 22388 22583 22584
+11 1
+.names 1242 22585
+0 1
+.names 22585 22586
+1 1
+.names 22586 22587
+0 1
+.names 6803 22587 22588
+11 1
+.names 22595 22599 22589
+1- 1
+-1 1
+.names 22589 22590
+1 1
+.names 6801 22591
+0 1
+.names 289 22592
+0 1
+.names 22592 22593
+1 1
+.names 22593 22594
+0 1
+.names 22591 22594 22595
+11 1
+.names 1243 22596
+0 1
+.names 22596 22597
+1 1
+.names 22597 22598
+0 1
+.names 6801 22598 22599
+11 1
+.names 22605 22609 22600
+1- 1
+-1 1
+.names 22600 22601
+1 1
+.names 281 22602
+0 1
+.names 22602 22603
+1 1
+.names 22603 22604
+0 1
+.names 22591 22604 22605
+11 1
+.names 1244 22606
+0 1
+.names 22606 22607
+1 1
+.names 22607 22608
+0 1
+.names 6801 22608 22609
+11 1
+.names 22615 22619 22610
+1- 1
+-1 1
+.names 22610 22611
+1 1
+.names 282 22612
+0 1
+.names 22612 22613
+1 1
+.names 22613 22614
+0 1
+.names 22490 22614 22615
+11 1
+.names 1245 22616
+0 1
+.names 22616 22617
+1 1
+.names 22617 22618
+0 1
+.names 6802 22618 22619
+11 1
+.names 22625 22629 22620
+1- 1
+-1 1
+.names 22620 22621
+1 1
+.names 296 22622
+0 1
+.names 22622 22623
+1 1
+.names 22623 22624
+0 1
+.names 22399 22624 22625
+11 1
+.names 1246 22626
+0 1
+.names 22626 22627
+1 1
+.names 22627 22628
+0 1
+.names 6797 22628 22629
+11 1
+.names 22635 22639 22630
+1- 1
+-1 1
+.names 22630 22631
+1 1
+.names 280 22632
+0 1
+.names 22632 22633
+1 1
+.names 22633 22634
+0 1
+.names 22430 22634 22635
+11 1
+.names 1247 22636
+0 1
+.names 22636 22637
+1 1
+.names 22637 22638
+0 1
+.names 6798 22638 22639
+11 1
+.names 22645 22649 22640
+1- 1
+-1 1
+.names 22640 22641
+1 1
+.names 294 22642
+0 1
+.names 22642 22643
+1 1
+.names 22643 22644
+0 1
+.names 22388 22644 22645
+11 1
+.names 1248 22646
+0 1
+.names 22646 22647
+1 1
+.names 22647 22648
+0 1
+.names 6803 22648 22649
+11 1
+.names 22655 22659 22650
+1- 1
+-1 1
+.names 22650 22651
+1 1
+.names 295 22652
+0 1
+.names 22652 22653
+1 1
+.names 22653 22654
+0 1
+.names 22388 22654 22655
+11 1
+.names 1249 22656
+0 1
+.names 22656 22657
+1 1
+.names 22657 22658
+0 1
+.names 6803 22658 22659
+11 1
+.names 22665 22669 22660
+1- 1
+-1 1
+.names 22660 22661
+1 1
+.names 271 22662
+0 1
+.names 22662 22663
+1 1
+.names 22663 22664
+0 1
+.names 22591 22664 22665
+11 1
+.names 1250 22666
+0 1
+.names 22666 22667
+1 1
+.names 22667 22668
+0 1
+.names 6801 22668 22669
+11 1
+.names 22675 22679 22670
+1- 1
+-1 1
+.names 22670 22671
+1 1
+.names 272 22672
+0 1
+.names 22672 22673
+1 1
+.names 22673 22674
+0 1
+.names 22591 22674 22675
+11 1
+.names 1251 22676
+0 1
+.names 22676 22677
+1 1
+.names 22677 22678
+0 1
+.names 6801 22678 22679
+11 1
+.names 22685 22689 22680
+1- 1
+-1 1
+.names 22680 22681
+1 1
+.names 283 22682
+0 1
+.names 22682 22683
+1 1
+.names 22683 22684
+0 1
+.names 22591 22684 22685
+11 1
+.names 1252 22686
+0 1
+.names 22686 22687
+1 1
+.names 22687 22688
+0 1
+.names 6801 22688 22689
+11 1
+.names 22695 22699 22690
+1- 1
+-1 1
+.names 22690 22691
+1 1
+.names 288 22692
+0 1
+.names 22692 22693
+1 1
+.names 22693 22694
+0 1
+.names 22490 22694 22695
+11 1
+.names 1253 22696
+0 1
+.names 22696 22697
+1 1
+.names 22697 22698
+0 1
+.names 6802 22698 22699
+11 1
+.names 22705 22709 22700
+1- 1
+-1 1
+.names 22700 22701
+1 1
+.names 297 22702
+0 1
+.names 22702 22703
+1 1
+.names 22703 22704
+0 1
+.names 22490 22704 22705
+11 1
+.names 1254 22706
+0 1
+.names 22706 22707
+1 1
+.names 22707 22708
+0 1
+.names 6802 22708 22709
+11 1
+.names 22712 22713 22710
+1- 1
+-1 1
+.names 22710 22711
+1 1
+.names 6815 22712
+0 1
+.names 1255 22713
+0 1
+.names 22718 22719 22714
+1- 1
+-1 1
+.names 22714 22715
+1 1
+.names 45082 45086 22716
+1- 1
+-1 1
+.names 22716 22717
+1 1
+.names 22717 22718
+0 1
+.names 6836 22719
+0 1
+.names 22731 22732 22720
+1- 1
+-1 1
+.names 22720 22721
+1 1
+.names 22721 22722
+0 1
+.names 22715 22723
+0 1
+.names 22722 22723 22724
+1- 1
+-1 1
+.names 22735 22737 22725
+11 1
+.names 22725 22726
+1 1
+.names 22726 22727
+0 1
+.names 22748 22749 22728
+11 1
+.names 22728 22729
+1 1
+.names 22729 22730
+0 1
+.names 2413 22730 22731
+11 1
+.names 1783 2413 22732
+11 1
+.names 6825 22750 22733
+1- 1
+-1 1
+.names 22733 22734
+1 1
+.names 22734 22711 22735
+11 1
+.names 6833 22752 22736
+1- 1
+-1 1
+.names 22736 22737
+1 1
+.names 22742 22743 22738
+1- 1
+-1 1
+.names 22738 22739
+1 1
+.names 22712 22740
+1 1
+.names 22740 22741
+0 1
+.names 6836 22741 22742
+1- 1
+-1 1
+.names 22398 22743
+0 1
+.names 6838 22747 22744
+1- 1
+-1 1
+.names 22744 22745
+1 1
+.names 6833 22746
+1 1
+.names 22746 22747
+0 1
+.names 22739 22748
+0 1
+.names 22745 22749
+0 1
+.names 1256 22750
+0 1
+.names 46251 46254 56483
+1- 1
+-1 1
+.names 56483 22752
+0 1
+.names 22757 22719 22753
+1- 1
+-1 1
+.names 22753 22754
+1 1
+.names 45073 45077 22755
+1- 1
+-1 1
+.names 22755 22756
+1 1
+.names 22756 22757
+0 1
+.names 22764 22766 22758
+1- 1
+-1 1
+.names 22758 22759
+1 1
+.names 6837 22760
+0 1
+.names 22777 22778 22761
+11 1
+.names 22761 22762
+1 1
+.names 22762 22763
+0 1
+.names 22760 22763 22764
+11 1
+.names 1257 22765
+0 1
+.names 6837 22765 22766
+11 1
+.names 22771 22772 22767
+1- 1
+-1 1
+.names 22767 22768
+1 1
+.names 6833 22769
+1 1
+.names 22769 22770
+0 1
+.names 6847 22770 22771
+1- 1
+-1 1
+.names 22387 22772
+0 1
+.names 6836 22776 22773
+1- 1
+-1 1
+.names 22773 22774
+1 1
+.names 2413 22775
+1 1
+.names 22775 22776
+0 1
+.names 22768 22777
+0 1
+.names 22774 22778
+0 1
+.names 22796 22797 22779
+1- 1
+-1 1
+.names 22779 22780
+1 1
+.names 22759 22781
+0 1
+.names 6833 22785 22782
+1- 1
+-1 1
+.names 22782 22783
+1 1
+.names 46243 46246 56467
+1- 1
+-1 1
+.names 56467 22785
+0 1
+.names 2413 22786
+1 1
+.names 22786 22787
+1 1
+.names 22790 22791 22788
+1- 1
+-1 1
+.names 22788 22789
+1 1
+.names 1258 22790
+0 1
+.names 6847 22791
+0 1
+.names 22787 22792
+0 1
+.names 22789 22793
+0 1
+.names 22792 22793 22794
+1- 1
+-1 1
+.names 22783 22795
+0 1
+.names 22794 22795 22796
+1- 1
+-1 1
+.names 22754 22797
+0 1
+.names 22812 22816 22798
+1- 1
+-1 1
+.names 22798 22799
+1 1
+.names 22799 22800
+0 1
+.names 2413 22801
+1 1
+.names 22801 22802
+1 1
+.names 22802 22803
+0 1
+.names 22800 22803 22804
+1- 1
+-1 1
+.names 22821 22823 22805
+11 1
+.names 22805 22806
+1 1
+.names 22806 22807
+0 1
+.names 6807 22808
+0 1
+.names 22830 22831 22809
+11 1
+.names 22809 22810
+1 1
+.names 22810 22811
+0 1
+.names 22808 22811 22812
+11 1
+.names 45115 45119 22813
+1- 1
+-1 1
+.names 22813 22814
+1 1
+.names 22814 22815
+0 1
+.names 6807 22815 22816
+11 1
+.names 22760 22841 22817
+1- 1
+-1 1
+.names 22817 22818
+1 1
+.names 6825 22842 22819
+1- 1
+-1 1
+.names 22819 22820
+1 1
+.names 22818 22820 22821
+11 1
+.names 22833 22834 22822
+1- 1
+-1 1
+.names 22822 22823
+1 1
+.names 6838 22827 22824
+1- 1
+-1 1
+.names 22824 22825
+1 1
+.names 2413 22826
+1 1
+.names 22826 22827
+0 1
+.names 22839 22840 22828
+1- 1
+-1 1
+.names 22828 22829
+1 1
+.names 22829 22830
+0 1
+.names 22825 22831
+0 1
+.names 46281 46284 56462
+1- 1
+-1 1
+.names 56462 22833
+0 1
+.names 6822 22834
+0 1
+.names 22834 22835
+1 1
+.names 22835 22836
+0 1
+.names 22760 22837
+1 1
+.names 22837 22838
+0 1
+.names 22836 22838 22839
+1- 1
+-1 1
+.names 22438 22840
+0 1
+.names 1259 22841
+0 1
+.names 1260 22842
+0 1
+.names 22857 22861 22843
+1- 1
+-1 1
+.names 22843 22844
+1 1
+.names 22844 22845
+0 1
+.names 2413 22846
+1 1
+.names 22846 22847
+1 1
+.names 22847 22848
+0 1
+.names 22845 22848 22849
+1- 1
+-1 1
+.names 22881 22882 22850
+11 1
+.names 22850 22851
+1 1
+.names 22851 22852
+0 1
+.names 6843 22853
+0 1
+.names 22868 22869 22854
+11 1
+.names 22854 22855
+1 1
+.names 22855 22856
+0 1
+.names 22853 22856 22857
+11 1
+.names 45123 45127 22858
+1- 1
+-1 1
+.names 22858 22859
+1 1
+.names 22859 22860
+0 1
+.names 6843 22860 22861
+11 1
+.names 6842 22865 22862
+1- 1
+-1 1
+.names 22862 22863
+1 1
+.names 2413 22864
+1 1
+.names 22864 22865
+0 1
+.names 22889 22890 22866
+1- 1
+-1 1
+.names 22866 22867
+1 1
+.names 22867 22868
+0 1
+.names 22863 22869
+0 1
+.names 22873 22874 22870
+1- 1
+-1 1
+.names 22870 22871
+1 1
+.names 46288 46291 56469
+1- 1
+-1 1
+.names 56469 22873
+0 1
+.names 6842 22874
+0 1
+.names 22877 22878 22875
+1- 1
+-1 1
+.names 22875 22876
+1 1
+.names 1261 6816 22877
+11 1
+.names 22871 22878
+0 1
+.names 6846 1262 22879
+11 1
+.names 22879 22880
+1 1
+.names 22880 22881
+0 1
+.names 22876 22882
+0 1
+.names 6816 22883
+0 1
+.names 22883 22884
+1 1
+.names 6846 22885
+0 1
+.names 22885 22886
+1 1
+.names 22886 22887
+0 1
+.names 22884 22888
+0 1
+.names 22887 22888 22889
+1- 1
+-1 1
+.names 22449 22890
+0 1
+.names 22883 22893 22891
+1- 1
+-1 1
+.names 22891 22892
+1 1
+.names 1263 22893
+0 1
+.names 22897 6833 22894
+1- 1
+-1 1
+.names 22894 22895
+1 1
+.names 46295 46298 56471
+1- 1
+-1 1
+.names 56471 22897
+0 1
+.names 6845 22901 22898
+1- 1
+-1 1
+.names 22898 22899
+1 1
+.names 2413 22900
+1 1
+.names 22900 22901
+0 1
+.names 22907 22911 22902
+1- 1
+-1 1
+.names 22902 22903
+1 1
+.names 22914 22915 22904
+11 1
+.names 22904 22905
+1 1
+.names 22905 22906
+0 1
+.names 22853 22906 22907
+11 1
+.names 45131 45135 22908
+1- 1
+-1 1
+.names 22908 22909
+1 1
+.names 22909 22910
+0 1
+.names 6843 22910 22911
+11 1
+.names 22918 22919 22912
+1- 1
+-1 1
+.names 22912 22913
+1 1
+.names 22913 22914
+0 1
+.names 22899 22915
+0 1
+.names 22883 22916
+1 1
+.names 22916 22917
+0 1
+.names 6819 22917 22918
+1- 1
+-1 1
+.names 22459 22919
+0 1
+.names 22926 22927 22920
+1- 1
+-1 1
+.names 22920 22921
+1 1
+.names 22892 22922
+0 1
+.names 22936 22937 22923
+1- 1
+-1 1
+.names 22923 22924
+1 1
+.names 22924 22925
+0 1
+.names 22922 22925 22926
+1- 1
+-1 1
+.names 22895 22927
+0 1
+.names 22930 22933 22928
+11 1
+.names 22928 22929
+1 1
+.names 22921 22930
+0 1
+.names 1783 22931
+1 1
+.names 22931 22932
+1 1
+.names 22932 22933
+0 1
+.names 22903 22934
+0 1
+.names 22929 22935
+0 1
+.names 6845 22936
+0 1
+.names 1264 22937
+0 1
+.names 22952 22955 22938
+1- 1
+-1 1
+.names 22938 22939
+1 1
+.names 22939 22940
+0 1
+.names 2413 22941
+1 1
+.names 22941 22942
+1 1
+.names 22942 22943
+0 1
+.names 22940 22943 22944
+1- 1
+-1 1
+.names 22980 22973 22945
+11 1
+.names 22945 22946
+1 1
+.names 22946 22947
+0 1
+.names 6832 22948
+0 1
+.names 22967 22968 22949
+11 1
+.names 22949 22950
+1 1
+.names 22950 22951
+0 1
+.names 22948 22951 22952
+11 1
+.names 46258 46261 56478
+1- 1
+-1 1
+.names 56478 22954
+0 1
+.names 6832 22954 22955
+11 1
+.names 6836 22958 22956
+1- 1
+-1 1
+.names 22956 22957
+1 1
+.names 22409 22958
+0 1
+.names 22963 22965 22959
+1- 1
+-1 1
+.names 22959 22960
+1 1
+.names 22966 2413 22961
+11 1
+.names 22961 22962
+1 1
+.names 22962 22963
+0 1
+.names 22791 22964
+1 1
+.names 22964 22965
+0 1
+.names 6817 22966
+0 1
+.names 22960 22967
+0 1
+.names 22957 22968
+0 1
+.names 22966 22971 22969
+1- 1
+-1 1
+.names 22969 22970
+1 1
+.names 1265 22971
+0 1
+.names 22976 22719 22972
+1- 1
+-1 1
+.names 22972 22973
+1 1
+.names 45090 45094 22974
+1- 1
+-1 1
+.names 22974 22975
+1 1
+.names 22975 22976
+0 1
+.names 22791 22979 22977
+1- 1
+-1 1
+.names 22977 22978
+1 1
+.names 1266 22979
+0 1
+.names 22978 22970 22980
+11 1
+.names 22995 22999 22981
+1- 1
+-1 1
+.names 22981 22982
+1 1
+.names 22982 22983
+0 1
+.names 2413 22984
+1 1
+.names 22984 22985
+1 1
+.names 22985 22986
+0 1
+.names 22983 22986 22987
+1- 1
+-1 1
+.names 23021 23022 22988
+11 1
+.names 22988 22989
+1 1
+.names 22989 22990
+0 1
+.names 6805 22991
+0 1
+.names 23016 23017 22992
+11 1
+.names 22992 22993
+1 1
+.names 22993 22994
+0 1
+.names 22991 22994 22995
+11 1
+.names 45098 45102 22996
+1- 1
+-1 1
+.names 22996 22997
+1 1
+.names 22997 22998
+0 1
+.names 6805 22998 22999
+11 1
+.names 23002 23005 23000
+1- 1
+-1 1
+.names 23000 23001
+1 1
+.names 6815 1267 23002
+11 1
+.names 23023 22885 23003
+1- 1
+-1 1
+.names 23003 23004
+1 1
+.names 23004 23005
+0 1
+.names 23010 23011 23006
+1- 1
+-1 1
+.names 23006 23007
+1 1
+.names 22712 23008
+1 1
+.names 23008 23009
+0 1
+.names 6841 23009 23010
+1- 1
+-1 1
+.names 22419 23011
+0 1
+.names 6846 23015 23012
+1- 1
+-1 1
+.names 23012 23013
+1 1
+.names 2413 23014
+1 1
+.names 23014 23015
+0 1
+.names 23007 23016
+0 1
+.names 23013 23017
+0 1
+.names 56491 6841 23018
+11 1
+.names 23018 23019
+1 1
+.names 46265 46268 56491
+1- 1
+-1 1
+.names 23019 23021
+0 1
+.names 23001 23022
+0 1
+.names 1268 23023
+0 1
+.names 23037 23041 23024
+1- 1
+-1 1
+.names 23024 23025
+1 1
+.names 23025 23026
+0 1
+.names 2413 23027
+1 1
+.names 23027 23028
+1 1
+.names 23028 23029
+0 1
+.names 23026 23029 23030
+1- 1
+-1 1
+.names 23046 23048 23031
+11 1
+.names 23031 23032
+1 1
+.names 23032 23033
+0 1
+.names 23059 23060 23034
+11 1
+.names 23034 23035
+1 1
+.names 23035 23036
+0 1
+.names 22853 23036 23037
+11 1
+.names 45139 45143 23038
+1- 1
+-1 1
+.names 23038 23039
+1 1
+.names 23039 23040
+0 1
+.names 6843 23040 23041
+11 1
+.names 22760 23064 23042
+1- 1
+-1 1
+.names 23042 23043
+1 1
+.names 6825 23065 23044
+1- 1
+-1 1
+.names 23044 23045
+1 1
+.names 23043 23045 23046
+11 1
+.names 23062 23063 23047
+1- 1
+-1 1
+.names 23047 23048
+1 1
+.names 23053 23054 23049
+1- 1
+-1 1
+.names 23049 23050
+1 1
+.names 22760 23051
+1 1
+.names 23051 23052
+0 1
+.names 6841 23052 23053
+1- 1
+-1 1
+.names 22469 23054
+0 1
+.names 6838 23058 23055
+1- 1
+-1 1
+.names 23055 23056
+1 1
+.names 2413 23057
+1 1
+.names 23057 23058
+0 1
+.names 23056 23059
+0 1
+.names 23050 23060
+0 1
+.names 46302 46305 56480
+1- 1
+-1 1
+.names 56480 23062
+0 1
+.names 6841 23063
+0 1
+.names 1269 23064
+0 1
+.names 1270 23065
+0 1
+.names 23071 23075 23066
+1- 1
+-1 1
+.names 23066 23067
+1 1
+.names 23082 23083 23068
+11 1
+.names 23068 23069
+1 1
+.names 23069 23070
+0 1
+.names 22853 23070 23071
+11 1
+.names 45147 45151 23072
+1- 1
+-1 1
+.names 23072 23073
+1 1
+.names 23073 23074
+0 1
+.names 6843 23074 23075
+11 1
+.names 1783 23079 23076
+1- 1
+-1 1
+.names 23076 23077
+1 1
+.names 22885 23078
+1 1
+.names 23078 23079
+0 1
+.names 23090 23091 23080
+1- 1
+-1 1
+.names 23080 23081
+1 1
+.names 23081 23082
+0 1
+.names 23077 23083
+0 1
+.names 23087 22834 23084
+1- 1
+-1 1
+.names 23084 23085
+1 1
+.names 46309 46312 56470
+1- 1
+-1 1
+.names 56470 23087
+0 1
+.names 22760 23088
+1 1
+.names 23088 23089
+0 1
+.names 6822 23089 23090
+1- 1
+-1 1
+.names 22479 23091
+0 1
+.names 23100 23101 23092
+1- 1
+-1 1
+.names 23092 23093
+1 1
+.names 22760 23110 23094
+1- 1
+-1 1
+.names 23094 23095
+1 1
+.names 23095 23096
+0 1
+.names 22885 23111 23097
+1- 1
+-1 1
+.names 23097 23098
+1 1
+.names 23098 23099
+0 1
+.names 23096 23099 23100
+1- 1
+-1 1
+.names 23085 23101
+0 1
+.names 23067 23102
+0 1
+.names 23108 23109 23103
+11 1
+.names 23103 23104
+1 1
+.names 23104 23105
+0 1
+.names 1783 23106
+1 1
+.names 23106 23107
+1 1
+.names 23093 23108
+0 1
+.names 23107 23109
+0 1
+.names 1271 23110
+0 1
+.names 1272 23111
+0 1
+.names 23125 23129 23112
+1- 1
+-1 1
+.names 23112 23113
+1 1
+.names 23113 23114
+0 1
+.names 2413 23115
+1 1
+.names 23115 23116
+1 1
+.names 23116 23117
+0 1
+.names 23114 23117 23118
+1- 1
+-1 1
+.names 23152 23143 23119
+11 1
+.names 23119 23120
+1 1
+.names 23120 23121
+0 1
+.names 23140 23141 23122
+11 1
+.names 23122 23123
+1 1
+.names 23123 23124
+0 1
+.names 22853 23124 23125
+11 1
+.names 45156 45160 23126
+1- 1
+-1 1
+.names 23126 23127
+1 1
+.names 23127 23128
+0 1
+.names 6843 23128 23129
+11 1
+.names 23134 23135 23130
+1- 1
+-1 1
+.names 23130 23131
+1 1
+.names 22712 23132
+1 1
+.names 23132 23133
+0 1
+.names 6841 23133 23134
+1- 1
+-1 1
+.names 22489 23135
+0 1
+.names 6847 23139 23136
+1- 1
+-1 1
+.names 23136 23137
+1 1
+.names 2413 23138
+1 1
+.names 23138 23139
+0 1
+.names 23131 23140
+0 1
+.names 23137 23141
+0 1
+.names 23145 23063 23142
+1- 1
+-1 1
+.names 23142 23143
+1 1
+.names 46317 46320 56486
+1- 1
+-1 1
+.names 56486 23145
+0 1
+.names 22712 23148 23146
+1- 1
+-1 1
+.names 23146 23147
+1 1
+.names 1273 23148
+0 1
+.names 22791 23151 23149
+1- 1
+-1 1
+.names 23149 23150
+1 1
+.names 1274 23151
+0 1
+.names 23150 23147 23152
+11 1
+.names 23166 23170 23153
+1- 1
+-1 1
+.names 23153 23154
+1 1
+.names 23154 23155
+0 1
+.names 2413 23156
+1 1
+.names 23156 23157
+1 1
+.names 23157 23158
+0 1
+.names 23155 23158 23159
+1- 1
+-1 1
+.names 23175 23177 23160
+11 1
+.names 23160 23161
+1 1
+.names 23161 23162
+0 1
+.names 23188 23189 23163
+11 1
+.names 23163 23164
+1 1
+.names 23164 23165
+0 1
+.names 22991 23165 23166
+11 1
+.names 45164 45168 23167
+1- 1
+-1 1
+.names 23167 23168
+1 1
+.names 23168 23169
+0 1
+.names 6805 23169 23170
+11 1
+.names 22712 23193 23171
+1- 1
+-1 1
+.names 23171 23172
+1 1
+.names 6825 23192 23173
+1- 1
+-1 1
+.names 23173 23174
+1 1
+.names 23172 23174 23175
+11 1
+.names 23191 6833 23176
+1- 1
+-1 1
+.names 23176 23177
+1 1
+.names 6819 23180 23178
+1- 1
+-1 1
+.names 23178 23179
+1 1
+.names 22500 23180
+0 1
+.names 23185 23187 23181
+1- 1
+-1 1
+.names 23181 23182
+1 1
+.names 22712 2413 23183
+11 1
+.names 23183 23184
+1 1
+.names 23184 23185
+0 1
+.names 6825 23186
+1 1
+.names 23186 23187
+0 1
+.names 23182 23188
+0 1
+.names 23179 23189
+0 1
+.names 46324 46327 56472
+1- 1
+-1 1
+.names 56472 23191
+0 1
+.names 1275 23192
+0 1
+.names 1276 23193
+0 1
+.names 23196 22712 23194
+1- 1
+-1 1
+.names 23194 23195
+1 1
+.names 1277 23196
+0 1
+.names 23210 23214 23197
+1- 1
+-1 1
+.names 23197 23198
+1 1
+.names 23198 23199
+0 1
+.names 2413 23200
+1 1
+.names 23200 23201
+1 1
+.names 23201 23202
+0 1
+.names 23199 23202 23203
+1- 1
+-1 1
+.names 23234 23235 23204
+11 1
+.names 23204 23205
+1 1
+.names 23205 23206
+0 1
+.names 23229 23230 23207
+11 1
+.names 23207 23208
+1 1
+.names 23208 23209
+0 1
+.names 22991 23209 23210
+11 1
+.names 45172 45176 23211
+1- 1
+-1 1
+.names 23211 23212
+1 1
+.names 23212 23213
+0 1
+.names 6805 23213 23214
+11 1
+.names 23217 23218 23215
+1- 1
+-1 1
+.names 23215 23216
+1 1
+.names 6846 1278 23217
+11 1
+.names 23195 23218
+0 1
+.names 23223 23224 23219
+1- 1
+-1 1
+.names 23219 23220
+1 1
+.names 22712 23221
+1 1
+.names 23221 23222
+0 1
+.names 6841 23222 23223
+1- 1
+-1 1
+.names 22510 23224
+0 1
+.names 6846 23228 23225
+1- 1
+-1 1
+.names 23225 23226
+1 1
+.names 2413 23227
+1 1
+.names 23227 23228
+0 1
+.names 23220 23229
+0 1
+.names 23226 23230
+0 1
+.names 56485 6841 23231
+11 1
+.names 23231 23232
+1 1
+.names 46331 46334 56485
+1- 1
+-1 1
+.names 23232 23234
+0 1
+.names 23216 23235
+0 1
+.names 23249 23250 23236
+1- 1
+-1 1
+.names 23236 23237
+1 1
+.names 23237 23238
+0 1
+.names 2413 23239
+1 1
+.names 23239 23240
+1 1
+.names 23240 23241
+0 1
+.names 23238 23241 23242
+1- 1
+-1 1
+.names 23271 23272 23243
+11 1
+.names 23243 23244
+1 1
+.names 23244 23245
+0 1
+.names 23261 23262 23246
+11 1
+.names 23246 23247
+1 1
+.names 23247 23248
+0 1
+.names 22808 23248 23249
+11 1
+.names 6807 10763 23250
+11 1
+.names 23255 23256 23251
+1- 1
+-1 1
+.names 23251 23252
+1 1
+.names 22883 23253
+1 1
+.names 23253 23254
+0 1
+.names 6845 23254 23255
+1- 1
+-1 1
+.names 22429 23256
+0 1
+.names 6819 23260 23257
+1- 1
+-1 1
+.names 23257 23258
+1 1
+.names 2413 23259
+1 1
+.names 23259 23260
+0 1
+.names 23252 23261
+0 1
+.names 23258 23262
+0 1
+.names 10786 6833 23263
+1- 1
+-1 1
+.names 23263 23264
+1 1
+.names 23267 23268 23265
+1- 1
+-1 1
+.names 23265 23266
+1 1
+.names 6816 965 23267
+11 1
+.names 23264 23268
+0 1
+.names 6845 966 23269
+11 1
+.names 23269 23270
+1 1
+.names 23270 23271
+0 1
+.names 23266 23272
+0 1
+.names 23279 23281 23273
+1- 1
+-1 1
+.names 23273 23274
+1 1
+.names 6824 23275
+0 1
+.names 23292 23293 23276
+11 1
+.names 23276 23277
+1 1
+.names 23277 23278
+0 1
+.names 23275 23278 23279
+11 1
+.names 1279 23280
+0 1
+.names 6824 23280 23281
+11 1
+.names 6836 23284 23282
+1- 1
+-1 1
+.names 23282 23283
+1 1
+.names 22550 23284
+0 1
+.names 23289 23291 23285
+1- 1
+-1 1
+.names 23285 23286
+1 1
+.names 22883 2413 23287
+11 1
+.names 23287 23288
+1 1
+.names 23288 23289
+0 1
+.names 6833 23290
+1 1
+.names 23290 23291
+0 1
+.names 23286 23292
+0 1
+.names 23283 23293
+0 1
+.names 23309 23312 23294
+1- 1
+-1 1
+.names 23294 23295
+1 1
+.names 23274 23296
+0 1
+.names 23300 6833 23297
+1- 1
+-1 1
+.names 23297 23298
+1 1
+.names 46359 46362 56479
+1- 1
+-1 1
+.names 56479 23300
+0 1
+.names 23298 23301
+0 1
+.names 23315 22719 23302
+1- 1
+-1 1
+.names 23302 23303
+1 1
+.names 23303 23304
+0 1
+.names 23301 23304 23305
+1- 1
+-1 1
+.names 23316 22883 23306
+1- 1
+-1 1
+.names 23306 23307
+1 1
+.names 23307 23308
+0 1
+.names 23305 23308 23309
+1- 1
+-1 1
+.names 2413 23310
+1 1
+.names 23310 23311
+1 1
+.names 23311 23312
+0 1
+.names 45204 45208 23313
+1- 1
+-1 1
+.names 23313 23314
+1 1
+.names 23314 23315
+0 1
+.names 1280 23316
+0 1
+.names 23322 23326 23317
+1- 1
+-1 1
+.names 23317 23318
+1 1
+.names 23337 23338 23319
+11 1
+.names 23319 23320
+1 1
+.names 23320 23321
+0 1
+.names 22991 23321 23322
+11 1
+.names 45196 45200 23323
+1- 1
+-1 1
+.names 23323 23324
+1 1
+.names 23324 23325
+0 1
+.names 6805 23325 23326
+11 1
+.names 23331 23332 23327
+1- 1
+-1 1
+.names 23327 23328
+1 1
+.names 22883 23329
+1 1
+.names 23329 23330
+0 1
+.names 6822 23330 23331
+1- 1
+-1 1
+.names 22540 23332
+0 1
+.names 6838 23336 23333
+1- 1
+-1 1
+.names 23333 23334
+1 1
+.names 2413 23335
+1 1
+.names 23335 23336
+0 1
+.names 23328 23337
+0 1
+.names 23334 23338
+0 1
+.names 6825 23341 23339
+1- 1
+-1 1
+.names 23339 23340
+1 1
+.names 1281 23341
+0 1
+.names 23345 22834 23342
+1- 1
+-1 1
+.names 23342 23343
+1 1
+.names 46352 46355 56468
+1- 1
+-1 1
+.names 56468 23345
+0 1
+.names 23352 23353 23346
+1- 1
+-1 1
+.names 23346 23347
+1 1
+.names 23340 23348
+0 1
+.names 22883 23362 23349
+1- 1
+-1 1
+.names 23349 23350
+1 1
+.names 23350 23351
+0 1
+.names 23348 23351 23352
+1- 1
+-1 1
+.names 23343 23353
+0 1
+.names 23318 23354
+0 1
+.names 23358 23361 23355
+11 1
+.names 23355 23356
+1 1
+.names 23356 23357
+0 1
+.names 23347 23358
+0 1
+.names 1783 23359
+1 1
+.names 23359 23360
+1 1
+.names 23360 23361
+0 1
+.names 1282 23362
+0 1
+.names 23376 23380 23363
+1- 1
+-1 1
+.names 23363 23364
+1 1
+.names 23364 23365
+0 1
+.names 2413 23366
+1 1
+.names 23366 23367
+1 1
+.names 23367 23368
+0 1
+.names 23365 23368 23369
+1- 1
+-1 1
+.names 23383 23386 23370
+11 1
+.names 23370 23371
+1 1
+.names 23371 23372
+0 1
+.names 23393 23394 23373
+11 1
+.names 23373 23374
+1 1
+.names 23374 23375
+0 1
+.names 22991 23375 23376
+11 1
+.names 45180 45184 23377
+1- 1
+-1 1
+.names 23377 23378
+1 1
+.names 23378 23379
+0 1
+.names 6805 23379 23380
+11 1
+.names 23400 1283 23381
+11 1
+.names 23381 23382
+1 1
+.names 23382 23383
+0 1
+.names 23402 23403 23384
+1- 1
+-1 1
+.names 23384 23385
+1 1
+.names 23385 23386
+0 1
+.names 6819 23390 23387
+1- 1
+-1 1
+.names 23387 23388
+1 1
+.names 2413 23389
+1 1
+.names 23389 23390
+0 1
+.names 23406 23407 23391
+1- 1
+-1 1
+.names 23391 23392
+1 1
+.names 23392 23393
+0 1
+.names 23388 23394
+0 1
+.names 23398 6833 23395
+1- 1
+-1 1
+.names 23395 23396
+1 1
+.names 46338 46341 56463
+1- 1
+-1 1
+.names 56463 23398
+0 1
+.names 23404 23399
+0 1
+.names 23399 23400
+1 1
+.names 22966 23401
+1 1
+.names 6817 1284 23402
+11 1
+.names 23396 23403
+0 1
+.names 22791 23404
+1 1
+.names 23401 23405
+0 1
+.names 23399 23405 23406
+1- 1
+-1 1
+.names 22520 23407
+0 1
+.names 23410 22760 23408
+1- 1
+-1 1
+.names 23408 23409
+1 1
+.names 1285 23410
+0 1
+.names 23424 23428 23411
+1- 1
+-1 1
+.names 23411 23412
+1 1
+.names 23412 23413
+0 1
+.names 2413 23414
+1 1
+.names 23414 23415
+1 1
+.names 23415 23416
+0 1
+.names 23413 23416 23417
+1- 1
+-1 1
+.names 23431 23434 23418
+11 1
+.names 23418 23419
+1 1
+.names 23419 23420
+0 1
+.names 23439 23442 23421
+11 1
+.names 23421 23422
+1 1
+.names 23422 23423
+0 1
+.names 22808 23423 23424
+11 1
+.names 45188 45192 23425
+1- 1
+-1 1
+.names 23425 23426
+1 1
+.names 23426 23427
+0 1
+.names 6807 23427 23428
+11 1
+.names 23444 23429
+0 1
+.names 23429 23430
+1 1
+.names 23430 23431
+0 1
+.names 23453 23454 23432
+1- 1
+-1 1
+.names 23432 23433
+1 1
+.names 23433 23434
+0 1
+.names 6846 23438 23435
+1- 1
+-1 1
+.names 23435 23436
+1 1
+.names 2413 23437
+1 1
+.names 23437 23438
+0 1
+.names 23436 23439
+0 1
+.names 23451 23452 23440
+1- 1
+-1 1
+.names 23440 23441
+1 1
+.names 23441 23442
+0 1
+.names 23446 22834 23443
+1- 1
+-1 1
+.names 23443 23444
+1 1
+.names 46345 46348 56466
+1- 1
+-1 1
+.names 56466 23446
+0 1
+.names 22834 23447
+1 1
+.names 23447 23448
+0 1
+.names 22760 23449
+1 1
+.names 23449 23450
+0 1
+.names 23448 23450 23451
+1- 1
+-1 1
+.names 22530 23452
+0 1
+.names 6846 1286 23453
+11 1
+.names 23409 23454
+0 1
+.names 22883 23457 23455
+1- 1
+-1 1
+.names 23455 23456
+1 1
+.names 1287 23457
+0 1
+.names 23463 23467 23458
+1- 1
+-1 1
+.names 23458 23459
+1 1
+.names 23478 23479 23460
+11 1
+.names 23460 23461
+1 1
+.names 23461 23462
+0 1
+.names 22853 23462 23463
+11 1
+.names 45212 45216 23464
+1- 1
+-1 1
+.names 23464 23465
+1 1
+.names 23465 23466
+0 1
+.names 6843 23466 23467
+11 1
+.names 23472 23473 23468
+1- 1
+-1 1
+.names 23468 23469
+1 1
+.names 22883 23470
+1 1
+.names 23470 23471
+0 1
+.names 6822 23471 23472
+1- 1
+-1 1
+.names 22560 23473
+0 1
+.names 6838 23477 23474
+1- 1
+-1 1
+.names 23474 23475
+1 1
+.names 2413 23476
+1 1
+.names 23476 23477
+0 1
+.names 23469 23478
+0 1
+.names 23475 23479
+0 1
+.names 23483 22834 23480
+1- 1
+-1 1
+.names 23480 23481
+1 1
+.names 46366 46369 56477
+1- 1
+-1 1
+.names 56477 23483
+0 1
+.names 23490 23491 23484
+1- 1
+-1 1
+.names 23484 23485
+1 1
+.names 23456 23486
+0 1
+.names 6825 23500 23487
+1- 1
+-1 1
+.names 23487 23488
+1 1
+.names 23488 23489
+0 1
+.names 23486 23489 23490
+1- 1
+-1 1
+.names 23481 23491
+0 1
+.names 23459 23492
+0 1
+.names 23498 23499 23493
+11 1
+.names 23493 23494
+1 1
+.names 23494 23495
+0 1
+.names 1783 23496
+1 1
+.names 23496 23497
+1 1
+.names 23485 23498
+0 1
+.names 23497 23499
+0 1
+.names 1288 23500
+0 1
+.names 23514 23518 23501
+1- 1
+-1 1
+.names 23501 23502
+1 1
+.names 23502 23503
+0 1
+.names 2413 23504
+1 1
+.names 23504 23505
+1 1
+.names 23505 23506
+0 1
+.names 23503 23506 23507
+1- 1
+-1 1
+.names 23541 23532 23508
+11 1
+.names 23508 23509
+1 1
+.names 23509 23510
+0 1
+.names 23529 23530 23511
+11 1
+.names 23511 23512
+1 1
+.names 23512 23513
+0 1
+.names 22991 23513 23514
+11 1
+.names 45220 45224 23515
+1- 1
+-1 1
+.names 23515 23516
+1 1
+.names 23516 23517
+0 1
+.names 6805 23517 23518
+11 1
+.names 23523 23524 23519
+1- 1
+-1 1
+.names 23519 23520
+1 1
+.names 22966 23521
+1 1
+.names 23521 23522
+0 1
+.names 6842 23522 23523
+1- 1
+-1 1
+.names 22570 23524
+0 1
+.names 6847 23528 23525
+1- 1
+-1 1
+.names 23525 23526
+1 1
+.names 2413 23527
+1 1
+.names 23527 23528
+0 1
+.names 23520 23529
+0 1
+.names 23526 23530
+0 1
+.names 23534 22874 23531
+1- 1
+-1 1
+.names 23531 23532
+1 1
+.names 46373 46376 56484
+1- 1
+-1 1
+.names 56484 23534
+0 1
+.names 22966 23537 23535
+1- 1
+-1 1
+.names 23535 23536
+1 1
+.names 1289 23537
+0 1
+.names 22791 23540 23538
+1- 1
+-1 1
+.names 23538 23539
+1 1
+.names 1290 23540
+0 1
+.names 23539 23536 23541
+11 1
+.names 22966 23544 23542
+1- 1
+-1 1
+.names 23542 23543
+1 1
+.names 1291 23544
+0 1
+.names 23558 23562 23545
+1- 1
+-1 1
+.names 23545 23546
+1 1
+.names 23546 23547
+0 1
+.names 2413 23548
+1 1
+.names 23548 23549
+1 1
+.names 23549 23550
+0 1
+.names 23547 23550 23551
+1- 1
+-1 1
+.names 23565 23567 23552
+11 1
+.names 23552 23553
+1 1
+.names 23553 23554
+0 1
+.names 23578 23579 23555
+11 1
+.names 23555 23556
+1 1
+.names 23556 23557
+0 1
+.names 22808 23557 23558
+11 1
+.names 45228 45232 23559
+1- 1
+-1 1
+.names 23559 23560
+1 1
+.names 23560 23561
+0 1
+.names 6807 23561 23562
+11 1
+.names 22936 23582 23563
+1- 1
+-1 1
+.names 23563 23564
+1 1
+.names 23543 23564 23565
+11 1
+.names 23581 22874 23566
+1- 1
+-1 1
+.names 23566 23567
+1 1
+.names 23572 23573 23568
+1- 1
+-1 1
+.names 23568 23569
+1 1
+.names 22966 23570
+1 1
+.names 23570 23571
+0 1
+.names 6842 23571 23572
+1- 1
+-1 1
+.names 22580 23573
+0 1
+.names 6845 23577 23574
+1- 1
+-1 1
+.names 23574 23575
+1 1
+.names 2413 23576
+1 1
+.names 23576 23577
+0 1
+.names 23569 23578
+0 1
+.names 23575 23579
+0 1
+.names 46380 46383 56492
+1- 1
+-1 1
+.names 56492 23581
+0 1
+.names 1292 23582
+0 1
+.names 23596 23600 23583
+1- 1
+-1 1
+.names 23583 23584
+1 1
+.names 23584 23585
+0 1
+.names 2413 23586
+1 1
+.names 23586 23587
+1 1
+.names 23587 23588
+0 1
+.names 23585 23588 23589
+1- 1
+-1 1
+.names 23605 23607 23590
+11 1
+.names 23590 23591
+1 1
+.names 23591 23592
+0 1
+.names 23618 23619 23593
+11 1
+.names 23593 23594
+1 1
+.names 23594 23595
+0 1
+.names 22853 23595 23596
+11 1
+.names 45237 45241 23597
+1- 1
+-1 1
+.names 23597 23598
+1 1
+.names 23598 23599
+0 1
+.names 6843 23599 23600
+11 1
+.names 22966 23623 23601
+1- 1
+-1 1
+.names 23601 23602
+1 1
+.names 22936 23622 23603
+1- 1
+-1 1
+.names 23603 23604
+1 1
+.names 23602 23604 23605
+11 1
+.names 23621 23063 23606
+1- 1
+-1 1
+.names 23606 23607
+1 1
+.names 23612 23613 23608
+1- 1
+-1 1
+.names 23608 23609
+1 1
+.names 22966 23610
+1 1
+.names 23610 23611
+0 1
+.names 6841 23611 23612
+1- 1
+-1 1
+.names 22590 23613
+0 1
+.names 6845 23617 23614
+1- 1
+-1 1
+.names 23614 23615
+1 1
+.names 2413 23616
+1 1
+.names 23616 23617
+0 1
+.names 23609 23618
+0 1
+.names 23615 23619
+0 1
+.names 46388 46391 56482
+1- 1
+-1 1
+.names 56482 23621
+0 1
+.names 1293 23622
+0 1
+.names 1294 23623
+0 1
+.names 22966 23626 23624
+1- 1
+-1 1
+.names 23624 23625
+1 1
+.names 1295 23626
+0 1
+.names 23632 23636 23627
+1- 1
+-1 1
+.names 23627 23628
+1 1
+.names 23647 23648 23629
+11 1
+.names 23629 23630
+1 1
+.names 23630 23631
+0 1
+.names 22853 23631 23632
+11 1
+.names 45245 45249 23633
+1- 1
+-1 1
+.names 23633 23634
+1 1
+.names 23634 23635
+0 1
+.names 6843 23635 23636
+11 1
+.names 23641 23642 23637
+1- 1
+-1 1
+.names 23637 23638
+1 1
+.names 22966 23639
+1 1
+.names 23639 23640
+0 1
+.names 6822 23640 23641
+1- 1
+-1 1
+.names 22601 23642
+0 1
+.names 6845 23646 23643
+1- 1
+-1 1
+.names 23643 23644
+1 1
+.names 2413 23645
+1 1
+.names 23645 23646
+0 1
+.names 23638 23647
+0 1
+.names 23644 23648
+0 1
+.names 23652 22834 23649
+1- 1
+-1 1
+.names 23649 23650
+1 1
+.names 46395 46398 56474
+1- 1
+-1 1
+.names 56474 23652
+0 1
+.names 23659 23660 23653
+1- 1
+-1 1
+.names 23653 23654
+1 1
+.names 23625 23655
+0 1
+.names 22936 23669 23656
+1- 1
+-1 1
+.names 23656 23657
+1 1
+.names 23657 23658
+0 1
+.names 23655 23658 23659
+1- 1
+-1 1
+.names 23650 23660
+0 1
+.names 23628 23661
+0 1
+.names 23667 23668 23662
+11 1
+.names 23662 23663
+1 1
+.names 23663 23664
+0 1
+.names 1783 23665
+1 1
+.names 23665 23666
+1 1
+.names 23654 23667
+0 1
+.names 23666 23668
+0 1
+.names 1296 23669
+0 1
+.names 23683 23687 23670
+1- 1
+-1 1
+.names 23670 23671
+1 1
+.names 23671 23672
+0 1
+.names 2413 23673
+1 1
+.names 23673 23674
+1 1
+.names 23674 23675
+0 1
+.names 23672 23675 23676
+1- 1
+-1 1
+.names 23692 23694 23677
+11 1
+.names 23677 23678
+1 1
+.names 23678 23679
+0 1
+.names 23705 23706 23680
+11 1
+.names 23680 23681
+1 1
+.names 23681 23682
+0 1
+.names 22853 23682 23683
+11 1
+.names 45253 45257 23684
+1- 1
+-1 1
+.names 23684 23685
+1 1
+.names 23685 23686
+0 1
+.names 6843 23686 23687
+11 1
+.names 6825 23707 23688
+1- 1
+-1 1
+.names 23688 23689
+1 1
+.names 22712 23710 23690
+1- 1
+-1 1
+.names 23690 23691
+1 1
+.names 23689 23691 23692
+11 1
+.names 23709 23063 23693
+1- 1
+-1 1
+.names 23693 23694
+1 1
+.names 23699 23700 23695
+1- 1
+-1 1
+.names 23695 23696
+1 1
+.names 22712 23697
+1 1
+.names 23697 23698
+0 1
+.names 6841 23698 23699
+1- 1
+-1 1
+.names 22611 23700
+0 1
+.names 1783 23704 23701
+1- 1
+-1 1
+.names 23701 23702
+1 1
+.names 6825 23703
+1 1
+.names 23703 23704
+0 1
+.names 23696 23705
+0 1
+.names 23702 23706
+0 1
+.names 1297 23707
+0 1
+.names 46402 46405 56475
+1- 1
+-1 1
+.names 56475 23709
+0 1
+.names 1298 23710
+0 1
+.names 23713 22712 23711
+1- 1
+-1 1
+.names 23711 23712
+1 1
+.names 1299 23713
+0 1
+.names 23727 23731 23714
+1- 1
+-1 1
+.names 23714 23715
+1 1
+.names 23715 23716
+0 1
+.names 2413 23717
+1 1
+.names 23717 23718
+1 1
+.names 23718 23719
+0 1
+.names 23716 23719 23720
+1- 1
+-1 1
+.names 23734 23737 23721
+11 1
+.names 23721 23722
+1 1
+.names 23722 23723
+0 1
+.names 23748 23749 23724
+11 1
+.names 23724 23725
+1 1
+.names 23725 23726
+0 1
+.names 22808 23726 23727
+11 1
+.names 45261 45265 23728
+1- 1
+-1 1
+.names 23728 23729
+1 1
+.names 23729 23730
+0 1
+.names 6807 23730 23731
+11 1
+.names 23753 23732
+0 1
+.names 23732 23733
+1 1
+.names 23733 23734
+0 1
+.names 23750 23751 23735
+1- 1
+-1 1
+.names 23735 23736
+1 1
+.names 23736 23737
+0 1
+.names 23742 23743 23738
+1- 1
+-1 1
+.names 23738 23739
+1 1
+.names 22712 23740
+1 1
+.names 23740 23741
+0 1
+.names 6842 23741 23742
+1- 1
+-1 1
+.names 22621 23743
+0 1
+.names 6838 23747 23744
+1- 1
+-1 1
+.names 23744 23745
+1 1
+.names 2413 23746
+1 1
+.names 23746 23747
+0 1
+.names 23739 23748
+0 1
+.names 23745 23749
+0 1
+.names 6838 1300 23750
+11 1
+.names 23712 23751
+0 1
+.names 23755 22874 23752
+1- 1
+-1 1
+.names 23752 23753
+1 1
+.names 46409 46412 56489
+1- 1
+-1 1
+.names 56489 23755
+0 1
+.names 22966 23758 23756
+1- 1
+-1 1
+.names 23756 23757
+1 1
+.names 1301 23758
+0 1
+.names 23764 23768 23759
+1- 1
+-1 1
+.names 23759 23760
+1 1
+.names 23779 23780 23761
+11 1
+.names 23761 23762
+1 1
+.names 23762 23763
+0 1
+.names 22808 23763 23764
+11 1
+.names 45269 45273 23765
+1- 1
+-1 1
+.names 23765 23766
+1 1
+.names 23766 23767
+0 1
+.names 6807 23767 23768
+11 1
+.names 23773 23774 23769
+1- 1
+-1 1
+.names 23769 23770
+1 1
+.names 22966 23771
+1 1
+.names 23771 23772
+0 1
+.names 6822 23772 23773
+1- 1
+-1 1
+.names 22631 23774
+0 1
+.names 6845 23778 23775
+1- 1
+-1 1
+.names 23775 23776
+1 1
+.names 2413 23777
+1 1
+.names 23777 23778
+0 1
+.names 23770 23779
+0 1
+.names 23776 23780
+0 1
+.names 23784 22834 23781
+1- 1
+-1 1
+.names 23781 23782
+1 1
+.names 46416 46419 56473
+1- 1
+-1 1
+.names 56473 23784
+0 1
+.names 23791 23792 23785
+1- 1
+-1 1
+.names 23785 23786
+1 1
+.names 23757 23787
+0 1
+.names 22936 23801 23788
+1- 1
+-1 1
+.names 23788 23789
+1 1
+.names 23789 23790
+0 1
+.names 23787 23790 23791
+1- 1
+-1 1
+.names 23782 23792
+0 1
+.names 23760 23793
+0 1
+.names 23799 23800 23794
+11 1
+.names 23794 23795
+1 1
+.names 23795 23796
+0 1
+.names 1783 23797
+1 1
+.names 23797 23798
+1 1
+.names 23786 23799
+0 1
+.names 23798 23800
+0 1
+.names 1302 23801
+0 1
+.names 23815 23819 23802
+1- 1
+-1 1
+.names 23802 23803
+1 1
+.names 23803 23804
+0 1
+.names 2413 23805
+1 1
+.names 23805 23806
+1 1
+.names 23806 23807
+0 1
+.names 23804 23807 23808
+1- 1
+-1 1
+.names 23822 23825 23809
+11 1
+.names 23809 23810
+1 1
+.names 23810 23811
+0 1
+.names 23832 23833 23812
+11 1
+.names 23812 23813
+1 1
+.names 23813 23814
+0 1
+.names 22808 23814 23815
+11 1
+.names 45277 45281 23816
+1- 1
+-1 1
+.names 23816 23817
+1 1
+.names 23817 23818
+0 1
+.names 6807 23818 23819
+11 1
+.names 6846 1303 23820
+11 1
+.names 23820 23821
+1 1
+.names 23821 23822
+0 1
+.names 23839 23840 23823
+1- 1
+-1 1
+.names 23823 23824
+1 1
+.names 23824 23825
+0 1
+.names 6819 23829 23826
+1- 1
+-1 1
+.names 23826 23827
+1 1
+.names 2413 23828
+1 1
+.names 23828 23829
+0 1
+.names 23844 23845 23830
+1- 1
+-1 1
+.names 23830 23831
+1 1
+.names 23831 23832
+0 1
+.names 23827 23833
+0 1
+.names 23837 6833 23834
+1- 1
+-1 1
+.names 23834 23835
+1 1
+.names 46423 46426 56487
+1- 1
+-1 1
+.names 56487 23837
+0 1
+.names 22760 23838
+1 1
+.names 6837 1304 23839
+11 1
+.names 23835 23840
+0 1
+.names 22885 23841
+1 1
+.names 23841 23842
+0 1
+.names 23838 23843
+0 1
+.names 23842 23843 23844
+1- 1
+-1 1
+.names 22641 23845
+0 1
+.names 22966 23848 23846
+1- 1
+-1 1
+.names 23846 23847
+1 1
+.names 1305 23848
+0 1
+.names 23862 23866 23849
+1- 1
+-1 1
+.names 23849 23850
+1 1
+.names 23850 23851
+0 1
+.names 2413 23852
+1 1
+.names 23852 23853
+1 1
+.names 23853 23854
+0 1
+.names 23851 23854 23855
+1- 1
+-1 1
+.names 23886 23880 23856
+11 1
+.names 23856 23857
+1 1
+.names 23857 23858
+0 1
+.names 23877 23878 23859
+11 1
+.names 23859 23860
+1 1
+.names 23860 23861
+0 1
+.names 22991 23861 23862
+11 1
+.names 45285 45289 23863
+1- 1
+-1 1
+.names 23863 23864
+1 1
+.names 23864 23865
+0 1
+.names 6805 23865 23866
+11 1
+.names 23871 23872 23867
+1- 1
+-1 1
+.names 23867 23868
+1 1
+.names 22966 23869
+1 1
+.names 23869 23870
+0 1
+.names 6842 23870 23871
+1- 1
+-1 1
+.names 22651 23872
+0 1
+.names 6847 23876 23873
+1- 1
+-1 1
+.names 23873 23874
+1 1
+.names 2413 23875
+1 1
+.names 23875 23876
+0 1
+.names 23868 23877
+0 1
+.names 23874 23878
+0 1
+.names 23882 22874 23879
+1- 1
+-1 1
+.names 23879 23880
+1 1
+.names 46430 46433 56488
+1- 1
+-1 1
+.names 56488 23882
+0 1
+.names 22791 23885 23883
+1- 1
+-1 1
+.names 23883 23884
+1 1
+.names 1306 23885
+0 1
+.names 23884 23847 23886
+11 1
+.names 22883 23889 23887
+1- 1
+-1 1
+.names 23887 23888
+1 1
+.names 1307 23889
+0 1
+.names 23895 23899 23890
+1- 1
+-1 1
+.names 23890 23891
+1 1
+.names 23906 23907 23892
+11 1
+.names 23892 23893
+1 1
+.names 23893 23894
+0 1
+.names 22853 23894 23895
+11 1
+.names 45293 45297 23896
+1- 1
+-1 1
+.names 23896 23897
+1 1
+.names 23897 23898
+0 1
+.names 6843 23898 23899
+11 1
+.names 6846 23903 23900
+1- 1
+-1 1
+.names 23900 23901
+1 1
+.names 2413 23902
+1 1
+.names 23902 23903
+0 1
+.names 23914 23915 23904
+1- 1
+-1 1
+.names 23904 23905
+1 1
+.names 23905 23906
+0 1
+.names 23901 23907
+0 1
+.names 23911 22834 23908
+1- 1
+-1 1
+.names 23908 23909
+1 1
+.names 46437 46440 56464
+1- 1
+-1 1
+.names 56464 23911
+0 1
+.names 22883 23912
+1 1
+.names 23912 23913
+0 1
+.names 6822 23913 23914
+1- 1
+-1 1
+.names 22661 23915
+0 1
+.names 23922 23923 23916
+1- 1
+-1 1
+.names 23916 23917
+1 1
+.names 23888 23918
+0 1
+.names 22885 23932 23919
+1- 1
+-1 1
+.names 23919 23920
+1 1
+.names 23920 23921
+0 1
+.names 23918 23921 23922
+1- 1
+-1 1
+.names 23909 23923
+0 1
+.names 23891 23924
+0 1
+.names 23930 23931 23925
+11 1
+.names 23925 23926
+1 1
+.names 23926 23927
+0 1
+.names 1783 23928
+1 1
+.names 23928 23929
+1 1
+.names 23917 23930
+0 1
+.names 23929 23931
+0 1
+.names 1308 23932
+0 1
+.names 23946 23948 23933
+1- 1
+-1 1
+.names 23933 23934
+1 1
+.names 23934 23935
+0 1
+.names 23972 6833 23936
+1- 1
+-1 1
+.names 23936 23937
+1 1
+.names 23937 23938
+0 1
+.names 23935 23938 23939
+1- 1
+-1 1
+.names 23951 23954 23940
+11 1
+.names 23940 23941
+1 1
+.names 23941 23942
+0 1
+.names 23969 23970 23943
+11 1
+.names 23943 23944
+1 1
+.names 23944 23945
+0 1
+.names 23275 23945 23946
+11 1
+.names 1309 23947
+0 1
+.names 6824 23947 23948
+11 1
+.names 23974 6836 23949
+11 1
+.names 23949 23950
+1 1
+.names 23950 23951
+0 1
+.names 23955 23958 23952
+1- 1
+-1 1
+.names 23952 23953
+1 1
+.names 23953 23954
+0 1
+.names 6816 1310 23955
+11 1
+.names 2413 23956
+1 1
+.names 23956 23957
+1 1
+.names 23957 23958
+0 1
+.names 23963 23964 23959
+1- 1
+-1 1
+.names 23959 23960
+1 1
+.names 2413 23961
+1 1
+.names 23961 23962
+0 1
+.names 6836 23962 23963
+1- 1
+-1 1
+.names 22671 23964
+0 1
+.names 6819 23968 23965
+1- 1
+-1 1
+.names 23965 23966
+1 1
+.names 22883 23967
+1 1
+.names 23967 23968
+0 1
+.names 23960 23969
+0 1
+.names 23966 23970
+0 1
+.names 46444 46447 56465
+1- 1
+-1 1
+.names 56465 23972
+0 1
+.names 45301 45305 23973
+1- 1
+-1 1
+.names 23973 23974
+1 1
+.names 23988 23992 23975
+1- 1
+-1 1
+.names 23975 23976
+1 1
+.names 23976 23977
+0 1
+.names 2413 23978
+1 1
+.names 23978 23979
+1 1
+.names 23979 23980
+0 1
+.names 23977 23980 23981
+1- 1
+-1 1
+.names 24015 24006 23982
+11 1
+.names 23982 23983
+1 1
+.names 23983 23984
+0 1
+.names 24003 24004 23985
+11 1
+.names 23985 23986
+1 1
+.names 23986 23987
+0 1
+.names 22853 23987 23988
+11 1
+.names 45309 45313 23989
+1- 1
+-1 1
+.names 23989 23990
+1 1
+.names 23990 23991
+0 1
+.names 6843 23991 23992
+11 1
+.names 23997 23998 23993
+1- 1
+-1 1
+.names 23993 23994
+1 1
+.names 22760 23995
+1 1
+.names 23995 23996
+0 1
+.names 6842 23996 23997
+1- 1
+-1 1
+.names 22681 23998
+0 1
+.names 6847 24002 23999
+1- 1
+-1 1
+.names 23999 24000
+1 1
+.names 2413 24001
+1 1
+.names 24001 24002
+0 1
+.names 23994 24003
+0 1
+.names 24000 24004
+0 1
+.names 24008 22874 24005
+1- 1
+-1 1
+.names 24005 24006
+1 1
+.names 46451 46454 56476
+1- 1
+-1 1
+.names 56476 24008
+0 1
+.names 22760 24011 24009
+1- 1
+-1 1
+.names 24009 24010
+1 1
+.names 1311 24011
+0 1
+.names 22791 24014 24012
+1- 1
+-1 1
+.names 24012 24013
+1 1
+.names 1312 24014
+0 1
+.names 24013 24010 24015
+11 1
+.names 22712 24018 24016
+1- 1
+-1 1
+.names 24016 24017
+1 1
+.names 1313 24018
+0 1
+.names 24022 6833 24019
+1- 1
+-1 1
+.names 24019 24020
+1 1
+.names 46458 46461 56481
+1- 1
+-1 1
+.names 56481 24022
+0 1
+.names 24027 24028 24023
+1- 1
+-1 1
+.names 24023 24024
+1 1
+.names 22712 24025
+1 1
+.names 24025 24026
+0 1
+.names 6819 24026 24027
+1- 1
+-1 1
+.names 22691 24028
+0 1
+.names 6847 24032 24029
+1- 1
+-1 1
+.names 24029 24030
+1 1
+.names 2413 24031
+1 1
+.names 24031 24032
+0 1
+.names 24038 24042 24033
+1- 1
+-1 1
+.names 24033 24034
+1 1
+.names 24043 24044 24035
+11 1
+.names 24035 24036
+1 1
+.names 24036 24037
+0 1
+.names 22853 24037 24038
+11 1
+.names 45317 45321 24039
+1- 1
+-1 1
+.names 24039 24040
+1 1
+.names 24040 24041
+0 1
+.names 6843 24041 24042
+11 1
+.names 24024 24043
+0 1
+.names 24030 24044
+0 1
+.names 24051 24052 24045
+1- 1
+-1 1
+.names 24045 24046
+1 1
+.names 22791 24061 24047
+1- 1
+-1 1
+.names 24047 24048
+1 1
+.names 24048 24049
+0 1
+.names 24017 24050
+0 1
+.names 24049 24050 24051
+1- 1
+-1 1
+.names 24020 24052
+0 1
+.names 24055 24058 24053
+11 1
+.names 24053 24054
+1 1
+.names 24046 24055
+0 1
+.names 1783 24056
+1 1
+.names 24056 24057
+1 1
+.names 24057 24058
+0 1
+.names 24034 24059
+0 1
+.names 24054 24060
+0 1
+.names 1314 24061
+0 1
+.names 24075 24079 24062
+1- 1
+-1 1
+.names 24062 24063
+1 1
+.names 24063 24064
+0 1
+.names 2413 24065
+1 1
+.names 24065 24066
+1 1
+.names 24066 24067
+0 1
+.names 24064 24067 24068
+1- 1
+-1 1
+.names 24082 24085 24069
+11 1
+.names 24069 24070
+1 1
+.names 24070 24071
+0 1
+.names 24092 24093 24072
+11 1
+.names 24072 24073
+1 1
+.names 24073 24074
+0 1
+.names 22853 24074 24075
+11 1
+.names 45325 45329 24076
+1- 1
+-1 1
+.names 24076 24077
+1 1
+.names 24077 24078
+0 1
+.names 6843 24078 24079
+11 1
+.names 6845 1315 24080
+11 1
+.names 24080 24081
+1 1
+.names 24081 24082
+0 1
+.names 24102 24103 24083
+1- 1
+-1 1
+.names 24083 24084
+1 1
+.names 24084 24085
+0 1
+.names 6842 24089 24086
+1- 1
+-1 1
+.names 24086 24087
+1 1
+.names 2413 24088
+1 1
+.names 24088 24089
+0 1
+.names 24100 24101 24090
+1- 1
+-1 1
+.names 24090 24091
+1 1
+.names 24091 24092
+0 1
+.names 24087 24093
+0 1
+.names 24097 22874 24094
+1- 1
+-1 1
+.names 24094 24095
+1 1
+.names 46465 46468 56490
+1- 1
+-1 1
+.names 56490 24097
+0 1
+.names 22760 24098
+1 1
+.names 24098 24099
+0 1
+.names 6845 24099 24100
+1- 1
+-1 1
+.names 22701 24101
+0 1
+.names 6837 1316 24102
+11 1
+.names 24095 24103
+0 1
+.names 24106 24107 24104
+1- 1
+-1 1
+.names 24104 24105
+1 1
+.names 7134 24106
+0 1
+.names 1317 24107
+0 1
+.names 22718 24110 24108
+1- 1
+-1 1
+.names 24108 24109
+1 1
+.names 7155 24110
+0 1
+.names 24122 24123 24111
+1- 1
+-1 1
+.names 24111 24112
+1 1
+.names 24112 24113
+0 1
+.names 24109 24114
+0 1
+.names 24113 24114 24115
+1- 1
+-1 1
+.names 24126 24128 24116
+11 1
+.names 24116 24117
+1 1
+.names 24117 24118
+0 1
+.names 24139 24140 24119
+11 1
+.names 24119 24120
+1 1
+.names 24120 24121
+0 1
+.names 2413 24121 24122
+11 1
+.names 1783 2413 24123
+11 1
+.names 7144 22750 24124
+1- 1
+-1 1
+.names 24124 24125
+1 1
+.names 24125 24105 24126
+11 1
+.names 7152 22752 24127
+1- 1
+-1 1
+.names 24127 24128
+1 1
+.names 24133 24134 24129
+1- 1
+-1 1
+.names 24129 24130
+1 1
+.names 24106 24131
+1 1
+.names 24131 24132
+0 1
+.names 7155 24132 24133
+1- 1
+-1 1
+.names 672 24134
+0 1
+.names 7157 24138 24135
+1- 1
+-1 1
+.names 24135 24136
+1 1
+.names 7152 24137
+1 1
+.names 24137 24138
+0 1
+.names 24130 24139
+0 1
+.names 24136 24140
+0 1
+.names 22757 24110 24141
+1- 1
+-1 1
+.names 24141 24142
+1 1
+.names 24149 24151 24143
+1- 1
+-1 1
+.names 24143 24144
+1 1
+.names 7156 24145
+0 1
+.names 24162 24163 24146
+11 1
+.names 24146 24147
+1 1
+.names 24147 24148
+0 1
+.names 24145 24148 24149
+11 1
+.names 1318 24150
+0 1
+.names 7156 24150 24151
+11 1
+.names 24156 24157 24152
+1- 1
+-1 1
+.names 24152 24153
+1 1
+.names 7152 24154
+1 1
+.names 24154 24155
+0 1
+.names 7166 24155 24156
+1- 1
+-1 1
+.names 656 24157
+0 1
+.names 7155 24161 24158
+1- 1
+-1 1
+.names 24158 24159
+1 1
+.names 2413 24160
+1 1
+.names 24160 24161
+0 1
+.names 24153 24162
+0 1
+.names 24159 24163
+0 1
+.names 24178 24179 24164
+1- 1
+-1 1
+.names 24164 24165
+1 1
+.names 24144 24166
+0 1
+.names 7152 22785 24167
+1- 1
+-1 1
+.names 24167 24168
+1 1
+.names 2413 24169
+1 1
+.names 24169 24170
+1 1
+.names 22790 24173 24171
+1- 1
+-1 1
+.names 24171 24172
+1 1
+.names 7166 24173
+0 1
+.names 24170 24174
+0 1
+.names 24172 24175
+0 1
+.names 24174 24175 24176
+1- 1
+-1 1
+.names 24168 24177
+0 1
+.names 24176 24177 24178
+1- 1
+-1 1
+.names 24142 24179
+0 1
+.names 24194 24195 24180
+1- 1
+-1 1
+.names 24180 24181
+1 1
+.names 24181 24182
+0 1
+.names 2413 24183
+1 1
+.names 24183 24184
+1 1
+.names 24184 24185
+0 1
+.names 24182 24185 24186
+1- 1
+-1 1
+.names 24200 24202 24187
+11 1
+.names 24187 24188
+1 1
+.names 24188 24189
+0 1
+.names 7126 24190
+0 1
+.names 24209 24210 24191
+11 1
+.names 24191 24192
+1 1
+.names 24192 24193
+0 1
+.names 24190 24193 24194
+11 1
+.names 7126 22815 24195
+11 1
+.names 24145 24218 24196
+1- 1
+-1 1
+.names 24196 24197
+1 1
+.names 7144 22842 24198
+1- 1
+-1 1
+.names 24198 24199
+1 1
+.names 24197 24199 24200
+11 1
+.names 22833 24211 24201
+1- 1
+-1 1
+.names 24201 24202
+1 1
+.names 7157 24206 24203
+1- 1
+-1 1
+.names 24203 24204
+1 1
+.names 2413 24205
+1 1
+.names 24205 24206
+0 1
+.names 24216 24217 24207
+1- 1
+-1 1
+.names 24207 24208
+1 1
+.names 24208 24209
+0 1
+.names 24204 24210
+0 1
+.names 7141 24211
+0 1
+.names 24211 24212
+1 1
+.names 24212 24213
+0 1
+.names 24145 24214
+1 1
+.names 24214 24215
+0 1
+.names 24213 24215 24216
+1- 1
+-1 1
+.names 651 24217
+0 1
+.names 1319 24218
+0 1
+.names 24233 24234 24219
+1- 1
+-1 1
+.names 24219 24220
+1 1
+.names 24220 24221
+0 1
+.names 2413 24222
+1 1
+.names 24222 24223
+1 1
+.names 24223 24224
+0 1
+.names 24221 24224 24225
+1- 1
+-1 1
+.names 24252 24253 24226
+11 1
+.names 24226 24227
+1 1
+.names 24227 24228
+0 1
+.names 7162 24229
+0 1
+.names 24241 24242 24230
+11 1
+.names 24230 24231
+1 1
+.names 24231 24232
+0 1
+.names 24229 24232 24233
+11 1
+.names 7162 22860 24234
+11 1
+.names 7161 24238 24235
+1- 1
+-1 1
+.names 24235 24236
+1 1
+.names 2413 24237
+1 1
+.names 24237 24238
+0 1
+.names 24260 24261 24239
+1- 1
+-1 1
+.names 24239 24240
+1 1
+.names 24240 24241
+0 1
+.names 24236 24242
+0 1
+.names 22873 24245 24243
+1- 1
+-1 1
+.names 24243 24244
+1 1
+.names 7161 24245
+0 1
+.names 24248 24249 24246
+1- 1
+-1 1
+.names 24246 24247
+1 1
+.names 1320 7135 24248
+11 1
+.names 24244 24249
+0 1
+.names 7165 1262 24250
+11 1
+.names 24250 24251
+1 1
+.names 24251 24252
+0 1
+.names 24247 24253
+0 1
+.names 7135 24254
+0 1
+.names 24254 24255
+1 1
+.names 7165 24256
+0 1
+.names 24256 24257
+1 1
+.names 24257 24258
+0 1
+.names 24255 24259
+0 1
+.names 24258 24259 24260
+1- 1
+-1 1
+.names 658 24261
+0 1
+.names 24254 24264 24262
+1- 1
+-1 1
+.names 24262 24263
+1 1
+.names 1321 24264
+0 1
+.names 22897 7152 24265
+1- 1
+-1 1
+.names 24265 24266
+1 1
+.names 7164 24270 24267
+1- 1
+-1 1
+.names 24267 24268
+1 1
+.names 2413 24269
+1 1
+.names 24269 24270
+0 1
+.names 24276 24277 24271
+1- 1
+-1 1
+.names 24271 24272
+1 1
+.names 24280 24281 24273
+11 1
+.names 24273 24274
+1 1
+.names 24274 24275
+0 1
+.names 24229 24275 24276
+11 1
+.names 7162 22910 24277
+11 1
+.names 24284 24285 24278
+1- 1
+-1 1
+.names 24278 24279
+1 1
+.names 24279 24280
+0 1
+.names 24268 24281
+0 1
+.names 24254 24282
+1 1
+.names 24282 24283
+0 1
+.names 7138 24283 24284
+1- 1
+-1 1
+.names 660 24285
+0 1
+.names 24292 24293 24286
+1- 1
+-1 1
+.names 24286 24287
+1 1
+.names 24263 24288
+0 1
+.names 24302 22937 24289
+1- 1
+-1 1
+.names 24289 24290
+1 1
+.names 24290 24291
+0 1
+.names 24288 24291 24292
+1- 1
+-1 1
+.names 24266 24293
+0 1
+.names 24296 24299 24294
+11 1
+.names 24294 24295
+1 1
+.names 24287 24296
+0 1
+.names 1783 24297
+1 1
+.names 24297 24298
+1 1
+.names 24298 24299
+0 1
+.names 24272 24300
+0 1
+.names 24295 24301
+0 1
+.names 7164 24302
+0 1
+.names 24317 24318 24303
+1- 1
+-1 1
+.names 24303 24304
+1 1
+.names 24304 24305
+0 1
+.names 2413 24306
+1 1
+.names 24306 24307
+1 1
+.names 24307 24308
+0 1
+.names 24305 24308 24309
+1- 1
+-1 1
+.names 24339 24336 24310
+11 1
+.names 24310 24311
+1 1
+.names 24311 24312
+0 1
+.names 7151 24313
+0 1
+.names 24330 24331 24314
+11 1
+.names 24314 24315
+1 1
+.names 24315 24316
+0 1
+.names 24313 24316 24317
+11 1
+.names 7151 22954 24318
+11 1
+.names 7155 24321 24319
+1- 1
+-1 1
+.names 24319 24320
+1 1
+.names 667 24321
+0 1
+.names 24326 24328 24322
+1- 1
+-1 1
+.names 24322 24323
+1 1
+.names 24329 2413 24324
+11 1
+.names 24324 24325
+1 1
+.names 24325 24326
+0 1
+.names 24173 24327
+1 1
+.names 24327 24328
+0 1
+.names 7136 24329
+0 1
+.names 24323 24330
+0 1
+.names 24320 24331
+0 1
+.names 24329 24334 24332
+1- 1
+-1 1
+.names 24332 24333
+1 1
+.names 1322 24334
+0 1
+.names 22976 24110 24335
+1- 1
+-1 1
+.names 24335 24336
+1 1
+.names 24173 22979 24337
+1- 1
+-1 1
+.names 24337 24338
+1 1
+.names 24338 24333 24339
+11 1
+.names 24354 24355 24340
+1- 1
+-1 1
+.names 24340 24341
+1 1
+.names 24341 24342
+0 1
+.names 2413 24343
+1 1
+.names 24343 24344
+1 1
+.names 24344 24345
+0 1
+.names 24342 24345 24346
+1- 1
+-1 1
+.names 24376 24377 24347
+11 1
+.names 24347 24348
+1 1
+.names 24348 24349
+0 1
+.names 7124 24350
+0 1
+.names 24372 24373 24351
+11 1
+.names 24351 24352
+1 1
+.names 24352 24353
+0 1
+.names 24350 24353 24354
+11 1
+.names 7124 22998 24355
+11 1
+.names 24358 24361 24356
+1- 1
+-1 1
+.names 24356 24357
+1 1
+.names 7134 1323 24358
+11 1
+.names 23023 24256 24359
+1- 1
+-1 1
+.names 24359 24360
+1 1
+.names 24360 24361
+0 1
+.names 24366 24367 24362
+1- 1
+-1 1
+.names 24362 24363
+1 1
+.names 24106 24364
+1 1
+.names 24364 24365
+0 1
+.names 7160 24365 24366
+1- 1
+-1 1
+.names 680 24367
+0 1
+.names 7165 24371 24368
+1- 1
+-1 1
+.names 24368 24369
+1 1
+.names 2413 24370
+1 1
+.names 24370 24371
+0 1
+.names 24363 24372
+0 1
+.names 24369 24373
+0 1
+.names 56491 7160 24374
+11 1
+.names 24374 24375
+1 1
+.names 24375 24376
+0 1
+.names 24357 24377
+0 1
+.names 24391 24392 24378
+1- 1
+-1 1
+.names 24378 24379
+1 1
+.names 24379 24380
+0 1
+.names 2413 24381
+1 1
+.names 24381 24382
+1 1
+.names 24382 24383
+0 1
+.names 24380 24383 24384
+1- 1
+-1 1
+.names 24397 24399 24385
+11 1
+.names 24385 24386
+1 1
+.names 24386 24387
+0 1
+.names 24410 24411 24388
+11 1
+.names 24388 24389
+1 1
+.names 24389 24390
+0 1
+.names 24229 24390 24391
+11 1
+.names 7162 23040 24392
+11 1
+.names 24145 24413 24393
+1- 1
+-1 1
+.names 24393 24394
+1 1
+.names 7144 23065 24395
+1- 1
+-1 1
+.names 24395 24396
+1 1
+.names 24394 24396 24397
+11 1
+.names 23062 24412 24398
+1- 1
+-1 1
+.names 24398 24399
+1 1
+.names 24404 24405 24400
+1- 1
+-1 1
+.names 24400 24401
+1 1
+.names 24145 24402
+1 1
+.names 24402 24403
+0 1
+.names 7160 24403 24404
+1- 1
+-1 1
+.names 669 24405
+0 1
+.names 7157 24409 24406
+1- 1
+-1 1
+.names 24406 24407
+1 1
+.names 2413 24408
+1 1
+.names 24408 24409
+0 1
+.names 24407 24410
+0 1
+.names 24401 24411
+0 1
+.names 7160 24412
+0 1
+.names 1324 24413
+0 1
+.names 24419 24420 24414
+1- 1
+-1 1
+.names 24414 24415
+1 1
+.names 24427 24428 24416
+11 1
+.names 24416 24417
+1 1
+.names 24417 24418
+0 1
+.names 24229 24418 24419
+11 1
+.names 7162 23074 24420
+11 1
+.names 1783 24424 24421
+1- 1
+-1 1
+.names 24421 24422
+1 1
+.names 24256 24423
+1 1
+.names 24423 24424
+0 1
+.names 24433 24434 24425
+1- 1
+-1 1
+.names 24425 24426
+1 1
+.names 24426 24427
+0 1
+.names 24422 24428
+0 1
+.names 23087 24211 24429
+1- 1
+-1 1
+.names 24429 24430
+1 1
+.names 24145 24431
+1 1
+.names 24431 24432
+0 1
+.names 7141 24432 24433
+1- 1
+-1 1
+.names 659 24434
+0 1
+.names 24443 24444 24435
+1- 1
+-1 1
+.names 24435 24436
+1 1
+.names 24145 24453 24437
+1- 1
+-1 1
+.names 24437 24438
+1 1
+.names 24438 24439
+0 1
+.names 24256 23111 24440
+1- 1
+-1 1
+.names 24440 24441
+1 1
+.names 24441 24442
+0 1
+.names 24439 24442 24443
+1- 1
+-1 1
+.names 24430 24444
+0 1
+.names 24415 24445
+0 1
+.names 24451 24452 24446
+11 1
+.names 24446 24447
+1 1
+.names 24447 24448
+0 1
+.names 1783 24449
+1 1
+.names 24449 24450
+1 1
+.names 24436 24451
+0 1
+.names 24450 24452
+0 1
+.names 1325 24453
+0 1
+.names 24467 24468 24454
+1- 1
+-1 1
+.names 24454 24455
+1 1
+.names 24455 24456
+0 1
+.names 2413 24457
+1 1
+.names 24457 24458
+1 1
+.names 24458 24459
+0 1
+.names 24456 24459 24460
+1- 1
+-1 1
+.names 24488 24482 24461
+11 1
+.names 24461 24462
+1 1
+.names 24462 24463
+0 1
+.names 24479 24480 24464
+11 1
+.names 24464 24465
+1 1
+.names 24465 24466
+0 1
+.names 24229 24466 24467
+11 1
+.names 7162 23128 24468
+11 1
+.names 24473 24474 24469
+1- 1
+-1 1
+.names 24469 24470
+1 1
+.names 24106 24471
+1 1
+.names 24471 24472
+0 1
+.names 7160 24472 24473
+1- 1
+-1 1
+.names 675 24474
+0 1
+.names 7166 24478 24475
+1- 1
+-1 1
+.names 24475 24476
+1 1
+.names 2413 24477
+1 1
+.names 24477 24478
+0 1
+.names 24470 24479
+0 1
+.names 24476 24480
+0 1
+.names 23145 24412 24481
+1- 1
+-1 1
+.names 24481 24482
+1 1
+.names 24106 24485 24483
+1- 1
+-1 1
+.names 24483 24484
+1 1
+.names 1326 24485
+0 1
+.names 24173 23151 24486
+1- 1
+-1 1
+.names 24486 24487
+1 1
+.names 24487 24484 24488
+11 1
+.names 24502 24503 24489
+1- 1
+-1 1
+.names 24489 24490
+1 1
+.names 24490 24491
+0 1
+.names 2413 24492
+1 1
+.names 24492 24493
+1 1
+.names 24493 24494
+0 1
+.names 24491 24494 24495
+1- 1
+-1 1
+.names 24508 24510 24496
+11 1
+.names 24496 24497
+1 1
+.names 24497 24498
+0 1
+.names 24521 24522 24499
+11 1
+.names 24499 24500
+1 1
+.names 24500 24501
+0 1
+.names 24350 24501 24502
+11 1
+.names 7124 23169 24503
+11 1
+.names 24106 24523 24504
+1- 1
+-1 1
+.names 24504 24505
+1 1
+.names 7144 23192 24506
+1- 1
+-1 1
+.names 24506 24507
+1 1
+.names 24505 24507 24508
+11 1
+.names 23191 7152 24509
+1- 1
+-1 1
+.names 24509 24510
+1 1
+.names 7138 24513 24511
+1- 1
+-1 1
+.names 24511 24512
+1 1
+.names 661 24513
+0 1
+.names 24518 24520 24514
+1- 1
+-1 1
+.names 24514 24515
+1 1
+.names 24106 2413 24516
+11 1
+.names 24516 24517
+1 1
+.names 24517 24518
+0 1
+.names 7144 24519
+1 1
+.names 24519 24520
+0 1
+.names 24515 24521
+0 1
+.names 24512 24522
+0 1
+.names 1327 24523
+0 1
+.names 24526 24106 24524
+1- 1
+-1 1
+.names 24524 24525
+1 1
+.names 1328 24526
+0 1
+.names 24540 24541 24527
+1- 1
+-1 1
+.names 24527 24528
+1 1
+.names 24528 24529
+0 1
+.names 2413 24530
+1 1
+.names 24530 24531
+1 1
+.names 24531 24532
+0 1
+.names 24529 24532 24533
+1- 1
+-1 1
+.names 24560 24561 24534
+11 1
+.names 24534 24535
+1 1
+.names 24535 24536
+0 1
+.names 24556 24557 24537
+11 1
+.names 24537 24538
+1 1
+.names 24538 24539
+0 1
+.names 24350 24539 24540
+11 1
+.names 7124 23213 24541
+11 1
+.names 24544 24545 24542
+1- 1
+-1 1
+.names 24542 24543
+1 1
+.names 7165 1278 24544
+11 1
+.names 24525 24545
+0 1
+.names 24550 24551 24546
+1- 1
+-1 1
+.names 24546 24547
+1 1
+.names 24106 24548
+1 1
+.names 24548 24549
+0 1
+.names 7160 24549 24550
+1- 1
+-1 1
+.names 674 24551
+0 1
+.names 7165 24555 24552
+1- 1
+-1 1
+.names 24552 24553
+1 1
+.names 2413 24554
+1 1
+.names 24554 24555
+0 1
+.names 24547 24556
+0 1
+.names 24553 24557
+0 1
+.names 56485 7160 24558
+11 1
+.names 24558 24559
+1 1
+.names 24559 24560
+0 1
+.names 24543 24561
+0 1
+.names 24575 24576 24562
+1- 1
+-1 1
+.names 24562 24563
+1 1
+.names 24563 24564
+0 1
+.names 2413 24565
+1 1
+.names 24565 24566
+1 1
+.names 24566 24567
+0 1
+.names 24564 24567 24568
+1- 1
+-1 1
+.names 24596 24597 24569
+11 1
+.names 24569 24570
+1 1
+.names 24570 24571
+0 1
+.names 24586 24587 24572
+11 1
+.names 24572 24573
+1 1
+.names 24573 24574
+0 1
+.names 24190 24574 24575
+11 1
+.names 7126 10763 24576
+11 1
+.names 24581 10861 24577
+1- 1
+-1 1
+.names 24577 24578
+1 1
+.names 24254 24579
+1 1
+.names 24579 24580
+0 1
+.names 7164 24580 24581
+1- 1
+-1 1
+.names 7138 24585 24582
+1- 1
+-1 1
+.names 24582 24583
+1 1
+.names 2413 24584
+1 1
+.names 24584 24585
+0 1
+.names 24578 24586
+0 1
+.names 24583 24587
+0 1
+.names 10786 7152 24588
+1- 1
+-1 1
+.names 24588 24589
+1 1
+.names 24592 24593 24590
+1- 1
+-1 1
+.names 24590 24591
+1 1
+.names 7135 970 24592
+11 1
+.names 24589 24593
+0 1
+.names 7164 966 24594
+11 1
+.names 24594 24595
+1 1
+.names 24595 24596
+0 1
+.names 24591 24597
+0 1
+.names 24604 24605 24598
+1- 1
+-1 1
+.names 24598 24599
+1 1
+.names 7143 24600
+0 1
+.names 24616 24617 24601
+11 1
+.names 24601 24602
+1 1
+.names 24602 24603
+0 1
+.names 24600 24603 24604
+11 1
+.names 7143 23280 24605
+11 1
+.names 7155 24608 24606
+1- 1
+-1 1
+.names 24606 24607
+1 1
+.names 668 24608
+0 1
+.names 24613 24615 24609
+1- 1
+-1 1
+.names 24609 24610
+1 1
+.names 24254 2413 24611
+11 1
+.names 24611 24612
+1 1
+.names 24612 24613
+0 1
+.names 7152 24614
+1 1
+.names 24614 24615
+0 1
+.names 24610 24616
+0 1
+.names 24607 24617
+0 1
+.names 24631 24634 24618
+1- 1
+-1 1
+.names 24618 24619
+1 1
+.names 24599 24620
+0 1
+.names 23300 7152 24621
+1- 1
+-1 1
+.names 24621 24622
+1 1
+.names 24622 24623
+0 1
+.names 23315 24110 24624
+1- 1
+-1 1
+.names 24624 24625
+1 1
+.names 24625 24626
+0 1
+.names 24623 24626 24627
+1- 1
+-1 1
+.names 24635 24254 24628
+1- 1
+-1 1
+.names 24628 24629
+1 1
+.names 24629 24630
+0 1
+.names 24627 24630 24631
+1- 1
+-1 1
+.names 2413 24632
+1 1
+.names 24632 24633
+1 1
+.names 24633 24634
+0 1
+.names 1329 24635
+0 1
+.names 24641 24642 24636
+1- 1
+-1 1
+.names 24636 24637
+1 1
+.names 24653 24654 24638
+11 1
+.names 24638 24639
+1 1
+.names 24639 24640
+0 1
+.names 24350 24640 24641
+11 1
+.names 7124 23325 24642
+11 1
+.names 24647 24648 24643
+1- 1
+-1 1
+.names 24643 24644
+1 1
+.names 24254 24645
+1 1
+.names 24645 24646
+0 1
+.names 7141 24646 24647
+1- 1
+-1 1
+.names 657 24648
+0 1
+.names 7157 24652 24649
+1- 1
+-1 1
+.names 24649 24650
+1 1
+.names 2413 24651
+1 1
+.names 24651 24652
+0 1
+.names 24644 24653
+0 1
+.names 24650 24654
+0 1
+.names 7144 23341 24655
+1- 1
+-1 1
+.names 24655 24656
+1 1
+.names 23345 24211 24657
+1- 1
+-1 1
+.names 24657 24658
+1 1
+.names 24665 24666 24659
+1- 1
+-1 1
+.names 24659 24660
+1 1
+.names 24656 24661
+0 1
+.names 24254 24675 24662
+1- 1
+-1 1
+.names 24662 24663
+1 1
+.names 24663 24664
+0 1
+.names 24661 24664 24665
+1- 1
+-1 1
+.names 24658 24666
+0 1
+.names 24637 24667
+0 1
+.names 24671 24674 24668
+11 1
+.names 24668 24669
+1 1
+.names 24669 24670
+0 1
+.names 24660 24671
+0 1
+.names 1783 24672
+1 1
+.names 24672 24673
+1 1
+.names 24673 24674
+0 1
+.names 1330 24675
+0 1
+.names 24689 24690 24676
+1- 1
+-1 1
+.names 24676 24677
+1 1
+.names 24677 24678
+0 1
+.names 2413 24679
+1 1
+.names 24679 24680
+1 1
+.names 24680 24681
+0 1
+.names 24678 24681 24682
+1- 1
+-1 1
+.names 24693 24696 24683
+11 1
+.names 24683 24684
+1 1
+.names 24684 24685
+0 1
+.names 24703 24704 24686
+11 1
+.names 24686 24687
+1 1
+.names 24687 24688
+0 1
+.names 24350 24688 24689
+11 1
+.names 7124 23379 24690
+11 1
+.names 24708 1283 24691
+11 1
+.names 24691 24692
+1 1
+.names 24692 24693
+0 1
+.names 24710 24711 24694
+1- 1
+-1 1
+.names 24694 24695
+1 1
+.names 24695 24696
+0 1
+.names 7138 24700 24697
+1- 1
+-1 1
+.names 24697 24698
+1 1
+.names 2413 24699
+1 1
+.names 24699 24700
+0 1
+.names 24714 24715 24701
+1- 1
+-1 1
+.names 24701 24702
+1 1
+.names 24702 24703
+0 1
+.names 24698 24704
+0 1
+.names 23398 7152 24705
+1- 1
+-1 1
+.names 24705 24706
+1 1
+.names 24712 24707
+0 1
+.names 24707 24708
+1 1
+.names 24329 24709
+1 1
+.names 7136 1331 24710
+11 1
+.names 24706 24711
+0 1
+.names 24173 24712
+1 1
+.names 24709 24713
+0 1
+.names 24707 24713 24714
+1- 1
+-1 1
+.names 652 24715
+0 1
+.names 24718 24145 24716
+1- 1
+-1 1
+.names 24716 24717
+1 1
+.names 1332 24718
+0 1
+.names 24732 24733 24719
+1- 1
+-1 1
+.names 24719 24720
+1 1
+.names 24720 24721
+0 1
+.names 2413 24722
+1 1
+.names 24722 24723
+1 1
+.names 24723 24724
+0 1
+.names 24721 24724 24725
+1- 1
+-1 1
+.names 24736 24739 24726
+11 1
+.names 24726 24727
+1 1
+.names 24727 24728
+0 1
+.names 24744 24747 24729
+11 1
+.names 24729 24730
+1 1
+.names 24730 24731
+0 1
+.names 24190 24731 24732
+11 1
+.names 7126 23427 24733
+11 1
+.names 24749 24734
+0 1
+.names 24734 24735
+1 1
+.names 24735 24736
+0 1
+.names 24756 24757 24737
+1- 1
+-1 1
+.names 24737 24738
+1 1
+.names 24738 24739
+0 1
+.names 7165 24743 24740
+1- 1
+-1 1
+.names 24740 24741
+1 1
+.names 2413 24742
+1 1
+.names 24742 24743
+0 1
+.names 24741 24744
+0 1
+.names 24754 24755 24745
+1- 1
+-1 1
+.names 24745 24746
+1 1
+.names 24746 24747
+0 1
+.names 23446 24211 24748
+1- 1
+-1 1
+.names 24748 24749
+1 1
+.names 24211 24750
+1 1
+.names 24750 24751
+0 1
+.names 24145 24752
+1 1
+.names 24752 24753
+0 1
+.names 24751 24753 24754
+1- 1
+-1 1
+.names 655 24755
+0 1
+.names 7165 1286 24756
+11 1
+.names 24717 24757
+0 1
+.names 24254 24760 24758
+1- 1
+-1 1
+.names 24758 24759
+1 1
+.names 1333 24760
+0 1
+.names 24766 24767 24761
+1- 1
+-1 1
+.names 24761 24762
+1 1
+.names 24778 24779 24763
+11 1
+.names 24763 24764
+1 1
+.names 24764 24765
+0 1
+.names 24229 24765 24766
+11 1
+.names 7162 23466 24767
+11 1
+.names 24772 24773 24768
+1- 1
+-1 1
+.names 24768 24769
+1 1
+.names 24254 24770
+1 1
+.names 24770 24771
+0 1
+.names 7141 24771 24772
+1- 1
+-1 1
+.names 666 24773
+0 1
+.names 7157 24777 24774
+1- 1
+-1 1
+.names 24774 24775
+1 1
+.names 2413 24776
+1 1
+.names 24776 24777
+0 1
+.names 24769 24778
+0 1
+.names 24775 24779
+0 1
+.names 23483 24211 24780
+1- 1
+-1 1
+.names 24780 24781
+1 1
+.names 24788 24789 24782
+1- 1
+-1 1
+.names 24782 24783
+1 1
+.names 24759 24784
+0 1
+.names 7144 23500 24785
+1- 1
+-1 1
+.names 24785 24786
+1 1
+.names 24786 24787
+0 1
+.names 24784 24787 24788
+1- 1
+-1 1
+.names 24781 24789
+0 1
+.names 24762 24790
+0 1
+.names 24796 24797 24791
+11 1
+.names 24791 24792
+1 1
+.names 24792 24793
+0 1
+.names 1783 24794
+1 1
+.names 24794 24795
+1 1
+.names 24783 24796
+0 1
+.names 24795 24797
+0 1
+.names 24811 24812 24798
+1- 1
+-1 1
+.names 24798 24799
+1 1
+.names 24799 24800
+0 1
+.names 2413 24801
+1 1
+.names 24801 24802
+1 1
+.names 24802 24803
+0 1
+.names 24800 24803 24804
+1- 1
+-1 1
+.names 24832 24826 24805
+11 1
+.names 24805 24806
+1 1
+.names 24806 24807
+0 1
+.names 24823 24824 24808
+11 1
+.names 24808 24809
+1 1
+.names 24809 24810
+0 1
+.names 24350 24810 24811
+11 1
+.names 7124 23517 24812
+11 1
+.names 24817 24818 24813
+1- 1
+-1 1
+.names 24813 24814
+1 1
+.names 24329 24815
+1 1
+.names 24815 24816
+0 1
+.names 7161 24816 24817
+1- 1
+-1 1
+.names 673 24818
+0 1
+.names 7166 24822 24819
+1- 1
+-1 1
+.names 24819 24820
+1 1
+.names 2413 24821
+1 1
+.names 24821 24822
+0 1
+.names 24814 24823
+0 1
+.names 24820 24824
+0 1
+.names 23534 24245 24825
+1- 1
+-1 1
+.names 24825 24826
+1 1
+.names 24329 24829 24827
+1- 1
+-1 1
+.names 24827 24828
+1 1
+.names 1334 24829
+0 1
+.names 24173 23540 24830
+1- 1
+-1 1
+.names 24830 24831
+1 1
+.names 24831 24828 24832
+11 1
+.names 24329 24835 24833
+1- 1
+-1 1
+.names 24833 24834
+1 1
+.names 1335 24835
+0 1
+.names 24849 24850 24836
+1- 1
+-1 1
+.names 24836 24837
+1 1
+.names 24837 24838
+0 1
+.names 2413 24839
+1 1
+.names 24839 24840
+1 1
+.names 24840 24841
+0 1
+.names 24838 24841 24842
+1- 1
+-1 1
+.names 24853 24855 24843
+11 1
+.names 24843 24844
+1 1
+.names 24844 24845
+0 1
+.names 24866 24867 24846
+11 1
+.names 24846 24847
+1 1
+.names 24847 24848
+0 1
+.names 24190 24848 24849
+11 1
+.names 7126 23561 24850
+11 1
+.names 24302 23582 24851
+1- 1
+-1 1
+.names 24851 24852
+1 1
+.names 24834 24852 24853
+11 1
+.names 23581 24245 24854
+1- 1
+-1 1
+.names 24854 24855
+1 1
+.names 24860 24861 24856
+1- 1
+-1 1
+.names 24856 24857
+1 1
+.names 24329 24858
+1 1
+.names 24858 24859
+0 1
+.names 7161 24859 24860
+1- 1
+-1 1
+.names 681 24861
+0 1
+.names 7164 24865 24862
+1- 1
+-1 1
+.names 24862 24863
+1 1
+.names 2413 24864
+1 1
+.names 24864 24865
+0 1
+.names 24857 24866
+0 1
+.names 24863 24867
+0 1
+.names 24881 24882 24868
+1- 1
+-1 1
+.names 24868 24869
+1 1
+.names 24869 24870
+0 1
+.names 2413 24871
+1 1
+.names 24871 24872
+1 1
+.names 24872 24873
+0 1
+.names 24870 24873 24874
+1- 1
+-1 1
+.names 24887 24889 24875
+11 1
+.names 24875 24876
+1 1
+.names 24876 24877
+0 1
+.names 24900 24901 24878
+11 1
+.names 24878 24879
+1 1
+.names 24879 24880
+0 1
+.names 24229 24880 24881
+11 1
+.names 7162 23599 24882
+11 1
+.names 24329 24902 24883
+1- 1
+-1 1
+.names 24883 24884
+1 1
+.names 24302 23622 24885
+1- 1
+-1 1
+.names 24885 24886
+1 1
+.names 24884 24886 24887
+11 1
+.names 23621 24412 24888
+1- 1
+-1 1
+.names 24888 24889
+1 1
+.names 24894 24895 24890
+1- 1
+-1 1
+.names 24890 24891
+1 1
+.names 24329 24892
+1 1
+.names 24892 24893
+0 1
+.names 7160 24893 24894
+1- 1
+-1 1
+.names 671 24895
+0 1
+.names 7164 24899 24896
+1- 1
+-1 1
+.names 24896 24897
+1 1
+.names 2413 24898
+1 1
+.names 24898 24899
+0 1
+.names 24891 24900
+0 1
+.names 24897 24901
+0 1
+.names 1336 24902
+0 1
+.names 24329 24905 24903
+1- 1
+-1 1
+.names 24903 24904
+1 1
+.names 1337 24905
+0 1
+.names 24911 24912 24906
+1- 1
+-1 1
+.names 24906 24907
+1 1
+.names 24923 24924 24908
+11 1
+.names 24908 24909
+1 1
+.names 24909 24910
+0 1
+.names 24229 24910 24911
+11 1
+.names 7162 23635 24912
+11 1
+.names 24917 24918 24913
+1- 1
+-1 1
+.names 24913 24914
+1 1
+.names 24329 24915
+1 1
+.names 24915 24916
+0 1
+.names 7141 24916 24917
+1- 1
+-1 1
+.names 663 24918
+0 1
+.names 7164 24922 24919
+1- 1
+-1 1
+.names 24919 24920
+1 1
+.names 2413 24921
+1 1
+.names 24921 24922
+0 1
+.names 24914 24923
+0 1
+.names 24920 24924
+0 1
+.names 23652 24211 24925
+1- 1
+-1 1
+.names 24925 24926
+1 1
+.names 24933 24934 24927
+1- 1
+-1 1
+.names 24927 24928
+1 1
+.names 24904 24929
+0 1
+.names 24302 23669 24930
+1- 1
+-1 1
+.names 24930 24931
+1 1
+.names 24931 24932
+0 1
+.names 24929 24932 24933
+1- 1
+-1 1
+.names 24926 24934
+0 1
+.names 24907 24935
+0 1
+.names 24941 24942 24936
+11 1
+.names 24936 24937
+1 1
+.names 24937 24938
+0 1
+.names 1783 24939
+1 1
+.names 24939 24940
+1 1
+.names 24928 24941
+0 1
+.names 24940 24942
+0 1
+.names 24956 24957 24943
+1- 1
+-1 1
+.names 24943 24944
+1 1
+.names 24944 24945
+0 1
+.names 2413 24946
+1 1
+.names 24946 24947
+1 1
+.names 24947 24948
+0 1
+.names 24945 24948 24949
+1- 1
+-1 1
+.names 24962 24964 24950
+11 1
+.names 24950 24951
+1 1
+.names 24951 24952
+0 1
+.names 24975 24976 24953
+11 1
+.names 24953 24954
+1 1
+.names 24954 24955
+0 1
+.names 24229 24955 24956
+11 1
+.names 7162 23686 24957
+11 1
+.names 7144 23707 24958
+1- 1
+-1 1
+.names 24958 24959
+1 1
+.names 24106 24977 24960
+1- 1
+-1 1
+.names 24960 24961
+1 1
+.names 24959 24961 24962
+11 1
+.names 23709 24412 24963
+1- 1
+-1 1
+.names 24963 24964
+1 1
+.names 24969 24970 24965
+1- 1
+-1 1
+.names 24965 24966
+1 1
+.names 24106 24967
+1 1
+.names 24967 24968
+0 1
+.names 7160 24968 24969
+1- 1
+-1 1
+.names 664 24970
+0 1
+.names 1783 24974 24971
+1- 1
+-1 1
+.names 24971 24972
+1 1
+.names 7144 24973
+1 1
+.names 24973 24974
+0 1
+.names 24966 24975
+0 1
+.names 24972 24976
+0 1
+.names 1338 24977
+0 1
+.names 24980 24106 24978
+1- 1
+-1 1
+.names 24978 24979
+1 1
+.names 1339 24980
+0 1
+.names 24994 24995 24981
+1- 1
+-1 1
+.names 24981 24982
+1 1
+.names 24982 24983
+0 1
+.names 2413 24984
+1 1
+.names 24984 24985
+1 1
+.names 24985 24986
+0 1
+.names 24983 24986 24987
+1- 1
+-1 1
+.names 24998 25001 24988
+11 1
+.names 24988 24989
+1 1
+.names 24989 24990
+0 1
+.names 25012 25013 24991
+11 1
+.names 24991 24992
+1 1
+.names 24992 24993
+0 1
+.names 24190 24993 24994
+11 1
+.names 7126 23730 24995
+11 1
+.names 25017 24996
+0 1
+.names 24996 24997
+1 1
+.names 24997 24998
+0 1
+.names 25014 25015 24999
+1- 1
+-1 1
+.names 24999 25000
+1 1
+.names 25000 25001
+0 1
+.names 25006 25007 25002
+1- 1
+-1 1
+.names 25002 25003
+1 1
+.names 24106 25004
+1 1
+.names 25004 25005
+0 1
+.names 7161 25005 25006
+1- 1
+-1 1
+.names 678 25007
+0 1
+.names 7157 25011 25008
+1- 1
+-1 1
+.names 25008 25009
+1 1
+.names 2413 25010
+1 1
+.names 25010 25011
+0 1
+.names 25003 25012
+0 1
+.names 25009 25013
+0 1
+.names 7157 1300 25014
+11 1
+.names 24979 25015
+0 1
+.names 23755 24245 25016
+1- 1
+-1 1
+.names 25016 25017
+1 1
+.names 24329 25020 25018
+1- 1
+-1 1
+.names 25018 25019
+1 1
+.names 1340 25020
+0 1
+.names 25026 25027 25021
+1- 1
+-1 1
+.names 25021 25022
+1 1
+.names 25038 25039 25023
+11 1
+.names 25023 25024
+1 1
+.names 25024 25025
+0 1
+.names 24190 25025 25026
+11 1
+.names 7126 23767 25027
+11 1
+.names 25032 25033 25028
+1- 1
+-1 1
+.names 25028 25029
+1 1
+.names 24329 25030
+1 1
+.names 25030 25031
+0 1
+.names 7141 25031 25032
+1- 1
+-1 1
+.names 662 25033
+0 1
+.names 7164 25037 25034
+1- 1
+-1 1
+.names 25034 25035
+1 1
+.names 2413 25036
+1 1
+.names 25036 25037
+0 1
+.names 25029 25038
+0 1
+.names 25035 25039
+0 1
+.names 23784 24211 25040
+1- 1
+-1 1
+.names 25040 25041
+1 1
+.names 25048 25049 25042
+1- 1
+-1 1
+.names 25042 25043
+1 1
+.names 25019 25044
+0 1
+.names 24302 23801 25045
+1- 1
+-1 1
+.names 25045 25046
+1 1
+.names 25046 25047
+0 1
+.names 25044 25047 25048
+1- 1
+-1 1
+.names 25041 25049
+0 1
+.names 25022 25050
+0 1
+.names 25056 25057 25051
+11 1
+.names 25051 25052
+1 1
+.names 25052 25053
+0 1
+.names 1783 25054
+1 1
+.names 25054 25055
+1 1
+.names 25043 25056
+0 1
+.names 25055 25057
+0 1
+.names 25071 25072 25058
+1- 1
+-1 1
+.names 25058 25059
+1 1
+.names 25059 25060
+0 1
+.names 2413 25061
+1 1
+.names 25061 25062
+1 1
+.names 25062 25063
+0 1
+.names 25060 25063 25064
+1- 1
+-1 1
+.names 25075 25078 25065
+11 1
+.names 25065 25066
+1 1
+.names 25066 25067
+0 1
+.names 25085 25086 25068
+11 1
+.names 25068 25069
+1 1
+.names 25069 25070
+0 1
+.names 24190 25070 25071
+11 1
+.names 7126 23818 25072
+11 1
+.names 7165 1303 25073
+11 1
+.names 25073 25074
+1 1
+.names 25074 25075
+0 1
+.names 25090 25091 25076
+1- 1
+-1 1
+.names 25076 25077
+1 1
+.names 25077 25078
+0 1
+.names 7138 25082 25079
+1- 1
+-1 1
+.names 25079 25080
+1 1
+.names 2413 25081
+1 1
+.names 25081 25082
+0 1
+.names 25095 25096 25083
+1- 1
+-1 1
+.names 25083 25084
+1 1
+.names 25084 25085
+0 1
+.names 25080 25086
+0 1
+.names 23837 7152 25087
+1- 1
+-1 1
+.names 25087 25088
+1 1
+.names 24145 25089
+1 1
+.names 7156 1341 25090
+11 1
+.names 25088 25091
+0 1
+.names 24256 25092
+1 1
+.names 25092 25093
+0 1
+.names 25089 25094
+0 1
+.names 25093 25094 25095
+1- 1
+-1 1
+.names 676 25096
+0 1
+.names 24329 25099 25097
+1- 1
+-1 1
+.names 25097 25098
+1 1
+.names 1342 25099
+0 1
+.names 25113 25114 25100
+1- 1
+-1 1
+.names 25100 25101
+1 1
+.names 25101 25102
+0 1
+.names 2413 25103
+1 1
+.names 25103 25104
+1 1
+.names 25104 25105
+0 1
+.names 25102 25105 25106
+1- 1
+-1 1
+.names 25131 25128 25107
+11 1
+.names 25107 25108
+1 1
+.names 25108 25109
+0 1
+.names 25125 25126 25110
+11 1
+.names 25110 25111
+1 1
+.names 25111 25112
+0 1
+.names 24350 25112 25113
+11 1
+.names 7124 23865 25114
+11 1
+.names 25119 25120 25115
+1- 1
+-1 1
+.names 25115 25116
+1 1
+.names 24329 25117
+1 1
+.names 25117 25118
+0 1
+.names 7161 25118 25119
+1- 1
+-1 1
+.names 677 25120
+0 1
+.names 7166 25124 25121
+1- 1
+-1 1
+.names 25121 25122
+1 1
+.names 2413 25123
+1 1
+.names 25123 25124
+0 1
+.names 25116 25125
+0 1
+.names 25122 25126
+0 1
+.names 23882 24245 25127
+1- 1
+-1 1
+.names 25127 25128
+1 1
+.names 24173 23885 25129
+1- 1
+-1 1
+.names 25129 25130
+1 1
+.names 25130 25098 25131
+11 1
+.names 24254 25134 25132
+1- 1
+-1 1
+.names 25132 25133
+1 1
+.names 1343 25134
+0 1
+.names 25140 25141 25135
+1- 1
+-1 1
+.names 25135 25136
+1 1
+.names 25148 25149 25137
+11 1
+.names 25137 25138
+1 1
+.names 25138 25139
+0 1
+.names 24229 25139 25140
+11 1
+.names 7162 23898 25141
+11 1
+.names 7165 25145 25142
+1- 1
+-1 1
+.names 25142 25143
+1 1
+.names 2413 25144
+1 1
+.names 25144 25145
+0 1
+.names 25154 25155 25146
+1- 1
+-1 1
+.names 25146 25147
+1 1
+.names 25147 25148
+0 1
+.names 25143 25149
+0 1
+.names 23911 24211 25150
+1- 1
+-1 1
+.names 25150 25151
+1 1
+.names 24254 25152
+1 1
+.names 25152 25153
+0 1
+.names 7141 25153 25154
+1- 1
+-1 1
+.names 653 25155
+0 1
+.names 25162 25163 25156
+1- 1
+-1 1
+.names 25156 25157
+1 1
+.names 25133 25158
+0 1
+.names 24256 23932 25159
+1- 1
+-1 1
+.names 25159 25160
+1 1
+.names 25160 25161
+0 1
+.names 25158 25161 25162
+1- 1
+-1 1
+.names 25151 25163
+0 1
+.names 25136 25164
+0 1
+.names 25170 25171 25165
+11 1
+.names 25165 25166
+1 1
+.names 25166 25167
+0 1
+.names 1783 25168
+1 1
+.names 25168 25169
+1 1
+.names 25157 25170
+0 1
+.names 25169 25171
+0 1
+.names 25185 25186 25172
+1- 1
+-1 1
+.names 25172 25173
+1 1
+.names 25173 25174
+0 1
+.names 23972 7152 25175
+1- 1
+-1 1
+.names 25175 25176
+1 1
+.names 25176 25177
+0 1
+.names 25174 25177 25178
+1- 1
+-1 1
+.names 25189 25192 25179
+11 1
+.names 25179 25180
+1 1
+.names 25180 25181
+0 1
+.names 25207 25208 25182
+11 1
+.names 25182 25183
+1 1
+.names 25183 25184
+0 1
+.names 24600 25184 25185
+11 1
+.names 7143 23947 25186
+11 1
+.names 23974 7155 25187
+11 1
+.names 25187 25188
+1 1
+.names 25188 25189
+0 1
+.names 25193 25196 25190
+1- 1
+-1 1
+.names 25190 25191
+1 1
+.names 25191 25192
+0 1
+.names 7135 1344 25193
+11 1
+.names 2413 25194
+1 1
+.names 25194 25195
+1 1
+.names 25195 25196
+0 1
+.names 25201 25202 25197
+1- 1
+-1 1
+.names 25197 25198
+1 1
+.names 2413 25199
+1 1
+.names 25199 25200
+0 1
+.names 7155 25200 25201
+1- 1
+-1 1
+.names 654 25202
+0 1
+.names 7138 25206 25203
+1- 1
+-1 1
+.names 25203 25204
+1 1
+.names 24254 25205
+1 1
+.names 25205 25206
+0 1
+.names 25198 25207
+0 1
+.names 25204 25208
+0 1
+.names 25222 25223 25209
+1- 1
+-1 1
+.names 25209 25210
+1 1
+.names 25210 25211
+0 1
+.names 2413 25212
+1 1
+.names 25212 25213
+1 1
+.names 25213 25214
+0 1
+.names 25211 25214 25215
+1- 1
+-1 1
+.names 25243 25237 25216
+11 1
+.names 25216 25217
+1 1
+.names 25217 25218
+0 1
+.names 25234 25235 25219
+11 1
+.names 25219 25220
+1 1
+.names 25220 25221
+0 1
+.names 24229 25221 25222
+11 1
+.names 7162 23991 25223
+11 1
+.names 25228 25229 25224
+1- 1
+-1 1
+.names 25224 25225
+1 1
+.names 24145 25226
+1 1
+.names 25226 25227
+0 1
+.names 7161 25227 25228
+1- 1
+-1 1
+.names 665 25229
+0 1
+.names 7166 25233 25230
+1- 1
+-1 1
+.names 25230 25231
+1 1
+.names 2413 25232
+1 1
+.names 25232 25233
+0 1
+.names 25225 25234
+0 1
+.names 25231 25235
+0 1
+.names 24008 24245 25236
+1- 1
+-1 1
+.names 25236 25237
+1 1
+.names 24145 25240 25238
+1- 1
+-1 1
+.names 25238 25239
+1 1
+.names 1345 25240
+0 1
+.names 24173 24014 25241
+1- 1
+-1 1
+.names 25241 25242
+1 1
+.names 25242 25239 25243
+11 1
+.names 24106 25246 25244
+1- 1
+-1 1
+.names 25244 25245
+1 1
+.names 1346 25246
+0 1
+.names 24022 7152 25247
+1- 1
+-1 1
+.names 25247 25248
+1 1
+.names 25253 25254 25249
+1- 1
+-1 1
+.names 25249 25250
+1 1
+.names 24106 25251
+1 1
+.names 25251 25252
+0 1
+.names 7138 25252 25253
+1- 1
+-1 1
+.names 670 25254
+0 1
+.names 7166 25258 25255
+1- 1
+-1 1
+.names 25255 25256
+1 1
+.names 2413 25257
+1 1
+.names 25257 25258
+0 1
+.names 25264 25265 25259
+1- 1
+-1 1
+.names 25259 25260
+1 1
+.names 25266 25267 25261
+11 1
+.names 25261 25262
+1 1
+.names 25262 25263
+0 1
+.names 24229 25263 25264
+11 1
+.names 7162 24041 25265
+11 1
+.names 25250 25266
+0 1
+.names 25256 25267
+0 1
+.names 25274 25275 25268
+1- 1
+-1 1
+.names 25268 25269
+1 1
+.names 24173 24061 25270
+1- 1
+-1 1
+.names 25270 25271
+1 1
+.names 25271 25272
+0 1
+.names 25245 25273
+0 1
+.names 25272 25273 25274
+1- 1
+-1 1
+.names 25248 25275
+0 1
+.names 25278 25281 25276
+11 1
+.names 25276 25277
+1 1
+.names 25269 25278
+0 1
+.names 1783 25279
+1 1
+.names 25279 25280
+1 1
+.names 25280 25281
+0 1
+.names 25260 25282
+0 1
+.names 25277 25283
+0 1
+.names 25297 25298 25284
+1- 1
+-1 1
+.names 25284 25285
+1 1
+.names 25285 25286
+0 1
+.names 2413 25287
+1 1
+.names 25287 25288
+1 1
+.names 25288 25289
+0 1
+.names 25286 25289 25290
+1- 1
+-1 1
+.names 25301 25304 25291
+11 1
+.names 25291 25292
+1 1
+.names 25292 25293
+0 1
+.names 25311 25312 25294
+11 1
+.names 25294 25295
+1 1
+.names 25295 25296
+0 1
+.names 24229 25296 25297
+11 1
+.names 7162 24078 25298
+11 1
+.names 7164 1315 25299
+11 1
+.names 25299 25300
+1 1
+.names 25300 25301
+0 1
+.names 25319 25320 25302
+1- 1
+-1 1
+.names 25302 25303
+1 1
+.names 25303 25304
+0 1
+.names 7161 25308 25305
+1- 1
+-1 1
+.names 25305 25306
+1 1
+.names 2413 25307
+1 1
+.names 25307 25308
+0 1
+.names 25317 25318 25309
+1- 1
+-1 1
+.names 25309 25310
+1 1
+.names 25310 25311
+0 1
+.names 25306 25312
+0 1
+.names 24097 24245 25313
+1- 1
+-1 1
+.names 25313 25314
+1 1
+.names 24145 25315
+1 1
+.names 25315 25316
+0 1
+.names 7164 25316 25317
+1- 1
+-1 1
+.names 679 25318
+0 1
+.names 7156 1347 25319
+11 1
+.names 25314 25320
+0 1
+.names 25327 25328 25321
+1- 1
+-1 1
+.names 25321 25322
+1 1
+.names 7425 25323
+0 1
+.names 25330 25331 25324
+11 1
+.names 25324 25325
+1 1
+.names 25325 25326
+0 1
+.names 25323 25326 25327
+11 1
+.names 7425 2413 25328
+11 1
+.names 7417 25329
+0 1
+.names 7019 25329 25330
+11 1
+.names 7418 25331
+0 1
+.names 25337 25338 25332
+1- 1
+-1 1
+.names 25332 25333
+1 1
+.names 25322 25334
+0 1
+.names 2413 25331 25335
+1- 1
+-1 1
+.names 25335 25336
+1 1
+.names 6 7417 25337
+11 1
+.names 25336 25338
+0 1
+.names 25345 25347 25339
+1- 1
+-1 1
+.names 25339 25340
+1 1
+.names 7420 25341
+0 1
+.names 25348 25323 25342
+11 1
+.names 25342 25343
+1 1
+.names 25343 25344
+0 1
+.names 25341 25344 25345
+11 1
+.names 7063 25346
+0 1
+.names 7420 25346 25347
+11 1
+.names 7009 25329 25348
+11 1
+.names 25355 25356 25349
+1- 1
+-1 1
+.names 25349 25350
+1 1
+.names 25340 25351
+0 1
+.names 25354 25329 25352
+1- 1
+-1 1
+.names 25352 25353
+1 1
+.names 11 25354
+0 1
+.names 7425 7049 25355
+11 1
+.names 25353 25356
+0 1
+.names 25360 25361 25357
+11 1
+.names 25357 25358
+1 1
+.names 7416 25359
+0 1
+.names 7025 25359 25360
+11 1
+.names 7428 25361
+0 1
+.names 25375 25376 25362
+1- 1
+-1 1
+.names 25362 25363
+1 1
+.names 25369 25371 25364
+1- 1
+-1 1
+.names 25364 25365
+1 1
+.names 25365 25366
+0 1
+.names 7419 25367
+0 1
+.names 25358 25368
+0 1
+.names 25367 25368 25369
+11 1
+.names 7033 25370
+0 1
+.names 7419 25370 25371
+11 1
+.names 25374 25359 25372
+1- 1
+-1 1
+.names 25372 25373
+1 1
+.names 27 25374
+0 1
+.names 7428 7061 25375
+11 1
+.names 25373 25376
+0 1
+.names 25382 25384 25377
+1- 1
+-1 1
+.names 25377 25378
+1 1
+.names 25386 25331 25379
+11 1
+.names 25379 25380
+1 1
+.names 25380 25381
+0 1
+.names 25361 25381 25382
+11 1
+.names 7039 25383
+0 1
+.names 7428 25383 25384
+11 1
+.names 7415 25385
+0 1
+.names 7023 25385 25386
+11 1
+.names 25389 25331 25387
+1- 1
+-1 1
+.names 25387 25388
+1 1
+.names 7009 25389
+0 1
+.names 25392 25393 25390
+1- 1
+-1 1
+.names 25390 25391
+1 1
+.names 13 7415 25392
+11 1
+.names 25388 25393
+0 1
+.names 25391 25394
+0 1
+.names 25394 25395
+1 1
+.names 25395 25396
+0 1
+.names 25378 25397
+0 1
+.names 25400 25331 25398
+1- 1
+-1 1
+.names 25398 25399
+1 1
+.names 7023 25400
+0 1
+.names 25403 25404 25401
+1- 1
+-1 1
+.names 25401 25402
+1 1
+.names 15 7417 25403
+11 1
+.names 25399 25404
+0 1
+.names 25407 25331 25405
+11 1
+.names 25405 25406
+1 1
+.names 7047 25329 25407
+11 1
+.names 25412 25414 25408
+1- 1
+-1 1
+.names 25408 25409
+1 1
+.names 7429 25410
+0 1
+.names 25406 25411
+0 1
+.names 25410 25411 25412
+11 1
+.names 7037 25413
+0 1
+.names 7429 25413 25414
+11 1
+.names 25409 25415
+0 1
+.names 25421 25423 25416
+1- 1
+-1 1
+.names 25416 25417
+1 1
+.names 25424 25331 25418
+11 1
+.names 25418 25419
+1 1
+.names 25419 25420
+0 1
+.names 25361 25420 25421
+11 1
+.names 7021 25422
+0 1
+.names 7428 25422 25423
+11 1
+.names 7053 25385 25424
+11 1
+.names 25427 25331 25425
+1- 1
+-1 1
+.names 25425 25426
+1 1
+.names 7029 25427
+0 1
+.names 25430 25431 25428
+1- 1
+-1 1
+.names 25428 25429
+1 1
+.names 22 7415 25430
+11 1
+.names 25426 25431
+0 1
+.names 25429 25432
+0 1
+.names 25432 25433
+1 1
+.names 25433 25434
+0 1
+.names 25417 25435
+0 1
+.names 25438 25361 25436
+11 1
+.names 25436 25437
+1 1
+.names 7069 25359 25438
+11 1
+.names 25451 25452 25439
+1- 1
+-1 1
+.names 25439 25440
+1 1
+.names 25445 25447 25441
+1- 1
+-1 1
+.names 25441 25442
+1 1
+.names 25442 25443
+0 1
+.names 25437 25444
+0 1
+.names 25367 25444 25445
+11 1
+.names 7055 25446
+0 1
+.names 7419 25446 25447
+11 1
+.names 25450 25359 25448
+1- 1
+-1 1
+.names 25448 25449
+1 1
+.names 35 25450
+0 1
+.names 7428 7045 25451
+11 1
+.names 25449 25452
+0 1
+.names 25458 25460 25453
+1- 1
+-1 1
+.names 25453 25454
+1 1
+.names 25461 25331 25455
+11 1
+.names 25455 25456
+1 1
+.names 25456 25457
+0 1
+.names 25323 25457 25458
+11 1
+.names 7059 25459
+0 1
+.names 7425 25459 25460
+11 1
+.names 7061 25329 25461
+11 1
+.names 25468 25469 25462
+1- 1
+-1 1
+.names 25462 25463
+1 1
+.names 25454 25464
+0 1
+.names 25467 25331 25465
+1- 1
+-1 1
+.names 25465 25466
+1 1
+.names 7053 25467
+0 1
+.names 24 7417 25468
+11 1
+.names 25466 25469
+0 1
+.names 25472 25473 25470
+11 1
+.names 25470 25471
+1 1
+.names 7017 25359 25472
+11 1
+.names 7427 25473
+0 1
+.names 25485 25486 25474
+1- 1
+-1 1
+.names 25474 25475
+1 1
+.names 25480 25481 25476
+1- 1
+-1 1
+.names 25476 25477
+1 1
+.names 25477 25478
+0 1
+.names 25471 25479
+0 1
+.names 25367 25479 25480
+11 1
+.names 7419 25413 25481
+11 1
+.names 25484 25359 25482
+1- 1
+-1 1
+.names 25482 25483
+1 1
+.names 14 25484
+0 1
+.names 7427 7009 25485
+11 1
+.names 25483 25486
+0 1
+.names 25492 25494 25487
+1- 1
+-1 1
+.names 25487 25488
+1 1
+.names 25495 25331 25489
+11 1
+.names 25489 25490
+1 1
+.names 25490 25491
+0 1
+.names 25323 25491 25492
+11 1
+.names 7025 25493
+0 1
+.names 7425 25493 25494
+11 1
+.names 7043 25385 25495
+11 1
+.names 25498 25331 25496
+1- 1
+-1 1
+.names 25496 25497
+1 1
+.names 7035 25498
+0 1
+.names 25501 25502 25499
+1- 1
+-1 1
+.names 25499 25500
+1 1
+.names 30 7415 25501
+11 1
+.names 25497 25502
+0 1
+.names 25500 25503
+0 1
+.names 25503 25504
+1 1
+.names 25504 25505
+0 1
+.names 25488 25506
+0 1
+.names 25512 25514 25507
+1- 1
+-1 1
+.names 25507 25508
+1 1
+.names 25515 25410 25509
+11 1
+.names 25509 25510
+1 1
+.names 25510 25511
+0 1
+.names 25341 25511 25512
+11 1
+.names 7017 25513
+0 1
+.names 7420 25513 25514
+11 1
+.names 7051 25385 25515
+11 1
+.names 25522 25523 25516
+1- 1
+-1 1
+.names 25516 25517
+1 1
+.names 25508 25518
+0 1
+.names 25521 25385 25519
+1- 1
+-1 1
+.names 25519 25520
+1 1
+.names 16 25521
+0 1
+.names 7429 7023 25522
+11 1
+.names 25520 25523
+0 1
+.names 25526 25473 25524
+11 1
+.names 25524 25525
+1 1
+.names 7015 25359 25526
+11 1
+.names 25535 25538 25527
+1- 1
+-1 1
+.names 25527 25528
+1 1
+.names 25533 25534 25529
+1- 1
+-1 1
+.names 25529 25530
+1 1
+.names 25530 25531
+0 1
+.names 25525 25532
+0 1
+.names 25341 25532 25533
+11 1
+.names 7420 25493 25534
+11 1
+.names 29 7416 25535
+11 1
+.names 25539 25473 25536
+1- 1
+-1 1
+.names 25536 25537
+1 1
+.names 25537 25538
+0 1
+.names 7011 25539
+0 1
+.names 25545 25546 25540
+1- 1
+-1 1
+.names 25540 25541
+1 1
+.names 25548 25361 25542
+11 1
+.names 25542 25543
+1 1
+.names 25543 25544
+0 1
+.names 25341 25544 25545
+11 1
+.names 7420 2413 25546
+11 1
+.names 7426 25547
+0 1
+.names 7027 25547 25548
+11 1
+.names 25555 25556 25549
+1- 1
+-1 1
+.names 25549 25550
+1 1
+.names 25541 25551
+0 1
+.names 25554 25547 25552
+1- 1
+-1 1
+.names 25552 25553
+1 1
+.names 5 25554
+0 1
+.names 7428 1783 25555
+11 1
+.names 25553 25556
+0 1
+.names 25562 25564 25557
+1- 1
+-1 1
+.names 25557 25558
+1 1
+.names 25565 25361 25559
+11 1
+.names 25559 25560
+1 1
+.names 25560 25561
+0 1
+.names 25341 25561 25562
+11 1
+.names 7027 25563
+0 1
+.names 7420 25563 25564
+11 1
+.names 7057 25385 25565
+11 1
+.names 25572 25573 25566
+1- 1
+-1 1
+.names 25566 25567
+1 1
+.names 25558 25568
+0 1
+.names 25571 25385 25569
+1- 1
+-1 1
+.names 25569 25570
+1 1
+.names 7 25571
+0 1
+.names 7428 1783 25572
+11 1
+.names 25570 25573
+0 1
+.names 25579 25581 25574
+1- 1
+-1 1
+.names 25574 25575
+1 1
+.names 25582 25323 25576
+11 1
+.names 25576 25577
+1 1
+.names 25577 25578
+0 1
+.names 25547 25578 25579
+11 1
+.names 10 25580
+0 1
+.names 7426 25580 25581
+11 1
+.names 7039 25367 25582
+11 1
+.names 25586 25589 25583
+1- 1
+-1 1
+.names 25583 25584
+1 1
+.names 25575 25585
+0 1
+.names 7425 7057 25586
+11 1
+.names 25590 25367 25587
+1- 1
+-1 1
+.names 25587 25588
+1 1
+.names 25588 25589
+0 1
+.names 7049 25590
+0 1
+.names 25593 25473 25591
+11 1
+.names 25591 25592
+1 1
+.names 7037 25359 25593
+11 1
+.names 25605 25606 25594
+1- 1
+-1 1
+.names 25594 25595
+1 1
+.names 25600 25601 25596
+1- 1
+-1 1
+.names 25596 25597
+1 1
+.names 25597 25598
+0 1
+.names 25592 25599
+0 1
+.names 25367 25599 25600
+11 1
+.names 7419 25383 25601
+11 1
+.names 25604 25359 25602
+1- 1
+-1 1
+.names 25602 25603
+1 1
+.names 12 25604
+0 1
+.names 7427 7063 25605
+11 1
+.names 25603 25606
+0 1
+.names 25612 25613 25607
+1- 1
+-1 1
+.names 25607 25608
+1 1
+.names 25614 25331 25609
+11 1
+.names 25609 25610
+1 1
+.names 25610 25611
+0 1
+.names 25410 25611 25612
+11 1
+.names 7429 25427 25613
+11 1
+.names 7041 25385 25614
+11 1
+.names 25459 25331 25615
+1- 1
+-1 1
+.names 25615 25616
+1 1
+.names 25619 25620 25617
+1- 1
+-1 1
+.names 25617 25618
+1 1
+.names 23 7415 25619
+11 1
+.names 25616 25620
+0 1
+.names 25618 25621
+0 1
+.names 25621 25622
+1 1
+.names 25622 25623
+0 1
+.names 25608 25624
+0 1
+.names 25630 25631 25625
+1- 1
+-1 1
+.names 25625 25626
+1 1
+.names 25632 25323 25627
+11 1
+.names 25627 25628
+1 1
+.names 25628 25629
+0 1
+.names 25341 25629 25630
+11 1
+.names 7420 25422 25631
+11 1
+.names 7059 25547 25632
+11 1
+.names 25639 25640 25633
+1- 1
+-1 1
+.names 25633 25634
+1 1
+.names 25626 25635
+0 1
+.names 25638 25547 25636
+1- 1
+-1 1
+.names 25636 25637
+1 1
+.names 21 25638
+0 1
+.names 7425 7031 25639
+11 1
+.names 25637 25640
+0 1
+.names 25646 25648 25641
+1- 1
+-1 1
+.names 25641 25642
+1 1
+.names 25649 25473 25643
+11 1
+.names 25643 25644
+1 1
+.names 25644 25645
+0 1
+.names 25547 25645 25646
+11 1
+.names 28 25647
+0 1
+.names 7426 25647 25648
+11 1
+.names 7035 25367 25649
+11 1
+.names 25655 25656 25650
+1- 1
+-1 1
+.names 25650 25651
+1 1
+.names 25642 25652
+0 1
+.names 25539 25367 25653
+1- 1
+-1 1
+.names 25653 25654
+1 1
+.names 7033 7427 25655
+11 1
+.names 25654 25656
+0 1
+.names 25662 25664 25657
+1- 1
+-1 1
+.names 25657 25658
+1 1
+.names 25665 25410 25659
+11 1
+.names 25659 25660
+1 1
+.names 25660 25661
+0 1
+.names 25367 25661 25662
+11 1
+.names 7067 25663
+0 1
+.names 7419 25663 25664
+11 1
+.names 7071 25547 25665
+11 1
+.names 25669 25672 25666
+1- 1
+-1 1
+.names 25666 25667
+1 1
+.names 25658 25668
+0 1
+.names 7429 7055 25669
+11 1
+.names 25673 25547 25670
+1- 1
+-1 1
+.names 25670 25671
+1 1
+.names 25671 25672
+0 1
+.names 36 25673
+0 1
+.names 25679 25681 25674
+1- 1
+-1 1
+.names 25674 25675
+1 1
+.names 25682 25323 25676
+11 1
+.names 25676 25677
+1 1
+.names 25677 25678
+0 1
+.names 25547 25678 25679
+11 1
+.names 26 25680
+0 1
+.names 7426 25680 25681
+11 1
+.names 7011 25331 25682
+11 1
+.names 25689 25690 25683
+1- 1
+-1 1
+.names 25683 25684
+1 1
+.names 25675 25685
+0 1
+.names 25688 25331 25686
+1- 1
+-1 1
+.names 25686 25687
+1 1
+.names 7061 25688
+0 1
+.names 7041 7425 25689
+11 1
+.names 25687 25690
+0 1
+.names 25696 25698 25691
+1- 1
+-1 1
+.names 25691 25692
+1 1
+.names 25699 25410 25693
+11 1
+.names 25693 25694
+1 1
+.names 25694 25695
+0 1
+.names 25329 25695 25696
+11 1
+.names 18 25697
+0 1
+.names 7417 25697 25698
+11 1
+.names 7031 25341 25699
+11 1
+.names 25706 25707 25700
+1- 1
+-1 1
+.names 25700 25701
+1 1
+.names 25692 25702
+0 1
+.names 25705 25341 25703
+1- 1
+-1 1
+.names 25703 25704
+1 1
+.names 7051 25705
+0 1
+.names 7429 7047 25706
+11 1
+.names 25704 25707
+0 1
+.names 25710 25323 25708
+11 1
+.names 25708 25709
+1 1
+.names 7021 25359 25710
+11 1
+.names 25719 25720 25711
+1- 1
+-1 1
+.names 25711 25712
+1 1
+.names 25722 25724 25713
+1- 1
+-1 1
+.names 25713 25714
+1 1
+.names 25714 25715
+0 1
+.names 25718 25359 25716
+1- 1
+-1 1
+.names 25716 25717
+1 1
+.names 19 25718
+0 1
+.names 7425 7051 25719
+11 1
+.names 25717 25720
+0 1
+.names 25709 25721
+0 1
+.names 25367 25721 25722
+11 1
+.names 7013 25723
+0 1
+.names 7419 25723 25724
+11 1
+.names 25730 25732 25725
+1- 1
+-1 1
+.names 25725 25726
+1 1
+.names 25733 25323 25727
+11 1
+.names 25727 25728
+1 1
+.names 25728 25729
+0 1
+.names 25329 25729 25730
+11 1
+.names 33 25731
+0 1
+.names 7417 25731 25732
+11 1
+.names 7055 25367 25733
+11 1
+.names 25740 25741 25734
+1- 1
+-1 1
+.names 25734 25735
+1 1
+.names 25726 25736
+0 1
+.names 25739 25367 25737
+1- 1
+-1 1
+.names 25737 25738
+1 1
+.names 7065 25739
+0 1
+.names 7425 7043 25740
+11 1
+.names 25738 25741
+0 1
+.names 25747 25749 25742
+1- 1
+-1 1
+.names 25742 25743
+1 1
+.names 25750 25473 25744
+11 1
+.names 25744 25745
+1 1
+.names 25745 25746
+0 1
+.names 25547 25746 25747
+11 1
+.names 31 25748
+0 1
+.names 7426 25748 25749
+11 1
+.names 7065 25331 25750
+11 1
+.names 25757 25758 25751
+1- 1
+-1 1
+.names 25751 25752
+1 1
+.names 25743 25753
+0 1
+.names 25756 25331 25754
+1- 1
+-1 1
+.names 25754 25755
+1 1
+.names 7015 25756
+0 1
+.names 7427 7035 25757
+11 1
+.names 25755 25758
+0 1
+.names 25764 25766 25759
+1- 1
+-1 1
+.names 25759 25760
+1 1
+.names 25767 25473 25761
+11 1
+.names 25761 25762
+1 1
+.names 25762 25763
+0 1
+.names 25547 25763 25764
+11 1
+.names 17 25765
+0 1
+.names 7426 25765 25766
+11 1
+.names 7013 25341 25767
+11 1
+.names 25774 25775 25768
+1- 1
+-1 1
+.names 25768 25769
+1 1
+.names 25760 25770
+0 1
+.names 25773 25341 25771
+1- 1
+-1 1
+.names 25771 25772
+1 1
+.names 7047 25773
+0 1
+.names 7427 7017 25774
+11 1
+.names 25772 25775
+0 1
+.names 25781 25783 25776
+1- 1
+-1 1
+.names 25776 25777
+1 1
+.names 25784 25361 25778
+11 1
+.names 25778 25779
+1 1
+.names 25779 25780
+0 1
+.names 25385 25780 25781
+11 1
+.names 32 25782
+0 1
+.names 7415 25782 25783
+11 1
+.names 7045 25367 25784
+11 1
+.names 25791 25792 25785
+1- 1
+-1 1
+.names 25785 25786
+1 1
+.names 25777 25787
+0 1
+.names 25790 25367 25788
+1- 1
+-1 1
+.names 25788 25789
+1 1
+.names 7043 25790
+0 1
+.names 7428 7015 25791
+11 1
+.names 25789 25792
+0 1
+.names 25798 25800 25793
+1- 1
+-1 1
+.names 25793 25794
+1 1
+.names 25801 25410 25795
+11 1
+.names 25795 25796
+1 1
+.names 25796 25797
+0 1
+.names 25341 25797 25798
+11 1
+.names 7019 25799
+0 1
+.names 7420 25799 25800
+11 1
+.names 7049 25329 25801
+11 1
+.names 25808 25809 25802
+1- 1
+-1 1
+.names 25802 25803
+1 1
+.names 25794 25804
+0 1
+.names 25807 25329 25805
+1- 1
+-1 1
+.names 25805 25806
+1 1
+.names 8 25807
+0 1
+.names 7429 7027 25808
+11 1
+.names 25806 25809
+0 1
+.names 25815 25817 25810
+1- 1
+-1 1
+.names 25810 25811
+1 1
+.names 25818 25410 25812
+11 1
+.names 25812 25813
+1 1
+.names 25813 25814
+0 1
+.names 25341 25814 25815
+11 1
+.names 7057 25816
+0 1
+.names 7420 25816 25817
+11 1
+.names 7063 25329 25818
+11 1
+.names 25822 25825 25819
+1- 1
+-1 1
+.names 25819 25820
+1 1
+.names 25811 25821
+0 1
+.names 9 7417 25822
+11 1
+.names 25799 25410 25823
+1- 1
+-1 1
+.names 25823 25824
+1 1
+.names 25824 25825
+0 1
+.names 25831 25833 25826
+1- 1
+-1 1
+.names 25826 25827
+1 1
+.names 25834 25473 25828
+11 1
+.names 25828 25829
+1 1
+.names 25829 25830
+0 1
+.names 25385 25830 25831
+11 1
+.names 20 25832
+0 1
+.names 7415 25832 25833
+11 1
+.names 7029 25341 25834
+11 1
+.names 25838 25841 25835
+1- 1
+-1 1
+.names 25835 25836
+1 1
+.names 25827 25837
+0 1
+.names 7427 7013 25838
+11 1
+.names 25842 25341 25839
+1- 1
+-1 1
+.names 25839 25840
+1 1
+.names 25840 25841
+0 1
+.names 7031 25842
+0 1
+.names 25848 25850 25843
+1- 1
+-1 1
+.names 25843 25844
+1 1
+.names 25851 25361 25845
+11 1
+.names 25845 25846
+1 1
+.names 25846 25847
+0 1
+.names 25329 25847 25848
+11 1
+.names 25 25849
+0 1
+.names 7417 25849 25850
+11 1
+.names 7033 25367 25851
+11 1
+.names 25858 25859 25852
+1- 1
+-1 1
+.names 25852 25853
+1 1
+.names 25844 25854
+0 1
+.names 25857 25367 25855
+1- 1
+-1 1
+.names 25855 25856
+1 1
+.names 7041 25857
+0 1
+.names 7053 7428 25858
+11 1
+.names 25856 25859
+0 1
+.names 25862 25410 25860
+11 1
+.names 25860 25861
+1 1
+.names 7067 25359 25862
+11 1
+.names 25875 25876 25863
+1- 1
+-1 1
+.names 25863 25864
+1 1
+.names 25869 25871 25865
+1- 1
+-1 1
+.names 25865 25866
+1 1
+.names 25866 25867
+0 1
+.names 25861 25868
+0 1
+.names 25367 25868 25869
+11 1
+.names 7045 25870
+0 1
+.names 7419 25870 25871
+11 1
+.names 25874 25359 25872
+1- 1
+-1 1
+.names 25872 25873
+1 1
+.names 34 25874
+0 1
+.names 7429 7065 25875
+11 1
+.names 25873 25876
+0 1
+.names 25883 25885 25877
+1- 1
+-1 1
+.names 25877 25878
+1 1
+.names 7445 25879
+0 1
+.names 25915 25916 25880
+11 1
+.names 25880 25881
+1 1
+.names 25881 25882
+0 1
+.names 25879 25882 25883
+11 1
+.names 398 25884
+0 1
+.names 7445 25884 25885
+11 1
+.names 25894 25897 25886
+1- 1
+-1 1
+.names 25886 25887
+1 1
+.names 25925 25926 25888
+11 1
+.names 25888 25889
+1 1
+.names 25889 25890
+0 1
+.names 25928 25929 25891
+11 1
+.names 25891 25892
+1 1
+.names 25892 25893
+0 1
+.names 25890 25893 25894
+1- 1
+-1 1
+.names 25922 25924 25895
+11 1
+.names 25895 25896
+1 1
+.names 25896 25897
+0 1
+.names 25903 25904 25898
+1- 1
+-1 1
+.names 25898 25899
+1 1
+.names 7465 25900
+0 1
+.names 25900 25901
+1 1
+.names 25901 25902
+0 1
+.names 7480 25902 25903
+1- 1
+-1 1
+.names 7324 25904
+0 1
+.names 25907 25908 25905
+11 1
+.names 25905 25906
+1 1
+.names 7434 25907
+0 1
+.names 7455 25908
+0 1
+.names 25911 25912 25909
+11 1
+.names 25909 25910
+1 1
+.names 7442 25911
+0 1
+.names 7438 25912
+0 1
+.names 25918 25919 25913
+1- 1
+-1 1
+.names 25913 25914
+1 1
+.names 25914 25915
+0 1
+.names 25899 25916
+0 1
+.names 25878 25917
+0 1
+.names 25906 25918
+0 1
+.names 25910 25919
+0 1
+.names 47869 47870 56447
+1- 1
+-1 1
+.names 56447 25921
+0 1
+.names 25921 25911 25922
+1- 1
+-1 1
+.names 7480 25923
+0 1
+.names 25923 25493 25924
+1- 1
+-1 1
+.names 25900 25921 25925
+1- 1
+-1 1
+.names 2413 25908 25926
+1- 1
+-1 1
+.names 704 25927
+0 1
+.names 25907 25927 25928
+1- 1
+-1 1
+.names 25912 25493 25929
+1- 1
+-1 1
+.names 25935 25937 25930
+1- 1
+-1 1
+.names 25930 25931
+1 1
+.names 25965 25966 25932
+11 1
+.names 25932 25933
+1 1
+.names 25933 25934
+0 1
+.names 25879 25934 25935
+11 1
+.names 377 25936
+0 1
+.names 7445 25936 25937
+11 1
+.names 25946 25949 25938
+1- 1
+-1 1
+.names 25938 25939
+1 1
+.names 25970 25971 25940
+11 1
+.names 25940 25941
+1 1
+.names 25941 25942
+0 1
+.names 25975 25976 25943
+11 1
+.names 25943 25944
+1 1
+.names 25944 25945
+0 1
+.names 25942 25945 25946
+1- 1
+-1 1
+.names 25977 25979 25947
+11 1
+.names 25947 25948
+1 1
+.names 25948 25949
+0 1
+.names 25955 25956 25950
+1- 1
+-1 1
+.names 25950 25951
+1 1
+.names 7486 25952
+0 1
+.names 25952 25953
+1 1
+.names 25953 25954
+0 1
+.names 7483 25954 25955
+1- 1
+-1 1
+.names 7387 25956
+0 1
+.names 25959 25908 25957
+11 1
+.names 25957 25958
+1 1
+.names 7433 25959
+0 1
+.names 25911 25962 25960
+11 1
+.names 25960 25961
+1 1
+.names 7473 25962
+0 1
+.names 25972 25973 25963
+1- 1
+-1 1
+.names 25963 25964
+1 1
+.names 25964 25965
+0 1
+.names 25951 25966
+0 1
+.names 25931 25967
+0 1
+.names 47976 47977 56426
+1- 1
+-1 1
+.names 56426 25969
+0 1
+.names 25952 25969 25970
+1- 1
+-1 1
+.names 2413 25908 25971
+1- 1
+-1 1
+.names 25958 25972
+0 1
+.names 25961 25973
+0 1
+.names 683 25974
+0 1
+.names 25959 25974 25975
+1- 1
+-1 1
+.names 25962 25799 25976
+1- 1
+-1 1
+.names 2413 25911 25977
+1- 1
+-1 1
+.names 7483 25978
+0 1
+.names 25978 2413 25979
+1- 1
+-1 1
+.names 7469 25980
+1 1
+.names 25984 25985 25981
+1- 1
+-1 1
+.names 25981 25982
+1 1
+.names 25980 25983
+0 1
+.names 7481 25983 25984
+1- 1
+-1 1
+.names 7372 25985
+0 1
+.names 25988 25989 25986
+11 1
+.names 25986 25987
+1 1
+.names 7431 25988
+0 1
+.names 7476 25989
+0 1
+.names 25994 25995 25990
+11 1
+.names 25990 25991
+1 1
+.names 26019 26022 25992
+1- 1
+-1 1
+.names 25992 25993
+1 1
+.names 25993 25994
+0 1
+.names 25982 25995
+0 1
+.names 26030 26031 25996
+1- 1
+-1 1
+.names 25996 25997
+1 1
+.names 26005 26007 25998
+1- 1
+-1 1
+.names 25998 25999
+1 1
+.names 25999 26000
+0 1
+.names 7458 26001
+0 1
+.names 26001 26002
+1 1
+.names 26002 26003
+0 1
+.names 382 26004
+0 1
+.names 26003 26004 26005
+11 1
+.names 25991 26006
+0 1
+.names 26002 26006 26007
+11 1
+.names 26010 26013 26008
+11 1
+.names 26008 26009
+1 1
+.names 2413 7469 26010
+1- 1
+-1 1
+.names 47946 47947 56431
+1- 1
+-1 1
+.names 56431 26012
+0 1
+.names 26012 25989 26013
+1- 1
+-1 1
+.names 26016 26018 26014
+11 1
+.names 26014 26015
+1 1
+.names 25962 25389 26016
+1- 1
+-1 1
+.names 7481 26017
+0 1
+.names 26017 25389 26018
+1- 1
+-1 1
+.names 25987 26019
+0 1
+.names 25962 25952 26020
+11 1
+.names 26020 26021
+1 1
+.names 26021 26022
+0 1
+.names 26026 26027 26023
+11 1
+.names 26023 26024
+1 1
+.names 688 26025
+0 1
+.names 25988 26025 26026
+1- 1
+-1 1
+.names 25952 26012 26027
+1- 1
+-1 1
+.names 26015 26028
+0 1
+.names 26024 26029
+0 1
+.names 26028 26029 26030
+1- 1
+-1 1
+.names 26009 26031
+0 1
+.names 26034 26035 26032
+1- 1
+-1 1
+.names 26032 26033
+1 1
+.names 7457 26034
+0 1
+.names 384 26035
+0 1
+.names 26040 2413 26036
+1- 1
+-1 1
+.names 26036 26037
+1 1
+.names 26084 26038
+0 1
+.names 26038 26039
+1 1
+.names 26039 26040
+0 1
+.names 26096 26097 26041
+11 1
+.names 26041 26042
+1 1
+.names 26042 26043
+0 1
+.names 26051 26052 26044
+1- 1
+-1 1
+.names 26044 26045
+1 1
+.names 26045 26046
+0 1
+.names 7436 26047
+0 1
+.names 26079 26082 26048
+11 1
+.names 26048 26049
+1 1
+.names 26049 26050
+0 1
+.names 26047 26050 26051
+11 1
+.names 7436 25400 26052
+11 1
+.names 26055 26058 26053
+1- 1
+-1 1
+.names 26053 26054
+1 1
+.names 26033 26055
+0 1
+.names 7496 26056
+0 1
+.names 26056 26057
+1 1
+.names 26057 26058
+0 1
+.names 26055 26063 26059
+1- 1
+-1 1
+.names 26059 26060
+1 1
+.names 56433 26061
+0 1
+.names 26061 26062
+1 1
+.names 26062 26063
+0 1
+.names 48021 48022 56433
+1- 1
+-1 1
+.names 26067 26070 26065
+1- 1
+-1 1
+.names 26065 26066
+1 1
+.names 26054 26060 26067
+11 1
+.names 26086 26087 26068
+11 1
+.names 26068 26069
+1 1
+.names 26069 26070
+0 1
+.names 26075 26078 26071
+1- 1
+-1 1
+.names 26071 26072
+1 1
+.names 26083 26034 26073
+11 1
+.names 26073 26074
+1 1
+.names 26074 26075
+0 1
+.names 26056 26017 26076
+11 1
+.names 26076 26077
+1 1
+.names 26077 26078
+0 1
+.names 26072 26079
+0 1
+.names 26090 26091 26080
+1- 1
+-1 1
+.names 26080 26081
+1 1
+.names 26081 26082
+0 1
+.names 7478 26083
+0 1
+.names 7469 26084
+1 1
+.names 690 26085
+0 1
+.names 25959 26085 26086
+1- 1
+-1 1
+.names 26017 25400 26087
+1- 1
+-1 1
+.names 25959 26088
+1 1
+.names 7366 26089
+0 1
+.names 26038 26089 26090
+1- 1
+-1 1
+.names 26088 26091
+0 1
+.names 26094 26095 26092
+1- 1
+-1 1
+.names 26092 26093
+1 1
+.names 7478 56433 26094
+11 1
+.names 26037 26095
+0 1
+.names 26066 26096
+0 1
+.names 26093 26097
+0 1
+.names 26103 26105 26098
+1- 1
+-1 1
+.names 26098 26099
+1 1
+.names 26131 26132 26100
+11 1
+.names 26100 26101
+1 1
+.names 26101 26102
+0 1
+.names 26034 26102 26103
+11 1
+.names 386 26104
+0 1
+.names 7457 26104 26105
+11 1
+.names 26114 26117 26106
+1- 1
+-1 1
+.names 26106 26107
+1 1
+.names 26136 26137 26108
+11 1
+.names 26108 26109
+1 1
+.names 26109 26110
+0 1
+.names 26143 26144 26111
+11 1
+.names 26111 26112
+1 1
+.names 26112 26113
+0 1
+.names 26110 26113 26114
+1- 1
+-1 1
+.names 26138 26139 26115
+11 1
+.names 26115 26116
+1 1
+.names 26116 26117
+0 1
+.names 26122 26123 26118
+1- 1
+-1 1
+.names 26118 26119
+1 1
+.names 25900 26120
+1 1
+.names 26120 26121
+0 1
+.names 7481 26121 26122
+1- 1
+-1 1
+.names 7360 26123
+0 1
+.names 25959 26126 26124
+11 1
+.names 26124 26125
+1 1
+.names 7456 26126
+0 1
+.names 25911 25912 26127
+11 1
+.names 26127 26128
+1 1
+.names 26140 26141 26129
+1- 1
+-1 1
+.names 26129 26130
+1 1
+.names 26130 26131
+0 1
+.names 26119 26132
+0 1
+.names 26099 26133
+0 1
+.names 48061 48064 56435
+1- 1
+-1 1
+.names 56435 26135
+0 1
+.names 25900 26135 26136
+1- 1
+-1 1
+.names 2413 26126 26137
+1- 1
+-1 1
+.names 26135 25911 26138
+1- 1
+-1 1
+.names 26017 25773 26139
+1- 1
+-1 1
+.names 26125 26140
+0 1
+.names 26128 26141
+0 1
+.names 692 26142
+0 1
+.names 25959 26142 26143
+1- 1
+-1 1
+.names 25912 25773 26144
+1- 1
+-1 1
+.names 26150 26152 26145
+1- 1
+-1 1
+.names 26145 26146
+1 1
+.names 26164 26165 26147
+11 1
+.names 26147 26148
+1 1
+.names 26148 26149
+0 1
+.names 26034 26149 26150
+11 1
+.names 393 26151
+0 1
+.names 7457 26151 26152
+11 1
+.names 26158 26159 26153
+1- 1
+-1 1
+.names 26153 26154
+1 1
+.names 7487 26155
+0 1
+.names 26155 26156
+1 1
+.names 26156 26157
+0 1
+.names 7483 26157 26158
+1- 1
+-1 1
+.names 7339 26159
+0 1
+.names 25959 26126 26160
+11 1
+.names 26160 26161
+1 1
+.names 26181 26182 26162
+1- 1
+-1 1
+.names 26162 26163
+1 1
+.names 26163 26164
+0 1
+.names 26154 26165
+0 1
+.names 26190 26191 26166
+1- 1
+-1 1
+.names 26166 26167
+1 1
+.names 26146 26168
+0 1
+.names 26173 26174 26169
+11 1
+.names 26169 26170
+1 1
+.names 48095 48127 56442
+1- 1
+-1 1
+.names 56442 26172
+0 1
+.names 26155 26172 26173
+1- 1
+-1 1
+.names 2413 26126 26174
+1- 1
+-1 1
+.names 26083 25962 26175
+11 1
+.names 26175 26176
+1 1
+.names 26179 26180 26177
+11 1
+.names 26177 26178
+1 1
+.names 26172 26083 26179
+1- 1
+-1 1
+.names 25978 25467 26180
+1- 1
+-1 1
+.names 26161 26181
+0 1
+.names 26176 26182
+0 1
+.names 26186 26187 26183
+11 1
+.names 26183 26184
+1 1
+.names 699 26185
+0 1
+.names 25959 26185 26186
+1- 1
+-1 1
+.names 25962 25467 26187
+1- 1
+-1 1
+.names 26170 26188
+0 1
+.names 26184 26189
+0 1
+.names 26188 26189 26190
+1- 1
+-1 1
+.names 26178 26191
+0 1
+.names 26197 26199 26192
+1- 1
+-1 1
+.names 26192 26193
+1 1
+.names 26212 26213 26194
+11 1
+.names 26194 26195
+1 1
+.names 26195 26196
+0 1
+.names 25907 26196 26197
+11 1
+.names 712 26198
+0 1
+.names 7434 26198 26199
+11 1
+.names 26204 26205 26200
+1- 1
+-1 1
+.names 26200 26201
+1 1
+.names 25908 26202
+1 1
+.names 26202 26203
+0 1
+.names 7480 26203 26204
+1- 1
+-1 1
+.names 7300 26205
+0 1
+.names 25900 26034 26206
+11 1
+.names 26206 26207
+1 1
+.names 25911 26047 26208
+11 1
+.names 26208 26209
+1 1
+.names 26224 26225 26210
+1- 1
+-1 1
+.names 26210 26211
+1 1
+.names 26211 26212
+0 1
+.names 26201 26213
+0 1
+.names 26237 26238 26214
+1- 1
+-1 1
+.names 26214 26215
+1 1
+.names 26193 26216
+0 1
+.names 26221 26223 26217
+11 1
+.names 26217 26218
+1 1
+.names 48152 48177 56455
+1- 1
+-1 1
+.names 56455 26220
+0 1
+.names 26220 25911 26221
+1- 1
+-1 1
+.names 7069 26222
+0 1
+.names 25923 26222 26223
+1- 1
+-1 1
+.names 26207 26224
+0 1
+.names 26209 26225
+0 1
+.names 26228 26230 26226
+11 1
+.names 26226 26227
+1 1
+.names 2413 25908 26228
+1- 1
+-1 1
+.names 406 26229
+0 1
+.names 26034 26229 26230
+1- 1
+-1 1
+.names 26233 26234 26231
+11 1
+.names 26231 26232
+1 1
+.names 25900 26220 26233
+1- 1
+-1 1
+.names 26047 26222 26234
+1- 1
+-1 1
+.names 26227 26235
+0 1
+.names 26232 26236
+0 1
+.names 26235 26236 26237
+1- 1
+-1 1
+.names 26218 26238
+0 1
+.names 26241 26034 26239
+1- 1
+-1 1
+.names 26239 26240
+1 1
+.names 395 26241
+0 1
+.names 26251 26252 26242
+1- 1
+-1 1
+.names 26242 26243
+1 1
+.names 26243 26244
+0 1
+.names 26266 26269 26245
+11 1
+.names 26245 26246
+1 1
+.names 26246 26247
+0 1
+.names 26289 26290 26248
+11 1
+.names 26248 26249
+1 1
+.names 26249 26250
+0 1
+.names 26017 26250 26251
+11 1
+.names 7481 25688 26252
+11 1
+.names 26255 26258 26253
+1- 1
+-1 1
+.names 26253 26254
+1 1
+.names 26240 26255
+0 1
+.names 7475 26256
+0 1
+.names 26256 26257
+1 1
+.names 26257 26258
+0 1
+.names 26255 26263 26259
+1- 1
+-1 1
+.names 26259 26260
+1 1
+.names 56444 26261
+0 1
+.names 26261 26262
+1 1
+.names 26262 26263
+0 1
+.names 26270 26273 26264
+1- 1
+-1 1
+.names 26264 26265
+1 1
+.names 26265 26266
+0 1
+.names 26292 26295 26267
+1- 1
+-1 1
+.names 26267 26268
+1 1
+.names 26268 26269
+0 1
+.names 26254 26260 26270
+11 1
+.names 26296 26298 26271
+11 1
+.names 26271 26272
+1 1
+.names 26272 26273
+0 1
+.names 26277 26280 26274
+1- 1
+-1 1
+.names 26274 26275
+1 1
+.names 7333 26276
+0 1
+.names 7455 26276 26277
+1- 1
+-1 1
+.names 7492 26278
+0 1
+.names 26278 26279
+1 1
+.names 26279 26280
+0 1
+.names 26285 26288 26281
+1- 1
+-1 1
+.names 26281 26282
+1 1
+.names 26083 26034 26283
+11 1
+.names 26283 26284
+1 1
+.names 26284 26285
+0 1
+.names 26047 26256 26286
+11 1
+.names 26286 26287
+1 1
+.names 26287 26288
+0 1
+.names 26282 26289
+0 1
+.names 26275 26290
+0 1
+.names 48191 48221 56444
+1- 1
+-1 1
+.names 7478 56444 26292
+11 1
+.names 26047 25688 26293
+1- 1
+-1 1
+.names 26293 26294
+1 1
+.names 26294 26295
+0 1
+.names 25908 2413 26296
+1- 1
+-1 1
+.names 701 26297
+0 1
+.names 26297 26278 26298
+1- 1
+-1 1
+.names 26301 26302 26299
+11 1
+.names 26299 26300
+1 1
+.names 25912 25513 26301
+1- 1
+-1 1
+.names 25978 25513 26302
+1- 1
+-1 1
+.names 25912 26155 26303
+11 1
+.names 26303 26304
+1 1
+.names 25908 26305
+1 1
+.names 26309 26310 26306
+1- 1
+-1 1
+.names 26306 26307
+1 1
+.names 26305 26308
+0 1
+.names 7483 26308 26309
+1- 1
+-1 1
+.names 7363 26310
+0 1
+.names 26315 26316 26311
+11 1
+.names 26311 26312
+1 1
+.names 26339 26340 26313
+1- 1
+-1 1
+.names 26313 26314
+1 1
+.names 26314 26315
+0 1
+.names 26307 26316
+0 1
+.names 26320 26322 26317
+1- 1
+-1 1
+.names 26317 26318
+1 1
+.names 26312 26319
+0 1
+.names 26001 26319 26320
+11 1
+.names 385 26321
+0 1
+.names 7458 26321 26322
+11 1
+.names 26343 26344 26323
+1- 1
+-1 1
+.names 26323 26324
+1 1
+.names 26318 26325
+0 1
+.names 26328 26331 26326
+11 1
+.names 26326 26327
+1 1
+.names 2413 25908 26328
+1- 1
+-1 1
+.names 48251 48276 56434
+1- 1
+-1 1
+.names 56434 26330
+0 1
+.names 26330 25989 26331
+1- 1
+-1 1
+.names 26335 26336 26332
+11 1
+.names 26332 26333
+1 1
+.names 691 26334
+0 1
+.names 25988 26334 26335
+1- 1
+-1 1
+.names 26155 26330 26336
+1- 1
+-1 1
+.names 25988 25989 26337
+11 1
+.names 26337 26338
+1 1
+.names 26338 26339
+0 1
+.names 26304 26340
+0 1
+.names 26300 26341
+0 1
+.names 26333 26342
+0 1
+.names 26341 26342 26343
+1- 1
+-1 1
+.names 26327 26344
+0 1
+.names 26350 26352 26345
+1- 1
+-1 1
+.names 26345 26346
+1 1
+.names 26363 26364 26347
+11 1
+.names 26347 26348
+1 1
+.names 26348 26349
+0 1
+.names 26001 26349 26350
+11 1
+.names 401 26351
+0 1
+.names 7458 26351 26352
+11 1
+.names 26357 26358 26353
+1- 1
+-1 1
+.names 26353 26354
+1 1
+.names 26056 26355
+1 1
+.names 26355 26356
+0 1
+.names 7483 26356 26357
+1- 1
+-1 1
+.names 7315 26358
+0 1
+.names 25907 26126 26359
+11 1
+.names 26359 26360
+1 1
+.names 26376 26377 26361
+1- 1
+-1 1
+.names 26361 26362
+1 1
+.names 26362 26363
+0 1
+.names 26354 26364
+0 1
+.names 26386 26387 26365
+1- 1
+-1 1
+.names 26365 26366
+1 1
+.names 26346 26367
+0 1
+.names 26372 26373 26368
+11 1
+.names 26368 26369
+1 1
+.names 48292 48295 56450
+1- 1
+-1 1
+.names 56450 26371
+0 1
+.names 26056 26371 26372
+1- 1
+-1 1
+.names 2413 26126 26373
+1- 1
+-1 1
+.names 26083 25962 26374
+11 1
+.names 26374 26375
+1 1
+.names 26360 26376
+0 1
+.names 26375 26377
+0 1
+.names 26380 26381 26378
+11 1
+.names 26378 26379
+1 1
+.names 26371 26083 26380
+1- 1
+-1 1
+.names 25978 25790 26381
+1- 1
+-1 1
+.names 26369 26382
+0 1
+.names 26389 26390 26383
+11 1
+.names 26383 26384
+1 1
+.names 26384 26385
+0 1
+.names 26382 26385 26386
+1- 1
+-1 1
+.names 26379 26387
+0 1
+.names 707 26388
+0 1
+.names 25907 26388 26389
+1- 1
+-1 1
+.names 25962 25790 26390
+1- 1
+-1 1
+.names 26396 26398 26391
+1- 1
+-1 1
+.names 26391 26392
+1 1
+.names 26424 26425 26393
+11 1
+.names 26393 26394
+1 1
+.names 26394 26395
+0 1
+.names 25907 26395 26396
+11 1
+.names 693 26397
+0 1
+.names 7434 26397 26398
+11 1
+.names 26407 26410 26399
+1- 1
+-1 1
+.names 26399 26400
+1 1
+.names 26431 26433 26401
+11 1
+.names 26401 26402
+1 1
+.names 26402 26403
+0 1
+.names 26436 26437 26404
+11 1
+.names 26404 26405
+1 1
+.names 26405 26406
+0 1
+.names 26403 26406 26407
+1- 1
+-1 1
+.names 26429 26430 26408
+11 1
+.names 26408 26409
+1 1
+.names 26409 26410
+0 1
+.names 26415 26416 26411
+1- 1
+-1 1
+.names 26411 26412
+1 1
+.names 7469 26413
+1 1
+.names 26413 26414
+0 1
+.names 7483 26414 26415
+1- 1
+-1 1
+.names 7357 26416
+0 1
+.names 26419 25879 26417
+11 1
+.names 26417 26418
+1 1
+.names 7493 26419
+0 1
+.names 25911 26047 26420
+11 1
+.names 26420 26421
+1 1
+.names 26434 26435 26422
+1- 1
+-1 1
+.names 26422 26423
+1 1
+.names 26423 26424
+0 1
+.names 26412 26425
+0 1
+.names 26392 26426
+0 1
+.names 48349 48352 56436
+1- 1
+-1 1
+.names 56436 26428
+0 1
+.names 26428 25911 26429
+1- 1
+-1 1
+.names 25978 25705 26430
+1- 1
+-1 1
+.names 2413 7469 26431
+1- 1
+-1 1
+.names 387 26432
+0 1
+.names 25879 26432 26433
+1- 1
+-1 1
+.names 26418 26434
+0 1
+.names 26421 26435
+0 1
+.names 26419 26428 26436
+1- 1
+-1 1
+.names 26047 25705 26437
+1- 1
+-1 1
+.names 7469 26438
+1 1
+.names 25879 26441 26439
+1- 1
+-1 1
+.names 26439 26440
+1 1
+.names 400 26441
+0 1
+.names 26451 26452 26442
+1- 1
+-1 1
+.names 26442 26443
+1 1
+.names 26443 26444
+0 1
+.names 26465 26468 26445
+11 1
+.names 26445 26446
+1 1
+.names 26446 26447
+0 1
+.names 26486 26487 26448
+11 1
+.names 26448 26449
+1 1
+.names 26449 26450
+0 1
+.names 26017 26450 26451
+11 1
+.names 7481 25756 26452
+11 1
+.names 26455 26457 26453
+1- 1
+-1 1
+.names 26453 26454
+1 1
+.names 26440 26455
+0 1
+.names 26256 26456
+1 1
+.names 26456 26457
+0 1
+.names 26455 26462 26458
+1- 1
+-1 1
+.names 26458 26459
+1 1
+.names 56449 26460
+0 1
+.names 26460 26461
+1 1
+.names 26461 26462
+0 1
+.names 26469 26472 26463
+1- 1
+-1 1
+.names 26463 26464
+1 1
+.names 26464 26465
+0 1
+.names 26494 26495 26466
+1- 1
+-1 1
+.names 26466 26467
+1 1
+.names 26467 26468
+0 1
+.names 26454 26459 26469
+11 1
+.names 26489 26490 26470
+11 1
+.names 26470 26471
+1 1
+.names 26471 26472
+0 1
+.names 26476 26477 26473
+1- 1
+-1 1
+.names 26473 26474
+1 1
+.names 26438 26475
+0 1
+.names 7476 26475 26476
+1- 1
+-1 1
+.names 7318 26477
+0 1
+.names 26482 26485 26478
+1- 1
+-1 1
+.names 26478 26479
+1 1
+.names 25879 25959 26480
+11 1
+.names 26480 26481
+1 1
+.names 26481 26482
+0 1
+.names 25962 26256 26483
+11 1
+.names 26483 26484
+1 1
+.names 26484 26485
+0 1
+.names 26474 26486
+0 1
+.names 26479 26487
+0 1
+.names 706 26488
+0 1
+.names 25959 26488 26489
+1- 1
+-1 1
+.names 7469 2413 26490
+1- 1
+-1 1
+.names 26460 25989 26491
+1- 1
+-1 1
+.names 26491 26492
+1 1
+.names 48425 48428 56449
+1- 1
+-1 1
+.names 7473 7015 26494
+11 1
+.names 26492 26495
+0 1
+.names 26501 26503 26496
+1- 1
+-1 1
+.names 26496 26497
+1 1
+.names 26531 26532 26498
+11 1
+.names 26498 26499
+1 1
+.names 26499 26500
+0 1
+.names 25879 26500 26501
+11 1
+.names 376 26502
+0 1
+.names 7445 26502 26503
+11 1
+.names 26512 26515 26504
+1- 1
+-1 1
+.names 26504 26505
+1 1
+.names 26534 26535 26506
+11 1
+.names 26506 26507
+1 1
+.names 26507 26508
+0 1
+.names 26537 26540 26509
+11 1
+.names 26509 26510
+1 1
+.names 26510 26511
+0 1
+.names 26508 26511 26512
+1- 1
+-1 1
+.names 26541 26542 26513
+11 1
+.names 26513 26514
+1 1
+.names 26514 26515
+0 1
+.names 26521 26522 26516
+1- 1
+-1 1
+.names 26516 26517
+1 1
+.names 7477 26518
+0 1
+.names 26518 26519
+1 1
+.names 26519 26520
+0 1
+.names 7455 26520 26521
+1- 1
+-1 1
+.names 7390 26522
+0 1
+.names 26527 26530 26523
+1- 1
+-1 1
+.names 26523 26524
+1 1
+.names 25923 25988 26525
+11 1
+.names 26525 26526
+1 1
+.names 26526 26527
+0 1
+.names 25912 26256 26528
+11 1
+.names 26528 26529
+1 1
+.names 26529 26530
+0 1
+.names 26524 26531
+0 1
+.names 26517 26532
+0 1
+.names 26497 26533
+0 1
+.names 2413 25908 26534
+1- 1
+-1 1
+.names 2413 26518 26535
+1- 1
+-1 1
+.names 682 26536
+0 1
+.names 25988 26536 26537
+1- 1
+-1 1
+.names 48472 48473 56425
+1- 1
+-1 1
+.names 56425 26539
+0 1
+.names 26256 26539 26540
+1- 1
+-1 1
+.names 25912 25563 26541
+1- 1
+-1 1
+.names 25923 2413 26542
+1- 1
+-1 1
+.names 26551 26554 26543
+1- 1
+-1 1
+.names 26543 26544
+1 1
+.names 26587 26588 26545
+11 1
+.names 26545 26546
+1 1
+.names 26546 26547
+0 1
+.names 26585 26586 26548
+11 1
+.names 26548 26549
+1 1
+.names 26549 26550
+0 1
+.names 26547 26550 26551
+1- 1
+-1 1
+.names 26574 26577 26552
+11 1
+.names 26552 26553
+1 1
+.names 26553 26554
+0 1
+.names 26559 26560 26555
+1- 1
+-1 1
+.names 26555 26556
+1 1
+.names 7469 26557
+1 1
+.names 26557 26558
+0 1
+.names 7483 26558 26559
+1- 1
+-1 1
+.names 7369 26560
+0 1
+.names 26278 25989 26561
+11 1
+.names 26561 26562
+1 1
+.names 25912 25952 26563
+11 1
+.names 26563 26564
+1 1
+.names 26569 26570 26565
+11 1
+.names 26565 26566
+1 1
+.names 26582 26583 26567
+1- 1
+-1 1
+.names 26567 26568
+1 1
+.names 26568 26569
+0 1
+.names 26556 26570
+0 1
+.names 26579 26581 26571
+1- 1
+-1 1
+.names 26571 26572
+1 1
+.names 26572 26573
+0 1
+.names 2413 7469 26574
+1- 1
+-1 1
+.names 48502 48528 56432
+1- 1
+-1 1
+.names 56432 26576
+0 1
+.names 26576 25989 26577
+1- 1
+-1 1
+.names 26566 26578
+0 1
+.names 26001 26578 26579
+11 1
+.names 383 26580
+0 1
+.names 7458 26580 26581
+11 1
+.names 26562 26582
+0 1
+.names 26564 26583
+0 1
+.names 689 26584
+0 1
+.names 26278 26584 26585
+1- 1
+-1 1
+.names 25952 26576 26586
+1- 1
+-1 1
+.names 25912 25413 26587
+1- 1
+-1 1
+.names 25978 25413 26588
+1- 1
+-1 1
+.names 26594 26596 26589
+1- 1
+-1 1
+.names 26589 26590
+1 1
+.names 26609 26610 26591
+11 1
+.names 26591 26592
+1 1
+.names 26592 26593
+0 1
+.names 25879 26593 26594
+11 1
+.names 394 26595
+0 1
+.names 7445 26595 26596
+11 1
+.names 26601 26602 26597
+1- 1
+-1 1
+.names 26597 26598
+1 1
+.names 7469 26599
+1 1
+.names 26599 26600
+0 1
+.names 7481 26600 26601
+1- 1
+-1 1
+.names 7336 26602
+0 1
+.names 25900 25912 26603
+11 1
+.names 26603 26604
+1 1
+.names 26518 25988 26605
+11 1
+.names 26605 26606
+1 1
+.names 26621 26622 26607
+1- 1
+-1 1
+.names 26607 26608
+1 1
+.names 26608 26609
+0 1
+.names 26598 26610
+0 1
+.names 26615 26616 26611
+11 1
+.names 26611 26612
+1 1
+.names 48548 48575 56443
+1- 1
+-1 1
+.names 56443 26614
+0 1
+.names 25900 26614 26615
+1- 1
+-1 1
+.names 7469 2413 26616
+1- 1
+-1 1
+.names 26619 26620 26617
+11 1
+.names 26617 26618
+1 1
+.names 26614 26518 26619
+1- 1
+-1 1
+.names 26017 25857 26620
+1- 1
+-1 1
+.names 26604 26621
+0 1
+.names 26606 26622
+0 1
+.names 26629 26618 26623
+11 1
+.names 26623 26624
+1 1
+.names 26624 26625
+0 1
+.names 26590 26626
+0 1
+.names 26631 26632 26627
+11 1
+.names 26627 26628
+1 1
+.names 26612 26628 26629
+11 1
+.names 700 26630
+0 1
+.names 25988 26630 26631
+1- 1
+-1 1
+.names 25912 25857 26632
+1- 1
+-1 1
+.names 26126 26633
+1 1
+.names 26419 26637 26634
+1- 1
+-1 1
+.names 26634 26635
+1 1
+.names 48595 48619 56441
+1- 1
+-1 1
+.names 56441 26637
+0 1
+.names 26643 26645 26638
+1- 1
+-1 1
+.names 26638 26639
+1 1
+.names 26676 26677 26640
+11 1
+.names 26640 26641
+1 1
+.names 26641 26642
+0 1
+.names 26278 26642 26643
+11 1
+.names 698 26644
+0 1
+.names 7492 26644 26645
+11 1
+.names 26648 26650 26646
+11 1
+.names 26646 26647
+1 1
+.names 2413 26126 26648
+1- 1
+-1 1
+.names 392 26649
+0 1
+.names 26034 26649 26650
+1- 1
+-1 1
+.names 26663 26664 26651
+1- 1
+-1 1
+.names 26651 26652
+1 1
+.names 25978 25459 26653
+1- 1
+-1 1
+.names 26653 26654
+1 1
+.names 26654 26655
+0 1
+.names 26637 25989 26656
+1- 1
+-1 1
+.names 26656 26657
+1 1
+.names 26657 26658
+0 1
+.names 26655 26658 26659
+1- 1
+-1 1
+.names 26047 25459 26660
+1- 1
+-1 1
+.names 26660 26661
+1 1
+.names 26661 26662
+0 1
+.names 26659 26662 26663
+1- 1
+-1 1
+.names 26635 26664
+0 1
+.names 26668 26669 26665
+1- 1
+-1 1
+.names 26665 26666
+1 1
+.names 26633 26667
+0 1
+.names 7483 26667 26668
+1- 1
+-1 1
+.names 7342 26669
+0 1
+.names 26419 26034 26670
+11 1
+.names 26670 26671
+1 1
+.names 25989 26047 26672
+11 1
+.names 26672 26673
+1 1
+.names 26681 26682 26674
+1- 1
+-1 1
+.names 26674 26675
+1 1
+.names 26675 26676
+0 1
+.names 26666 26677
+0 1
+.names 26639 26678
+0 1
+.names 26652 26678 26679
+1- 1
+-1 1
+.names 26647 26680
+0 1
+.names 26671 26681
+0 1
+.names 26673 26682
+0 1
+.names 26688 26690 26683
+1- 1
+-1 1
+.names 26683 26684
+1 1
+.names 26715 26716 26685
+11 1
+.names 26685 26686
+1 1
+.names 26686 26687
+0 1
+.names 25959 26687 26688
+11 1
+.names 705 26689
+0 1
+.names 7433 26689 26690
+11 1
+.names 26699 26702 26691
+1- 1
+-1 1
+.names 26691 26692
+1 1
+.names 26718 26720 26693
+11 1
+.names 26693 26694
+1 1
+.names 26694 26695
+0 1
+.names 26725 26726 26696
+11 1
+.names 26696 26697
+1 1
+.names 26697 26698
+0 1
+.names 26695 26698 26699
+1- 1
+-1 1
+.names 26727 26728 26700
+11 1
+.names 26700 26701
+1 1
+.names 26701 26702
+0 1
+.names 26707 26708 26703
+1- 1
+-1 1
+.names 26703 26704
+1 1
+.names 7469 26705
+1 1
+.names 26705 26706
+0 1
+.names 7480 26706 26707
+1- 1
+-1 1
+.names 7321 26708
+0 1
+.names 26419 26047 26709
+11 1
+.names 26709 26710
+1 1
+.names 25911 26001 26711
+11 1
+.names 26711 26712
+1 1
+.names 26721 26722 26713
+1- 1
+-1 1
+.names 26713 26714
+1 1
+.names 26714 26715
+0 1
+.names 26704 26716
+0 1
+.names 26684 26717
+0 1
+.names 2413 7469 26718
+1- 1
+-1 1
+.names 399 26719
+0 1
+.names 26001 26719 26720
+1- 1
+-1 1
+.names 26710 26721
+0 1
+.names 26712 26722
+0 1
+.names 48634 48664 56448
+1- 1
+-1 1
+.names 56448 26724
+0 1
+.names 26419 26724 26725
+1- 1
+-1 1
+.names 26047 25498 26726
+1- 1
+-1 1
+.names 26724 25911 26727
+1- 1
+-1 1
+.names 25923 25498 26728
+1- 1
+-1 1
+.names 26731 26001 26729
+1- 1
+-1 1
+.names 26729 26730
+1 1
+.names 407 26731
+0 1
+.names 26741 26743 26732
+1- 1
+-1 1
+.names 26732 26733
+1 1
+.names 26733 26734
+0 1
+.names 26756 26759 26735
+11 1
+.names 26735 26736
+1 1
+.names 26736 26737
+0 1
+.names 26776 26777 26738
+11 1
+.names 26738 26739
+1 1
+.names 26739 26740
+0 1
+.names 26047 26740 26741
+11 1
+.names 7071 26742
+0 1
+.names 7436 26742 26743
+11 1
+.names 26746 26748 26744
+1- 1
+-1 1
+.names 26744 26745
+1 1
+.names 26730 26746
+0 1
+.names 25952 26747
+1 1
+.names 26747 26748
+0 1
+.names 26746 26753 26749
+1- 1
+-1 1
+.names 26749 26750
+1 1
+.names 56456 26751
+0 1
+.names 26751 26752
+1 1
+.names 26752 26753
+0 1
+.names 26760 26763 26754
+1- 1
+-1 1
+.names 26754 26755
+1 1
+.names 26755 26756
+0 1
+.names 26779 26782 26757
+1- 1
+-1 1
+.names 26757 26758
+1 1
+.names 26758 26759
+0 1
+.names 26745 26750 26760
+11 1
+.names 26783 26784 26761
+11 1
+.names 26761 26762
+1 1
+.names 26762 26763
+0 1
+.names 26768 26769 26764
+1- 1
+-1 1
+.names 26764 26765
+1 1
+.names 7469 26766
+1 1
+.names 26766 26767
+0 1
+.names 7431 26767 26768
+1- 1
+-1 1
+.names 7297 26769
+0 1
+.names 25923 26518 26770
+11 1
+.names 26770 26771
+1 1
+.names 25952 26001 26772
+11 1
+.names 26772 26773
+1 1
+.names 26785 26786 26774
+1- 1
+-1 1
+.names 26774 26775
+1 1
+.names 26775 26776
+0 1
+.names 26765 26777
+0 1
+.names 48681 48702 56456
+1- 1
+-1 1
+.names 7477 56456 26779
+11 1
+.names 26787 25988 26780
+1- 1
+-1 1
+.names 26780 26781
+1 1
+.names 26781 26782
+0 1
+.names 7469 2413 26783
+1- 1
+-1 1
+.names 25923 26742 26784
+1- 1
+-1 1
+.names 26771 26785
+0 1
+.names 26773 26786
+0 1
+.names 713 26787
+0 1
+.names 26793 26795 26788
+1- 1
+-1 1
+.names 26788 26789
+1 1
+.names 26820 26821 26790
+11 1
+.names 26790 26791
+1 1
+.names 26791 26792
+0 1
+.names 25879 26792 26793
+11 1
+.names 397 26794
+0 1
+.names 7445 26794 26795
+11 1
+.names 26804 26807 26796
+1- 1
+-1 1
+.names 26796 26797
+1 1
+.names 26827 26828 26798
+11 1
+.names 26798 26799
+1 1
+.names 26799 26800
+0 1
+.names 26832 26833 26801
+11 1
+.names 26801 26802
+1 1
+.names 26802 26803
+0 1
+.names 26800 26803 26804
+1- 1
+-1 1
+.names 26825 26826 26805
+11 1
+.names 26805 26806
+1 1
+.names 26806 26807
+0 1
+.names 26812 26813 26808
+1- 1
+-1 1
+.names 26808 26809
+1 1
+.names 26256 26810
+1 1
+.names 26810 26811
+0 1
+.names 7480 26811 26812
+1- 1
+-1 1
+.names 7327 26813
+0 1
+.names 26278 26126 26814
+11 1
+.names 26814 26815
+1 1
+.names 26518 26047 26816
+11 1
+.names 26816 26817
+1 1
+.names 26829 26830 26818
+1- 1
+-1 1
+.names 26818 26819
+1 1
+.names 26819 26820
+0 1
+.names 26809 26821
+0 1
+.names 26789 26822
+0 1
+.names 48743 48746 56446
+1- 1
+-1 1
+.names 56446 26824
+0 1
+.names 26824 26518 26825
+1- 1
+-1 1
+.names 25923 25539 26826
+1- 1
+-1 1
+.names 26256 26824 26827
+1- 1
+-1 1
+.names 2413 26126 26828
+1- 1
+-1 1
+.names 26815 26829
+0 1
+.names 26817 26830
+0 1
+.names 703 26831
+0 1
+.names 26278 26831 26832
+1- 1
+-1 1
+.names 26047 25539 26833
+1- 1
+-1 1
+.names 26839 26841 26834
+1- 1
+-1 1
+.names 26834 26835
+1 1
+.names 26852 26853 26836
+11 1
+.names 26836 26837
+1 1
+.names 26837 26838
+0 1
+.names 26034 26838 26839
+11 1
+.names 378 26840
+0 1
+.names 7457 26840 26841
+11 1
+.names 26846 26847 26842
+1- 1
+-1 1
+.names 26842 26843
+1 1
+.names 26056 26844
+1 1
+.names 26844 26845
+0 1
+.names 7483 26845 26846
+1- 1
+-1 1
+.names 7384 26847
+0 1
+.names 26278 26126 26848
+11 1
+.names 26848 26849
+1 1
+.names 26869 26870 26850
+1- 1
+-1 1
+.names 26850 26851
+1 1
+.names 26851 26852
+0 1
+.names 26843 26853
+0 1
+.names 26878 26879 26854
+1- 1
+-1 1
+.names 26854 26855
+1 1
+.names 26835 26856
+0 1
+.names 26083 25962 26857
+11 1
+.names 26857 26858
+1 1
+.names 26863 26864 26859
+11 1
+.names 26859 26860
+1 1
+.names 48785 48788 56427
+1- 1
+-1 1
+.names 56427 26862
+0 1
+.names 26056 26862 26863
+1- 1
+-1 1
+.names 2413 26126 26864
+1- 1
+-1 1
+.names 26867 26868 26865
+11 1
+.names 26865 26866
+1 1
+.names 26862 26083 26867
+1- 1
+-1 1
+.names 25978 25816 26868
+1- 1
+-1 1
+.names 26849 26869
+0 1
+.names 26858 26870
+0 1
+.names 26874 26875 26871
+11 1
+.names 26871 26872
+1 1
+.names 684 26873
+0 1
+.names 26278 26873 26874
+1- 1
+-1 1
+.names 25962 25816 26875
+1- 1
+-1 1
+.names 26860 26876
+0 1
+.names 26872 26877
+0 1
+.names 26876 26877 26878
+1- 1
+-1 1
+.names 26866 26879
+0 1
+.names 26885 26887 26880
+1- 1
+-1 1
+.names 26880 26881
+1 1
+.names 26910 26911 26882
+11 1
+.names 26882 26883
+1 1
+.names 26883 26884
+0 1
+.names 25988 26884 26885
+11 1
+.names 687 26886
+0 1
+.names 7431 26886 26887
+11 1
+.names 26896 26899 26888
+1- 1
+-1 1
+.names 26888 26889
+1 1
+.names 26917 26919 26890
+11 1
+.names 26890 26891
+1 1
+.names 26891 26892
+0 1
+.names 26922 26923 26893
+11 1
+.names 26893 26894
+1 1
+.names 26894 26895
+0 1
+.names 26892 26895 26896
+1- 1
+-1 1
+.names 26915 26916 26897
+11 1
+.names 26897 26898
+1 1
+.names 26898 26899
+0 1
+.names 26904 26905 26900
+1- 1
+-1 1
+.names 26900 26901
+1 1
+.names 7469 26902
+1 1
+.names 26902 26903
+0 1
+.names 7481 26903 26904
+1- 1
+-1 1
+.names 7375 26905
+0 1
+.names 25989 25879 26906
+11 1
+.names 26906 26907
+1 1
+.names 26924 26925 26908
+1- 1
+-1 1
+.names 26908 26909
+1 1
+.names 26909 26910
+0 1
+.names 26901 26911
+0 1
+.names 26881 26912
+0 1
+.names 48824 48850 56430
+1- 1
+-1 1
+.names 56430 26914
+0 1
+.names 25989 26914 26915
+1- 1
+-1 1
+.names 26017 25383 26916
+1- 1
+-1 1
+.names 7469 2413 26917
+1- 1
+-1 1
+.names 381 26918
+0 1
+.names 25879 26918 26919
+1- 1
+-1 1
+.names 26155 25962 26920
+11 1
+.names 26920 26921
+1 1
+.names 26155 26914 26922
+1- 1
+-1 1
+.names 25962 25383 26923
+1- 1
+-1 1
+.names 26921 26924
+0 1
+.names 26907 26925
+0 1
+.names 26001 26928 26926
+1- 1
+-1 1
+.names 26926 26927
+1 1
+.names 389 26928
+0 1
+.names 26980 26981 26929
+11 1
+.names 26929 26930
+1 1
+.names 26930 26931
+0 1
+.names 26938 26939 26932
+1- 1
+-1 1
+.names 26932 26933
+1 1
+.names 26933 26934
+0 1
+.names 26959 26962 26935
+11 1
+.names 26935 26936
+1 1
+.names 26936 26937
+0 1
+.names 25923 26937 26938
+11 1
+.names 7480 25842 26939
+11 1
+.names 26942 26944 26940
+1- 1
+-1 1
+.names 26940 26941
+1 1
+.names 26927 26942
+0 1
+.names 26155 26943
+1 1
+.names 26943 26944
+0 1
+.names 26942 26949 26945
+1- 1
+-1 1
+.names 26945 26946
+1 1
+.names 56438 26947
+0 1
+.names 26947 26948
+1 1
+.names 26948 26949
+0 1
+.names 48871 48890 56438
+1- 1
+-1 1
+.names 26955 26958 26951
+1- 1
+-1 1
+.names 26951 26952
+1 1
+.names 26001 25988 26953
+11 1
+.names 26953 26954
+1 1
+.names 26954 26955
+0 1
+.names 25962 26155 26956
+11 1
+.names 26956 26957
+1 1
+.names 26957 26958
+0 1
+.names 26952 26959
+0 1
+.names 26976 26977 26960
+1- 1
+-1 1
+.names 26960 26961
+1 1
+.names 26961 26962
+0 1
+.names 26966 26967 26963
+11 1
+.names 26963 26964
+1 1
+.names 695 26965
+0 1
+.names 25988 26965 26966
+1- 1
+-1 1
+.names 7469 2413 26967
+1- 1
+-1 1
+.names 25962 25842 26968
+1- 1
+-1 1
+.names 26968 26969
+1 1
+.names 26972 26973 26970
+1- 1
+-1 1
+.names 26970 26971
+1 1
+.names 7478 56438 26972
+11 1
+.names 26969 26973
+0 1
+.names 7469 26974
+1 1
+.names 26974 26975
+0 1
+.names 7478 26975 26976
+1- 1
+-1 1
+.names 7351 26977
+0 1
+.names 26982 26983 26978
+1- 1
+-1 1
+.names 26978 26979
+1 1
+.names 26979 26980
+0 1
+.names 26971 26981
+0 1
+.names 26941 26946 26982
+11 1
+.names 26964 26983
+0 1
+.names 26989 26991 26984
+1- 1
+-1 1
+.names 26984 26985
+1 1
+.names 27016 27017 26986
+11 1
+.names 26986 26987
+1 1
+.names 26987 26988
+0 1
+.names 26001 26988 26989
+11 1
+.names 390 26990
+0 1
+.names 7458 26990 26991
+11 1
+.names 27000 27003 26992
+1- 1
+-1 1
+.names 26992 26993
+1 1
+.names 27021 27022 26994
+11 1
+.names 26994 26995
+1 1
+.names 26995 26996
+0 1
+.names 27028 27029 26997
+11 1
+.names 26997 26998
+1 1
+.names 26998 26999
+0 1
+.names 26996 26999 27000
+1- 1
+-1 1
+.names 27023 27024 27001
+11 1
+.names 27001 27002
+1 1
+.names 27002 27003
+0 1
+.names 27008 27009 27004
+1- 1
+-1 1
+.names 27004 27005
+1 1
+.names 26056 27006
+1 1
+.names 27006 27007
+0 1
+.names 7480 27007 27008
+1- 1
+-1 1
+.names 7348 27009
+0 1
+.names 25959 26126 27010
+11 1
+.names 27010 27011
+1 1
+.names 25911 26047 27012
+11 1
+.names 27012 27013
+1 1
+.names 27025 27026 27014
+1- 1
+-1 1
+.names 27014 27015
+1 1
+.names 27015 27016
+0 1
+.names 27005 27017
+0 1
+.names 26985 27018
+0 1
+.names 48930 48931 56439
+1- 1
+-1 1
+.names 56439 27020
+0 1
+.names 26056 27020 27021
+1- 1
+-1 1
+.names 2413 26126 27022
+1- 1
+-1 1
+.names 27020 25911 27023
+1- 1
+-1 1
+.names 25923 25422 27024
+1- 1
+-1 1
+.names 27011 27025
+0 1
+.names 27013 27026
+0 1
+.names 696 27027
+0 1
+.names 25959 27027 27028
+1- 1
+-1 1
+.names 26047 25422 27029
+1- 1
+-1 1
+.names 27035 27037 27030
+1- 1
+-1 1
+.names 27030 27031
+1 1
+.names 27062 27063 27032
+11 1
+.names 27032 27033
+1 1
+.names 27033 27034
+0 1
+.names 26034 27034 27035
+11 1
+.names 404 27036
+0 1
+.names 7457 27036 27037
+11 1
+.names 27046 27049 27038
+1- 1
+-1 1
+.names 27038 27039
+1 1
+.names 27071 27072 27040
+11 1
+.names 27040 27041
+1 1
+.names 27041 27042
+0 1
+.names 27074 27075 27043
+11 1
+.names 27043 27044
+1 1
+.names 27044 27045
+0 1
+.names 27042 27045 27046
+1- 1
+-1 1
+.names 27067 27068 27047
+11 1
+.names 27047 27048
+1 1
+.names 27048 27049
+0 1
+.names 27054 27055 27050
+1- 1
+-1 1
+.names 27050 27051
+1 1
+.names 25900 27052
+1 1
+.names 27052 27053
+0 1
+.names 7483 27053 27054
+1- 1
+-1 1
+.names 7306 27055
+0 1
+.names 25959 26126 27056
+11 1
+.names 27056 27057
+1 1
+.names 26518 26047 27058
+11 1
+.names 27058 27059
+1 1
+.names 27069 27070 27060
+1- 1
+-1 1
+.names 27060 27061
+1 1
+.names 27061 27062
+0 1
+.names 27051 27063
+0 1
+.names 27031 27064
+0 1
+.names 49006 49007 56453
+1- 1
+-1 1
+.names 56453 27066
+0 1
+.names 27066 26518 27067
+1- 1
+-1 1
+.names 25978 25446 27068
+1- 1
+-1 1
+.names 27057 27069
+0 1
+.names 27059 27070
+0 1
+.names 25900 27066 27071
+1- 1
+-1 1
+.names 2413 26126 27072
+1- 1
+-1 1
+.names 710 27073
+0 1
+.names 25959 27073 27074
+1- 1
+-1 1
+.names 26047 25446 27075
+1- 1
+-1 1
+.names 27081 27083 27076
+1- 1
+-1 1
+.names 27076 27077
+1 1
+.names 27108 27109 27078
+11 1
+.names 27078 27079
+1 1
+.names 27079 27080
+0 1
+.names 26278 27080 27081
+11 1
+.names 694 27082
+0 1
+.names 7492 27082 27083
+11 1
+.names 27092 27095 27084
+1- 1
+-1 1
+.names 27084 27085
+1 1
+.names 27111 27113 27086
+11 1
+.names 27086 27087
+1 1
+.names 27087 27088
+0 1
+.names 27120 27121 27089
+11 1
+.names 27089 27090
+1 1
+.names 27090 27091
+0 1
+.names 27088 27091 27092
+1- 1
+-1 1
+.names 27116 27117 27093
+11 1
+.names 27093 27094
+1 1
+.names 27094 27095
+0 1
+.names 27100 27101 27096
+1- 1
+-1 1
+.names 27096 27097
+1 1
+.names 25908 27098
+1 1
+.names 27098 27099
+0 1
+.names 7481 27099 27100
+1- 1
+-1 1
+.names 7354 27101
+0 1
+.names 26419 26001 27102
+11 1
+.names 27102 27103
+1 1
+.names 25911 25912 27104
+11 1
+.names 27104 27105
+1 1
+.names 27118 27119 27106
+1- 1
+-1 1
+.names 27106 27107
+1 1
+.names 27107 27108
+0 1
+.names 27097 27109
+0 1
+.names 27077 27110
+0 1
+.names 2413 25908 27111
+1- 1
+-1 1
+.names 388 27112
+0 1
+.names 26001 27112 27113
+1- 1
+-1 1
+.names 49033 49034 56437
+1- 1
+-1 1
+.names 56437 27115
+0 1
+.names 27115 25911 27116
+1- 1
+-1 1
+.names 26017 25723 27117
+1- 1
+-1 1
+.names 27103 27118
+0 1
+.names 27105 27119
+0 1
+.names 26419 27115 27120
+1- 1
+-1 1
+.names 25912 25723 27121
+1- 1
+-1 1
+.names 27127 27129 27122
+1- 1
+-1 1
+.names 27122 27123
+1 1
+.names 27154 27155 27124
+11 1
+.names 27124 27125
+1 1
+.names 27125 27126
+0 1
+.names 25879 27126 27127
+11 1
+.names 402 27128
+0 1
+.names 7445 27128 27129
+11 1
+.names 27138 27141 27130
+1- 1
+-1 1
+.names 27130 27131
+1 1
+.names 27166 27167 27132
+11 1
+.names 27132 27133
+1 1
+.names 27133 27134
+0 1
+.names 27164 27165 27135
+11 1
+.names 27135 27136
+1 1
+.names 27136 27137
+0 1
+.names 27134 27137 27138
+1- 1
+-1 1
+.names 27161 27162 27139
+11 1
+.names 27139 27140
+1 1
+.names 27140 27141
+0 1
+.names 27146 27147 27142
+1- 1
+-1 1
+.names 27142 27143
+1 1
+.names 26256 27144
+1 1
+.names 27144 27145
+0 1
+.names 7481 27145 27146
+1- 1
+-1 1
+.names 7312 27147
+0 1
+.names 26278 25908 27148
+11 1
+.names 27148 27149
+1 1
+.names 25911 26047 27150
+11 1
+.names 27150 27151
+1 1
+.names 27157 27158 27152
+1- 1
+-1 1
+.names 27152 27153
+1 1
+.names 27153 27154
+0 1
+.names 27143 27155
+0 1
+.names 27123 27156
+0 1
+.names 27149 27157
+0 1
+.names 27151 27158
+0 1
+.names 49059 49089 56451
+1- 1
+-1 1
+.names 56451 27160
+0 1
+.names 27160 25911 27161
+1- 1
+-1 1
+.names 26017 25739 27162
+1- 1
+-1 1
+.names 708 27163
+0 1
+.names 26278 27163 27164
+1- 1
+-1 1
+.names 26047 25739 27165
+1- 1
+-1 1
+.names 26256 27160 27166
+1- 1
+-1 1
+.names 2413 25908 27167
+1- 1
+-1 1
+.names 27170 25879 27168
+1- 1
+-1 1
+.names 27168 27169
+1 1
+.names 403 27170
+0 1
+.names 27194 27197 27171
+11 1
+.names 27171 27172
+1 1
+.names 27172 27173
+0 1
+.names 27180 27181 27174
+1- 1
+-1 1
+.names 27174 27175
+1 1
+.names 27175 27176
+0 1
+.names 27216 27217 27177
+11 1
+.names 27177 27178
+1 1
+.names 27178 27179
+0 1
+.names 25912 27179 27180
+11 1
+.names 7438 25870 27181
+11 1
+.names 27184 27186 27182
+1- 1
+-1 1
+.names 27182 27183
+1 1
+.names 27169 27184
+0 1
+.names 25900 27185
+1 1
+.names 27185 27186
+0 1
+.names 27184 27191 27187
+1- 1
+-1 1
+.names 27187 27188
+1 1
+.names 56452 27189
+0 1
+.names 27189 27190
+1 1
+.names 27190 27191
+0 1
+.names 27198 27201 27192
+1- 1
+-1 1
+.names 27192 27193
+1 1
+.names 27193 27194
+0 1
+.names 27221 27224 27195
+1- 1
+-1 1
+.names 27195 27196
+1 1
+.names 27196 27197
+0 1
+.names 27183 27188 27198
+11 1
+.names 27218 27219 27199
+11 1
+.names 27199 27200
+1 1
+.names 27200 27201
+0 1
+.names 27206 27207 27202
+1- 1
+-1 1
+.names 27202 27203
+1 1
+.names 25908 27204
+1 1
+.names 27204 27205
+0 1
+.names 7431 27205 27206
+1- 1
+-1 1
+.names 7309 27207
+0 1
+.names 27212 27215 27208
+1- 1
+-1 1
+.names 27208 27209
+1 1
+.names 26083 25879 27210
+11 1
+.names 27210 27211
+1 1
+.names 27211 27212
+0 1
+.names 25900 26017 27213
+11 1
+.names 27213 27214
+1 1
+.names 27214 27215
+0 1
+.names 27209 27216
+0 1
+.names 27203 27217
+0 1
+.names 25908 2413 27218
+1- 1
+-1 1
+.names 26017 25870 27219
+1- 1
+-1 1
+.names 49113 49139 56452
+1- 1
+-1 1
+.names 7478 56452 27221
+11 1
+.names 27225 25988 27222
+1- 1
+-1 1
+.names 27222 27223
+1 1
+.names 27223 27224
+0 1
+.names 709 27225
+0 1
+.names 27231 27233 27226
+1- 1
+-1 1
+.names 27226 27227
+1 1
+.names 27258 27259 27228
+11 1
+.names 27228 27229
+1 1
+.names 27229 27230
+0 1
+.names 26034 27230 27231
+11 1
+.names 379 27232
+0 1
+.names 7457 27232 27233
+11 1
+.names 27242 27245 27234
+1- 1
+-1 1
+.names 27234 27235
+1 1
+.names 27267 27268 27236
+11 1
+.names 27236 27237
+1 1
+.names 27237 27238
+0 1
+.names 27270 27271 27239
+11 1
+.names 27239 27240
+1 1
+.names 27240 27241
+0 1
+.names 27238 27241 27242
+1- 1
+-1 1
+.names 27263 27264 27243
+11 1
+.names 27243 27244
+1 1
+.names 27244 27245
+0 1
+.names 27250 27251 27246
+1- 1
+-1 1
+.names 27246 27247
+1 1
+.names 25900 27248
+1 1
+.names 27248 27249
+0 1
+.names 7483 27249 27250
+1- 1
+-1 1
+.names 7381 27251
+0 1
+.names 26278 26126 27252
+11 1
+.names 27252 27253
+1 1
+.names 26518 25912 27254
+11 1
+.names 27254 27255
+1 1
+.names 27265 27266 27256
+1- 1
+-1 1
+.names 27256 27257
+1 1
+.names 27257 27258
+0 1
+.names 27247 27259
+0 1
+.names 27227 27260
+0 1
+.names 49168 49171 56428
+1- 1
+-1 1
+.names 56428 27262
+0 1
+.names 27262 26518 27263
+1- 1
+-1 1
+.names 25978 25590 27264
+1- 1
+-1 1
+.names 27253 27265
+0 1
+.names 27255 27266
+0 1
+.names 25900 27262 27267
+1- 1
+-1 1
+.names 2413 26126 27268
+1- 1
+-1 1
+.names 685 27269
+0 1
+.names 26278 27269 27270
+1- 1
+-1 1
+.names 25912 25590 27271
+1- 1
+-1 1
+.names 27277 27279 27272
+1- 1
+-1 1
+.names 27272 27273
+1 1
+.names 27304 27305 27274
+11 1
+.names 27274 27275
+1 1
+.names 27275 27276
+0 1
+.names 26034 27276 27277
+11 1
+.names 380 27278
+0 1
+.names 7457 27278 27279
+11 1
+.names 27288 27291 27280
+1- 1
+-1 1
+.names 27280 27281
+1 1
+.names 27313 27314 27282
+11 1
+.names 27282 27283
+1 1
+.names 27283 27284
+0 1
+.names 27316 27317 27285
+11 1
+.names 27285 27286
+1 1
+.names 27286 27287
+0 1
+.names 27284 27287 27288
+1- 1
+-1 1
+.names 27309 27310 27289
+11 1
+.names 27289 27290
+1 1
+.names 27290 27291
+0 1
+.names 27296 27297 27292
+1- 1
+-1 1
+.names 27292 27293
+1 1
+.names 26056 27294
+1 1
+.names 27294 27295
+0 1
+.names 7481 27295 27296
+1- 1
+-1 1
+.names 7378 27297
+0 1
+.names 25907 25908 27298
+11 1
+.names 27298 27299
+1 1
+.names 25911 25912 27300
+11 1
+.names 27300 27301
+1 1
+.names 27311 27312 27302
+1- 1
+-1 1
+.names 27302 27303
+1 1
+.names 27303 27304
+0 1
+.names 27293 27305
+0 1
+.names 27273 27306
+0 1
+.names 49214 49217 56429
+1- 1
+-1 1
+.names 56429 27308
+0 1
+.names 27308 25911 27309
+1- 1
+-1 1
+.names 26017 25346 27310
+1- 1
+-1 1
+.names 27299 27311
+0 1
+.names 27301 27312
+0 1
+.names 26056 27308 27313
+1- 1
+-1 1
+.names 2413 25908 27314
+1- 1
+-1 1
+.names 686 27315
+0 1
+.names 25907 27315 27316
+1- 1
+-1 1
+.names 25912 25346 27317
+1- 1
+-1 1
+.names 27324 27325 27318
+1- 1
+-1 1
+.names 27318 27319
+1 1
+.names 7468 27320
+0 1
+.names 27348 27349 27321
+11 1
+.names 27321 27322
+1 1
+.names 27322 27323
+0 1
+.names 27320 27323 27324
+11 1
+.names 7468 2413 27325
+11 1
+.names 27334 27337 27326
+1- 1
+-1 1
+.names 27326 27327
+1 1
+.names 27358 27360 27328
+11 1
+.names 27328 27329
+1 1
+.names 27329 27330
+0 1
+.names 27363 27364 27331
+11 1
+.names 27331 27332
+1 1
+.names 27332 27333
+0 1
+.names 27330 27333 27334
+1- 1
+-1 1
+.names 27352 27355 27335
+11 1
+.names 27335 27336
+1 1
+.names 27336 27337
+0 1
+.names 27341 27343 27338
+1- 1
+-1 1
+.names 27338 27339
+1 1
+.names 7345 27340
+0 1
+.names 7445 27340 27341
+1- 1
+-1 1
+.names 25907 27342
+1 1
+.names 27342 27343
+0 1
+.names 25923 25989 27344
+11 1
+.names 27344 27345
+1 1
+.names 27361 27362 27346
+1- 1
+-1 1
+.names 27346 27347
+1 1
+.names 27347 27348
+0 1
+.names 27339 27349
+0 1
+.names 27319 27350
+0 1
+.names 697 27351
+0 1
+.names 25907 27351 27352
+1- 1
+-1 1
+.names 49262 49265 56440
+1- 1
+-1 1
+.names 56440 27354
+0 1
+.names 25989 27354 27355
+1- 1
+-1 1
+.names 25962 26155 27356
+11 1
+.names 27356 27357
+1 1
+.names 25962 25427 27358
+1- 1
+-1 1
+.names 391 27359
+0 1
+.names 25879 27359 27360
+1- 1
+-1 1
+.names 27345 27361
+0 1
+.names 27357 27362
+0 1
+.names 25923 25427 27363
+1- 1
+-1 1
+.names 26155 27354 27364
+1- 1
+-1 1
+.names 27370 27372 27365
+1- 1
+-1 1
+.names 27365 27366
+1 1
+.names 27385 27386 27367
+11 1
+.names 27367 27368
+1 1
+.names 27368 27369
+0 1
+.names 26034 27369 27370
+11 1
+.names 396 27371
+0 1
+.names 7457 27371 27372
+11 1
+.names 27377 27378 27373
+1- 1
+-1 1
+.names 27373 27374
+1 1
+.names 7469 27375
+1 1
+.names 27375 27376
+0 1
+.names 7480 27376 27377
+1- 1
+-1 1
+.names 7330 27378
+0 1
+.names 25907 25989 27379
+11 1
+.names 27379 27380
+1 1
+.names 26047 26155 27381
+11 1
+.names 27381 27382
+1 1
+.names 27409 27410 27383
+1- 1
+-1 1
+.names 27383 27384
+1 1
+.names 27384 27385
+0 1
+.names 27374 27386
+0 1
+.names 27407 27408 27387
+1- 1
+-1 1
+.names 27387 27388
+1 1
+.names 27366 27389
+0 1
+.names 27393 27396 27390
+11 1
+.names 27390 27391
+1 1
+.names 702 27392
+0 1
+.names 25907 27392 27393
+1- 1
+-1 1
+.names 49298 49322 56445
+1- 1
+-1 1
+.names 56445 27395
+0 1
+.names 26155 27395 27396
+1- 1
+-1 1
+.names 27399 27400 27397
+11 1
+.names 27397 27398
+1 1
+.names 26047 25370 27399
+1- 1
+-1 1
+.names 25923 25370 27400
+1- 1
+-1 1
+.names 27403 27404 27401
+11 1
+.names 27401 27402
+1 1
+.names 2413 7469 27403
+1- 1
+-1 1
+.names 27395 25989 27404
+1- 1
+-1 1
+.names 27398 27405
+0 1
+.names 27391 27406
+0 1
+.names 27405 27406 27407
+1- 1
+-1 1
+.names 27402 27408
+0 1
+.names 27380 27409
+0 1
+.names 27382 27410
+0 1
+.names 27416 27418 27411
+1- 1
+-1 1
+.names 27411 27412
+1 1
+.names 27443 27444 27413
+11 1
+.names 27413 27414
+1 1
+.names 27414 27415
+0 1
+.names 26001 27415 27416
+11 1
+.names 405 27417
+0 1
+.names 7458 27417 27418
+11 1
+.names 27427 27430 27419
+1- 1
+-1 1
+.names 27419 27420
+1 1
+.names 27448 27449 27421
+11 1
+.names 27421 27422
+1 1
+.names 27422 27423
+0 1
+.names 27453 27454 27424
+11 1
+.names 27424 27425
+1 1
+.names 27425 27426
+0 1
+.names 27423 27426 27427
+1- 1
+-1 1
+.names 27450 27451 27428
+11 1
+.names 27428 27429
+1 1
+.names 27429 27430
+0 1
+.names 27435 27436 27431
+1- 1
+-1 1
+.names 27431 27432
+1 1
+.names 26256 27433
+1 1
+.names 27433 27434
+0 1
+.names 7480 27434 27435
+1- 1
+-1 1
+.names 7303 27436
+0 1
+.names 25907 26126 27437
+11 1
+.names 27437 27438
+1 1
+.names 25911 25912 27439
+11 1
+.names 27439 27440
+1 1
+.names 27455 27456 27441
+1- 1
+-1 1
+.names 27441 27442
+1 1
+.names 27442 27443
+0 1
+.names 27432 27444
+0 1
+.names 27412 27445
+0 1
+.names 49354 49357 56454
+1- 1
+-1 1
+.names 56454 27447
+0 1
+.names 26256 27447 27448
+1- 1
+-1 1
+.names 2413 26126 27449
+1- 1
+-1 1
+.names 27447 25911 27450
+1- 1
+-1 1
+.names 25923 25663 27451
+1- 1
+-1 1
+.names 711 27452
+0 1
+.names 25907 27452 27453
+1- 1
+-1 1
+.names 25912 25663 27454
+1- 1
+-1 1
+.names 27438 27455
+0 1
+.names 27440 27456
+0 1
+.names 7507 27457
+0 1
+.names 25389 27458
+1 1
+.names 27458 27459
+0 1
+.names 27457 27459 27460
+11 1
+.names 624 27461
+0 1
+.names 27461 27462
+1 1
+.names 27462 27463
+0 1
+.names 7507 27463 27464
+11 1
+.names 7501 27465
+0 1
+.names 25493 27466
+1 1
+.names 27466 27467
+0 1
+.names 27465 27467 27468
+11 1
+.names 640 27469
+0 1
+.names 27469 27470
+1 1
+.names 27470 27471
+0 1
+.names 7501 27471 27472
+11 1
+.names 25467 27473
+1 1
+.names 27473 27474
+0 1
+.names 27457 27474 27475
+11 1
+.names 635 27476
+0 1
+.names 27476 27477
+1 1
+.names 27477 27478
+0 1
+.names 7507 27478 27479
+11 1
+.names 27483 27487 56729
+1- 1
+-1 1
+.names 26222 27481
+1 1
+.names 27481 27482
+0 1
+.names 27465 27482 27483
+11 1
+.names 648 27484
+0 1
+.names 27484 27485
+1 1
+.names 27485 27486
+0 1
+.names 7501 27486 27487
+11 1
+.names 7502 27488
+0 1
+.names 25563 27489
+1 1
+.names 27489 27490
+0 1
+.names 27488 27490 27491
+11 1
+.names 618 27492
+0 1
+.names 27492 27493
+1 1
+.names 27493 27494
+0 1
+.names 7502 27494 27495
+11 1
+.names 27500 27504 56700
+1- 1
+-1 1
+.names 7504 27497
+0 1
+.names 25799 27498
+1 1
+.names 27498 27499
+0 1
+.names 27497 27499 27500
+11 1
+.names 619 27501
+0 1
+.names 27501 27502
+1 1
+.names 27502 27503
+0 1
+.names 7504 27503 27504
+11 1
+.names 25400 27505
+1 1
+.names 27505 27506
+0 1
+.names 27497 27506 27507
+11 1
+.names 626 27508
+0 1
+.names 27508 27509
+1 1
+.names 27509 27510
+0 1
+.names 7504 27510 27511
+11 1
+.names 25773 27512
+1 1
+.names 27512 27513
+0 1
+.names 27465 27513 27514
+11 1
+.names 628 27515
+0 1
+.names 27515 27516
+1 1
+.names 27516 27517
+0 1
+.names 7501 27517 27518
+11 1
+.names 25688 27519
+1 1
+.names 27519 27520
+0 1
+.names 27497 27520 27521
+11 1
+.names 637 27522
+0 1
+.names 27522 27523
+1 1
+.names 27523 27524
+0 1
+.names 7504 27524 27525
+11 1
+.names 25513 27526
+1 1
+.names 27526 27527
+0 1
+.names 27457 27527 27528
+11 1
+.names 627 27529
+0 1
+.names 27529 27530
+1 1
+.names 27530 27531
+0 1
+.names 7507 27531 27532
+11 1
+.names 7506 27533
+0 1
+.names 25790 27534
+1 1
+.names 27534 27535
+0 1
+.names 27533 27535 27536
+11 1
+.names 643 27537
+0 1
+.names 27537 27538
+1 1
+.names 27538 27539
+0 1
+.names 7506 27539 27540
+11 1
+.names 25705 27541
+1 1
+.names 27541 27542
+0 1
+.names 27488 27542 27543
+11 1
+.names 629 27544
+0 1
+.names 27544 27545
+1 1
+.names 27545 27546
+0 1
+.names 7502 27546 27547
+11 1
+.names 25756 27548
+1 1
+.names 27548 27549
+0 1
+.names 27488 27549 27550
+11 1
+.names 642 27551
+0 1
+.names 27551 27552
+1 1
+.names 27552 27553
+0 1
+.names 7502 27553 27554
+11 1
+.names 25816 27555
+1 1
+.names 27555 27556
+0 1
+.names 27488 27556 27557
+11 1
+.names 620 27558
+0 1
+.names 27558 27559
+1 1
+.names 27559 27560
+0 1
+.names 7502 27560 27561
+11 1
+.names 25383 27562
+1 1
+.names 27562 27563
+0 1
+.names 27533 27563 27564
+11 1
+.names 623 27565
+0 1
+.names 27565 27566
+1 1
+.names 27566 27567
+0 1
+.names 7506 27567 27568
+11 1
+.names 25413 27569
+1 1
+.names 27569 27570
+0 1
+.names 27465 27570 27571
+11 1
+.names 625 27572
+0 1
+.names 27572 27573
+1 1
+.names 27573 27574
+0 1
+.names 7501 27574 27575
+11 1
+.names 25857 27576
+1 1
+.names 27576 27577
+0 1
+.names 27533 27577 27578
+11 1
+.names 636 27579
+0 1
+.names 27579 27580
+1 1
+.names 27580 27581
+0 1
+.names 7506 27581 27582
+11 1
+.names 25459 27583
+1 1
+.names 27583 27584
+0 1
+.names 27497 27584 27585
+11 1
+.names 634 27586
+0 1
+.names 27586 27587
+1 1
+.names 27587 27588
+0 1
+.names 7504 27588 27589
+11 1
+.names 25498 27590
+1 1
+.names 27590 27591
+0 1
+.names 27497 27591 27592
+11 1
+.names 641 27593
+0 1
+.names 27593 27594
+1 1
+.names 27594 27595
+0 1
+.names 7504 27595 27596
+11 1
+.names 26742 27597
+1 1
+.names 27597 27598
+0 1
+.names 27457 27598 27599
+11 1
+.names 649 27600
+0 1
+.names 27600 27601
+1 1
+.names 27601 27602
+0 1
+.names 7507 27602 27603
+11 1
+.names 7505 27604
+0 1
+.names 25539 27605
+1 1
+.names 27605 27606
+0 1
+.names 27604 27606 27607
+11 1
+.names 639 27608
+0 1
+.names 27608 27609
+1 1
+.names 27609 27610
+0 1
+.names 7505 27610 27611
+11 1
+.names 25842 27612
+1 1
+.names 27612 27613
+0 1
+.names 27604 27613 27614
+11 1
+.names 631 27615
+0 1
+.names 27615 27616
+1 1
+.names 27616 27617
+0 1
+.names 7505 27617 27618
+11 1
+.names 25422 27619
+1 1
+.names 27619 27620
+0 1
+.names 27533 27620 27621
+11 1
+.names 632 27622
+0 1
+.names 27622 27623
+1 1
+.names 27623 27624
+0 1
+.names 7506 27624 27625
+11 1
+.names 25446 27626
+1 1
+.names 27626 27627
+0 1
+.names 27465 27627 27628
+11 1
+.names 646 27629
+0 1
+.names 27629 27630
+1 1
+.names 27630 27631
+0 1
+.names 7501 27631 27632
+11 1
+.names 25723 27633
+1 1
+.names 27633 27634
+0 1
+.names 27488 27634 27635
+11 1
+.names 630 27636
+0 1
+.names 27636 27637
+1 1
+.names 27637 27638
+0 1
+.names 7502 27638 27639
+11 1
+.names 25739 27640
+1 1
+.names 27640 27641
+0 1
+.names 27457 27641 27642
+11 1
+.names 644 27643
+0 1
+.names 27643 27644
+1 1
+.names 27644 27645
+0 1
+.names 7507 27645 27646
+11 1
+.names 25870 27647
+1 1
+.names 27647 27648
+0 1
+.names 27457 27648 27649
+11 1
+.names 645 27650
+0 1
+.names 27650 27651
+1 1
+.names 27651 27652
+0 1
+.names 7507 27652 27653
+11 1
+.names 25590 27654
+1 1
+.names 27654 27655
+0 1
+.names 27604 27655 27656
+11 1
+.names 621 27657
+0 1
+.names 27657 27658
+1 1
+.names 27658 27659
+0 1
+.names 7505 27659 27660
+11 1
+.names 25346 27661
+1 1
+.names 27661 27662
+0 1
+.names 27604 27662 27663
+11 1
+.names 622 27664
+0 1
+.names 27664 27665
+1 1
+.names 27665 27666
+0 1
+.names 7505 27666 27667
+11 1
+.names 25427 27668
+1 1
+.names 27668 27669
+0 1
+.names 27604 27669 27670
+11 1
+.names 633 27671
+0 1
+.names 27671 27672
+1 1
+.names 27672 27673
+0 1
+.names 7505 27673 27674
+11 1
+.names 25370 27675
+1 1
+.names 27675 27676
+0 1
+.names 27533 27676 27677
+11 1
+.names 638 27678
+0 1
+.names 27678 27679
+1 1
+.names 27679 27680
+0 1
+.names 7506 27680 27681
+11 1
+.names 25663 27682
+1 1
+.names 27682 27683
+0 1
+.names 27533 27683 27684
+11 1
+.names 647 27685
+0 1
+.names 27685 27686
+1 1
+.names 27686 27687
+0 1
+.names 7506 27687 27688
+11 1
+.names 27695 27696 27689
+1- 1
+-1 1
+.names 27689 27690
+1 1
+.names 7521 27691
+0 1
+.names 27697 27700 27692
+11 1
+.names 27692 27693
+1 1
+.names 27693 27694
+0 1
+.names 27691 27694 27695
+11 1
+.names 7521 25956 27696
+11 1
+.names 7522 27697
+0 1
+.names 7077 27698
+0 1
+.names 27698 27699
+1 1
+.names 27699 27700
+0 1
+.names 27501 27701
+1 1
+.names 27701 27702
+0 1
+.names 27697 27703
+1 1
+.names 27703 27704
+0 1
+.names 27702 27704 27705
+11 1
+.names 27690 27706
+0 1
+.names 27713 27714 27707
+1- 1
+-1 1
+.names 27707 27708
+1 1
+.names 7509 27709
+0 1
+.names 27697 27717 27710
+11 1
+.names 27710 27711
+1 1
+.names 27711 27712
+0 1
+.names 27709 27712 27713
+11 1
+.names 7509 25985 27714
+11 1
+.names 7072 27715
+0 1
+.names 27715 27716
+1 1
+.names 27716 27717
+0 1
+.names 27461 27718
+1 1
+.names 27718 27719
+0 1
+.names 27697 27720
+1 1
+.names 27720 27721
+0 1
+.names 27719 27721 27722
+11 1
+.names 27708 27723
+0 1
+.names 7516 27724
+0 1
+.names 27724 27725
+1 1
+.names 27724 27730 27726
+11 1
+.names 27726 27727
+1 1
+.names 7080 27728
+0 1
+.names 27728 27729
+1 1
+.names 27729 27730
+0 1
+.names 27734 27735 27731
+1- 1
+-1 1
+.names 27731 27732
+1 1
+.names 27727 27733
+0 1
+.names 27709 27733 27734
+11 1
+.names 7509 25904 27735
+11 1
+.names 27469 27736
+1 1
+.names 27725 27737
+0 1
+.names 27736 27738
+0 1
+.names 27737 27738 27739
+11 1
+.names 27732 27740
+0 1
+.names 27724 27741
+1 1
+.names 27724 27746 27742
+11 1
+.names 27742 27743
+1 1
+.names 7079 27744
+0 1
+.names 27744 27745
+1 1
+.names 27745 27746
+0 1
+.names 27750 27751 27747
+1- 1
+-1 1
+.names 27747 27748
+1 1
+.names 27743 27749
+0 1
+.names 27691 27749 27750
+11 1
+.names 7521 26089 27751
+11 1
+.names 27741 27752
+0 1
+.names 27508 27753
+1 1
+.names 27753 27754
+0 1
+.names 27752 27754 27755
+11 1
+.names 27748 27756
+0 1
+.names 27762 27763 27757
+1- 1
+-1 1
+.names 27757 27758
+1 1
+.names 27764 27767 27759
+11 1
+.names 27759 27760
+1 1
+.names 27760 27761
+0 1
+.names 27691 27761 27762
+11 1
+.names 7521 26123 27763
+11 1
+.names 7514 27764
+0 1
+.names 7091 27765
+0 1
+.names 27765 27766
+1 1
+.names 27766 27767
+0 1
+.names 27515 27768
+1 1
+.names 27768 27769
+0 1
+.names 27764 27770
+1 1
+.names 27770 27771
+0 1
+.names 27769 27771 27772
+11 1
+.names 27758 27773
+0 1
+.names 27779 27780 27774
+1- 1
+-1 1
+.names 27774 27775
+1 1
+.names 27781 27784 27776
+11 1
+.names 27776 27777
+1 1
+.names 27777 27778
+0 1
+.names 27691 27778 27779
+11 1
+.names 7521 26159 27780
+11 1
+.names 7517 27781
+0 1
+.names 7094 27782
+0 1
+.names 27782 27783
+1 1
+.names 27783 27784
+0 1
+.names 27476 27785
+1 1
+.names 27785 27786
+0 1
+.names 27781 27787
+1 1
+.names 27787 27788
+0 1
+.names 27786 27788 27789
+11 1
+.names 27775 27790
+0 1
+.names 27797 27798 27791
+1- 1
+-1 1
+.names 27791 27792
+1 1
+.names 7520 27793
+0 1
+.names 27764 27801 27794
+11 1
+.names 27794 27795
+1 1
+.names 27795 27796
+0 1
+.names 27793 27796 27797
+11 1
+.names 7520 26205 27798
+11 1
+.names 7102 27799
+0 1
+.names 27799 27800
+1 1
+.names 27800 27801
+0 1
+.names 27484 27802
+1 1
+.names 27802 27803
+0 1
+.names 27764 27804
+1 1
+.names 27804 27805
+0 1
+.names 27803 27805 27806
+11 1
+.names 27792 27807
+0 1
+.names 27813 27814 27808
+1- 1
+-1 1
+.names 27808 27809
+1 1
+.names 27781 27817 27810
+11 1
+.names 27810 27811
+1 1
+.names 27811 27812
+0 1
+.names 27709 27812 27813
+11 1
+.names 7509 26276 27814
+11 1
+.names 7098 27815
+0 1
+.names 27815 27816
+1 1
+.names 27816 27817
+0 1
+.names 27522 27818
+1 1
+.names 27818 27819
+0 1
+.names 27781 27820
+1 1
+.names 27820 27821
+0 1
+.names 27819 27821 27822
+11 1
+.names 27809 27823
+0 1
+.names 27829 27830 27824
+1- 1
+-1 1
+.names 27824 27825
+1 1
+.names 27764 27833 27826
+11 1
+.names 27826 27827
+1 1
+.names 27827 27828
+0 1
+.names 27691 27828 27829
+11 1
+.names 7521 26522 27830
+11 1
+.names 7081 27831
+0 1
+.names 27831 27832
+1 1
+.names 27832 27833
+0 1
+.names 27492 27834
+1 1
+.names 27834 27835
+0 1
+.names 27764 27836
+1 1
+.names 27836 27837
+0 1
+.names 27835 27837 27838
+11 1
+.names 27825 27839
+0 1
+.names 27724 27840
+1 1
+.names 27724 27845 27841
+11 1
+.names 27841 27842
+1 1
+.names 7076 27843
+0 1
+.names 27843 27844
+1 1
+.names 27844 27845
+0 1
+.names 27849 27850 27846
+1- 1
+-1 1
+.names 27846 27847
+1 1
+.names 27842 27848
+0 1
+.names 27793 27848 27849
+11 1
+.names 7520 26310 27850
+11 1
+.names 27529 27851
+1 1
+.names 27840 27852
+0 1
+.names 27851 27853
+0 1
+.names 27852 27853 27854
+11 1
+.names 27847 27855
+0 1
+.names 27724 27856
+1 1
+.names 27724 27861 27857
+11 1
+.names 27857 27858
+1 1
+.names 7089 27859
+0 1
+.names 27859 27860
+1 1
+.names 27860 27861
+0 1
+.names 27865 27866 27862
+1- 1
+-1 1
+.names 27862 27863
+1 1
+.names 27858 27864
+0 1
+.names 27709 27864 27865
+11 1
+.names 7509 26358 27866
+11 1
+.names 27537 27867
+1 1
+.names 27856 27868
+0 1
+.names 27867 27869
+0 1
+.names 27868 27869 27870
+11 1
+.names 27863 27871
+0 1
+.names 27877 27878 27872
+1- 1
+-1 1
+.names 27872 27873
+1 1
+.names 27764 27881 27874
+11 1
+.names 27874 27875
+1 1
+.names 27875 27876
+0 1
+.names 27691 27876 27877
+11 1
+.names 7521 26416 27878
+11 1
+.names 7093 27879
+0 1
+.names 27879 27880
+1 1
+.names 27880 27881
+0 1
+.names 27544 27882
+1 1
+.names 27882 27883
+0 1
+.names 27764 27884
+1 1
+.names 27884 27885
+0 1
+.names 27883 27885 27886
+11 1
+.names 27873 27887
+0 1
+.names 27893 27894 27888
+1- 1
+-1 1
+.names 27888 27889
+1 1
+.names 27764 27897 27890
+11 1
+.names 27890 27891
+1 1
+.names 27891 27892
+0 1
+.names 27709 27892 27893
+11 1
+.names 7509 26477 27894
+11 1
+.names 7075 27895
+0 1
+.names 27895 27896
+1 1
+.names 27896 27897
+0 1
+.names 27551 27898
+1 1
+.names 27898 27899
+0 1
+.names 27764 27900
+1 1
+.names 27900 27901
+0 1
+.names 27899 27901 27902
+11 1
+.names 27889 27903
+0 1
+.names 27909 27910 27904
+1- 1
+-1 1
+.names 27904 27905
+1 1
+.names 27764 27913 27906
+11 1
+.names 27906 27907
+1 1
+.names 27907 27908
+0 1
+.names 27709 27908 27909
+11 1
+.names 7509 26560 27910
+11 1
+.names 7086 27911
+0 1
+.names 27911 27912
+1 1
+.names 27912 27913
+0 1
+.names 27572 27914
+1 1
+.names 27914 27915
+0 1
+.names 27764 27916
+1 1
+.names 27916 27917
+0 1
+.names 27915 27917 27918
+11 1
+.names 27905 27919
+0 1
+.names 27925 27926 27920
+1- 1
+-1 1
+.names 27920 27921
+1 1
+.names 27781 27929 27922
+11 1
+.names 27922 27923
+1 1
+.names 27923 27924
+0 1
+.names 27709 27924 27925
+11 1
+.names 7509 26602 27926
+11 1
+.names 7088 27927
+0 1
+.names 27927 27928
+1 1
+.names 27928 27929
+0 1
+.names 27579 27930
+1 1
+.names 27930 27931
+0 1
+.names 27781 27932
+1 1
+.names 27932 27933
+0 1
+.names 27931 27933 27934
+11 1
+.names 27921 27935
+0 1
+.names 27941 27942 27936
+1- 1
+-1 1
+.names 27936 27937
+1 1
+.names 27697 27945 27938
+11 1
+.names 27938 27939
+1 1
+.names 27939 27940
+0 1
+.names 27793 27940 27941
+11 1
+.names 7520 26669 27942
+11 1
+.names 7097 27943
+0 1
+.names 27943 27944
+1 1
+.names 27944 27945
+0 1
+.names 27586 27946
+1 1
+.names 27946 27947
+0 1
+.names 27697 27948
+1 1
+.names 27948 27949
+0 1
+.names 27947 27949 27950
+11 1
+.names 27937 27951
+0 1
+.names 27957 27958 27952
+1- 1
+-1 1
+.names 27952 27953
+1 1
+.names 27764 27961 27954
+11 1
+.names 27954 27955
+1 1
+.names 27955 27956
+0 1
+.names 27793 27956 27957
+11 1
+.names 7520 26708 27958
+11 1
+.names 7085 27959
+0 1
+.names 27959 27960
+1 1
+.names 27960 27961
+0 1
+.names 27764 27962
+1 1
+.names 27962 27963
+0 1
+.names 27593 27964
+1 1
+.names 27964 27965
+0 1
+.names 27963 27965 27966
+11 1
+.names 27953 27967
+0 1
+.names 27724 27968
+1 1
+.names 27724 27973 27969
+11 1
+.names 27969 27970
+1 1
+.names 7103 27971
+0 1
+.names 27971 27972
+1 1
+.names 27972 27973
+0 1
+.names 27977 27978 27974
+1- 1
+-1 1
+.names 27974 27975
+1 1
+.names 27970 27976
+0 1
+.names 27691 27976 27977
+11 1
+.names 7521 26769 27978
+11 1
+.names 27600 27979
+1 1
+.names 27968 27980
+0 1
+.names 27979 27981
+0 1
+.names 27980 27981 27982
+11 1
+.names 27975 27983
+0 1
+.names 27989 27990 27984
+1- 1
+-1 1
+.names 27984 27985
+1 1
+.names 27724 27993 27986
+11 1
+.names 27986 27987
+1 1
+.names 27987 27988
+0 1
+.names 27691 27988 27989
+11 1
+.names 7521 26847 27990
+11 1
+.names 7096 27991
+0 1
+.names 27991 27992
+1 1
+.names 27992 27993
+0 1
+.names 27558 27994
+1 1
+.names 27994 27995
+0 1
+.names 27724 27996
+1 1
+.names 27996 27997
+0 1
+.names 27995 27997 27998
+11 1
+.names 27985 27999
+0 1
+.names 28005 28006 28000
+1- 1
+-1 1
+.names 28000 28001
+1 1
+.names 27781 28009 28002
+11 1
+.names 28002 28003
+1 1
+.names 28003 28004
+0 1
+.names 27793 28004 28005
+11 1
+.names 7520 26905 28006
+11 1
+.names 7087 28007
+0 1
+.names 28007 28008
+1 1
+.names 28008 28009
+0 1
+.names 27565 28010
+1 1
+.names 28010 28011
+0 1
+.names 27781 28012
+1 1
+.names 28012 28013
+0 1
+.names 28011 28013 28014
+11 1
+.names 28001 28015
+0 1
+.names 28021 28022 28016
+1- 1
+-1 1
+.names 28016 28017
+1 1
+.names 27781 28025 28018
+11 1
+.names 28018 28019
+1 1
+.names 28019 28020
+0 1
+.names 27793 28020 28021
+11 1
+.names 7520 26813 28022
+11 1
+.names 7073 28023
+0 1
+.names 28023 28024
+1 1
+.names 28024 28025
+0 1
+.names 27608 28026
+1 1
+.names 28026 28027
+0 1
+.names 27781 28028
+1 1
+.names 28028 28029
+0 1
+.names 28027 28029 28030
+11 1
+.names 28017 28031
+0 1
+.names 28037 28038 28032
+1- 1
+-1 1
+.names 28032 28033
+1 1
+.names 27781 28041 28034
+11 1
+.names 28034 28035
+1 1
+.names 28035 28036
+0 1
+.names 27691 28036 28037
+11 1
+.names 7521 26977 28038
+11 1
+.names 7083 28039
+0 1
+.names 28039 28040
+1 1
+.names 28040 28041
+0 1
+.names 27615 28042
+1 1
+.names 28042 28043
+0 1
+.names 27781 28044
+1 1
+.names 28044 28045
+0 1
+.names 28043 28045 28046
+11 1
+.names 28033 28047
+0 1
+.names 28053 28054 28048
+1- 1
+-1 1
+.names 28048 28049
+1 1
+.names 27724 28057 28050
+11 1
+.names 28050 28051
+1 1
+.names 28051 28052
+0 1
+.names 27709 28052 28053
+11 1
+.names 7509 27009 28054
+11 1
+.names 7078 28055
+0 1
+.names 28055 28056
+1 1
+.names 28056 28057
+0 1
+.names 27724 28058
+1 1
+.names 28058 28059
+0 1
+.names 27622 28060
+1 1
+.names 28060 28061
+0 1
+.names 28059 28061 28062
+11 1
+.names 28049 28063
+0 1
+.names 27724 28064
+1 1
+.names 27724 28069 28065
+11 1
+.names 28065 28066
+1 1
+.names 7095 28067
+0 1
+.names 28067 28068
+1 1
+.names 28068 28069
+0 1
+.names 28073 28074 28070
+1- 1
+-1 1
+.names 28070 28071
+1 1
+.names 28066 28072
+0 1
+.names 27793 28072 28073
+11 1
+.names 7520 27055 28074
+11 1
+.names 27629 28075
+1 1
+.names 28064 28076
+0 1
+.names 28075 28077
+0 1
+.names 28076 28077 28078
+11 1
+.names 28071 28079
+0 1
+.names 28085 28086 28080
+1- 1
+-1 1
+.names 28080 28081
+1 1
+.names 27764 28089 28082
+11 1
+.names 28082 28083
+1 1
+.names 28083 28084
+0 1
+.names 27793 28084 28085
+11 1
+.names 7520 27101 28086
+11 1
+.names 7074 28087
+0 1
+.names 28087 28088
+1 1
+.names 28088 28089
+0 1
+.names 27636 28090
+1 1
+.names 28090 28091
+0 1
+.names 27764 28092
+1 1
+.names 28092 28093
+0 1
+.names 28091 28093 28094
+11 1
+.names 28081 28095
+0 1
+.names 28101 28102 28096
+1- 1
+-1 1
+.names 28096 28097
+1 1
+.names 27781 28105 28098
+11 1
+.names 28098 28099
+1 1
+.names 28099 28100
+0 1
+.names 27793 28100 28101
+11 1
+.names 7520 27147 28102
+11 1
+.names 7100 28103
+0 1
+.names 28103 28104
+1 1
+.names 28104 28105
+0 1
+.names 27643 28106
+1 1
+.names 28106 28107
+0 1
+.names 27781 28108
+1 1
+.names 28108 28109
+0 1
+.names 28107 28109 28110
+11 1
+.names 28097 28111
+0 1
+.names 27724 28112
+1 1
+.names 27724 28117 28113
+11 1
+.names 28113 28114
+1 1
+.names 7090 28115
+0 1
+.names 28115 28116
+1 1
+.names 28116 28117
+0 1
+.names 28121 28122 28118
+1- 1
+-1 1
+.names 28118 28119
+1 1
+.names 28114 28120
+0 1
+.names 27691 28120 28121
+11 1
+.names 7521 27207 28122
+11 1
+.names 27650 28123
+1 1
+.names 28112 28124
+0 1
+.names 28123 28125
+0 1
+.names 28124 28125 28126
+11 1
+.names 28119 28127
+0 1
+.names 28133 28134 28128
+1- 1
+-1 1
+.names 28128 28129
+1 1
+.names 27781 28137 28130
+11 1
+.names 28130 28131
+1 1
+.names 28131 28132
+0 1
+.names 27793 28132 28133
+11 1
+.names 7520 27251 28134
+11 1
+.names 7092 28135
+0 1
+.names 28135 28136
+1 1
+.names 28136 28137
+0 1
+.names 27657 28138
+1 1
+.names 28138 28139
+0 1
+.names 27781 28140
+1 1
+.names 28140 28141
+0 1
+.names 28139 28141 28142
+11 1
+.names 28129 28143
+0 1
+.names 28149 28150 28144
+1- 1
+-1 1
+.names 28144 28145
+1 1
+.names 27781 28153 28146
+11 1
+.names 28146 28147
+1 1
+.names 28147 28148
+0 1
+.names 27709 28148 28149
+11 1
+.names 7509 27297 28150
+11 1
+.names 7099 28151
+0 1
+.names 28151 28152
+1 1
+.names 28152 28153
+0 1
+.names 27664 28154
+1 1
+.names 28154 28155
+0 1
+.names 27781 28156
+1 1
+.names 28156 28157
+0 1
+.names 28155 28157 28158
+11 1
+.names 28145 28159
+0 1
+.names 27724 28160
+1 1
+.names 27724 28165 28161
+11 1
+.names 28161 28162
+1 1
+.names 7082 28163
+0 1
+.names 28163 28164
+1 1
+.names 28164 28165
+0 1
+.names 28169 28170 28166
+1- 1
+-1 1
+.names 28166 28167
+1 1
+.names 28162 28168
+0 1
+.names 27793 28168 28169
+11 1
+.names 7520 27340 28170
+11 1
+.names 28160 28171
+0 1
+.names 27671 28172
+1 1
+.names 28172 28173
+0 1
+.names 28171 28173 28174
+11 1
+.names 28167 28175
+0 1
+.names 28181 28182 28176
+1- 1
+-1 1
+.names 28176 28177
+1 1
+.names 27697 28185 28178
+11 1
+.names 28178 28179
+1 1
+.names 28179 28180
+0 1
+.names 27709 28180 28181
+11 1
+.names 7509 27378 28182
+11 1
+.names 7084 28183
+0 1
+.names 28183 28184
+1 1
+.names 28184 28185
+0 1
+.names 27678 28186
+1 1
+.names 28186 28187
+0 1
+.names 27697 28188
+1 1
+.names 28188 28189
+0 1
+.names 28187 28189 28190
+11 1
+.names 28177 28191
+0 1
+.names 28197 28198 28192
+1- 1
+-1 1
+.names 28192 28193
+1 1
+.names 27764 28201 28194
+11 1
+.names 28194 28195
+1 1
+.names 28195 28196
+0 1
+.names 27691 28196 28197
+11 1
+.names 7521 27436 28198
+11 1
+.names 7101 28199
+0 1
+.names 28199 28200
+1 1
+.names 28200 28201
+0 1
+.names 27685 28202
+1 1
+.names 28202 28203
+0 1
+.names 27764 28204
+1 1
+.names 28204 28205
+0 1
+.names 28203 28205 28206
+11 1
+.names 28193 28207
+0 1
+.names 28214 28215 28208
+1- 1
+-1 1
+.names 28208 28209
+1 1
+.names 7536 28210
+0 1
+.names 28216 28218 28211
+11 1
+.names 28211 28212
+1 1
+.names 28212 28213
+0 1
+.names 28210 28213 28214
+11 1
+.names 7536 27698 28215
+11 1
+.names 7537 28216
+0 1
+.names 25956 28217
+1 1
+.names 28217 28218
+0 1
+.names 28225 28226 28219
+1- 1
+-1 1
+.names 28219 28220
+1 1
+.names 2413 28221
+1 1
+.names 28221 28222
+0 1
+.names 28216 28223
+1 1
+.names 28223 28224
+0 1
+.names 28222 28224 28225
+11 1
+.names 28209 28226
+0 1
+.names 28233 28234 28227
+1- 1
+-1 1
+.names 28227 28228
+1 1
+.names 7524 28229
+0 1
+.names 28216 28236 28230
+11 1
+.names 28230 28231
+1 1
+.names 28231 28232
+0 1
+.names 28229 28232 28233
+11 1
+.names 7524 27715 28234
+11 1
+.names 25985 28235
+1 1
+.names 28235 28236
+0 1
+.names 28243 28244 28237
+1- 1
+-1 1
+.names 28237 28238
+1 1
+.names 25985 28239
+1 1
+.names 28239 28240
+0 1
+.names 28216 28241
+1 1
+.names 28241 28242
+0 1
+.names 28240 28242 28243
+11 1
+.names 28228 28244
+0 1
+.names 7531 28245
+0 1
+.names 28245 28246
+1 1
+.names 28245 28250 28247
+11 1
+.names 28247 28248
+1 1
+.names 25904 28249
+1 1
+.names 28249 28250
+0 1
+.names 28254 28255 28251
+1- 1
+-1 1
+.names 28251 28252
+1 1
+.names 28248 28253
+0 1
+.names 28229 28253 28254
+11 1
+.names 7524 27728 28255
+11 1
+.names 25904 28256
+1 1
+.names 28261 28262 28257
+1- 1
+-1 1
+.names 28257 28258
+1 1
+.names 28246 28259
+0 1
+.names 28256 28260
+0 1
+.names 28259 28260 28261
+11 1
+.names 28252 28262
+0 1
+.names 28245 28263
+1 1
+.names 28245 28267 28264
+11 1
+.names 28264 28265
+1 1
+.names 26089 28266
+1 1
+.names 28266 28267
+0 1
+.names 28271 28272 28268
+1- 1
+-1 1
+.names 28268 28269
+1 1
+.names 28265 28270
+0 1
+.names 28210 28270 28271
+11 1
+.names 7536 27744 28272
+11 1
+.names 28278 28279 28273
+1- 1
+-1 1
+.names 28273 28274
+1 1
+.names 28263 28275
+0 1
+.names 26089 28276
+1 1
+.names 28276 28277
+0 1
+.names 28275 28277 28278
+11 1
+.names 28269 28279
+0 1
+.names 28285 28286 28280
+1- 1
+-1 1
+.names 28280 28281
+1 1
+.names 28287 28289 28282
+11 1
+.names 28282 28283
+1 1
+.names 28283 28284
+0 1
+.names 28210 28284 28285
+11 1
+.names 7536 27765 28286
+11 1
+.names 7529 28287
+0 1
+.names 26123 28288
+1 1
+.names 28288 28289
+0 1
+.names 28296 28297 28290
+1- 1
+-1 1
+.names 28290 28291
+1 1
+.names 26123 28292
+1 1
+.names 28292 28293
+0 1
+.names 28287 28294
+1 1
+.names 28294 28295
+0 1
+.names 28293 28295 28296
+11 1
+.names 28281 28297
+0 1
+.names 28303 28304 28298
+1- 1
+-1 1
+.names 28298 28299
+1 1
+.names 28305 28307 28300
+11 1
+.names 28300 28301
+1 1
+.names 28301 28302
+0 1
+.names 28210 28302 28303
+11 1
+.names 7536 27782 28304
+11 1
+.names 7532 28305
+0 1
+.names 26159 28306
+1 1
+.names 28306 28307
+0 1
+.names 28314 28315 28308
+1- 1
+-1 1
+.names 28308 28309
+1 1
+.names 26159 28310
+1 1
+.names 28310 28311
+0 1
+.names 28305 28312
+1 1
+.names 28312 28313
+0 1
+.names 28311 28313 28314
+11 1
+.names 28299 28315
+0 1
+.names 28322 28323 28316
+1- 1
+-1 1
+.names 28316 28317
+1 1
+.names 7535 28318
+0 1
+.names 28287 28325 28319
+11 1
+.names 28319 28320
+1 1
+.names 28320 28321
+0 1
+.names 28318 28321 28322
+11 1
+.names 7535 27799 28323
+11 1
+.names 26205 28324
+1 1
+.names 28324 28325
+0 1
+.names 28332 28333 28326
+1- 1
+-1 1
+.names 28326 28327
+1 1
+.names 2413 28328
+1 1
+.names 28328 28329
+0 1
+.names 28287 28330
+1 1
+.names 28330 28331
+0 1
+.names 28329 28331 28332
+11 1
+.names 28317 28333
+0 1
+.names 28339 28340 28334
+1- 1
+-1 1
+.names 28334 28335
+1 1
+.names 28305 28342 28336
+11 1
+.names 28336 28337
+1 1
+.names 28337 28338
+0 1
+.names 28229 28338 28339
+11 1
+.names 7524 27815 28340
+11 1
+.names 26276 28341
+1 1
+.names 28341 28342
+0 1
+.names 28349 28350 28343
+1- 1
+-1 1
+.names 28343 28344
+1 1
+.names 26276 28345
+1 1
+.names 28345 28346
+0 1
+.names 28305 28347
+1 1
+.names 28347 28348
+0 1
+.names 28346 28348 28349
+11 1
+.names 28335 28350
+0 1
+.names 28356 28357 28351
+1- 1
+-1 1
+.names 28351 28352
+1 1
+.names 28287 28359 28353
+11 1
+.names 28353 28354
+1 1
+.names 28354 28355
+0 1
+.names 28210 28355 28356
+11 1
+.names 7536 27831 28357
+11 1
+.names 26522 28358
+1 1
+.names 28358 28359
+0 1
+.names 28366 28367 28360
+1- 1
+-1 1
+.names 28360 28361
+1 1
+.names 2413 28362
+1 1
+.names 28362 28363
+0 1
+.names 28287 28364
+1 1
+.names 28364 28365
+0 1
+.names 28363 28365 28366
+11 1
+.names 28352 28367
+0 1
+.names 28245 28368
+1 1
+.names 28245 28372 28369
+11 1
+.names 28369 28370
+1 1
+.names 26310 28371
+1 1
+.names 28371 28372
+0 1
+.names 28376 28377 28373
+1- 1
+-1 1
+.names 28373 28374
+1 1
+.names 28370 28375
+0 1
+.names 28318 28375 28376
+11 1
+.names 7535 27843 28377
+11 1
+.names 26310 28378
+1 1
+.names 28383 28384 28379
+1- 1
+-1 1
+.names 28379 28380
+1 1
+.names 28368 28381
+0 1
+.names 28378 28382
+0 1
+.names 28381 28382 28383
+11 1
+.names 28374 28384
+0 1
+.names 28245 28385
+1 1
+.names 28245 28389 28386
+11 1
+.names 28386 28387
+1 1
+.names 26358 28388
+1 1
+.names 28388 28389
+0 1
+.names 28393 28394 28390
+1- 1
+-1 1
+.names 28390 28391
+1 1
+.names 28387 28392
+0 1
+.names 28229 28392 28393
+11 1
+.names 7524 27859 28394
+11 1
+.names 26358 28395
+1 1
+.names 28400 28401 28396
+1- 1
+-1 1
+.names 28396 28397
+1 1
+.names 28385 28398
+0 1
+.names 28395 28399
+0 1
+.names 28398 28399 28400
+11 1
+.names 28391 28401
+0 1
+.names 28407 28408 28402
+1- 1
+-1 1
+.names 28402 28403
+1 1
+.names 28287 28410 28404
+11 1
+.names 28404 28405
+1 1
+.names 28405 28406
+0 1
+.names 28210 28406 28407
+11 1
+.names 7536 27879 28408
+11 1
+.names 26416 28409
+1 1
+.names 28409 28410
+0 1
+.names 28417 28418 28411
+1- 1
+-1 1
+.names 28411 28412
+1 1
+.names 26416 28413
+1 1
+.names 28413 28414
+0 1
+.names 28287 28415
+1 1
+.names 28415 28416
+0 1
+.names 28414 28416 28417
+11 1
+.names 28403 28418
+0 1
+.names 28424 28425 28419
+1- 1
+-1 1
+.names 28419 28420
+1 1
+.names 28287 28427 28421
+11 1
+.names 28421 28422
+1 1
+.names 28422 28423
+0 1
+.names 28229 28423 28424
+11 1
+.names 7524 27895 28425
+11 1
+.names 26477 28426
+1 1
+.names 28426 28427
+0 1
+.names 28434 28435 28428
+1- 1
+-1 1
+.names 28428 28429
+1 1
+.names 26477 28430
+1 1
+.names 28430 28431
+0 1
+.names 28287 28432
+1 1
+.names 28432 28433
+0 1
+.names 28431 28433 28434
+11 1
+.names 28420 28435
+0 1
+.names 28441 28442 28436
+1- 1
+-1 1
+.names 28436 28437
+1 1
+.names 28287 28444 28438
+11 1
+.names 28438 28439
+1 1
+.names 28439 28440
+0 1
+.names 28229 28440 28441
+11 1
+.names 7524 27911 28442
+11 1
+.names 26560 28443
+1 1
+.names 28443 28444
+0 1
+.names 28451 28452 28445
+1- 1
+-1 1
+.names 28445 28446
+1 1
+.names 26560 28447
+1 1
+.names 28447 28448
+0 1
+.names 28287 28449
+1 1
+.names 28449 28450
+0 1
+.names 28448 28450 28451
+11 1
+.names 28437 28452
+0 1
+.names 28458 28459 28453
+1- 1
+-1 1
+.names 28453 28454
+1 1
+.names 28305 28461 28455
+11 1
+.names 28455 28456
+1 1
+.names 28456 28457
+0 1
+.names 28229 28457 28458
+11 1
+.names 7524 27927 28459
+11 1
+.names 26602 28460
+1 1
+.names 28460 28461
+0 1
+.names 28468 28469 28462
+1- 1
+-1 1
+.names 28462 28463
+1 1
+.names 26602 28464
+1 1
+.names 28464 28465
+0 1
+.names 28305 28466
+1 1
+.names 28466 28467
+0 1
+.names 28465 28467 28468
+11 1
+.names 28454 28469
+0 1
+.names 28475 28476 28470
+1- 1
+-1 1
+.names 28470 28471
+1 1
+.names 28216 28478 28472
+11 1
+.names 28472 28473
+1 1
+.names 28473 28474
+0 1
+.names 28318 28474 28475
+11 1
+.names 7535 27943 28476
+11 1
+.names 26669 28477
+1 1
+.names 28477 28478
+0 1
+.names 28485 28486 28479
+1- 1
+-1 1
+.names 28479 28480
+1 1
+.names 26669 28481
+1 1
+.names 28481 28482
+0 1
+.names 28216 28483
+1 1
+.names 28483 28484
+0 1
+.names 28482 28484 28485
+11 1
+.names 28471 28486
+0 1
+.names 28492 28493 28487
+1- 1
+-1 1
+.names 28487 28488
+1 1
+.names 28287 28495 28489
+11 1
+.names 28489 28490
+1 1
+.names 28490 28491
+0 1
+.names 28318 28491 28492
+11 1
+.names 7535 27959 28493
+11 1
+.names 26708 28494
+1 1
+.names 28494 28495
+0 1
+.names 28502 28503 28496
+1- 1
+-1 1
+.names 28496 28497
+1 1
+.names 28287 28498
+1 1
+.names 28498 28499
+0 1
+.names 26708 28500
+1 1
+.names 28500 28501
+0 1
+.names 28499 28501 28502
+11 1
+.names 28488 28503
+0 1
+.names 28245 28504
+1 1
+.names 28245 28508 28505
+11 1
+.names 28505 28506
+1 1
+.names 26769 28507
+1 1
+.names 28507 28508
+0 1
+.names 28512 28513 28509
+1- 1
+-1 1
+.names 28509 28510
+1 1
+.names 28506 28511
+0 1
+.names 28210 28511 28512
+11 1
+.names 7536 27971 28513
+11 1
+.names 2413 28514
+1 1
+.names 28504 28515
+0 1
+.names 28514 28516
+0 1
+.names 28515 28516 28517
+11 1
+.names 28510 28518
+0 1
+.names 28524 28525 28519
+1- 1
+-1 1
+.names 28519 28520
+1 1
+.names 28245 28527 28521
+11 1
+.names 28521 28522
+1 1
+.names 28522 28523
+0 1
+.names 28210 28523 28524
+11 1
+.names 7536 27991 28525
+11 1
+.names 26847 28526
+1 1
+.names 28526 28527
+0 1
+.names 28534 28535 28528
+1- 1
+-1 1
+.names 28528 28529
+1 1
+.names 26847 28530
+1 1
+.names 28530 28531
+0 1
+.names 28245 28532
+1 1
+.names 28532 28533
+0 1
+.names 28531 28533 28534
+11 1
+.names 28520 28535
+0 1
+.names 28541 28542 28536
+1- 1
+-1 1
+.names 28536 28537
+1 1
+.names 28305 28544 28538
+11 1
+.names 28538 28539
+1 1
+.names 28539 28540
+0 1
+.names 28318 28540 28541
+11 1
+.names 7535 28007 28542
+11 1
+.names 26905 28543
+1 1
+.names 28543 28544
+0 1
+.names 28551 28552 28545
+1- 1
+-1 1
+.names 28545 28546
+1 1
+.names 26905 28547
+1 1
+.names 28547 28548
+0 1
+.names 28305 28549
+1 1
+.names 28549 28550
+0 1
+.names 28548 28550 28551
+11 1
+.names 28537 28552
+0 1
+.names 28558 28559 28553
+1- 1
+-1 1
+.names 28553 28554
+1 1
+.names 28305 28561 28555
+11 1
+.names 28555 28556
+1 1
+.names 28556 28557
+0 1
+.names 28318 28557 28558
+11 1
+.names 7535 28023 28559
+11 1
+.names 26813 28560
+1 1
+.names 28560 28561
+0 1
+.names 28568 28569 28562
+1- 1
+-1 1
+.names 28562 28563
+1 1
+.names 26813 28564
+1 1
+.names 28564 28565
+0 1
+.names 28305 28566
+1 1
+.names 28566 28567
+0 1
+.names 28565 28567 28568
+11 1
+.names 28554 28569
+0 1
+.names 28575 28576 28570
+1- 1
+-1 1
+.names 28570 28571
+1 1
+.names 28305 28578 28572
+11 1
+.names 28572 28573
+1 1
+.names 28573 28574
+0 1
+.names 28210 28574 28575
+11 1
+.names 7536 28039 28576
+11 1
+.names 26977 28577
+1 1
+.names 28577 28578
+0 1
+.names 28585 28586 28579
+1- 1
+-1 1
+.names 28579 28580
+1 1
+.names 26977 28581
+1 1
+.names 28581 28582
+0 1
+.names 28305 28583
+1 1
+.names 28583 28584
+0 1
+.names 28582 28584 28585
+11 1
+.names 28571 28586
+0 1
+.names 28592 28593 28587
+1- 1
+-1 1
+.names 28587 28588
+1 1
+.names 28245 28595 28589
+11 1
+.names 28589 28590
+1 1
+.names 28590 28591
+0 1
+.names 28229 28591 28592
+11 1
+.names 7524 28055 28593
+11 1
+.names 27009 28594
+1 1
+.names 28594 28595
+0 1
+.names 28602 28603 28596
+1- 1
+-1 1
+.names 28596 28597
+1 1
+.names 28245 28598
+1 1
+.names 28598 28599
+0 1
+.names 27009 28600
+1 1
+.names 28600 28601
+0 1
+.names 28599 28601 28602
+11 1
+.names 28588 28603
+0 1
+.names 28245 28604
+1 1
+.names 28245 28608 28605
+11 1
+.names 28605 28606
+1 1
+.names 27055 28607
+1 1
+.names 28607 28608
+0 1
+.names 28612 28613 28609
+1- 1
+-1 1
+.names 28609 28610
+1 1
+.names 28606 28611
+0 1
+.names 28318 28611 28612
+11 1
+.names 7535 28067 28613
+11 1
+.names 27055 28614
+1 1
+.names 28619 28620 28615
+1- 1
+-1 1
+.names 28615 28616
+1 1
+.names 28604 28617
+0 1
+.names 28614 28618
+0 1
+.names 28617 28618 28619
+11 1
+.names 28610 28620
+0 1
+.names 28626 28627 28621
+1- 1
+-1 1
+.names 28621 28622
+1 1
+.names 28287 28629 28623
+11 1
+.names 28623 28624
+1 1
+.names 28624 28625
+0 1
+.names 28318 28625 28626
+11 1
+.names 7535 28087 28627
+11 1
+.names 27101 28628
+1 1
+.names 28628 28629
+0 1
+.names 28636 28637 28630
+1- 1
+-1 1
+.names 28630 28631
+1 1
+.names 27101 28632
+1 1
+.names 28632 28633
+0 1
+.names 28287 28634
+1 1
+.names 28634 28635
+0 1
+.names 28633 28635 28636
+11 1
+.names 28622 28637
+0 1
+.names 28643 28644 28638
+1- 1
+-1 1
+.names 28638 28639
+1 1
+.names 28305 28646 28640
+11 1
+.names 28640 28641
+1 1
+.names 28641 28642
+0 1
+.names 28318 28642 28643
+11 1
+.names 7535 28103 28644
+11 1
+.names 27147 28645
+1 1
+.names 28645 28646
+0 1
+.names 28653 28654 28647
+1- 1
+-1 1
+.names 28647 28648
+1 1
+.names 27147 28649
+1 1
+.names 28649 28650
+0 1
+.names 28305 28651
+1 1
+.names 28651 28652
+0 1
+.names 28650 28652 28653
+11 1
+.names 28639 28654
+0 1
+.names 28245 28655
+1 1
+.names 28245 28659 28656
+11 1
+.names 28656 28657
+1 1
+.names 27207 28658
+1 1
+.names 28658 28659
+0 1
+.names 28663 28664 28660
+1- 1
+-1 1
+.names 28660 28661
+1 1
+.names 28657 28662
+0 1
+.names 28210 28662 28663
+11 1
+.names 7536 28115 28664
+11 1
+.names 27207 28665
+1 1
+.names 28670 28671 28666
+1- 1
+-1 1
+.names 28666 28667
+1 1
+.names 28655 28668
+0 1
+.names 28665 28669
+0 1
+.names 28668 28669 28670
+11 1
+.names 28661 28671
+0 1
+.names 28677 28678 28672
+1- 1
+-1 1
+.names 28672 28673
+1 1
+.names 28305 28680 28674
+11 1
+.names 28674 28675
+1 1
+.names 28675 28676
+0 1
+.names 28318 28676 28677
+11 1
+.names 7535 28135 28678
+11 1
+.names 27251 28679
+1 1
+.names 28679 28680
+0 1
+.names 28687 28688 28681
+1- 1
+-1 1
+.names 28681 28682
+1 1
+.names 27251 28683
+1 1
+.names 28683 28684
+0 1
+.names 28305 28685
+1 1
+.names 28685 28686
+0 1
+.names 28684 28686 28687
+11 1
+.names 28673 28688
+0 1
+.names 28694 28695 28689
+1- 1
+-1 1
+.names 28689 28690
+1 1
+.names 28305 28697 28691
+11 1
+.names 28691 28692
+1 1
+.names 28692 28693
+0 1
+.names 28229 28693 28694
+11 1
+.names 7524 28151 28695
+11 1
+.names 27297 28696
+1 1
+.names 28696 28697
+0 1
+.names 28704 28705 28698
+1- 1
+-1 1
+.names 28698 28699
+1 1
+.names 27297 28700
+1 1
+.names 28700 28701
+0 1
+.names 28305 28702
+1 1
+.names 28702 28703
+0 1
+.names 28701 28703 28704
+11 1
+.names 28690 28705
+0 1
+.names 28245 28706
+1 1
+.names 28245 28710 28707
+11 1
+.names 28707 28708
+1 1
+.names 27340 28709
+1 1
+.names 28709 28710
+0 1
+.names 28714 28715 28711
+1- 1
+-1 1
+.names 28711 28712
+1 1
+.names 28708 28713
+0 1
+.names 28318 28713 28714
+11 1
+.names 7535 28163 28715
+11 1
+.names 28721 28722 28716
+1- 1
+-1 1
+.names 28716 28717
+1 1
+.names 28706 28718
+0 1
+.names 27340 28719
+1 1
+.names 28719 28720
+0 1
+.names 28718 28720 28721
+11 1
+.names 28712 28722
+0 1
+.names 28728 28729 28723
+1- 1
+-1 1
+.names 28723 28724
+1 1
+.names 28216 28731 28725
+11 1
+.names 28725 28726
+1 1
+.names 28726 28727
+0 1
+.names 28229 28727 28728
+11 1
+.names 7524 28183 28729
+11 1
+.names 27378 28730
+1 1
+.names 28730 28731
+0 1
+.names 28738 28739 28732
+1- 1
+-1 1
+.names 28732 28733
+1 1
+.names 27378 28734
+1 1
+.names 28734 28735
+0 1
+.names 28216 28736
+1 1
+.names 28736 28737
+0 1
+.names 28735 28737 28738
+11 1
+.names 28724 28739
+0 1
+.names 28745 28746 28740
+1- 1
+-1 1
+.names 28740 28741
+1 1
+.names 28287 28748 28742
+11 1
+.names 28742 28743
+1 1
+.names 28743 28744
+0 1
+.names 28210 28744 28745
+11 1
+.names 7536 28199 28746
+11 1
+.names 27436 28747
+1 1
+.names 28747 28748
+0 1
+.names 28755 28756 28749
+1- 1
+-1 1
+.names 28749 28750
+1 1
+.names 27436 28751
+1 1
+.names 28751 28752
+0 1
+.names 28287 28753
+1 1
+.names 28753 28754
+0 1
+.names 28752 28754 28755
+11 1
+.names 28741 28756
+0 1
+.names 28763 28764 28757
+1- 1
+-1 1
+.names 28757 28758
+1 1
+.names 7550 28759
+0 1
+.names 28765 28767 28760
+11 1
+.names 28760 28761
+1 1
+.names 28761 28762
+0 1
+.names 28759 28762 28763
+11 1
+.names 7550 2413 28764
+11 1
+.names 7551 28765
+0 1
+.names 27698 28766
+1 1
+.names 28766 28767
+0 1
+.names 28774 28775 28768
+1- 1
+-1 1
+.names 28768 28769
+1 1
+.names 2413 28770
+1 1
+.names 28770 28771
+0 1
+.names 28765 28772
+1 1
+.names 28772 28773
+0 1
+.names 28771 28773 28774
+11 1
+.names 28758 28775
+0 1
+.names 28782 28783 28776
+1- 1
+-1 1
+.names 28776 28777
+1 1
+.names 7539 28778
+0 1
+.names 28765 28785 28779
+11 1
+.names 28779 28780
+1 1
+.names 28780 28781
+0 1
+.names 28778 28781 28782
+11 1
+.names 7539 2413 28783
+11 1
+.names 27715 28784
+1 1
+.names 28784 28785
+0 1
+.names 28792 28793 28786
+1- 1
+-1 1
+.names 28786 28787
+1 1
+.names 27715 28788
+1 1
+.names 28788 28789
+0 1
+.names 28765 28790
+1 1
+.names 28790 28791
+0 1
+.names 28789 28791 28792
+11 1
+.names 28777 28793
+0 1
+.names 7545 28794
+0 1
+.names 28794 28795
+1 1
+.names 28794 28799 28796
+11 1
+.names 28796 28797
+1 1
+.names 27728 28798
+1 1
+.names 28798 28799
+0 1
+.names 28803 28804 28800
+1- 1
+-1 1
+.names 28800 28801
+1 1
+.names 28797 28802
+0 1
+.names 28778 28802 28803
+11 1
+.names 7539 2413 28804
+11 1
+.names 27728 28805
+1 1
+.names 28810 28811 28806
+1- 1
+-1 1
+.names 28806 28807
+1 1
+.names 28795 28808
+0 1
+.names 28805 28809
+0 1
+.names 28808 28809 28810
+11 1
+.names 28801 28811
+0 1
+.names 28794 28812
+1 1
+.names 28794 28816 28813
+11 1
+.names 28813 28814
+1 1
+.names 27744 28815
+1 1
+.names 28815 28816
+0 1
+.names 28820 28821 28817
+1- 1
+-1 1
+.names 28817 28818
+1 1
+.names 28814 28819
+0 1
+.names 28759 28819 28820
+11 1
+.names 7550 2413 28821
+11 1
+.names 28827 28828 28822
+1- 1
+-1 1
+.names 28822 28823
+1 1
+.names 28812 28824
+0 1
+.names 27744 28825
+1 1
+.names 28825 28826
+0 1
+.names 28824 28826 28827
+11 1
+.names 28818 28828
+0 1
+.names 28834 28835 28829
+1- 1
+-1 1
+.names 28829 28830
+1 1
+.names 28836 28838 28831
+11 1
+.names 28831 28832
+1 1
+.names 28832 28833
+0 1
+.names 28759 28833 28834
+11 1
+.names 7550 2413 28835
+11 1
+.names 7543 28836
+0 1
+.names 27765 28837
+1 1
+.names 28837 28838
+0 1
+.names 28845 28846 28839
+1- 1
+-1 1
+.names 28839 28840
+1 1
+.names 27765 28841
+1 1
+.names 28841 28842
+0 1
+.names 28836 28843
+1 1
+.names 28843 28844
+0 1
+.names 28842 28844 28845
+11 1
+.names 28830 28846
+0 1
+.names 28852 28853 28847
+1- 1
+-1 1
+.names 28847 28848
+1 1
+.names 28854 28856 28849
+11 1
+.names 28849 28850
+1 1
+.names 28850 28851
+0 1
+.names 28759 28851 28852
+11 1
+.names 7550 2413 28853
+11 1
+.names 7546 28854
+0 1
+.names 27782 28855
+1 1
+.names 28855 28856
+0 1
+.names 28863 28864 28857
+1- 1
+-1 1
+.names 28857 28858
+1 1
+.names 27782 28859
+1 1
+.names 28859 28860
+0 1
+.names 28854 28861
+1 1
+.names 28861 28862
+0 1
+.names 28860 28862 28863
+11 1
+.names 28848 28864
+0 1
+.names 28871 28872 28865
+1- 1
+-1 1
+.names 28865 28866
+1 1
+.names 7549 28867
+0 1
+.names 28836 28874 28868
+11 1
+.names 28868 28869
+1 1
+.names 28869 28870
+0 1
+.names 28867 28870 28871
+11 1
+.names 7549 2413 28872
+11 1
+.names 27799 28873
+1 1
+.names 28873 28874
+0 1
+.names 28881 28882 28875
+1- 1
+-1 1
+.names 28875 28876
+1 1
+.names 2413 28877
+1 1
+.names 28877 28878
+0 1
+.names 28836 28879
+1 1
+.names 28879 28880
+0 1
+.names 28878 28880 28881
+11 1
+.names 28866 28882
+0 1
+.names 28888 28889 28883
+1- 1
+-1 1
+.names 28883 28884
+1 1
+.names 28854 28891 28885
+11 1
+.names 28885 28886
+1 1
+.names 28886 28887
+0 1
+.names 28778 28887 28888
+11 1
+.names 7539 2413 28889
+11 1
+.names 27815 28890
+1 1
+.names 28890 28891
+0 1
+.names 28898 28899 28892
+1- 1
+-1 1
+.names 28892 28893
+1 1
+.names 27815 28894
+1 1
+.names 28894 28895
+0 1
+.names 28854 28896
+1 1
+.names 28896 28897
+0 1
+.names 28895 28897 28898
+11 1
+.names 28884 28899
+0 1
+.names 28905 28906 28900
+1- 1
+-1 1
+.names 28900 28901
+1 1
+.names 28836 28908 28902
+11 1
+.names 28902 28903
+1 1
+.names 28903 28904
+0 1
+.names 28759 28904 28905
+11 1
+.names 7550 2413 28906
+11 1
+.names 27831 28907
+1 1
+.names 28907 28908
+0 1
+.names 28915 28916 28909
+1- 1
+-1 1
+.names 28909 28910
+1 1
+.names 2413 28911
+1 1
+.names 28911 28912
+0 1
+.names 28836 28913
+1 1
+.names 28913 28914
+0 1
+.names 28912 28914 28915
+11 1
+.names 28901 28916
+0 1
+.names 28794 28917
+1 1
+.names 28794 28921 28918
+11 1
+.names 28918 28919
+1 1
+.names 27843 28920
+1 1
+.names 28920 28921
+0 1
+.names 28925 28926 28922
+1- 1
+-1 1
+.names 28922 28923
+1 1
+.names 28919 28924
+0 1
+.names 28867 28924 28925
+11 1
+.names 7549 2413 28926
+11 1
+.names 27843 28927
+1 1
+.names 28932 28933 28928
+1- 1
+-1 1
+.names 28928 28929
+1 1
+.names 28917 28930
+0 1
+.names 28927 28931
+0 1
+.names 28930 28931 28932
+11 1
+.names 28923 28933
+0 1
+.names 28794 28934
+1 1
+.names 28794 28938 28935
+11 1
+.names 28935 28936
+1 1
+.names 27859 28937
+1 1
+.names 28937 28938
+0 1
+.names 28942 28943 28939
+1- 1
+-1 1
+.names 28939 28940
+1 1
+.names 28936 28941
+0 1
+.names 28778 28941 28942
+11 1
+.names 7539 2413 28943
+11 1
+.names 27859 28944
+1 1
+.names 28949 28950 28945
+1- 1
+-1 1
+.names 28945 28946
+1 1
+.names 28934 28947
+0 1
+.names 28944 28948
+0 1
+.names 28947 28948 28949
+11 1
+.names 28940 28950
+0 1
+.names 28956 28957 28951
+1- 1
+-1 1
+.names 28951 28952
+1 1
+.names 28836 28959 28953
+11 1
+.names 28953 28954
+1 1
+.names 28954 28955
+0 1
+.names 28759 28955 28956
+11 1
+.names 7550 2413 28957
+11 1
+.names 27879 28958
+1 1
+.names 28958 28959
+0 1
+.names 28966 28967 28960
+1- 1
+-1 1
+.names 28960 28961
+1 1
+.names 27879 28962
+1 1
+.names 28962 28963
+0 1
+.names 28836 28964
+1 1
+.names 28964 28965
+0 1
+.names 28963 28965 28966
+11 1
+.names 28952 28967
+0 1
+.names 28973 28974 28968
+1- 1
+-1 1
+.names 28968 28969
+1 1
+.names 28836 28976 28970
+11 1
+.names 28970 28971
+1 1
+.names 28971 28972
+0 1
+.names 28778 28972 28973
+11 1
+.names 7539 2413 28974
+11 1
+.names 27895 28975
+1 1
+.names 28975 28976
+0 1
+.names 28983 28984 28977
+1- 1
+-1 1
+.names 28977 28978
+1 1
+.names 27895 28979
+1 1
+.names 28979 28980
+0 1
+.names 28836 28981
+1 1
+.names 28981 28982
+0 1
+.names 28980 28982 28983
+11 1
+.names 28969 28984
+0 1
+.names 28990 28991 28985
+1- 1
+-1 1
+.names 28985 28986
+1 1
+.names 28836 28993 28987
+11 1
+.names 28987 28988
+1 1
+.names 28988 28989
+0 1
+.names 28778 28989 28990
+11 1
+.names 7539 2413 28991
+11 1
+.names 27911 28992
+1 1
+.names 28992 28993
+0 1
+.names 29000 29001 28994
+1- 1
+-1 1
+.names 28994 28995
+1 1
+.names 27911 28996
+1 1
+.names 28996 28997
+0 1
+.names 28836 28998
+1 1
+.names 28998 28999
+0 1
+.names 28997 28999 29000
+11 1
+.names 28986 29001
+0 1
+.names 29007 29008 29002
+1- 1
+-1 1
+.names 29002 29003
+1 1
+.names 28854 29010 29004
+11 1
+.names 29004 29005
+1 1
+.names 29005 29006
+0 1
+.names 28778 29006 29007
+11 1
+.names 7539 2413 29008
+11 1
+.names 27927 29009
+1 1
+.names 29009 29010
+0 1
+.names 29017 29018 29011
+1- 1
+-1 1
+.names 29011 29012
+1 1
+.names 27927 29013
+1 1
+.names 29013 29014
+0 1
+.names 28854 29015
+1 1
+.names 29015 29016
+0 1
+.names 29014 29016 29017
+11 1
+.names 29003 29018
+0 1
+.names 29024 29025 29019
+1- 1
+-1 1
+.names 29019 29020
+1 1
+.names 28765 29027 29021
+11 1
+.names 29021 29022
+1 1
+.names 29022 29023
+0 1
+.names 28867 29023 29024
+11 1
+.names 7549 2413 29025
+11 1
+.names 27943 29026
+1 1
+.names 29026 29027
+0 1
+.names 29034 29035 29028
+1- 1
+-1 1
+.names 29028 29029
+1 1
+.names 27943 29030
+1 1
+.names 29030 29031
+0 1
+.names 28765 29032
+1 1
+.names 29032 29033
+0 1
+.names 29031 29033 29034
+11 1
+.names 29020 29035
+0 1
+.names 29041 29042 29036
+1- 1
+-1 1
+.names 29036 29037
+1 1
+.names 28836 29044 29038
+11 1
+.names 29038 29039
+1 1
+.names 29039 29040
+0 1
+.names 28867 29040 29041
+11 1
+.names 7549 2413 29042
+11 1
+.names 27959 29043
+1 1
+.names 29043 29044
+0 1
+.names 29051 29052 29045
+1- 1
+-1 1
+.names 29045 29046
+1 1
+.names 28836 29047
+1 1
+.names 29047 29048
+0 1
+.names 27959 29049
+1 1
+.names 29049 29050
+0 1
+.names 29048 29050 29051
+11 1
+.names 29037 29052
+0 1
+.names 28794 29053
+1 1
+.names 28794 29057 29054
+11 1
+.names 29054 29055
+1 1
+.names 27971 29056
+1 1
+.names 29056 29057
+0 1
+.names 29061 29062 29058
+1- 1
+-1 1
+.names 29058 29059
+1 1
+.names 29055 29060
+0 1
+.names 28759 29060 29061
+11 1
+.names 7550 2413 29062
+11 1
+.names 2413 29063
+1 1
+.names 29053 29064
+0 1
+.names 29063 29065
+0 1
+.names 29064 29065 29066
+11 1
+.names 29059 29067
+0 1
+.names 29073 29074 29068
+1- 1
+-1 1
+.names 29068 29069
+1 1
+.names 28794 29076 29070
+11 1
+.names 29070 29071
+1 1
+.names 29071 29072
+0 1
+.names 28759 29072 29073
+11 1
+.names 7550 2413 29074
+11 1
+.names 27991 29075
+1 1
+.names 29075 29076
+0 1
+.names 29083 29084 29077
+1- 1
+-1 1
+.names 29077 29078
+1 1
+.names 27991 29079
+1 1
+.names 29079 29080
+0 1
+.names 28794 29081
+1 1
+.names 29081 29082
+0 1
+.names 29080 29082 29083
+11 1
+.names 29069 29084
+0 1
+.names 29090 29091 29085
+1- 1
+-1 1
+.names 29085 29086
+1 1
+.names 28854 29093 29087
+11 1
+.names 29087 29088
+1 1
+.names 29088 29089
+0 1
+.names 28867 29089 29090
+11 1
+.names 7549 2413 29091
+11 1
+.names 28007 29092
+1 1
+.names 29092 29093
+0 1
+.names 29100 29101 29094
+1- 1
+-1 1
+.names 29094 29095
+1 1
+.names 28007 29096
+1 1
+.names 29096 29097
+0 1
+.names 28854 29098
+1 1
+.names 29098 29099
+0 1
+.names 29097 29099 29100
+11 1
+.names 29086 29101
+0 1
+.names 29107 29108 29102
+1- 1
+-1 1
+.names 29102 29103
+1 1
+.names 28854 29110 29104
+11 1
+.names 29104 29105
+1 1
+.names 29105 29106
+0 1
+.names 28867 29106 29107
+11 1
+.names 7549 2413 29108
+11 1
+.names 28023 29109
+1 1
+.names 29109 29110
+0 1
+.names 29117 29118 29111
+1- 1
+-1 1
+.names 29111 29112
+1 1
+.names 28023 29113
+1 1
+.names 29113 29114
+0 1
+.names 28854 29115
+1 1
+.names 29115 29116
+0 1
+.names 29114 29116 29117
+11 1
+.names 29103 29118
+0 1
+.names 29124 29125 29119
+1- 1
+-1 1
+.names 29119 29120
+1 1
+.names 28854 29127 29121
+11 1
+.names 29121 29122
+1 1
+.names 29122 29123
+0 1
+.names 28759 29123 29124
+11 1
+.names 7550 2413 29125
+11 1
+.names 28039 29126
+1 1
+.names 29126 29127
+0 1
+.names 29134 29135 29128
+1- 1
+-1 1
+.names 29128 29129
+1 1
+.names 28039 29130
+1 1
+.names 29130 29131
+0 1
+.names 28854 29132
+1 1
+.names 29132 29133
+0 1
+.names 29131 29133 29134
+11 1
+.names 29120 29135
+0 1
+.names 29141 29142 29136
+1- 1
+-1 1
+.names 29136 29137
+1 1
+.names 28794 29144 29138
+11 1
+.names 29138 29139
+1 1
+.names 29139 29140
+0 1
+.names 28778 29140 29141
+11 1
+.names 7539 2413 29142
+11 1
+.names 28055 29143
+1 1
+.names 29143 29144
+0 1
+.names 29151 29152 29145
+1- 1
+-1 1
+.names 29145 29146
+1 1
+.names 28794 29147
+1 1
+.names 29147 29148
+0 1
+.names 28055 29149
+1 1
+.names 29149 29150
+0 1
+.names 29148 29150 29151
+11 1
+.names 29137 29152
+0 1
+.names 28794 29153
+1 1
+.names 28794 29157 29154
+11 1
+.names 29154 29155
+1 1
+.names 28067 29156
+1 1
+.names 29156 29157
+0 1
+.names 29161 29162 29158
+1- 1
+-1 1
+.names 29158 29159
+1 1
+.names 29155 29160
+0 1
+.names 28867 29160 29161
+11 1
+.names 7549 2413 29162
+11 1
+.names 28067 29163
+1 1
+.names 29168 29169 29164
+1- 1
+-1 1
+.names 29164 29165
+1 1
+.names 29153 29166
+0 1
+.names 29163 29167
+0 1
+.names 29166 29167 29168
+11 1
+.names 29159 29169
+0 1
+.names 29175 29176 29170
+1- 1
+-1 1
+.names 29170 29171
+1 1
+.names 28836 29178 29172
+11 1
+.names 29172 29173
+1 1
+.names 29173 29174
+0 1
+.names 28867 29174 29175
+11 1
+.names 7549 2413 29176
+11 1
+.names 28087 29177
+1 1
+.names 29177 29178
+0 1
+.names 29185 29186 29179
+1- 1
+-1 1
+.names 29179 29180
+1 1
+.names 28087 29181
+1 1
+.names 29181 29182
+0 1
+.names 28836 29183
+1 1
+.names 29183 29184
+0 1
+.names 29182 29184 29185
+11 1
+.names 29171 29186
+0 1
+.names 29192 29193 29187
+1- 1
+-1 1
+.names 29187 29188
+1 1
+.names 28854 29195 29189
+11 1
+.names 29189 29190
+1 1
+.names 29190 29191
+0 1
+.names 28867 29191 29192
+11 1
+.names 7549 2413 29193
+11 1
+.names 28103 29194
+1 1
+.names 29194 29195
+0 1
+.names 29202 29203 29196
+1- 1
+-1 1
+.names 29196 29197
+1 1
+.names 28103 29198
+1 1
+.names 29198 29199
+0 1
+.names 28854 29200
+1 1
+.names 29200 29201
+0 1
+.names 29199 29201 29202
+11 1
+.names 29188 29203
+0 1
+.names 28794 29204
+1 1
+.names 28794 29208 29205
+11 1
+.names 29205 29206
+1 1
+.names 28115 29207
+1 1
+.names 29207 29208
+0 1
+.names 29212 29213 29209
+1- 1
+-1 1
+.names 29209 29210
+1 1
+.names 29206 29211
+0 1
+.names 28759 29211 29212
+11 1
+.names 7550 2413 29213
+11 1
+.names 28115 29214
+1 1
+.names 29219 29220 29215
+1- 1
+-1 1
+.names 29215 29216
+1 1
+.names 29204 29217
+0 1
+.names 29214 29218
+0 1
+.names 29217 29218 29219
+11 1
+.names 29210 29220
+0 1
+.names 29226 29227 29221
+1- 1
+-1 1
+.names 29221 29222
+1 1
+.names 28854 29229 29223
+11 1
+.names 29223 29224
+1 1
+.names 29224 29225
+0 1
+.names 28867 29225 29226
+11 1
+.names 7549 2413 29227
+11 1
+.names 28135 29228
+1 1
+.names 29228 29229
+0 1
+.names 29236 29237 29230
+1- 1
+-1 1
+.names 29230 29231
+1 1
+.names 28135 29232
+1 1
+.names 29232 29233
+0 1
+.names 28854 29234
+1 1
+.names 29234 29235
+0 1
+.names 29233 29235 29236
+11 1
+.names 29222 29237
+0 1
+.names 29243 29244 29238
+1- 1
+-1 1
+.names 29238 29239
+1 1
+.names 28854 29246 29240
+11 1
+.names 29240 29241
+1 1
+.names 29241 29242
+0 1
+.names 28778 29242 29243
+11 1
+.names 7539 2413 29244
+11 1
+.names 28151 29245
+1 1
+.names 29245 29246
+0 1
+.names 29253 29254 29247
+1- 1
+-1 1
+.names 29247 29248
+1 1
+.names 28151 29249
+1 1
+.names 29249 29250
+0 1
+.names 28854 29251
+1 1
+.names 29251 29252
+0 1
+.names 29250 29252 29253
+11 1
+.names 29239 29254
+0 1
+.names 28794 29255
+1 1
+.names 28794 29259 29256
+11 1
+.names 29256 29257
+1 1
+.names 28163 29258
+1 1
+.names 29258 29259
+0 1
+.names 29263 29264 29260
+1- 1
+-1 1
+.names 29260 29261
+1 1
+.names 29257 29262
+0 1
+.names 28867 29262 29263
+11 1
+.names 7549 2413 29264
+11 1
+.names 29270 29271 29265
+1- 1
+-1 1
+.names 29265 29266
+1 1
+.names 29255 29267
+0 1
+.names 28163 29268
+1 1
+.names 29268 29269
+0 1
+.names 29267 29269 29270
+11 1
+.names 29261 29271
+0 1
+.names 29277 29278 29272
+1- 1
+-1 1
+.names 29272 29273
+1 1
+.names 28765 29280 29274
+11 1
+.names 29274 29275
+1 1
+.names 29275 29276
+0 1
+.names 28778 29276 29277
+11 1
+.names 7539 2413 29278
+11 1
+.names 28183 29279
+1 1
+.names 29279 29280
+0 1
+.names 29287 29288 29281
+1- 1
+-1 1
+.names 29281 29282
+1 1
+.names 28183 29283
+1 1
+.names 29283 29284
+0 1
+.names 28765 29285
+1 1
+.names 29285 29286
+0 1
+.names 29284 29286 29287
+11 1
+.names 29273 29288
+0 1
+.names 29294 29295 29289
+1- 1
+-1 1
+.names 29289 29290
+1 1
+.names 28836 29297 29291
+11 1
+.names 29291 29292
+1 1
+.names 29292 29293
+0 1
+.names 28759 29293 29294
+11 1
+.names 7550 2413 29295
+11 1
+.names 28199 29296
+1 1
+.names 29296 29297
+0 1
+.names 29304 29305 29298
+1- 1
+-1 1
+.names 29298 29299
+1 1
+.names 28199 29300
+1 1
+.names 29300 29301
+0 1
+.names 28836 29302
+1 1
+.names 29302 29303
+0 1
+.names 29301 29303 29304
+11 1
+.names 29290 29305
+0 1
+.names 29310 29313 29306
+1- 1
+-1 1
+.names 29306 29307
+1 1
+.names 28529 29690 29308
+01 1
+10 1
+.names 29308 29309
+1 1
+.names 29309 29310
+0 1
+.names 29387 29389 29311
+11 1
+.names 29311 29312
+1 1
+.names 29312 29313
+0 1
+.names 29317 29319 29314
+11 1
+.names 29314 29315
+1 1
+.names 29459 29316
+0 1
+.names 29316 29317
+1 1
+.names 29307 29318
+0 1
+.names 29318 29319
+1 1
+.names 29328 29330 29320
+1- 1
+-1 1
+.names 29320 29321
+1 1
+.names 29361 29322
+0 1
+.names 29322 29323
+1 1
+.names 29323 29324
+0 1
+.names 29715 29609 29325
+11 1
+.names 29325 29326
+1 1
+.names 29326 29327
+0 1
+.names 29324 29327 29328
+11 1
+.names 28412 29329
+0 1
+.names 29323 29329 29330
+11 1
+.names 29339 29341 29331
+1- 1
+-1 1
+.names 29331 29332
+1 1
+.names 29596 29333
+0 1
+.names 29333 29334
+1 1
+.names 29334 29335
+0 1
+.names 29710 29713 29336
+1- 1
+-1 1
+.names 29336 29337
+1 1
+.names 29337 29338
+0 1
+.names 29335 29338 29339
+11 1
+.names 28717 29340
+0 1
+.names 29334 29340 29341
+11 1
+.names 29347 29349 29342
+1- 1
+-1 1
+.names 29342 29343
+1 1
+.names 29607 29609 29344
+11 1
+.names 29344 29345
+1 1
+.names 29345 29346
+0 1
+.names 29324 29346 29347
+11 1
+.names 28961 29348
+0 1
+.names 29323 29348 29349
+11 1
+.names 29355 29357 29350
+1- 1
+-1 1
+.names 29350 29351
+1 1
+.names 29601 29604 29352
+1- 1
+-1 1
+.names 29352 29353
+1 1
+.names 29353 29354
+0 1
+.names 29335 29354 29355
+11 1
+.names 29266 29356
+0 1
+.names 29334 29356 29357
+11 1
+.names 29364 29366 29358
+11 1
+.names 29358 29359
+1 1
+.names 28412 29605 29360
+01 1
+10 1
+.names 29360 29361
+1 1
+.names 29609 29362
+0 1
+.names 29362 29363
+1 1
+.names 29361 29363 29364
+11 1
+.names 29646 29365
+0 1
+.names 29365 29366
+1 1
+.names 29370 29359 29367
+11 1
+.names 29367 29368
+1 1
+.names 28995 29777 29369
+01 1
+10 1
+.names 29369 29370
+1 1
+.names 29380 29382 29371
+11 1
+.names 29371 29372
+1 1
+.names 28616 29672 29373
+01 1
+10 1
+.names 29373 29374
+1 1
+.names 28327 29670 29375
+01 1
+10 1
+.names 29375 29376
+1 1
+.names 29374 29376 29377
+11 1
+.names 28750 29668 29378
+01 1
+10 1
+.names 29378 29379
+1 1
+.names 29377 29379 29380
+11 1
+.names 11103 29611 29381
+01 1
+10 1
+.names 29381 29382
+1 1
+.names 28682 29556 29383
+01 1
+10 1
+.names 29383 29384
+1 1
+.names 29397 29399 29385
+11 1
+.names 29385 29386
+1 1
+.names 29384 29386 29387
+11 1
+.names 29545 29388
+0 1
+.names 29388 29389
+1 1
+.names 28893 29748 29390
+01 1
+10 1
+.names 29390 29391
+1 1
+.names 28480 29750 29392
+01 1
+10 1
+.names 29392 29393
+1 1
+.names 29391 29393 29394
+11 1
+.names 29554 29395
+0 1
+.names 29395 29396
+1 1
+.names 29394 29396 29397
+11 1
+.names 29405 29407 29398
+11 1
+.names 29398 29399
+1 1
+.names 29755 29757 29400
+11 1
+.names 29400 29401
+1 1
+.names 29569 29402
+0 1
+.names 29402 29403
+1 1
+.names 29401 29403 29404
+11 1
+.names 29404 29372 29405
+11 1
+.names 28733 29752 29406
+01 1
+10 1
+.names 29406 29407
+1 1
+.names 29414 29416 29408
+11 1
+.names 29408 29409
+1 1
+.names 28238 29776 29410
+01 1
+10 1
+.names 29410 29411
+1 1
+.names 28546 29771 29412
+01 1
+10 1
+.names 29412 29413
+1 1
+.names 29411 29413 29414
+11 1
+.names 29548 29415
+0 1
+.names 29415 29416
+1 1
+.names 29420 29417
+0 1
+.names 29417 29418
+1 1
+.names 29572 29573 29419
+1- 1
+-1 1
+.names 29419 29420
+1 1
+.names 29429 29430 29421
+11 1
+.names 29421 29422
+1 1
+.names 29372 29423
+0 1
+.names 29687 29574 29424
+1- 1
+-1 1
+.names 29424 29425
+1 1
+.names 29425 29426
+0 1
+.names 29423 29426 29427
+1- 1
+-1 1
+.names 29319 29428
+0 1
+.names 29427 29428 29429
+11 1
+.names 29418 29430
+0 1
+.names 29440 29443 29431
+11 1
+.names 29431 29432
+1 1
+.names 29386 29433
+0 1
+.names 29661 29662 29434
+1- 1
+-1 1
+.names 29434 29435
+1 1
+.names 29435 29436
+0 1
+.names 29433 29436 29437
+1- 1
+-1 1
+.names 29078 29438
+0 1
+.names 29313 29438 29439
+1- 1
+-1 1
+.names 29437 29439 29440
+11 1
+.names 29625 29626 29441
+1- 1
+-1 1
+.names 29441 29442
+1 1
+.names 29442 29443
+0 1
+.names 29447 29444
+0 1
+.names 29444 29445
+1 1
+.names 29643 29644 29446
+1- 1
+-1 1
+.names 29446 29447
+1 1
+.names 29443 29448
+1 1
+.names 29452 29445 29449
+11 1
+.names 29449 29450
+1 1
+.names 29351 29448 29451
+11 1
+.names 29451 29343 29452
+11 1
+.names 29464 29467 29453
+11 1
+.names 29453 29454
+1 1
+.names 29561 29562 29455
+1- 1
+-1 1
+.names 29455 29456
+1 1
+.names 29456 29457
+0 1
+.names 29485 29488 29458
+1- 1
+-1 1
+.names 29458 29459
+1 1
+.names 29457 29316 29460
+1- 1
+-1 1
+.names 29417 29574 29461
+1- 1
+-1 1
+.names 29461 29462
+1 1
+.names 29462 29463
+0 1
+.names 29460 29463 29464
+11 1
+.names 29592 29593 29465
+1- 1
+-1 1
+.names 29465 29466
+1 1
+.names 29466 29467
+0 1
+.names 29313 29472 29468
+1- 1
+-1 1
+.names 29468 29469
+1 1
+.names 29078 28529 29470
+01 1
+10 1
+.names 29470 29471
+1 1
+.names 29471 29472
+0 1
+.names 29479 29482 29473
+11 1
+.names 29473 29474
+1 1
+.names 29469 29475
+0 1
+.names 29454 29476
+0 1
+.names 29475 29476 29477
+1- 1
+-1 1
+.names 29450 29478
+0 1
+.names 29477 29478 29479
+1- 1
+-1 1
+.names 29432 29480
+0 1
+.names 29422 29481
+0 1
+.names 29480 29481 29482
+1- 1
+-1 1
+.names 28361 29689 29483
+01 1
+10 1
+.names 29483 29484
+1 1
+.names 29484 29485
+0 1
+.names 28220 29558 29486
+01 1
+10 1
+.names 29486 29487
+1 1
+.names 29487 29488
+0 1
+.names 29492 29489
+0 1
+.names 29489 29490
+1 1
+.names 29695 29573 29491
+1- 1
+-1 1
+.names 29491 29492
+1 1
+.names 29499 29500 29493
+11 1
+.names 29493 29494
+1 1
+.names 29744 29701 29495
+1- 1
+-1 1
+.names 29495 29496
+1 1
+.names 29496 29497
+0 1
+.names 29423 29497 29498
+1- 1
+-1 1
+.names 29498 29428 29499
+11 1
+.names 29490 29500
+0 1
+.names 29509 29512 29501
+11 1
+.names 29501 29502
+1 1
+.names 29742 29743 29503
+1- 1
+-1 1
+.names 29503 29504
+1 1
+.names 29504 29505
+0 1
+.names 29433 29505 29506
+1- 1
+-1 1
+.names 28529 29507
+0 1
+.names 29313 29507 29508
+1- 1
+-1 1
+.names 29506 29508 29509
+11 1
+.names 29708 29709 29510
+1- 1
+-1 1
+.names 29510 29511
+1 1
+.names 29511 29512
+0 1
+.names 29516 29513
+0 1
+.names 29513 29514
+1 1
+.names 29737 29738 29515
+1- 1
+-1 1
+.names 29515 29516
+1 1
+.names 29520 29517
+0 1
+.names 29517 29518
+1 1
+.names 29722 29723 29519
+1- 1
+-1 1
+.names 29519 29520
+1 1
+.names 29524 29514 29521
+11 1
+.names 29521 29522
+1 1
+.names 29332 29518 29523
+11 1
+.names 29523 29321 29524
+11 1
+.names 29534 29512 29525
+11 1
+.names 29525 29526
+1 1
+.names 29691 29692 29527
+1- 1
+-1 1
+.names 29527 29528
+1 1
+.names 29528 29529
+0 1
+.names 29529 29316 29530
+1- 1
+-1 1
+.names 29701 29489 29531
+1- 1
+-1 1
+.names 29531 29532
+1 1
+.names 29532 29533
+0 1
+.names 29530 29533 29534
+11 1
+.names 29540 29543 29535
+11 1
+.names 29535 29536
+1 1
+.names 29526 29537
+0 1
+.names 29475 29537 29538
+1- 1
+-1 1
+.names 29522 29539
+0 1
+.names 29538 29539 29540
+1- 1
+-1 1
+.names 29502 29541
+0 1
+.names 29494 29542
+0 1
+.names 29541 29542 29543
+1- 1
+-1 1
+.names 29551 29552 29544
+1- 1
+-1 1
+.names 29544 29545
+1 1
+.names 29413 29546
+0 1
+.names 28699 29773 29547
+01 1
+10 1
+.names 29547 29548
+1 1
+.names 29546 29415 29549
+1- 1
+-1 1
+.names 29411 29550
+0 1
+.names 29549 29550 29551
+1- 1
+-1 1
+.names 29368 29552
+0 1
+.names 29768 29758 29553
+1- 1
+-1 1
+.names 29553 29554
+1 1
+.names 29231 29555
+0 1
+.names 29555 29556
+1 1
+.names 28769 29557
+0 1
+.names 29557 29558
+1 1
+.names 29488 29559
+1 1
+.names 29559 29560
+0 1
+.names 29560 28910 29561
+11 1
+.names 29559 28769 29562
+11 1
+.names 29567 29402 29563
+1- 1
+-1 1
+.names 29563 29564
+1 1
+.names 29802 29803 29565
+1- 1
+-1 1
+.names 29565 29566
+1 1
+.names 29566 29567
+0 1
+.names 29683 29686 29568
+1- 1
+-1 1
+.names 29568 29569
+1 1
+.names 29788 29789 29570
+1- 1
+-1 1
+.names 29570 29571
+1 1
+.names 29571 29572
+0 1
+.names 29399 29573
+0 1
+.names 29564 29574
+0 1
+.names 29046 29575
+0 1
+.names 29575 29576
+1 1
+.names 28497 29576 29577
+01 1
+10 1
+.names 29577 29578
+1 1
+.names 29578 29579
+0 1
+.names 29579 29580
+1 1
+.names 29584 29581
+0 1
+.names 29581 29582
+1 1
+.names 29823 29808 29583
+1- 1
+-1 1
+.names 29583 29584
+1 1
+.names 29587 29590 29585
+1- 1
+-1 1
+.names 29585 29586
+1 1
+.names 29582 29282 29587
+11 1
+.names 29815 29816 29588
+1- 1
+-1 1
+.names 29588 29589
+1 1
+.names 29589 29590
+0 1
+.names 29580 29591
+0 1
+.names 29591 29586 29592
+11 1
+.names 29580 29046 29593
+11 1
+.names 29340 29594
+1 1
+.names 29266 29594 29595
+01 1
+10 1
+.names 29595 29596
+1 1
+.names 29600 29597
+0 1
+.names 29597 29598
+1 1
+.names 29843 29653 29599
+1- 1
+-1 1
+.names 29599 29600
+1 1
+.names 29598 29180 29601
+11 1
+.names 29833 29834 29602
+1- 1
+-1 1
+.names 29602 29603
+1 1
+.names 29603 29604
+0 1
+.names 29348 29605
+1 1
+.names 29860 29861 29606
+1- 1
+-1 1
+.names 29606 29607
+1 1
+.names 29868 29869 29608
+1- 1
+-1 1
+.names 29608 29609
+1 1
+.names 11101 29610
+0 1
+.names 29610 29611
+1 1
+.names 29382 29612
+0 1
+.names 29612 29613
+1 1
+.names 29617 29614
+0 1
+.names 29614 29615
+1 1
+.names 29881 29665 29616
+1- 1
+-1 1
+.names 29616 29617
+1 1
+.names 29620 29623 29618
+1- 1
+-1 1
+.names 29618 29619
+1 1
+.names 29615 29165 29620
+11 1
+.names 29874 29875 29621
+1- 1
+-1 1
+.names 29621 29622
+1 1
+.names 29622 29623
+0 1
+.names 29613 29624
+0 1
+.names 29624 29619 29625
+11 1
+.names 29613 11101 29626
+11 1
+.names 29370 29627
+0 1
+.names 29627 29628
+1 1
+.names 29635 29639 29629
+11 1
+.names 29629 29630
+1 1
+.names 28995 29631
+0 1
+.names 29628 29632
+0 1
+.names 29631 29632 29633
+1- 1
+-1 1
+.names 29359 29634
+0 1
+.names 29633 29634 29635
+1- 1
+-1 1
+.names 29889 29890 29636
+1- 1
+-1 1
+.names 29636 29637
+1 1
+.names 29637 29638
+0 1
+.names 29552 29638 29639
+1- 1
+-1 1
+.names 29384 29640
+0 1
+.names 29388 29640 29641
+11 1
+.names 29556 29642
+0 1
+.names 29641 29642 29643
+11 1
+.names 29630 29644
+0 1
+.names 29654 29657 29645
+1- 1
+-1 1
+.names 29645 29646
+1 1
+.names 29180 29837 29647
+01 1
+10 1
+.names 29647 29648
+1 1
+.names 29648 29649
+0 1
+.names 29649 29333 29650
+1- 1
+-1 1
+.names 28580 29835 29651
+01 1
+10 1
+.names 29651 29652
+1 1
+.names 29652 29653
+0 1
+.names 29650 29653 29654
+1- 1
+-1 1
+.names 28597 29825 29655
+01 1
+10 1
+.names 29655 29656
+1 1
+.names 29656 29657
+0 1
+.names 29343 29658
+0 1
+.names 29365 29658 29659
+11 1
+.names 29351 29660
+0 1
+.names 29659 29660 29661
+1- 1
+-1 1
+.names 29445 29662
+0 1
+.names 29379 29663
+0 1
+.names 29663 29664
+1 1
+.names 29376 29665
+0 1
+.names 29665 29666
+1 1
+.names 29299 29667
+0 1
+.names 29667 29668
+1 1
+.names 28876 29669
+0 1
+.names 29669 29670
+1 1
+.names 29165 29671
+0 1
+.names 29671 29672
+1 1
+.names 28429 29892 29673
+01 1
+10 1
+.names 29673 29674
+1 1
+.names 29674 29675
+0 1
+.names 28648 29894 29676
+01 1
+10 1
+.names 29676 29677
+1 1
+.names 29677 29678
+0 1
+.names 29675 29678 29679
+1- 1
+-1 1
+.names 29216 29791 29680
+01 1
+10 1
+.names 29680 29681
+1 1
+.names 29681 29682
+0 1
+.names 29679 29682 29683
+1- 1
+-1 1
+.names 28397 29897 29684
+01 1
+10 1
+.names 29684 29685
+1 1
+.names 29685 29686
+0 1
+.names 29466 29403 29687
+11 1
+.names 28910 29688
+0 1
+.names 29688 29689
+1 1
+.names 29438 29690
+1 1
+.names 29560 28361 29691
+11 1
+.names 29559 28220 29692
+11 1
+.names 29916 29917 29693
+1- 1
+-1 1
+.names 29693 29694
+1 1
+.names 29694 29695
+0 1
+.names 29700 29402 29696
+1- 1
+-1 1
+.names 29696 29697
+1 1
+.names 29904 29905 29698
+1- 1
+-1 1
+.names 29698 29699
+1 1
+.names 29699 29700
+0 1
+.names 29697 29701
+0 1
+.names 29704 29707 29702
+1- 1
+-1 1
+.names 29702 29703
+1 1
+.names 29615 28616 29704
+11 1
+.names 29923 29924 29705
+1- 1
+-1 1
+.names 29705 29706
+1 1
+.names 29706 29707
+0 1
+.names 29624 29703 29708
+11 1
+.names 29613 11103 29709
+11 1
+.names 29598 28631 29710
+11 1
+.names 29930 29931 29711
+1- 1
+-1 1
+.names 29711 29712
+1 1
+.names 29712 29713
+0 1
+.names 29936 29937 29714
+1- 1
+-1 1
+.names 29714 29715
+1 1
+.names 29718 29721 29716
+1- 1
+-1 1
+.names 29716 29717
+1 1
+.names 29582 28733 29718
+11 1
+.names 29943 29944 29719
+1- 1
+-1 1
+.names 29719 29720
+1 1
+.names 29720 29721
+0 1
+.names 29591 29717 29722
+11 1
+.names 29580 28497 29723
+11 1
+.names 29728 29732 29724
+11 1
+.names 29724 29725
+1 1
+.names 28446 29726
+0 1
+.names 29726 29632 29727
+1- 1
+-1 1
+.names 29727 29634 29728
+1- 1
+-1 1
+.names 29953 29954 29729
+1- 1
+-1 1
+.names 29729 29730
+1 1
+.names 29730 29731
+0 1
+.names 29552 29731 29732
+1- 1
+-1 1
+.names 28682 29733
+0 1
+.names 29733 29734
+1 1
+.names 29388 29640 29735
+11 1
+.names 29734 29736
+0 1
+.names 29735 29736 29737
+11 1
+.names 29725 29738
+0 1
+.names 29321 29739
+0 1
+.names 29365 29739 29740
+11 1
+.names 29332 29741
+0 1
+.names 29740 29741 29742
+1- 1
+-1 1
+.names 29514 29743
+0 1
+.names 29520 29403 29744
+11 1
+.names 29391 29745
+0 1
+.names 29745 29746
+1 1
+.names 28344 29747
+0 1
+.names 29747 29748
+1 1
+.names 29029 29749
+0 1
+.names 29749 29750
+1 1
+.names 29282 29751
+0 1
+.names 29751 29752
+1 1
+.names 28563 29817 29753
+01 1
+10 1
+.names 29753 29754
+1 1
+.names 29754 29578 29755
+11 1
+.names 28258 29805 29756
+01 1
+10 1
+.names 29756 29757
+1 1
+.names 29761 29758
+0 1
+.names 29758 29759
+1 1
+.names 28463 29763 29760
+01 1
+10 1
+.names 29760 29761
+1 1
+.names 29012 29762
+0 1
+.names 29762 29763
+1 1
+.names 28858 29764
+0 1
+.names 29764 29765
+1 1
+.names 28309 29765 29766
+01 1
+10 1
+.names 29766 29767
+1 1
+.names 29767 29768
+0 1
+.names 29546 29769
+1 1
+.names 29095 29770
+0 1
+.names 29770 29771
+1 1
+.names 29248 29772
+0 1
+.names 29772 29773
+1 1
+.names 29550 29774
+1 1
+.names 28787 29775
+0 1
+.names 29775 29776
+1 1
+.names 29726 29777
+1 1
+.names 29783 29786 29778
+1- 1
+-1 1
+.names 29778 29779
+1 1
+.names 29393 29780
+0 1
+.names 29395 29780 29781
+11 1
+.names 29750 29782
+0 1
+.names 29781 29782 29783
+11 1
+.names 29961 29962 29784
+1- 1
+-1 1
+.names 29784 29785
+1 1
+.names 29785 29786
+0 1
+.names 29746 29787
+0 1
+.names 29787 29779 29788
+11 1
+.names 29746 28893 29789
+11 1
+.names 28667 29790
+0 1
+.names 29790 29791
+1 1
+.names 29682 29792
+1 1
+.names 29678 29793
+1 1
+.names 29799 29800 29794
+1- 1
+-1 1
+.names 29794 29795
+1 1
+.names 29793 29796
+0 1
+.names 29964 29965 29797
+1- 1
+-1 1
+.names 29797 29798
+1 1
+.names 29796 29798 29799
+11 1
+.names 29793 29197 29800
+11 1
+.names 29792 29801
+0 1
+.names 29801 29795 29802
+11 1
+.names 29792 29216 29803
+11 1
+.names 28807 29804
+0 1
+.names 29804 29805
+1 1
+.names 29757 29806
+0 1
+.names 29806 29807
+1 1
+.names 29754 29808
+0 1
+.names 29808 29809
+1 1
+.names 29812 29813 29810
+1- 1
+-1 1
+.names 29810 29811
+1 1
+.names 29112 29812
+0 1
+.names 29809 29813
+0 1
+.names 29807 29814
+0 1
+.names 29814 29811 29815
+11 1
+.names 29807 29805 29816
+11 1
+.names 29812 29817
+1 1
+.names 28733 29818
+0 1
+.names 29818 29819
+1 1
+.names 29819 29820
+0 1
+.names 29752 29821
+0 1
+.names 29820 29821 29822
+11 1
+.names 29822 29806 29823
+1- 1
+-1 1
+.names 29146 29824
+0 1
+.names 29824 29825
+1 1
+.names 29657 29826
+1 1
+.names 29653 29827
+1 1
+.names 29830 29831 29828
+1- 1
+-1 1
+.names 29828 29829
+1 1
+.names 29129 29830
+0 1
+.names 29827 29831
+0 1
+.names 29826 29832
+0 1
+.names 29832 29829 29833
+11 1
+.names 29826 29825 29834
+11 1
+.names 29830 29835
+1 1
+.names 28631 29836
+0 1
+.names 29836 29837
+1 1
+.names 29180 29838
+0 1
+.names 29838 29839
+1 1
+.names 29839 29840
+0 1
+.names 29837 29841
+0 1
+.names 29840 29841 29842
+11 1
+.names 29842 29657 29843
+1- 1
+-1 1
+.names 28840 29844
+0 1
+.names 29844 29845
+1 1
+.names 28291 29845 29846
+01 1
+10 1
+.names 29846 29847
+1 1
+.names 29847 29848
+0 1
+.names 29848 29849
+1 1
+.names 29853 29850
+0 1
+.names 29850 29851
+1 1
+.names 28929 29867 29852
+01 1
+10 1
+.names 29852 29853
+1 1
+.names 29857 29858 29854
+1- 1
+-1 1
+.names 29854 29855
+1 1
+.names 29851 29856
+0 1
+.names 29856 28823 29857
+11 1
+.names 29851 28929 29858
+11 1
+.names 29849 29859
+0 1
+.names 29859 29855 29860
+11 1
+.names 29849 28840 29861
+11 1
+.names 28823 29862
+0 1
+.names 29862 29863
+1 1
+.names 28274 29863 29864
+01 1
+10 1
+.names 29864 29865
+1 1
+.names 28380 29866
+0 1
+.names 29866 29867
+1 1
+.names 29848 29850 29868
+1- 1
+-1 1
+.names 29865 29869
+0 1
+.names 29667 29872 29870
+1- 1
+-1 1
+.names 29870 29871
+1 1
+.names 29664 29872
+0 1
+.names 29666 29873
+0 1
+.names 29873 29871 29874
+11 1
+.names 29666 29670 29875
+11 1
+.names 28616 29876
+0 1
+.names 29876 29877
+1 1
+.names 29877 29878
+0 1
+.names 29672 29879
+0 1
+.names 29878 29879 29880
+11 1
+.names 29880 29663 29881
+1- 1
+-1 1
+.names 29887 29888 29882
+1- 1
+-1 1
+.names 29882 29883
+1 1
+.names 29774 29884
+0 1
+.names 29770 29966 29885
+1- 1
+-1 1
+.names 29885 29886
+1 1
+.names 29884 29886 29887
+11 1
+.names 29774 29776 29888
+11 1
+.names 29409 29248 29889
+11 1
+.names 29883 29890
+0 1
+.names 28978 29891
+0 1
+.names 29891 29892
+1 1
+.names 29197 29893
+0 1
+.names 29893 29894
+1 1
+.names 29686 29895
+1 1
+.names 28946 29896
+0 1
+.names 29896 29897
+1 1
+.names 29902 29903 29898
+1- 1
+-1 1
+.names 29898 29899
+1 1
+.names 29967 29968 29900
+1- 1
+-1 1
+.names 29900 29901
+1 1
+.names 29796 29901 29902
+11 1
+.names 29793 28648 29903
+11 1
+.names 29801 29899 29904
+11 1
+.names 29792 28667 29905
+11 1
+.names 28480 29906
+0 1
+.names 29906 29907
+1 1
+.names 29912 29915 29908
+1- 1
+-1 1
+.names 29908 29909
+1 1
+.names 29395 29780 29910
+11 1
+.names 29907 29911
+0 1
+.names 29910 29911 29912
+11 1
+.names 29974 29975 29913
+1- 1
+-1 1
+.names 29913 29914
+1 1
+.names 29914 29915
+0 1
+.names 29787 29909 29916
+11 1
+.names 29746 28344 29917
+11 1
+.names 28327 29918
+0 1
+.names 29918 29919
+1 1
+.names 29922 29872 29920
+1- 1
+-1 1
+.names 29920 29921
+1 1
+.names 28750 29922
+0 1
+.names 29873 29921 29923
+11 1
+.names 29666 29919 29924
+11 1
+.names 28597 29925
+0 1
+.names 29925 29926
+1 1
+.names 29929 29831 29927
+1- 1
+-1 1
+.names 29927 29928
+1 1
+.names 28580 29929
+0 1
+.names 29832 29928 29930
+11 1
+.names 29826 29926 29931
+11 1
+.names 29934 29935 29932
+1- 1
+-1 1
+.names 29932 29933
+1 1
+.names 29856 28274 29934
+11 1
+.names 29851 28380 29935
+11 1
+.names 29859 29933 29936
+11 1
+.names 29849 28291 29937
+11 1
+.names 28258 29938
+0 1
+.names 29938 29939
+1 1
+.names 29942 29813 29940
+1- 1
+-1 1
+.names 29940 29941
+1 1
+.names 28563 29942
+0 1
+.names 29814 29941 29943
+11 1
+.names 29807 29939 29944
+11 1
+.names 28238 29945
+0 1
+.names 29945 29946
+1 1
+.names 29951 29952 29947
+1- 1
+-1 1
+.names 29947 29948
+1 1
+.names 29976 29966 29949
+1- 1
+-1 1
+.names 29949 29950
+1 1
+.names 29884 29950 29951
+11 1
+.names 29774 29946 29952
+11 1
+.names 29409 28699 29953
+11 1
+.names 29948 29954
+0 1
+.names 28309 29955
+0 1
+.names 29955 29956
+1 1
+.names 29764 29959 29957
+1- 1
+-1 1
+.names 29957 29958
+1 1
+.names 29956 29959
+0 1
+.names 29759 29960
+0 1
+.names 29960 29958 29961
+11 1
+.names 29759 29763 29962
+11 1
+.names 29895 29963
+0 1
+.names 29963 28978 29964
+11 1
+.names 29895 28946 29965
+11 1
+.names 29769 29966
+0 1
+.names 29963 28429 29967
+11 1
+.names 29895 28397 29968
+11 1
+.names 28463 29969
+0 1
+.names 29969 29970
+1 1
+.names 29955 29973 29971
+1- 1
+-1 1
+.names 29971 29972
+1 1
+.names 29765 29973
+0 1
+.names 29960 29972 29974
+11 1
+.names 29759 29970 29975
+11 1
+.names 28546 29976
+0 1
+.names 11096 29977
+1 1
+.names 29983 29987 29978
+1- 1
+-1 1
+.names 29978 29979
+1 1
+.names 7563 29980
+0 1
+.names 6194 29981
+1 1
+.names 29981 29982
+0 1
+.names 29980 29982 29983
+11 1
+.names 6195 29984
+0 1
+.names 29984 29985
+1 1
+.names 29985 29986
+0 1
+.names 7563 29986 29987
+11 1
+.names 29993 29997 29988
+1- 1
+-1 1
+.names 29988 29989
+1 1
+.names 7557 29990
+0 1
+.names 6258 29991
+1 1
+.names 29991 29992
+0 1
+.names 29990 29992 29993
+11 1
+.names 6259 29994
+0 1
+.names 29994 29995
+1 1
+.names 29995 29996
+0 1
+.names 7557 29996 29997
+11 1
+.names 30002 30006 29998
+1- 1
+-1 1
+.names 29998 29999
+1 1
+.names 6238 30000
+1 1
+.names 30000 30001
+0 1
+.names 29980 30001 30002
+11 1
+.names 6239 30003
+0 1
+.names 30003 30004
+1 1
+.names 30004 30005
+0 1
+.names 7563 30005 30006
+11 1
+.names 30011 30015 30007
+1- 1
+-1 1
+.names 30007 30008
+1 1
+.names 6290 30009
+1 1
+.names 30009 30010
+0 1
+.names 29990 30010 30011
+11 1
+.names 6291 30012
+0 1
+.names 30012 30013
+1 1
+.names 30013 30014
+0 1
+.names 7557 30014 30015
+11 1
+.names 7558 30016
+0 1
+.names 6170 30017
+1 1
+.names 30017 30018
+0 1
+.names 30016 30018 30019
+11 1
+.names 6171 30020
+0 1
+.names 30020 30021
+1 1
+.names 30021 30022
+0 1
+.names 7558 30022 30023
+11 1
+.names 30029 30033 30024
+1- 1
+-1 1
+.names 30024 30025
+1 1
+.names 7560 30026
+0 1
+.names 6174 30027
+1 1
+.names 30027 30028
+0 1
+.names 30026 30028 30029
+11 1
+.names 6175 30030
+0 1
+.names 30030 30031
+1 1
+.names 30031 30032
+0 1
+.names 7560 30032 30033
+11 1
+.names 30038 30042 30034
+1- 1
+-1 1
+.names 30034 30035
+1 1
+.names 6202 30036
+1 1
+.names 30036 30037
+0 1
+.names 30026 30037 30038
+11 1
+.names 6203 30039
+0 1
+.names 30039 30040
+1 1
+.names 30040 30041
+0 1
+.names 7560 30041 30042
+11 1
+.names 30047 30051 30043
+1- 1
+-1 1
+.names 30043 30044
+1 1
+.names 6210 30045
+1 1
+.names 30045 30046
+0 1
+.names 29990 30046 30047
+11 1
+.names 6211 30048
+0 1
+.names 30048 30049
+1 1
+.names 30049 30050
+0 1
+.names 7557 30050 30051
+11 1
+.names 30056 30060 30052
+1- 1
+-1 1
+.names 30052 30053
+1 1
+.names 6246 30054
+1 1
+.names 30054 30055
+0 1
+.names 30026 30055 30056
+11 1
+.names 6247 30057
+0 1
+.names 30057 30058
+1 1
+.names 30058 30059
+0 1
+.names 7560 30059 30060
+11 1
+.names 30065 30069 30061
+1- 1
+-1 1
+.names 30061 30062
+1 1
+.names 6206 30063
+1 1
+.names 30063 30064
+0 1
+.names 29980 30064 30065
+11 1
+.names 6207 30066
+0 1
+.names 30066 30067
+1 1
+.names 30067 30068
+0 1
+.names 7563 30068 30069
+11 1
+.names 30075 30079 30070
+1- 1
+-1 1
+.names 30070 30071
+1 1
+.names 7562 30072
+0 1
+.names 6270 30073
+1 1
+.names 30073 30074
+0 1
+.names 30072 30074 30075
+11 1
+.names 6271 30076
+0 1
+.names 30076 30077
+1 1
+.names 30077 30078
+0 1
+.names 7562 30078 30079
+11 1
+.names 30084 30088 30080
+1- 1
+-1 1
+.names 30080 30081
+1 1
+.names 6214 30082
+1 1
+.names 30082 30083
+0 1
+.names 30016 30083 30084
+11 1
+.names 6215 30085
+0 1
+.names 30085 30086
+1 1
+.names 30086 30087
+0 1
+.names 7558 30087 30088
+11 1
+.names 30093 30097 30089
+1- 1
+-1 1
+.names 30089 30090
+1 1
+.names 6266 30091
+1 1
+.names 30091 30092
+0 1
+.names 30016 30092 30093
+11 1
+.names 6267 30094
+0 1
+.names 30094 30095
+1 1
+.names 30095 30096
+0 1
+.names 7558 30096 30097
+11 1
+.names 30102 30106 30098
+1- 1
+-1 1
+.names 30098 30099
+1 1
+.names 6178 30100
+1 1
+.names 30100 30101
+0 1
+.names 30016 30101 30102
+11 1
+.names 6179 30103
+0 1
+.names 30103 30104
+1 1
+.names 30104 30105
+0 1
+.names 7558 30105 30106
+11 1
+.names 30111 30115 30107
+1- 1
+-1 1
+.names 30107 30108
+1 1
+.names 6190 30109
+1 1
+.names 30109 30110
+0 1
+.names 30072 30110 30111
+11 1
+.names 6191 30112
+0 1
+.names 30112 30113
+1 1
+.names 30113 30114
+0 1
+.names 7562 30114 30115
+11 1
+.names 30120 30124 30116
+1- 1
+-1 1
+.names 30116 30117
+1 1
+.names 6198 30118
+1 1
+.names 30118 30119
+0 1
+.names 29990 30119 30120
+11 1
+.names 6199 30121
+0 1
+.names 30121 30122
+1 1
+.names 30122 30123
+0 1
+.names 7557 30123 30124
+11 1
+.names 30129 30133 30125
+1- 1
+-1 1
+.names 30125 30126
+1 1
+.names 6242 30127
+1 1
+.names 30127 30128
+0 1
+.names 30072 30128 30129
+11 1
+.names 6243 30130
+0 1
+.names 30130 30131
+1 1
+.names 30131 30132
+0 1
+.names 7562 30132 30133
+11 1
+.names 30138 30142 30134
+1- 1
+-1 1
+.names 30134 30135
+1 1
+.names 6234 30136
+1 1
+.names 30136 30137
+0 1
+.names 30026 30137 30138
+11 1
+.names 6235 30139
+0 1
+.names 30139 30140
+1 1
+.names 30140 30141
+0 1
+.names 7560 30141 30142
+11 1
+.names 30147 30151 30143
+1- 1
+-1 1
+.names 30143 30144
+1 1
+.names 6262 30145
+1 1
+.names 30145 30146
+0 1
+.names 30026 30146 30147
+11 1
+.names 6263 30148
+0 1
+.names 30148 30149
+1 1
+.names 30149 30150
+0 1
+.names 7560 30150 30151
+11 1
+.names 30156 30160 30152
+1- 1
+-1 1
+.names 30152 30153
+1 1
+.names 6294 30154
+1 1
+.names 30154 30155
+0 1
+.names 29980 30155 30156
+11 1
+.names 6295 30157
+0 1
+.names 30157 30158
+1 1
+.names 30158 30159
+0 1
+.names 7563 30159 30160
+11 1
+.names 30166 30170 30161
+1- 1
+-1 1
+.names 30161 30162
+1 1
+.names 7561 30163
+0 1
+.names 6254 30164
+1 1
+.names 30164 30165
+0 1
+.names 30163 30165 30166
+11 1
+.names 6255 30167
+0 1
+.names 30167 30168
+1 1
+.names 30168 30169
+0 1
+.names 7561 30169 30170
+11 1
+.names 30175 30179 30171
+1- 1
+-1 1
+.names 30171 30172
+1 1
+.names 6222 30173
+1 1
+.names 30173 30174
+0 1
+.names 30163 30174 30175
+11 1
+.names 6223 30176
+0 1
+.names 30176 30177
+1 1
+.names 30177 30178
+0 1
+.names 7561 30178 30179
+11 1
+.names 30184 30188 30180
+1- 1
+-1 1
+.names 30180 30181
+1 1
+.names 6226 30182
+1 1
+.names 30182 30183
+0 1
+.names 30072 30183 30184
+11 1
+.names 6227 30185
+0 1
+.names 30185 30186
+1 1
+.names 30186 30187
+0 1
+.names 7562 30187 30188
+11 1
+.names 30193 30197 30189
+1- 1
+-1 1
+.names 30189 30190
+1 1
+.names 6282 30191
+1 1
+.names 30191 30192
+0 1
+.names 29990 30192 30193
+11 1
+.names 6283 30194
+0 1
+.names 30194 30195
+1 1
+.names 30195 30196
+0 1
+.names 7557 30196 30197
+11 1
+.names 30202 30206 30198
+1- 1
+-1 1
+.names 30198 30199
+1 1
+.names 6218 30200
+1 1
+.names 30200 30201
+0 1
+.names 30016 30201 30202
+11 1
+.names 6219 30203
+0 1
+.names 30203 30204
+1 1
+.names 30204 30205
+0 1
+.names 7558 30205 30206
+11 1
+.names 30211 30215 30207
+1- 1
+-1 1
+.names 30207 30208
+1 1
+.names 6274 30209
+1 1
+.names 30209 30210
+0 1
+.names 29980 30210 30211
+11 1
+.names 6275 30212
+0 1
+.names 30212 30213
+1 1
+.names 30213 30214
+0 1
+.names 7563 30214 30215
+11 1
+.names 30220 30224 30216
+1- 1
+-1 1
+.names 30216 30217
+1 1
+.names 6278 30218
+1 1
+.names 30218 30219
+0 1
+.names 29980 30219 30220
+11 1
+.names 6279 30221
+0 1
+.names 30221 30222
+1 1
+.names 30222 30223
+0 1
+.names 7563 30223 30224
+11 1
+.names 30229 30233 30225
+1- 1
+-1 1
+.names 30225 30226
+1 1
+.names 6182 30227
+1 1
+.names 30227 30228
+0 1
+.names 30163 30228 30229
+11 1
+.names 6183 30230
+0 1
+.names 30230 30231
+1 1
+.names 30231 30232
+0 1
+.names 7561 30232 30233
+11 1
+.names 30238 30242 30234
+1- 1
+-1 1
+.names 30234 30235
+1 1
+.names 6186 30236
+1 1
+.names 30236 30237
+0 1
+.names 30163 30237 30238
+11 1
+.names 6187 30239
+0 1
+.names 30239 30240
+1 1
+.names 30240 30241
+0 1
+.names 7561 30241 30242
+11 1
+.names 30247 30251 30243
+1- 1
+-1 1
+.names 30243 30244
+1 1
+.names 6230 30245
+1 1
+.names 30245 30246
+0 1
+.names 30163 30246 30247
+11 1
+.names 6231 30248
+0 1
+.names 30248 30249
+1 1
+.names 30249 30250
+0 1
+.names 7561 30250 30251
+11 1
+.names 30256 30260 30252
+1- 1
+-1 1
+.names 30252 30253
+1 1
+.names 6250 30254
+1 1
+.names 30254 30255
+0 1
+.names 30072 30255 30256
+11 1
+.names 6251 30257
+0 1
+.names 30257 30258
+1 1
+.names 30258 30259
+0 1
+.names 7562 30259 30260
+11 1
+.names 30265 30269 30261
+1- 1
+-1 1
+.names 30261 30262
+1 1
+.names 6286 30263
+1 1
+.names 30263 30264
+0 1
+.names 30072 30264 30265
+11 1
+.names 6287 30266
+0 1
+.names 30266 30267
+1 1
+.names 30267 30268
+0 1
+.names 7562 30268 30269
+11 1
+.names 30276 30277 30270
+1- 1
+-1 1
+.names 30270 30271
+1 1
+.names 7577 30272
+0 1
+.names 30278 30280 30273
+11 1
+.names 30273 30274
+1 1
+.names 30274 30275
+0 1
+.names 30272 30275 30276
+11 1
+.names 7577 2413 30277
+11 1
+.names 7578 30278
+0 1
+.names 6046 30279
+1 1
+.names 30279 30280
+0 1
+.names 30288 30289 30281
+1- 1
+-1 1
+.names 30281 30282
+1 1
+.names 6047 30283
+0 1
+.names 30283 30284
+1 1
+.names 30284 30285
+0 1
+.names 30278 30286
+1 1
+.names 30286 30287
+0 1
+.names 30285 30287 30288
+11 1
+.names 30271 30289
+0 1
+.names 30296 30297 30290
+1- 1
+-1 1
+.names 30290 30291
+1 1
+.names 7565 30292
+0 1
+.names 30278 30299 30293
+11 1
+.names 30293 30294
+1 1
+.names 30294 30295
+0 1
+.names 30292 30295 30296
+11 1
+.names 7565 2413 30297
+11 1
+.names 6066 30298
+1 1
+.names 30298 30299
+0 1
+.names 30307 30308 30300
+1- 1
+-1 1
+.names 30300 30301
+1 1
+.names 6067 30302
+0 1
+.names 30302 30303
+1 1
+.names 30303 30304
+0 1
+.names 30278 30305
+1 1
+.names 30305 30306
+0 1
+.names 30304 30306 30307
+11 1
+.names 30291 30308
+0 1
+.names 7572 30309
+0 1
+.names 30309 30310
+1 1
+.names 30309 30314 30311
+11 1
+.names 30311 30312
+1 1
+.names 6130 30313
+1 1
+.names 30313 30314
+0 1
+.names 30318 30319 30315
+1- 1
+-1 1
+.names 30315 30316
+1 1
+.names 30312 30317
+0 1
+.names 30292 30317 30318
+11 1
+.names 7565 2413 30319
+11 1
+.names 6131 30320
+0 1
+.names 30320 30321
+1 1
+.names 30326 30327 30322
+1- 1
+-1 1
+.names 30322 30323
+1 1
+.names 30310 30324
+0 1
+.names 30321 30325
+0 1
+.names 30324 30325 30326
+11 1
+.names 30316 30327
+0 1
+.names 30309 30328
+1 1
+.names 30309 30332 30329
+11 1
+.names 30329 30330
+1 1
+.names 6074 30331
+1 1
+.names 30331 30332
+0 1
+.names 30336 30337 30333
+1- 1
+-1 1
+.names 30333 30334
+1 1
+.names 30330 30335
+0 1
+.names 30272 30335 30336
+11 1
+.names 7577 2413 30337
+11 1
+.names 30344 30345 30338
+1- 1
+-1 1
+.names 30338 30339
+1 1
+.names 30328 30340
+0 1
+.names 6075 30341
+0 1
+.names 30341 30342
+1 1
+.names 30342 30343
+0 1
+.names 30340 30343 30344
+11 1
+.names 30334 30345
+0 1
+.names 30351 30352 30346
+1- 1
+-1 1
+.names 30346 30347
+1 1
+.names 30353 30355 30348
+11 1
+.names 30348 30349
+1 1
+.names 30349 30350
+0 1
+.names 30272 30350 30351
+11 1
+.names 7577 2413 30352
+11 1
+.names 7570 30353
+0 1
+.names 6082 30354
+1 1
+.names 30354 30355
+0 1
+.names 30363 30364 30356
+1- 1
+-1 1
+.names 30356 30357
+1 1
+.names 6083 30358
+0 1
+.names 30358 30359
+1 1
+.names 30359 30360
+0 1
+.names 30353 30361
+1 1
+.names 30361 30362
+0 1
+.names 30360 30362 30363
+11 1
+.names 30347 30364
+0 1
+.names 30370 30371 30365
+1- 1
+-1 1
+.names 30365 30366
+1 1
+.names 30372 30374 30367
+11 1
+.names 30367 30368
+1 1
+.names 30368 30369
+0 1
+.names 30272 30369 30370
+11 1
+.names 7577 2413 30371
+11 1
+.names 7573 30372
+0 1
+.names 6110 30373
+1 1
+.names 30373 30374
+0 1
+.names 30382 30383 30375
+1- 1
+-1 1
+.names 30375 30376
+1 1
+.names 6111 30377
+0 1
+.names 30377 30378
+1 1
+.names 30378 30379
+0 1
+.names 30372 30380
+1 1
+.names 30380 30381
+0 1
+.names 30379 30381 30382
+11 1
+.names 30366 30383
+0 1
+.names 30390 30391 30384
+1- 1
+-1 1
+.names 30384 30385
+1 1
+.names 7576 30386
+0 1
+.names 30353 30393 30387
+11 1
+.names 30387 30388
+1 1
+.names 30388 30389
+0 1
+.names 30386 30389 30390
+11 1
+.names 7576 2413 30391
+11 1
+.names 6162 30392
+1 1
+.names 30392 30393
+0 1
+.names 30401 30402 30394
+1- 1
+-1 1
+.names 30394 30395
+1 1
+.names 6163 30396
+0 1
+.names 30396 30397
+1 1
+.names 30397 30398
+0 1
+.names 30353 30399
+1 1
+.names 30399 30400
+0 1
+.names 30398 30400 30401
+11 1
+.names 30385 30402
+0 1
+.names 30408 30409 30403
+1- 1
+-1 1
+.names 30403 30404
+1 1
+.names 30372 30411 30405
+11 1
+.names 30405 30406
+1 1
+.names 30406 30407
+0 1
+.names 30292 30407 30408
+11 1
+.names 7565 2413 30409
+11 1
+.names 6118 30410
+1 1
+.names 30410 30411
+0 1
+.names 30419 30420 30412
+1- 1
+-1 1
+.names 30412 30413
+1 1
+.names 6119 30414
+0 1
+.names 30414 30415
+1 1
+.names 30415 30416
+0 1
+.names 30372 30417
+1 1
+.names 30417 30418
+0 1
+.names 30416 30418 30419
+11 1
+.names 30404 30420
+0 1
+.names 30426 30427 30421
+1- 1
+-1 1
+.names 30421 30422
+1 1
+.names 30353 30429 30423
+11 1
+.names 30423 30424
+1 1
+.names 30424 30425
+0 1
+.names 30272 30425 30426
+11 1
+.names 7577 2413 30427
+11 1
+.names 6042 30428
+1 1
+.names 30428 30429
+0 1
+.names 6043 30430
+0 1
+.names 30430 30431
+1 1
+.names 30431 30432
+0 1
+.names 30353 30433
+1 1
+.names 30433 30434
+0 1
+.names 30432 30434 30435
+11 1
+.names 30422 30436
+0 1
+.names 30309 30437
+1 1
+.names 30309 30441 30438
+11 1
+.names 30438 30439
+1 1
+.names 6078 30440
+1 1
+.names 30440 30441
+0 1
+.names 30445 30446 30442
+1- 1
+-1 1
+.names 30442 30443
+1 1
+.names 30439 30444
+0 1
+.names 30386 30444 30445
+11 1
+.names 7576 2413 30446
+11 1
+.names 6079 30447
+0 1
+.names 30447 30448
+1 1
+.names 30453 30454 30449
+1- 1
+-1 1
+.names 30449 30450
+1 1
+.names 30437 30451
+0 1
+.names 30448 30452
+0 1
+.names 30451 30452 30453
+11 1
+.names 30443 30454
+0 1
+.names 30309 30455
+1 1
+.names 30309 30459 30456
+11 1
+.names 30456 30457
+1 1
+.names 6142 30458
+1 1
+.names 30458 30459
+0 1
+.names 30463 30464 30460
+1- 1
+-1 1
+.names 30460 30461
+1 1
+.names 30457 30462
+0 1
+.names 30292 30462 30463
+11 1
+.names 7565 2413 30464
+11 1
+.names 6143 30465
+0 1
+.names 30465 30466
+1 1
+.names 30471 30472 30467
+1- 1
+-1 1
+.names 30467 30468
+1 1
+.names 30455 30469
+0 1
+.names 30466 30470
+0 1
+.names 30469 30470 30471
+11 1
+.names 30461 30472
+0 1
+.names 30478 30479 30473
+1- 1
+-1 1
+.names 30473 30474
+1 1
+.names 30353 30481 30475
+11 1
+.names 30475 30476
+1 1
+.names 30476 30477
+0 1
+.names 30272 30477 30478
+11 1
+.names 7577 2413 30479
+11 1
+.names 6086 30480
+1 1
+.names 30480 30481
+0 1
+.names 30489 30490 30482
+1- 1
+-1 1
+.names 30482 30483
+1 1
+.names 6087 30484
+0 1
+.names 30484 30485
+1 1
+.names 30485 30486
+0 1
+.names 30353 30487
+1 1
+.names 30487 30488
+0 1
+.names 30486 30488 30489
+11 1
+.names 30474 30490
+0 1
+.names 30496 30497 30491
+1- 1
+-1 1
+.names 30491 30492
+1 1
+.names 30353 30499 30493
+11 1
+.names 30493 30494
+1 1
+.names 30494 30495
+0 1
+.names 30292 30495 30496
+11 1
+.names 7565 2413 30497
+11 1
+.names 6138 30498
+1 1
+.names 30498 30499
+0 1
+.names 30507 30508 30500
+1- 1
+-1 1
+.names 30500 30501
+1 1
+.names 6139 30502
+0 1
+.names 30502 30503
+1 1
+.names 30503 30504
+0 1
+.names 30353 30505
+1 1
+.names 30505 30506
+0 1
+.names 30504 30506 30507
+11 1
+.names 30492 30508
+0 1
+.names 30514 30515 30509
+1- 1
+-1 1
+.names 30509 30510
+1 1
+.names 30353 30517 30511
+11 1
+.names 30511 30512
+1 1
+.names 30512 30513
+0 1
+.names 30292 30513 30514
+11 1
+.names 7565 2413 30515
+11 1
+.names 6070 30516
+1 1
+.names 30516 30517
+0 1
+.names 30525 30526 30518
+1- 1
+-1 1
+.names 30518 30519
+1 1
+.names 6071 30520
+0 1
+.names 30520 30521
+1 1
+.names 30521 30522
+0 1
+.names 30353 30523
+1 1
+.names 30523 30524
+0 1
+.names 30522 30524 30525
+11 1
+.names 30510 30526
+0 1
+.names 30532 30533 30527
+1- 1
+-1 1
+.names 30527 30528
+1 1
+.names 30372 30535 30529
+11 1
+.names 30529 30530
+1 1
+.names 30530 30531
+0 1
+.names 30292 30531 30532
+11 1
+.names 7565 2413 30533
+11 1
+.names 6114 30534
+1 1
+.names 30534 30535
+0 1
+.names 30543 30544 30536
+1- 1
+-1 1
+.names 30536 30537
+1 1
+.names 6115 30538
+0 1
+.names 30538 30539
+1 1
+.names 30539 30540
+0 1
+.names 30372 30541
+1 1
+.names 30541 30542
+0 1
+.names 30540 30542 30543
+11 1
+.names 30528 30544
+0 1
+.names 30550 30551 30545
+1- 1
+-1 1
+.names 30545 30546
+1 1
+.names 30278 30553 30547
+11 1
+.names 30547 30548
+1 1
+.names 30548 30549
+0 1
+.names 30386 30549 30550
+11 1
+.names 7576 2413 30551
+11 1
+.names 6106 30552
+1 1
+.names 30552 30553
+0 1
+.names 30561 30562 30554
+1- 1
+-1 1
+.names 30554 30555
+1 1
+.names 6107 30556
+0 1
+.names 30556 30557
+1 1
+.names 30557 30558
+0 1
+.names 30278 30559
+1 1
+.names 30559 30560
+0 1
+.names 30558 30560 30561
+11 1
+.names 30546 30562
+0 1
+.names 30568 30569 30563
+1- 1
+-1 1
+.names 30563 30564
+1 1
+.names 30353 30571 30565
+11 1
+.names 30565 30566
+1 1
+.names 30566 30567
+0 1
+.names 30386 30567 30568
+11 1
+.names 7576 2413 30569
+11 1
+.names 6134 30570
+1 1
+.names 30570 30571
+0 1
+.names 30579 30580 30572
+1- 1
+-1 1
+.names 30572 30573
+1 1
+.names 30353 30574
+1 1
+.names 30574 30575
+0 1
+.names 6135 30576
+0 1
+.names 30576 30577
+1 1
+.names 30577 30578
+0 1
+.names 30575 30578 30579
+11 1
+.names 30564 30580
+0 1
+.names 30309 30581
+1 1
+.names 30309 30585 30582
+11 1
+.names 30582 30583
+1 1
+.names 6166 30584
+1 1
+.names 30584 30585
+0 1
+.names 30589 30590 30586
+1- 1
+-1 1
+.names 30586 30587
+1 1
+.names 30583 30588
+0 1
+.names 30272 30588 30589
+11 1
+.names 7577 2413 30590
+11 1
+.names 6167 30591
+0 1
+.names 30591 30592
+1 1
+.names 30597 30598 30593
+1- 1
+-1 1
+.names 30593 30594
+1 1
+.names 30581 30595
+0 1
+.names 30592 30596
+0 1
+.names 30595 30596 30597
+11 1
+.names 30587 30598
+0 1
+.names 30604 30605 30599
+1- 1
+-1 1
+.names 30599 30600
+1 1
+.names 30309 30607 30601
+11 1
+.names 30601 30602
+1 1
+.names 30602 30603
+0 1
+.names 30272 30603 30604
+11 1
+.names 7577 2413 30605
+11 1
+.names 6050 30606
+1 1
+.names 30606 30607
+0 1
+.names 30615 30616 30608
+1- 1
+-1 1
+.names 30608 30609
+1 1
+.names 6051 30610
+0 1
+.names 30610 30611
+1 1
+.names 30611 30612
+0 1
+.names 30309 30613
+1 1
+.names 30613 30614
+0 1
+.names 30612 30614 30615
+11 1
+.names 30600 30616
+0 1
+.names 30622 30623 30617
+1- 1
+-1 1
+.names 30617 30618
+1 1
+.names 30372 30625 30619
+11 1
+.names 30619 30620
+1 1
+.names 30620 30621
+0 1
+.names 30386 30621 30622
+11 1
+.names 7576 2413 30623
+11 1
+.names 6062 30624
+1 1
+.names 30624 30625
+0 1
+.names 30633 30634 30626
+1- 1
+-1 1
+.names 30626 30627
+1 1
+.names 6063 30628
+0 1
+.names 30628 30629
+1 1
+.names 30629 30630
+0 1
+.names 30372 30631
+1 1
+.names 30631 30632
+0 1
+.names 30630 30632 30633
+11 1
+.names 30618 30634
+0 1
+.names 30640 30641 30635
+1- 1
+-1 1
+.names 30635 30636
+1 1
+.names 30372 30643 30637
+11 1
+.names 30637 30638
+1 1
+.names 30638 30639
+0 1
+.names 30386 30639 30640
+11 1
+.names 7576 2413 30641
+11 1
+.names 6126 30642
+1 1
+.names 30642 30643
+0 1
+.names 30651 30652 30644
+1- 1
+-1 1
+.names 30644 30645
+1 1
+.names 6127 30646
+0 1
+.names 30646 30647
+1 1
+.names 30647 30648
+0 1
+.names 30372 30649
+1 1
+.names 30649 30650
+0 1
+.names 30648 30650 30651
+11 1
+.names 30636 30652
+0 1
+.names 30658 30659 30653
+1- 1
+-1 1
+.names 30653 30654
+1 1
+.names 30372 30661 30655
+11 1
+.names 30655 30656
+1 1
+.names 30656 30657
+0 1
+.names 30272 30657 30658
+11 1
+.names 7577 2413 30659
+11 1
+.names 6094 30660
+1 1
+.names 30660 30661
+0 1
+.names 30669 30670 30662
+1- 1
+-1 1
+.names 30662 30663
+1 1
+.names 6095 30664
+0 1
+.names 30664 30665
+1 1
+.names 30665 30666
+0 1
+.names 30372 30667
+1 1
+.names 30667 30668
+0 1
+.names 30666 30668 30669
+11 1
+.names 30654 30670
+0 1
+.names 30676 30677 30671
+1- 1
+-1 1
+.names 30671 30672
+1 1
+.names 30309 30679 30673
+11 1
+.names 30673 30674
+1 1
+.names 30674 30675
+0 1
+.names 30292 30675 30676
+11 1
+.names 7565 2413 30677
+11 1
+.names 6098 30678
+1 1
+.names 30678 30679
+0 1
+.names 30687 30688 30680
+1- 1
+-1 1
+.names 30680 30681
+1 1
+.names 30309 30682
+1 1
+.names 30682 30683
+0 1
+.names 6099 30684
+0 1
+.names 30684 30685
+1 1
+.names 30685 30686
+0 1
+.names 30683 30686 30687
+11 1
+.names 30672 30688
+0 1
+.names 30309 30689
+1 1
+.names 30309 30693 30690
+11 1
+.names 30690 30691
+1 1
+.names 6154 30692
+1 1
+.names 30692 30693
+0 1
+.names 30697 30698 30694
+1- 1
+-1 1
+.names 30694 30695
+1 1
+.names 30691 30696
+0 1
+.names 30386 30696 30697
+11 1
+.names 7576 2413 30698
+11 1
+.names 6155 30699
+0 1
+.names 30699 30700
+1 1
+.names 30705 30706 30701
+1- 1
+-1 1
+.names 30701 30702
+1 1
+.names 30689 30703
+0 1
+.names 30700 30704
+0 1
+.names 30703 30704 30705
+11 1
+.names 30695 30706
+0 1
+.names 30712 30713 30707
+1- 1
+-1 1
+.names 30707 30708
+1 1
+.names 30353 30715 30709
+11 1
+.names 30709 30710
+1 1
+.names 30710 30711
+0 1
+.names 30386 30711 30712
+11 1
+.names 7576 2413 30713
+11 1
+.names 6090 30714
+1 1
+.names 30714 30715
+0 1
+.names 30723 30724 30716
+1- 1
+-1 1
+.names 30716 30717
+1 1
+.names 6091 30718
+0 1
+.names 30718 30719
+1 1
+.names 30719 30720
+0 1
+.names 30353 30721
+1 1
+.names 30721 30722
+0 1
+.names 30720 30722 30723
+11 1
+.names 30708 30724
+0 1
+.names 30730 30731 30725
+1- 1
+-1 1
+.names 30725 30726
+1 1
+.names 30372 30733 30727
+11 1
+.names 30727 30728
+1 1
+.names 30728 30729
+0 1
+.names 30386 30729 30730
+11 1
+.names 7576 2413 30731
+11 1
+.names 6146 30732
+1 1
+.names 30732 30733
+0 1
+.names 30741 30742 30734
+1- 1
+-1 1
+.names 30734 30735
+1 1
+.names 6147 30736
+0 1
+.names 30736 30737
+1 1
+.names 30737 30738
+0 1
+.names 30372 30739
+1 1
+.names 30739 30740
+0 1
+.names 30738 30740 30741
+11 1
+.names 30726 30742
+0 1
+.names 30309 30743
+1 1
+.names 30309 30747 30744
+11 1
+.names 30744 30745
+1 1
+.names 6150 30746
+1 1
+.names 30746 30747
+0 1
+.names 30751 30752 30748
+1- 1
+-1 1
+.names 30748 30749
+1 1
+.names 30745 30750
+0 1
+.names 30272 30750 30751
+11 1
+.names 7577 2413 30752
+11 1
+.names 6151 30753
+0 1
+.names 30753 30754
+1 1
+.names 30759 30760 30755
+1- 1
+-1 1
+.names 30755 30756
+1 1
+.names 30743 30757
+0 1
+.names 30754 30758
+0 1
+.names 30757 30758 30759
+11 1
+.names 30749 30760
+0 1
+.names 30766 30767 30761
+1- 1
+-1 1
+.names 30761 30762
+1 1
+.names 30372 30769 30763
+11 1
+.names 30763 30764
+1 1
+.names 30764 30765
+0 1
+.names 30386 30765 30766
+11 1
+.names 7576 2413 30767
+11 1
+.names 6054 30768
+1 1
+.names 30768 30769
+0 1
+.names 30777 30778 30770
+1- 1
+-1 1
+.names 30770 30771
+1 1
+.names 6055 30772
+0 1
+.names 30772 30773
+1 1
+.names 30773 30774
+0 1
+.names 30372 30775
+1 1
+.names 30775 30776
+0 1
+.names 30774 30776 30777
+11 1
+.names 30762 30778
+0 1
+.names 30784 30785 30779
+1- 1
+-1 1
+.names 30779 30780
+1 1
+.names 30372 30787 30781
+11 1
+.names 30781 30782
+1 1
+.names 30782 30783
+0 1
+.names 30292 30783 30784
+11 1
+.names 7565 2413 30785
+11 1
+.names 6058 30786
+1 1
+.names 30786 30787
+0 1
+.names 30795 30796 30788
+1- 1
+-1 1
+.names 30788 30789
+1 1
+.names 6059 30790
+0 1
+.names 30790 30791
+1 1
+.names 30791 30792
+0 1
+.names 30372 30793
+1 1
+.names 30793 30794
+0 1
+.names 30792 30794 30795
+11 1
+.names 30780 30796
+0 1
+.names 30309 30797
+1 1
+.names 30309 30801 30798
+11 1
+.names 30798 30799
+1 1
+.names 6102 30800
+1 1
+.names 30800 30801
+0 1
+.names 30805 30806 30802
+1- 1
+-1 1
+.names 30802 30803
+1 1
+.names 30799 30804
+0 1
+.names 30386 30804 30805
+11 1
+.names 7576 2413 30806
+11 1
+.names 30813 30814 30807
+1- 1
+-1 1
+.names 30807 30808
+1 1
+.names 30797 30809
+0 1
+.names 6103 30810
+0 1
+.names 30810 30811
+1 1
+.names 30811 30812
+0 1
+.names 30809 30812 30813
+11 1
+.names 30803 30814
+0 1
+.names 30820 30821 30815
+1- 1
+-1 1
+.names 30815 30816
+1 1
+.names 30278 30823 30817
+11 1
+.names 30817 30818
+1 1
+.names 30818 30819
+0 1
+.names 30292 30819 30820
+11 1
+.names 7565 2413 30821
+11 1
+.names 6122 30822
+1 1
+.names 30822 30823
+0 1
+.names 30831 30832 30824
+1- 1
+-1 1
+.names 30824 30825
+1 1
+.names 6123 30826
+0 1
+.names 30826 30827
+1 1
+.names 30827 30828
+0 1
+.names 30278 30829
+1 1
+.names 30829 30830
+0 1
+.names 30828 30830 30831
+11 1
+.names 30816 30832
+0 1
+.names 30838 30839 30833
+1- 1
+-1 1
+.names 30833 30834
+1 1
+.names 30353 30841 30835
+11 1
+.names 30835 30836
+1 1
+.names 30836 30837
+0 1
+.names 30272 30837 30838
+11 1
+.names 7577 2413 30839
+11 1
+.names 6158 30840
+1 1
+.names 30840 30841
+0 1
+.names 30849 30850 30842
+1- 1
+-1 1
+.names 30842 30843
+1 1
+.names 6159 30844
+0 1
+.names 30844 30845
+1 1
+.names 30845 30846
+0 1
+.names 30353 30847
+1 1
+.names 30847 30848
+0 1
+.names 30846 30848 30849
+11 1
+.names 30834 30850
+0 1
+.names 30144 30851
+0 1
+.names 30573 30852
+0 1
+.names 30861 30864 30853
+11 1
+.names 30853 30854
+1 1
+.names 31416 31419 30855
+1- 1
+-1 1
+.names 30855 30856
+1 1
+.names 30856 30857
+0 1
+.names 31148 31400 30858
+11 1
+.names 30858 30859
+1 1
+.names 30859 30860
+0 1
+.names 30857 30860 30861
+1- 1
+-1 1
+.names 31404 31154 30862
+1- 1
+-1 1
+.names 30862 30863
+1 1
+.names 30863 30864
+0 1
+.names 30860 30869 30865
+1- 1
+-1 1
+.names 30865 30866
+1 1
+.names 31415 31175 30867
+11 1
+.names 30867 30868
+1 1
+.names 30868 30869
+0 1
+.names 30873 30870
+0 1
+.names 30870 30871
+1 1
+.names 31863 30872
+0 1
+.names 30872 30873
+1 1
+.names 30879 30883 56661
+1- 1
+-1 1
+.names 31526 30875
+0 1
+.names 30875 30876
+1 1
+.names 30876 30877
+0 1
+.names 30871 30878
+0 1
+.names 30877 30878 30879
+11 1
+.names 30924 30880
+0 1
+.names 30880 30881
+1 1
+.names 30881 30882
+0 1
+.names 30876 30882 30883
+11 1
+.names 30888 30892 56662
+1- 1
+-1 1
+.names 30922 30885
+0 1
+.names 30885 30886
+1 1
+.names 30886 30887
+0 1
+.names 30877 30887 30888
+11 1
+.names 30920 30889
+0 1
+.names 30889 30890
+1 1
+.names 30890 30891
+0 1
+.names 30876 30891 30892
+11 1
+.names 30897 30901 56663
+1- 1
+-1 1
+.names 30918 30894
+0 1
+.names 30894 30895
+1 1
+.names 30895 30896
+0 1
+.names 30877 30896 30897
+11 1
+.names 30916 30898
+0 1
+.names 30898 30899
+1 1
+.names 30899 30900
+0 1
+.names 30876 30900 30901
+11 1
+.names 30906 30910 56664
+1- 1
+-1 1
+.names 30914 30903
+0 1
+.names 30903 30904
+1 1
+.names 30904 30905
+0 1
+.names 30877 30905 30906
+11 1
+.names 30912 30907
+0 1
+.names 30907 30908
+1 1
+.names 30908 30909
+0 1
+.names 30876 30909 30910
+11 1
+.names 31910 31882 30911
+01 1
+10 1
+.names 30911 30912
+1 1
+.names 31900 30913
+0 1
+.names 30913 30914
+1 1
+.names 31899 31865 30915
+01 1
+10 1
+.names 30915 30916
+1 1
+.names 31913 30917
+0 1
+.names 30917 30918
+1 1
+.names 31915 31917 30919
+01 1
+10 1
+.names 30919 30920
+1 1
+.names 31918 30921
+0 1
+.names 30921 30922
+1 1
+.names 31919 30923
+0 1
+.names 30923 30924
+1 1
+.names 30153 30925
+0 1
+.names 30594 30926
+0 1
+.names 30135 30927
+0 1
+.names 30555 30928
+0 1
+.names 30235 30929
+0 1
+.names 30789 30930
+0 1
+.names 11284 11288 30931
+1- 1
+-1 1
+.names 30931 30932
+1 1
+.names 11163 11280 30933
+11 1
+.names 30933 30934
+1 1
+.names 30937 30938 30935
+1- 1
+-1 1
+.names 30935 30936
+1 1
+.names 11156 30937
+0 1
+.names 11144 30938
+0 1
+.names 30944 30945 30939
+1- 1
+-1 1
+.names 30939 30940
+1 1
+.names 11305 30941
+0 1
+.names 11249 30942
+0 1
+.names 30941 30942 30943
+1- 1
+-1 1
+.names 30943 30938 30944
+1- 1
+-1 1
+.names 11148 30945
+0 1
+.names 30948 30949 30946
+1- 1
+-1 1
+.names 30946 30947
+1 1
+.names 30945 30938 30948
+1- 1
+-1 1
+.names 11160 30949
+0 1
+.names 30945 30949 30950
+1- 1
+-1 1
+.names 30950 30951
+1 1
+.names 11156 30945 30952
+1- 1
+-1 1
+.names 30952 30953
+1 1
+.names 30957 30960 30954
+1- 1
+-1 1
+.names 30954 30955
+1 1
+.names 30951 30956
+0 1
+.names 11156 30956 30957
+1- 1
+-1 1
+.names 31008 30942 30958
+1- 1
+-1 1
+.names 30958 30959
+1 1
+.names 30959 30960
+0 1
+.names 30964 30956 30961
+1- 1
+-1 1
+.names 30961 30962
+1 1
+.names 30937 30963
+1 1
+.names 30963 30964
+0 1
+.names 11152 30938 30965
+1- 1
+-1 1
+.names 30965 30966
+1 1
+.names 30972 30973 30967
+1- 1
+-1 1
+.names 30967 30968
+1 1
+.names 30947 30969
+0 1
+.names 11152 30969 30970
+1- 1
+-1 1
+.names 30940 30971
+0 1
+.names 30970 30971 30972
+1- 1
+-1 1
+.names 30936 30973
+0 1
+.names 11301 30976 30974
+1- 1
+-1 1
+.names 30974 30975
+1 1
+.names 11297 30976
+0 1
+.names 11160 30941 30977
+1- 1
+-1 1
+.names 30977 30978
+1 1
+.names 30982 30955 30979
+01 1
+10 1
+.names 30979 30980
+1 1
+.names 30966 30981
+0 1
+.names 30981 30982
+1 1
+.names 30985 30983
+0 1
+.names 30983 30984
+1 1
+.names 30966 30962 30985
+01 1
+10 1
+.names 30989 30968 30986
+01 1
+10 1
+.names 30986 30987
+1 1
+.names 30975 30988
+0 1
+.names 30988 30989
+1 1
+.names 30989 30993 30990
+01 1
+10 1
+.names 30990 30991
+1 1
+.names 31007 30969 30992
+1- 1
+-1 1
+.names 30992 30993
+1 1
+.names 30996 30949 30994
+11 1
+.names 30994 30995
+1 1
+.names 30941 30942 30996
+1- 1
+-1 1
+.names 30995 30953 30997
+01 1
+10 1
+.names 30997 30998
+1 1
+.names 31001 30999
+0 1
+.names 30999 31000
+1 1
+.names 30953 11160 31001
+01 1
+10 1
+.names 31004 31002
+0 1
+.names 31002 31003
+1 1
+.names 30978 11249 31004
+01 1
+10 1
+.names 30978 31005
+0 1
+.names 31005 31006
+1 1
+.names 11152 30973 31007
+1- 1
+-1 1
+.names 30941 30945 31008
+1- 1
+-1 1
+.names 31011 31012 31009
+1- 1
+-1 1
+.names 31009 31010
+1 1
+.names 11200 31011
+0 1
+.names 11196 31012
+0 1
+.names 31017 31018 31013
+1- 1
+-1 1
+.names 31013 31014
+1 1
+.names 11267 31015
+0 1
+.names 11353 31016
+0 1
+.names 31015 31016 31017
+1- 1
+-1 1
+.names 11263 31018
+0 1
+.names 31021 31016 31019
+1- 1
+-1 1
+.names 31019 31020
+1 1
+.names 11204 31021
+0 1
+.names 31025 31026 31022
+1- 1
+-1 1
+.names 31022 31023
+1 1
+.names 31020 31024
+0 1
+.names 11200 31024 31025
+1- 1
+-1 1
+.names 31014 31026
+0 1
+.names 31030 31024 31027
+1- 1
+-1 1
+.names 31027 31028
+1 1
+.names 31011 31029
+1 1
+.names 31029 31030
+0 1
+.names 11349 31012 31031
+1- 1
+-1 1
+.names 31031 31032
+1 1
+.names 31043 31046 31033
+1- 1
+-1 1
+.names 31033 31034
+1 1
+.names 31010 31035
+0 1
+.names 31084 31021 31036
+1- 1
+-1 1
+.names 31036 31037
+1 1
+.names 31037 31038
+0 1
+.names 31035 31038 31039
+1- 1
+-1 1
+.names 31083 31012 31040
+1- 1
+-1 1
+.names 31040 31041
+1 1
+.names 31041 31042
+0 1
+.names 31039 31042 31043
+1- 1
+-1 1
+.names 11349 31044
+0 1
+.names 31044 31045
+1 1
+.names 31045 31046
+0 1
+.names 11357 31049 31047
+1- 1
+-1 1
+.names 31047 31048
+1 1
+.names 11259 31049
+0 1
+.names 11204 31015 31050
+1- 1
+-1 1
+.names 31050 31051
+1 1
+.names 31054 31051 31052
+01 1
+10 1
+.names 31052 31053
+1 1
+.names 31018 31054
+1 1
+.names 31058 31023 31055
+01 1
+10 1
+.names 31055 31056
+1 1
+.names 31032 31057
+0 1
+.names 31057 31058
+1 1
+.names 31062 31034 31059
+01 1
+10 1
+.names 31059 31060
+1 1
+.names 31048 31061
+0 1
+.names 31061 31062
+1 1
+.names 31062 31066 31063
+01 1
+10 1
+.names 31063 31064
+1 1
+.names 31085 31038 31065
+1- 1
+-1 1
+.names 31065 31066
+1 1
+.names 31069 31021 31067
+11 1
+.names 31067 31068
+1 1
+.names 31015 31018 31069
+1- 1
+-1 1
+.names 31072 31070
+0 1
+.names 31070 31071
+1 1
+.names 31032 31028 31072
+01 1
+10 1
+.names 31068 31076 31073
+01 1
+10 1
+.names 31073 31074
+1 1
+.names 11200 31016 31075
+1- 1
+-1 1
+.names 31075 31076
+1 1
+.names 31079 31077
+0 1
+.names 31077 31078
+1 1
+.names 31076 11204 31079
+01 1
+10 1
+.names 31051 31080
+0 1
+.names 31080 31081
+1 1
+.names 31015 31018 31082
+1- 1
+-1 1
+.names 31082 31016 31083
+1- 1
+-1 1
+.names 31016 31012 31084
+1- 1
+-1 1
+.names 11349 31035 31085
+1- 1
+-1 1
+.names 31089 31086
+0 1
+.names 31086 31087
+1 1
+.names 31969 31088
+0 1
+.names 31088 31089
+1 1
+.names 31095 31099 56653
+1- 1
+-1 1
+.names 31658 31091
+1 1
+.names 31091 31092
+1 1
+.names 31092 31093
+0 1
+.names 31087 31094
+0 1
+.names 31093 31094 31095
+11 1
+.names 31140 31096
+0 1
+.names 31096 31097
+1 1
+.names 31097 31098
+0 1
+.names 31092 31098 31099
+11 1
+.names 31104 31108 56654
+1- 1
+-1 1
+.names 31138 31101
+0 1
+.names 31101 31102
+1 1
+.names 31102 31103
+0 1
+.names 31093 31103 31104
+11 1
+.names 31136 31105
+0 1
+.names 31105 31106
+1 1
+.names 31106 31107
+0 1
+.names 31092 31107 31108
+11 1
+.names 31113 31117 56655
+1- 1
+-1 1
+.names 31134 31110
+0 1
+.names 31110 31111
+1 1
+.names 31111 31112
+0 1
+.names 31093 31112 31113
+11 1
+.names 31132 31114
+0 1
+.names 31114 31115
+1 1
+.names 31115 31116
+0 1
+.names 31092 31116 31117
+11 1
+.names 31122 31126 56656
+1- 1
+-1 1
+.names 31130 31119
+0 1
+.names 31119 31120
+1 1
+.names 31120 31121
+0 1
+.names 31093 31121 31122
+11 1
+.names 31128 31123
+0 1
+.names 31123 31124
+1 1
+.names 31124 31125
+0 1
+.names 31092 31125 31126
+11 1
+.names 31992 31967 31127
+01 1
+10 1
+.names 31127 31128
+1 1
+.names 31990 31129
+0 1
+.names 31129 31130
+1 1
+.names 31987 31989 31131
+01 1
+10 1
+.names 31131 31132
+1 1
+.names 31997 31133
+0 1
+.names 31133 31134
+1 1
+.names 31985 31954 31135
+01 1
+10 1
+.names 31135 31136
+1 1
+.names 31998 31137
+0 1
+.names 31137 31138
+1 1
+.names 31983 31969 31139
+01 1
+10 1
+.names 31139 31140
+1 1
+.names 31145 31148 31141
+11 1
+.names 31141 31142
+1 1
+.names 31510 31511 31143
+1- 1
+-1 1
+.names 31143 31144
+1 1
+.names 31144 31145
+0 1
+.names 32082 32085 31146
+1- 1
+-1 1
+.names 31146 31147
+1 1
+.names 31147 31148
+0 1
+.names 31142 31149
+1 1
+.names 31155 31158 31150
+1- 1
+-1 1
+.names 31150 31151
+1 1
+.names 32091 31152
+0 1
+.names 31152 31153
+1 1
+.names 31153 31154
+0 1
+.names 31154 31145 31155
+11 1
+.names 31513 31514 31156
+11 1
+.names 31156 31157
+1 1
+.names 31157 31158
+0 1
+.names 30090 31159
+0 1
+.names 30501 31160
+0 1
+.names 30126 31161
+0 1
+.names 30537 31162
+0 1
+.names 30081 31163
+0 1
+.names 30483 31164
+0 1
+.names 30226 31165
+0 1
+.names 30771 31166
+0 1
+.names 11135 11139 31167
+1- 1
+-1 1
+.names 31167 31168
+1 1
+.names 11324 11320 31169
+11 1
+.names 31169 31170
+1 1
+.names 31174 31171
+0 1
+.names 31171 31172
+1 1
+.names 31671 31173
+0 1
+.names 31173 31174
+1 1
+.names 31178 31175
+0 1
+.names 31175 31176
+1 1
+.names 31666 31667 31177
+1- 1
+-1 1
+.names 31177 31178
+1 1
+.names 31183 31184 31179
+1- 1
+-1 1
+.names 31179 31180
+1 1
+.names 31172 31181
+0 1
+.names 31176 31182
+0 1
+.names 31181 31182 31183
+11 1
+.names 11224 31174 31184
+11 1
+.names 31189 31185
+0 1
+.names 31185 31186
+1 1
+.names 11192 31190 31187
+1- 1
+-1 1
+.names 31187 31188
+1 1
+.names 31188 11369 31189
+01 1
+10 1
+.names 11227 31190
+0 1
+.names 31195 31198 31191
+1- 1
+-1 1
+.names 31191 31192
+1 1
+.names 11192 31193
+0 1
+.names 31193 31194
+1 1
+.names 31194 31195
+0 1
+.names 31245 31190 31196
+1- 1
+-1 1
+.names 31196 31197
+1 1
+.names 31197 31198
+0 1
+.names 11188 31201 31199
+1- 1
+-1 1
+.names 31199 31200
+1 1
+.names 11377 31201
+0 1
+.names 31211 31214 31202
+1- 1
+-1 1
+.names 31202 31203
+1 1
+.names 31249 31190 31204
+1- 1
+-1 1
+.names 31204 31205
+1 1
+.names 31205 31206
+0 1
+.names 11188 31206 31207
+1- 1
+-1 1
+.names 31251 31201 31208
+1- 1
+-1 1
+.names 31208 31209
+1 1
+.names 31209 31210
+0 1
+.names 31207 31210 31211
+1- 1
+-1 1
+.names 31193 31201 31212
+1- 1
+-1 1
+.names 31212 31213
+1 1
+.names 31213 31214
+0 1
+.names 11361 31018 31215
+1- 1
+-1 1
+.names 31215 31216
+1 1
+.names 11369 31219 31217
+1- 1
+-1 1
+.names 31217 31218
+1 1
+.names 11184 31219
+0 1
+.names 31223 31218 31220
+01 1
+10 1
+.names 31220 31221
+1 1
+.names 11271 31222
+0 1
+.names 31222 31223
+1 1
+.names 31227 31188 31224
+01 1
+10 1
+.names 31224 31225
+1 1
+.names 31257 31245 31226
+11 1
+.names 31226 31227
+1 1
+.names 31231 31233 31228
+01 1
+10 1
+.names 31228 31229
+1 1
+.names 31200 31230
+0 1
+.names 31230 31231
+1 1
+.names 31255 31256 31232
+1- 1
+-1 1
+.names 31232 31233
+1 1
+.names 31236 31234
+0 1
+.names 31234 31235
+1 1
+.names 31200 31192 31236
+01 1
+10 1
+.names 31240 31203 31237
+01 1
+10 1
+.names 31237 31238
+1 1
+.names 31216 31239
+0 1
+.names 31239 31240
+1 1
+.names 31240 31244 31241
+01 1
+10 1
+.names 31241 31242
+1 1
+.names 31254 31206 31243
+1- 1
+-1 1
+.names 31243 31244
+1 1
+.names 11369 31245
+0 1
+.names 31248 31222 31246
+1- 1
+-1 1
+.names 31246 31247
+1 1
+.names 31219 31190 31248
+1- 1
+-1 1
+.names 31245 31201 31249
+1- 1
+-1 1
+.names 31219 31222 31250
+1- 1
+-1 1
+.names 31250 31190 31251
+1- 1
+-1 1
+.names 31218 31252
+0 1
+.names 31252 31253
+1 1
+.names 11188 31214 31254
+1- 1
+-1 1
+.names 11192 31198 31255
+1- 1
+-1 1
+.names 31247 31256
+0 1
+.names 31219 31222 31257
+1- 1
+-1 1
+.names 31262 31266 56639
+1- 1
+-1 1
+.names 31235 31259
+0 1
+.names 31259 31260
+1 1
+.names 31260 31261
+0 1
+.names 11252 31261 31262
+11 1
+.names 31229 31263
+0 1
+.names 31263 31264
+1 1
+.names 31264 31265
+0 1
+.names 11222 31265 31266
+11 1
+.names 31271 31275 56638
+1- 1
+-1 1
+.names 31186 31268
+0 1
+.names 31268 31269
+1 1
+.names 31269 31270
+0 1
+.names 11252 31270 31271
+11 1
+.names 31225 31272
+0 1
+.names 31272 31273
+1 1
+.names 31273 31274
+0 1
+.names 11222 31274 31275
+11 1
+.names 31238 31276
+0 1
+.names 31276 31277
+1 1
+.names 31242 31278
+0 1
+.names 31278 31279
+1 1
+.names 31282 31284 56640
+1- 1
+-1 1
+.names 31279 31281
+0 1
+.names 11252 31281 31282
+11 1
+.names 31277 31283
+0 1
+.names 11222 31283 31284
+11 1
+.names 31221 31285
+0 1
+.names 31285 31286
+1 1
+.names 31253 31287
+0 1
+.names 31287 31288
+1 1
+.names 31291 31293 56637
+1- 1
+-1 1
+.names 31288 31290
+0 1
+.names 11252 31290 31291
+11 1
+.names 31286 31292
+0 1
+.names 11222 31292 31293
+11 1
+.names 11119 11123 31294
+1- 1
+-1 1
+.names 31294 31295
+1 1
+.names 11344 11258 31296
+11 1
+.names 31296 31297
+1 1
+.names 31302 31305 31298
+1- 1
+-1 1
+.names 31298 31299
+1 1
+.names 11304 11248 31300
+11 1
+.names 31300 31301
+1 1
+.names 31301 31302
+0 1
+.names 11143 11147 31303
+11 1
+.names 31303 31304
+1 1
+.names 31304 31305
+0 1
+.names 31311 31314 31306
+11 1
+.names 31306 31307
+1 1
+.names 11155 11159 31308
+1- 1
+-1 1
+.names 31308 31309
+1 1
+.names 31309 31310
+0 1
+.names 31310 31305 31311
+1- 1
+-1 1
+.names 11300 11151 31312
+1- 1
+-1 1
+.names 31312 31313
+1 1
+.names 31313 31314
+0 1
+.names 30008 31315
+0 1
+.names 30395 31316
+0 1
+.names 30071 31317
+0 1
+.names 30468 31318
+0 1
+.names 30044 31319
+0 1
+.names 30357 31320
+0 1
+.names 31324 31321
+0 1
+.names 31321 31322
+1 1
+.names 31562 31323
+0 1
+.names 31323 31324
+1 1
+.names 31330 31334 56652
+1- 1
+-1 1
+.names 11108 31326
+0 1
+.names 31363 31327
+0 1
+.names 31327 31328
+1 1
+.names 31328 31329
+0 1
+.names 31326 31329 31330
+11 1
+.names 31361 31331
+0 1
+.names 31331 31332
+1 1
+.names 31332 31333
+0 1
+.names 11108 31333 31334
+11 1
+.names 31339 31343 56650
+1- 1
+-1 1
+.names 31371 31336
+0 1
+.names 31336 31337
+1 1
+.names 31337 31338
+0 1
+.names 31326 31338 31339
+11 1
+.names 31369 31340
+0 1
+.names 31340 31341
+1 1
+.names 31341 31342
+0 1
+.names 11108 31342 31343
+11 1
+.names 31346 31350 56649
+1- 1
+-1 1
+.names 31322 31345
+0 1
+.names 31326 31345 31346
+11 1
+.names 31373 31347
+0 1
+.names 31347 31348
+1 1
+.names 31348 31349
+0 1
+.names 11108 31349 31350
+11 1
+.names 31355 31359 56651
+1- 1
+-1 1
+.names 31367 31352
+0 1
+.names 31352 31353
+1 1
+.names 31353 31354
+0 1
+.names 31326 31354 31355
+11 1
+.names 31365 31356
+0 1
+.names 31356 31357
+1 1
+.names 31357 31358
+0 1
+.names 11108 31358 31359
+11 1
+.names 31591 31559 31360
+01 1
+10 1
+.names 31360 31361
+1 1
+.names 31583 31362
+0 1
+.names 31362 31363
+1 1
+.names 31580 31582 31364
+01 1
+10 1
+.names 31364 31365
+1 1
+.names 31594 31366
+0 1
+.names 31366 31367
+1 1
+.names 31578 31546 31368
+01 1
+10 1
+.names 31368 31369
+1 1
+.names 31595 31370
+0 1
+.names 31370 31371
+1 1
+.names 31576 31562 31372
+01 1
+10 1
+.names 31372 31373
+1 1
+.names 30099 31374
+0 1
+.names 30609 31375
+0 1
+.names 11360 11187 31376
+1- 1
+-1 1
+.names 31376 31377
+1 1
+.names 11376 11226 31378
+11 1
+.names 31378 31379
+1 1
+.names 29989 31380
+0 1
+.names 30323 31381
+0 1
+.names 30053 31382
+0 1
+.names 30413 31383
+0 1
+.names 31386 31389 31384
+11 1
+.names 31384 31385
+1 1
+.names 31299 31386
+0 1
+.names 31604 31607 31387
+1- 1
+-1 1
+.names 31387 31388
+1 1
+.names 31388 31389
+0 1
+.names 31395 31397 31390
+11 1
+.names 31390 31391
+1 1
+.names 31611 31612 31392
+11 1
+.names 31392 31393
+1 1
+.names 31393 31394
+0 1
+.names 31389 31394 31395
+1- 1
+-1 1
+.names 31307 31396
+0 1
+.names 31396 31394 31397
+1- 1
+-1 1
+.names 32096 32097 31398
+1- 1
+-1 1
+.names 31398 31399
+1 1
+.names 31399 31400
+0 1
+.names 32099 32100 31401
+11 1
+.names 31401 31402
+1 1
+.names 31402 31403
+0 1
+.names 31403 31148 31404
+11 1
+.names 29999 31405
+0 1
+.names 30376 31406
+0 1
+.names 30108 31407
+0 1
+.names 30627 31408
+0 1
+.names 11384 11392 31409
+11 1
+.names 31409 31410
+1 1
+.names 11316 11312 31411
+1- 1
+-1 1
+.names 31411 31412
+1 1
+.names 31648 31649 31413
+1- 1
+-1 1
+.names 31413 31414
+1 1
+.names 31414 31415
+0 1
+.names 31171 31415 31416
+11 1
+.names 31651 31652 31417
+11 1
+.names 31417 31418
+1 1
+.names 31418 31419
+0 1
+.names 31424 31425 31420
+11 1
+.names 31420 31421
+1 1
+.names 31151 31422
+0 1
+.names 31385 31423
+0 1
+.names 31422 31423 31424
+1- 1
+-1 1
+.names 31391 31425
+0 1
+.names 31423 31428 31426
+1- 1
+-1 1
+.names 31426 31427
+1 1
+.names 31149 31428
+0 1
+.names 31432 31429
+0 1
+.names 31429 31430
+1 1
+.names 31722 31431
+0 1
+.names 31431 31432
+1 1
+.names 31436 31440 56645
+1- 1
+-1 1
+.names 11235 31434
+0 1
+.names 31430 31435
+0 1
+.names 31434 31435 31436
+11 1
+.names 31481 31437
+0 1
+.names 31437 31438
+1 1
+.names 31438 31439
+0 1
+.names 11235 31439 31440
+11 1
+.names 31445 31449 56646
+1- 1
+-1 1
+.names 31479 31442
+0 1
+.names 31442 31443
+1 1
+.names 31443 31444
+0 1
+.names 31434 31444 31445
+11 1
+.names 31477 31446
+0 1
+.names 31446 31447
+1 1
+.names 31447 31448
+0 1
+.names 11235 31448 31449
+11 1
+.names 31454 31458 56647
+1- 1
+-1 1
+.names 31475 31451
+0 1
+.names 31451 31452
+1 1
+.names 31452 31453
+0 1
+.names 31434 31453 31454
+11 1
+.names 31473 31455
+0 1
+.names 31455 31456
+1 1
+.names 31456 31457
+0 1
+.names 11235 31457 31458
+11 1
+.names 31463 31467 56648
+1- 1
+-1 1
+.names 31471 31460
+0 1
+.names 31460 31461
+1 1
+.names 31461 31462
+0 1
+.names 31434 31462 31463
+11 1
+.names 31469 31464
+0 1
+.names 31464 31465
+1 1
+.names 31465 31466
+0 1
+.names 11235 31466 31467
+11 1
+.names 31728 31701 31468
+01 1
+10 1
+.names 31468 31469
+1 1
+.names 31729 31470
+0 1
+.names 31470 31471
+1 1
+.names 31725 31687 31472
+01 1
+10 1
+.names 31472 31473
+1 1
+.names 31726 31474
+0 1
+.names 31474 31475
+1 1
+.names 31731 31685 31476
+01 1
+10 1
+.names 31476 31477
+1 1
+.names 31733 31478
+0 1
+.names 31478 31479
+1 1
+.names 31723 31722 31480
+01 1
+10 1
+.names 31480 31481
+1 1
+.names 11352 11195 31482
+11 1
+.names 31482 31483
+1 1
+.names 11356 11348 31484
+1- 1
+-1 1
+.names 31484 31485
+1 1
+.names 31657 11244 31486
+1- 1
+-1 1
+.names 31486 31487
+1 1
+.names 31487 31488
+0 1
+.names 11175 11179 31489
+11 1
+.names 31489 31490
+1 1
+.names 31490 31491
+0 1
+.names 31488 31491 31492
+1- 1
+-1 1
+.names 11372 11364 31493
+1- 1
+-1 1
+.names 31493 31494
+1 1
+.names 31494 31495
+0 1
+.names 31421 31496
+0 1
+.names 31496 31497
+1 1
+.names 11107 31496 31498
+1- 1
+-1 1
+.names 31427 31499
+0 1
+.names 31499 31500
+1 1
+.names 31497 31500 31501
+1- 1
+-1 1
+.names 30262 31502
+0 1
+.names 30843 31503
+0 1
+.names 30253 31504
+0 1
+.names 30825 31505
+0 1
+.names 11183 11270 31506
+11 1
+.names 31506 31507
+1 1
+.names 11191 11368 31508
+1- 1
+-1 1
+.names 31508 31509
+1 1
+.names 31170 31510
+0 1
+.names 31410 31511
+0 1
+.names 31168 31512
+0 1
+.names 31512 31511 31513
+1- 1
+-1 1
+.names 31412 31514
+0 1
+.names 31520 31524 31515
+11 1
+.names 31515 31516
+1 1
+.names 32104 32107 31517
+11 1
+.names 31517 31518
+1 1
+.names 31518 31519
+0 1
+.names 31519 11234 31520
+1- 1
+-1 1
+.names 30860 32103 31521
+1- 1
+-1 1
+.names 31521 31522
+1 1
+.names 31522 31523
+0 1
+.names 31519 31523 31524
+1- 1
+-1 1
+.names 31516 31525
+0 1
+.names 31525 31526
+1 1
+.names 11199 11203 31527
+1- 1
+-1 1
+.names 31527 31528
+1 1
+.names 11266 11262 31529
+11 1
+.names 31529 31530
+1 1
+.names 31533 31534 31531
+1- 1
+-1 1
+.names 31531 31532
+1 1
+.names 11337 31533
+0 1
+.names 11255 31534
+0 1
+.names 31537 31538 31535
+1- 1
+-1 1
+.names 31535 31536
+1 1
+.names 11132 31537
+0 1
+.names 11239 31538
+0 1
+.names 31543 31544 31539
+1- 1
+-1 1
+.names 31539 31540
+1 1
+.names 11128 31541
+0 1
+.names 31541 31542
+1 1
+.names 31542 31543
+0 1
+.names 31536 31544
+0 1
+.names 11128 31538 31545
+1- 1
+-1 1
+.names 31545 31546
+1 1
+.names 11329 31549 31547
+1- 1
+-1 1
+.names 31547 31548
+1 1
+.names 11381 31549
+0 1
+.names 31554 31557 31550
+1- 1
+-1 1
+.names 31550 31551
+1 1
+.names 31597 31572 31552
+11 1
+.names 31552 31553
+1 1
+.names 31553 31554
+0 1
+.names 31596 31538 31555
+1- 1
+-1 1
+.names 31555 31556
+1 1
+.names 31556 31557
+0 1
+.names 11333 31560 31558
+1- 1
+-1 1
+.names 31558 31559
+1 1
+.names 11321 31560
+0 1
+.names 11132 31533 31561
+1- 1
+-1 1
+.names 31561 31562
+1 1
+.names 31571 31574 31563
+1- 1
+-1 1
+.names 31563 31564
+1 1
+.names 31541 31538 31565
+11 1
+.names 31565 31566
+1 1
+.names 31566 31567
+0 1
+.names 31575 31549 31568
+1- 1
+-1 1
+.names 31568 31569
+1 1
+.names 31569 31570
+0 1
+.names 31567 31570 31571
+11 1
+.names 11329 31572
+0 1
+.names 31572 31573
+1 1
+.names 31573 31574
+0 1
+.names 31541 31537 31575
+11 1
+.names 31534 31576
+1 1
+.names 31603 31537 31577
+11 1
+.names 31577 31578
+1 1
+.names 31548 31579
+0 1
+.names 31579 31580
+1 1
+.names 31601 31602 31581
+1- 1
+-1 1
+.names 31581 31582
+1 1
+.names 31559 31551 31583
+01 1
+10 1
+.names 31588 31589 31584
+11 1
+.names 31584 31585
+1 1
+.names 31538 31549 31586
+1- 1
+-1 1
+.names 31586 31587
+1 1
+.names 31587 31588
+0 1
+.names 31532 31589
+0 1
+.names 31592 31593 31590
+11 1
+.names 31590 31591
+1 1
+.names 31585 31592
+0 1
+.names 31564 31593
+0 1
+.names 31540 31548 31594
+01 1
+10 1
+.names 31546 11132 31595
+01 1
+10 1
+.names 31537 31549 31596
+1- 1
+-1 1
+.names 31541 31549 31597
+1- 1
+-1 1
+.names 31600 31538 31598
+1- 1
+-1 1
+.names 31598 31599
+1 1
+.names 31534 31533 31600
+1- 1
+-1 1
+.names 11128 31544 31601
+1- 1
+-1 1
+.names 31599 31602
+0 1
+.names 31533 31534 31603
+1- 1
+-1 1
+.names 30934 31604
+0 1
+.names 11292 11296 31605
+11 1
+.names 31605 31606
+1 1
+.names 31606 31607
+0 1
+.names 11167 11171 31608
+1- 1
+-1 1
+.names 31608 31609
+1 1
+.names 31609 31610
+0 1
+.names 31610 31604 31611
+1- 1
+-1 1
+.names 30932 31612
+0 1
+.names 31415 31400 31613
+11 1
+.names 31613 31614
+1 1
+.names 31614 31615
+0 1
+.names 11230 31615 31616
+1- 1
+-1 1
+.names 32116 31617
+0 1
+.names 31617 31618
+1 1
+.names 31618 31619
+0 1
+.names 30244 31620
+0 1
+.names 30808 31621
+0 1
+.names 30117 31622
+0 1
+.names 30519 31623
+0 1
+.names 30199 31624
+0 1
+.names 30717 31625
+0 1
+.names 31428 31615 31626
+1- 1
+-1 1
+.names 31626 31627
+1 1
+.names 31630 31422 31628
+11 1
+.names 31628 31629
+1 1
+.names 31619 31428 31630
+1- 1
+-1 1
+.names 30062 31631
+0 1
+.names 30450 31632
+0 1
+.names 11212 31633
+1 1
+.names 11209 31634
+1 1
+.names 31637 11212 31635
+11 1
+.names 31635 31636
+1 1
+.names 4185 31637
+0 1
+.names 31637 11209 31638
+11 1
+.names 31638 31639
+1 1
+.names 31642 31643 31640
+11 1
+.names 31640 31641
+1 1
+.names 31636 31642
+0 1
+.names 31639 31643
+0 1
+.names 31646 31647 31644
+1- 1
+-1 1
+.names 31644 31645
+1 1
+.names 31633 31634 31646
+11 1
+.names 31641 31647
+0 1
+.names 31530 31648
+0 1
+.names 31483 31649
+0 1
+.names 31528 31650
+0 1
+.names 31650 31649 31651
+1- 1
+-1 1
+.names 31485 31652
+0 1
+.names 11340 11115 31653
+1- 1
+-1 1
+.names 31653 31654
+1 1
+.names 11111 11388 31655
+11 1
+.names 31655 31656
+1 1
+.names 31645 31657
+0 1
+.names 31661 31662 31658
+1- 1
+-1 1
+.names 11253 31659
+0 1
+.names 30866 31660
+0 1
+.names 31659 31660 31661
+11 1
+.names 30854 31662
+0 1
+.names 30217 31663
+0 1
+.names 30756 31664
+0 1
+.names 31379 31665
+0 1
+.names 31665 31666
+1 1
+.names 31507 31667
+0 1
+.names 31377 31668
+0 1
+.names 31668 31669
+1 1
+.names 31672 31673 31670
+1- 1
+-1 1
+.names 31670 31671
+1 1
+.names 31509 31379 31672
+11 1
+.names 31669 31673
+0 1
+.names 31679 31680 31674
+1- 1
+-1 1
+.names 31674 31675
+1 1
+.names 11345 31676
+0 1
+.names 31676 31049 31677
+1- 1
+-1 1
+.names 11389 31678
+0 1
+.names 31677 31678 31679
+1- 1
+-1 1
+.names 11112 31680
+0 1
+.names 31683 31678 31681
+1- 1
+-1 1
+.names 31681 31682
+1 1
+.names 11124 31683
+0 1
+.names 11120 31678 31684
+1- 1
+-1 1
+.names 31684 31685
+1 1
+.names 31689 31692 31686
+1- 1
+-1 1
+.names 31686 31687
+1 1
+.names 31682 31688
+0 1
+.names 11120 31688 31689
+1- 1
+-1 1
+.names 31738 31049 31690
+1- 1
+-1 1
+.names 31690 31691
+1 1
+.names 31691 31692
+0 1
+.names 31697 31688 31693
+1- 1
+-1 1
+.names 31693 31694
+1 1
+.names 11120 31695
+0 1
+.names 31695 31696
+1 1
+.names 31696 31697
+0 1
+.names 11116 31680 31698
+1- 1
+-1 1
+.names 31698 31699
+1 1
+.names 31707 31710 31700
+1- 1
+-1 1
+.names 31700 31701
+1 1
+.names 31675 31702
+0 1
+.names 11116 31702 31703
+1- 1
+-1 1
+.names 31695 31680 31704
+1- 1
+-1 1
+.names 31704 31705
+1 1
+.names 31705 31706
+0 1
+.names 31703 31706 31707
+1- 1
+-1 1
+.names 31737 31683 31708
+1- 1
+-1 1
+.names 31708 31709
+1 1
+.names 31709 31710
+0 1
+.names 31715 31718 31711
+1- 1
+-1 1
+.names 31711 31712
+1 1
+.names 31735 31736 31713
+11 1
+.names 31713 31714
+1 1
+.names 31714 31715
+0 1
+.names 31734 31683 31716
+1- 1
+-1 1
+.names 31716 31717
+1 1
+.names 31717 31718
+0 1
+.names 11341 31534 31719
+1- 1
+-1 1
+.names 31719 31720
+1 1
+.names 11124 31676 31721
+1- 1
+-1 1
+.names 31721 31722
+1 1
+.names 31049 31723
+1 1
+.names 31699 31724
+0 1
+.names 31724 31725
+1 1
+.names 31699 31694 31726
+01 1
+10 1
+.names 31720 31727
+0 1
+.names 31727 31728
+1 1
+.names 31720 31712 31729
+01 1
+10 1
+.names 31732 31683 31730
+11 1
+.names 31730 31731
+1 1
+.names 31676 31049 31732
+1- 1
+-1 1
+.names 31685 11124 31733
+01 1
+10 1
+.names 31678 31680 31734
+1- 1
+-1 1
+.names 31695 31680 31735
+1- 1
+-1 1
+.names 11116 31736
+0 1
+.names 31678 31680 31737
+1- 1
+-1 1
+.names 31676 31678 31738
+1- 1
+-1 1
+.names 30208 31739
+0 1
+.names 30735 31740
+0 1
+.names 30172 31741
+0 1
+.names 30663 31742
+0 1
+.names 31745 31746 31743
+1- 1
+-1 1
+.names 31743 31744
+1 1
+.names 11245 31745
+0 1
+.names 11176 31746
+0 1
+.names 31750 31751 31747
+1- 1
+-1 1
+.names 31747 31748
+1 1
+.names 11180 31749
+0 1
+.names 14886 31749 31750
+1- 1
+-1 1
+.names 11276 31751
+0 1
+.names 11245 31749 31752
+1- 1
+-1 1
+.names 31752 31753
+1 1
+.names 31757 31760 31754
+1- 1
+-1 1
+.names 31754 31755
+1 1
+.names 31745 31756
+1 1
+.names 31756 31757
+0 1
+.names 31805 31749 31758
+1- 1
+-1 1
+.names 31758 31759
+1 1
+.names 31759 31760
+0 1
+.names 11365 31746 31761
+1- 1
+-1 1
+.names 31761 31762
+1 1
+.names 31772 31773 31763
+1- 1
+-1 1
+.names 31763 31764
+1 1
+.names 31815 31746 31765
+1- 1
+-1 1
+.names 31765 31766
+1 1
+.names 31766 31767
+0 1
+.names 11365 31767 31768
+1- 1
+-1 1
+.names 31813 31805 31769
+1- 1
+-1 1
+.names 31769 31770
+1 1
+.names 31770 31771
+0 1
+.names 31768 31771 31772
+1- 1
+-1 1
+.names 31744 31773
+0 1
+.names 31776 31779 31774
+1- 1
+-1 1
+.names 31774 31775
+1 1
+.names 11365 31773 31776
+1- 1
+-1 1
+.names 31816 31805 31777
+1- 1
+-1 1
+.names 31777 31778
+1 1
+.names 31778 31779
+0 1
+.names 11373 31222 31780
+1- 1
+-1 1
+.names 31780 31781
+1 1
+.names 11274 31751 31782
+1- 1
+-1 1
+.names 31782 31783
+1 1
+.names 31786 31783 31784
+01 1
+10 1
+.names 31784 31785
+1 1
+.names 14886 31786
+1 1
+.names 31790 31792 31787
+01 1
+10 1
+.names 31787 31788
+1 1
+.names 31762 31789
+0 1
+.names 31789 31790
+1 1
+.names 31817 31818 31791
+1- 1
+-1 1
+.names 31791 31792
+1 1
+.names 31795 31793
+0 1
+.names 31793 31794
+1 1
+.names 31762 31755 31795
+01 1
+10 1
+.names 31799 31764 31796
+01 1
+10 1
+.names 31796 31797
+1 1
+.names 31781 31798
+0 1
+.names 31798 31799
+1 1
+.names 31799 31775 31800
+01 1
+10 1
+.names 31800 31801
+1 1
+.names 31804 31805 31802
+11 1
+.names 31802 31803
+1 1
+.names 31751 14886 31804
+1- 1
+-1 1
+.names 11274 31805
+0 1
+.names 31803 31753 31806
+01 1
+10 1
+.names 31806 31807
+1 1
+.names 31810 31808
+0 1
+.names 31808 31809
+1 1
+.names 31753 11274 31810
+01 1
+10 1
+.names 31783 31811
+0 1
+.names 31811 31812
+1 1
+.names 31749 31746 31813
+1- 1
+-1 1
+.names 31751 14886 31814
+1- 1
+-1 1
+.names 31814 31749 31815
+1- 1
+-1 1
+.names 31749 31746 31816
+1- 1
+-1 1
+.names 11245 31760 31817
+1- 1
+-1 1
+.names 31748 31818
+0 1
+.names 11225 31819
+0 1
+.names 31819 31820
+1 1
+.names 31823 31824 56636
+1- 1
+-1 1
+.names 31820 31822
+0 1
+.names 31822 31797 31823
+11 1
+.names 31820 31801 31824
+11 1
+.names 31788 31825
+0 1
+.names 31825 31826
+1 1
+.names 31794 31827
+0 1
+.names 31827 31828
+1 1
+.names 31831 31833 56635
+1- 1
+-1 1
+.names 31828 31830
+0 1
+.names 31819 31830 31831
+11 1
+.names 31826 31832
+0 1
+.names 11225 31832 31833
+11 1
+.names 31807 31834
+0 1
+.names 31834 31835
+1 1
+.names 31809 31836
+0 1
+.names 31836 31837
+1 1
+.names 31840 31842 56634
+1- 1
+-1 1
+.names 31837 31839
+0 1
+.names 31819 31839 31840
+11 1
+.names 31835 31841
+0 1
+.names 11225 31841 31842
+11 1
+.names 31785 31843
+0 1
+.names 31843 31844
+1 1
+.names 31812 31845
+0 1
+.names 31845 31846
+1 1
+.names 31849 31851 56633
+1- 1
+-1 1
+.names 31846 31848
+0 1
+.names 31819 31848 31849
+11 1
+.names 31844 31850
+0 1
+.names 11225 31850 31851
+11 1
+.names 31854 31855 31852
+1- 1
+-1 1
+.names 31852 31853
+1 1
+.names 11172 31854
+0 1
+.names 11281 31855
+0 1
+.names 31860 31861 31856
+1- 1
+-1 1
+.names 31856 31857
+1 1
+.names 11168 31858
+0 1
+.names 31858 31859
+1 1
+.names 31859 31860
+0 1
+.names 31853 31861
+0 1
+.names 11172 31884 31862
+1- 1
+-1 1
+.names 31862 31863
+1 1
+.names 31866 31869 31864
+1- 1
+-1 1
+.names 31864 31865
+1 1
+.names 11168 31861 31866
+1- 1
+-1 1
+.names 31922 31855 31867
+1- 1
+-1 1
+.names 31867 31868
+1 1
+.names 31868 31869
+0 1
+.names 11289 31872 31870
+1- 1
+-1 1
+.names 31870 31871
+1 1
+.names 11164 31872
+0 1
+.names 31877 31880 31873
+1- 1
+-1 1
+.names 31873 31874
+1 1
+.names 31921 31894 31875
+11 1
+.names 31875 31876
+1 1
+.names 31876 31877
+0 1
+.names 31920 31855 31878
+1- 1
+-1 1
+.names 31878 31879
+1 1
+.names 31879 31880
+0 1
+.names 11285 31883 31881
+1- 1
+-1 1
+.names 31881 31882
+1 1
+.names 11279 31883
+0 1
+.names 11293 31884
+0 1
+.names 31893 31896 31885
+1- 1
+-1 1
+.names 31885 31886
+1 1
+.names 31858 31855 31887
+11 1
+.names 31887 31888
+1 1
+.names 31888 31889
+0 1
+.names 31897 31872 31890
+1- 1
+-1 1
+.names 31890 31891
+1 1
+.names 31891 31892
+0 1
+.names 31889 31892 31893
+11 1
+.names 11289 31894
+0 1
+.names 31894 31895
+1 1
+.names 31895 31896
+0 1
+.names 31858 31854 31897
+11 1
+.names 31871 31898
+0 1
+.names 31898 31899
+1 1
+.names 31882 31874 31900
+01 1
+10 1
+.names 31905 31908 31901
+11 1
+.names 31901 31902
+1 1
+.names 31855 31872 31903
+1- 1
+-1 1
+.names 31903 31904
+1 1
+.names 31904 31905
+0 1
+.names 31884 30976 31906
+1- 1
+-1 1
+.names 31906 31907
+1 1
+.names 31907 31908
+0 1
+.names 31911 31912 31909
+11 1
+.names 31909 31910
+1 1
+.names 31902 31911
+0 1
+.names 31886 31912
+0 1
+.names 31857 31871 31913
+01 1
+10 1
+.names 31923 31854 31914
+11 1
+.names 31914 31915
+1 1
+.names 11168 31855 31916
+1- 1
+-1 1
+.names 31916 31917
+1 1
+.names 31917 11172 31918
+01 1
+10 1
+.names 31863 11297 31919
+01 1
+10 1
+.names 31854 31872 31920
+1- 1
+-1 1
+.names 31858 31872 31921
+1- 1
+-1 1
+.names 30976 31884 31922
+1- 1
+-1 1
+.names 31884 30976 31923
+1- 1
+-1 1
+.names 30025 31924
+0 1
+.names 30282 31925
+0 1
+.names 11252 30869 31926
+1- 1
+-1 1
+.names 30190 31927
+0 1
+.names 30702 31928
+0 1
+.names 30645 31929
+0 1
+.names 30162 31930
+0 1
+.names 30035 31931
+0 1
+.names 30339 31932
+0 1
+.names 31937 31938 31933
+1- 1
+-1 1
+.names 31933 31934
+1 1
+.names 11231 31935
+0 1
+.names 31627 31936
+0 1
+.names 31935 31936 31937
+11 1
+.names 31629 31938
+0 1
+.names 31934 31939
+1 1
+.names 31942 31560 31940
+1- 1
+-1 1
+.names 31940 31941
+1 1
+.names 11325 31942
+0 1
+.names 31945 31946 31943
+1- 1
+-1 1
+.names 31943 31944
+1 1
+.names 11140 31945
+0 1
+.names 11309 31946
+0 1
+.names 31951 31952 31947
+1- 1
+-1 1
+.names 31947 31948
+1 1
+.names 11136 31949
+0 1
+.names 31949 31950
+1 1
+.names 31950 31951
+0 1
+.names 31944 31952
+0 1
+.names 11136 31946 31953
+1- 1
+-1 1
+.names 31953 31954
+1 1
+.names 11313 31957 31955
+1- 1
+-1 1
+.names 31955 31956
+1 1
+.names 11385 31957
+0 1
+.names 31962 31965 31958
+1- 1
+-1 1
+.names 31958 31959
+1 1
+.names 32000 31979 31960
+11 1
+.names 31960 31961
+1 1
+.names 31961 31962
+0 1
+.names 31999 31946 31963
+1- 1
+-1 1
+.names 31963 31964
+1 1
+.names 31964 31965
+0 1
+.names 11317 30942 31966
+1- 1
+-1 1
+.names 31966 31967
+1 1
+.names 11140 31942 31968
+1- 1
+-1 1
+.names 31968 31969
+1 1
+.names 31978 31981 31970
+1- 1
+-1 1
+.names 31970 31971
+1 1
+.names 31946 31949 31972
+11 1
+.names 31972 31973
+1 1
+.names 31973 31974
+0 1
+.names 31982 31957 31975
+1- 1
+-1 1
+.names 31975 31976
+1 1
+.names 31976 31977
+0 1
+.names 31974 31977 31978
+11 1
+.names 11313 31979
+0 1
+.names 31979 31980
+1 1
+.names 31980 31981
+0 1
+.names 31945 31949 31982
+11 1
+.names 31560 31983
+1 1
+.names 32010 31945 31984
+11 1
+.names 31984 31985
+1 1
+.names 31956 31986
+0 1
+.names 31986 31987
+1 1
+.names 32008 32009 31988
+1- 1
+-1 1
+.names 31988 31989
+1 1
+.names 31967 31959 31990
+01 1
+10 1
+.names 31995 31996 31991
+11 1
+.names 31991 31992
+1 1
+.names 32003 32004 31993
+11 1
+.names 31993 31994
+1 1
+.names 31994 31995
+0 1
+.names 31971 31996
+0 1
+.names 31948 31956 31997
+01 1
+10 1
+.names 31954 11140 31998
+01 1
+10 1
+.names 31945 31957 31999
+1- 1
+-1 1
+.names 31949 31957 32000
+1- 1
+-1 1
+.names 31946 31957 32001
+1- 1
+-1 1
+.names 32001 32002
+1 1
+.names 32002 32003
+0 1
+.names 31941 32004
+0 1
+.names 32007 31946 32005
+1- 1
+-1 1
+.names 32005 32006
+1 1
+.names 31560 31942 32007
+1- 1
+-1 1
+.names 11136 31952 32008
+1- 1
+-1 1
+.names 32006 32009
+0 1
+.names 31942 31560 32010
+1- 1
+-1 1
+.names 31006 32011
+0 1
+.names 32011 32012
+1 1
+.names 30991 32013
+0 1
+.names 32013 32014
+1 1
+.names 32018 32022 56657
+1- 1
+-1 1
+.names 31939 32016
+0 1
+.names 32012 32017
+0 1
+.names 32016 32017 32018
+11 1
+.names 31003 32019
+0 1
+.names 32019 32020
+1 1
+.names 32020 32021
+0 1
+.names 31939 32021 32022
+11 1
+.names 32027 32031 56658
+1- 1
+-1 1
+.names 31000 32024
+0 1
+.names 32024 32025
+1 1
+.names 32025 32026
+0 1
+.names 32016 32026 32027
+11 1
+.names 30998 32028
+0 1
+.names 32028 32029
+1 1
+.names 32029 32030
+0 1
+.names 31939 32030 32031
+11 1
+.names 32036 32040 56659
+1- 1
+-1 1
+.names 30984 32033
+0 1
+.names 32033 32034
+1 1
+.names 32034 32035
+0 1
+.names 32016 32035 32036
+11 1
+.names 30980 32037
+0 1
+.names 32037 32038
+1 1
+.names 32038 32039
+0 1
+.names 31939 32039 32040
+11 1
+.names 32043 32047 56660
+1- 1
+-1 1
+.names 32014 32042
+0 1
+.names 32016 32042 32043
+11 1
+.names 30987 32044
+0 1
+.names 32044 32045
+1 1
+.names 32045 32046
+0 1
+.names 31939 32046 32047
+11 1
+.names 31056 32048
+0 1
+.names 32048 32049
+1 1
+.names 32054 32056 56643
+1- 1
+-1 1
+.names 31071 32051
+0 1
+.names 32051 32052
+1 1
+.names 32052 32053
+0 1
+.names 11230 32053 32054
+11 1
+.names 32049 32055
+0 1
+.names 11233 32055 32056
+11 1
+.names 32061 32065 56642
+1- 1
+-1 1
+.names 31078 32058
+0 1
+.names 32058 32059
+1 1
+.names 32059 32060
+0 1
+.names 11230 32060 32061
+11 1
+.names 31074 32062
+0 1
+.names 32062 32063
+1 1
+.names 32063 32064
+0 1
+.names 11233 32064 32065
+11 1
+.names 32070 32074 56641
+1- 1
+-1 1
+.names 31081 32067
+0 1
+.names 32067 32068
+1 1
+.names 32068 32069
+0 1
+.names 11230 32069 32070
+11 1
+.names 31053 32071
+0 1
+.names 32071 32072
+1 1
+.names 32072 32073
+0 1
+.names 11233 32073 32074
+11 1
+.names 11230 32075
+1 1
+.names 32078 32079 56644
+1- 1
+-1 1
+.names 32075 32077
+0 1
+.names 32077 31060 32078
+11 1
+.names 32075 31064 32079
+11 1
+.names 11380 11238 32080
+11 1
+.names 32080 32081
+1 1
+.names 32081 32082
+0 1
+.names 11336 11254 32083
+11 1
+.names 32083 32084
+1 1
+.names 32084 32085
+0 1
+.names 32089 32086
+0 1
+.names 32086 32087
+1 1
+.names 11332 11328 32088
+1- 1
+-1 1
+.names 32088 32089
+1 1
+.names 32094 32095 32090
+1- 1
+-1 1
+.names 32090 32091
+1 1
+.names 11127 11131 32092
+1- 1
+-1 1
+.names 32092 32093
+1 1
+.names 32093 32081 32094
+11 1
+.names 32087 32095
+0 1
+.names 31297 32096
+0 1
+.names 31656 32097
+0 1
+.names 31295 32098
+0 1
+.names 32098 32097 32099
+1- 1
+-1 1
+.names 31654 32100
+0 1
+.names 31145 31386 32101
+11 1
+.names 32101 32102
+1 1
+.names 32102 32103
+0 1
+.names 30864 32103 32104
+1- 1
+-1 1
+.names 32117 31396 32105
+1- 1
+-1 1
+.names 32105 32106
+1 1
+.names 32106 32107
+0 1
+.names 30181 32108
+0 1
+.names 30681 32109
+0 1
+.names 29979 32110
+0 1
+.names 30301 32111
+0 1
+.names 32114 31403 32112
+1- 1
+-1 1
+.names 32112 32113
+1 1
+.names 31400 31419 32114
+11 1
+.names 32113 32115
+0 1
+.names 32115 32116
+1 1
+.names 31386 31158 32117
+11 1
+.names 32120 32121 32118
+1- 1
+-1 1
+.names 32118 32119
+1 1
+.names 7590 32120
+0 1
+.names 1348 32121
+0 1
+.names 32124 32125 32122
+1- 1
+-1 1
+.names 32122 32123
+1 1
+.names 56630 32124
+0 1
+.names 7611 32125
+0 1
+.names 32137 32138 32126
+1- 1
+-1 1
+.names 32126 32127
+1 1
+.names 32127 32128
+0 1
+.names 32123 32129
+0 1
+.names 32128 32129 32130
+1- 1
+-1 1
+.names 32141 32143 32131
+11 1
+.names 32131 32132
+1 1
+.names 32132 32133
+0 1
+.names 32153 32154 32134
+11 1
+.names 32134 32135
+1 1
+.names 32135 32136
+0 1
+.names 2413 32136 32137
+11 1
+.names 1783 2413 32138
+11 1
+.names 7600 32155 32139
+1- 1
+-1 1
+.names 32139 32140
+1 1
+.names 32140 32119 32141
+11 1
+.names 7608 32156 32142
+1- 1
+-1 1
+.names 32142 32143
+1 1
+.names 32148 2413 32144
+1- 1
+-1 1
+.names 32144 32145
+1 1
+.names 32120 32146
+1 1
+.names 32146 32147
+0 1
+.names 7611 32147 32148
+1- 1
+-1 1
+.names 7613 32152 32149
+1- 1
+-1 1
+.names 32149 32150
+1 1
+.names 7608 32151
+1 1
+.names 32151 32152
+0 1
+.names 32145 32153
+0 1
+.names 32150 32154
+0 1
+.names 56585 32155
+0 1
+.names 56621 32156
+0 1
+.names 32124 32125 32157
+1- 1
+-1 1
+.names 32157 32158
+1 1
+.names 32165 32167 32159
+1- 1
+-1 1
+.names 32159 32160
+1 1
+.names 7612 32161
+0 1
+.names 32177 32178 32162
+11 1
+.names 32162 32163
+1 1
+.names 32163 32164
+0 1
+.names 32161 32164 32165
+11 1
+.names 1349 32166
+0 1
+.names 7612 32166 32167
+11 1
+.names 32172 2413 32168
+1- 1
+-1 1
+.names 32168 32169
+1 1
+.names 7608 32170
+1 1
+.names 32170 32171
+0 1
+.names 7622 32171 32172
+1- 1
+-1 1
+.names 7611 32176 32173
+1- 1
+-1 1
+.names 32173 32174
+1 1
+.names 2413 32175
+1 1
+.names 32175 32176
+0 1
+.names 32169 32177
+0 1
+.names 32174 32178
+0 1
+.names 32195 32196 32179
+1- 1
+-1 1
+.names 32179 32180
+1 1
+.names 32160 32181
+0 1
+.names 7608 32184 32182
+1- 1
+-1 1
+.names 32182 32183
+1 1
+.names 56605 32184
+0 1
+.names 2413 32185
+1 1
+.names 32185 32186
+1 1
+.names 32189 32190 32187
+1- 1
+-1 1
+.names 32187 32188
+1 1
+.names 56569 32189
+0 1
+.names 7622 32190
+0 1
+.names 32186 32191
+0 1
+.names 32188 32192
+0 1
+.names 32191 32192 32193
+1- 1
+-1 1
+.names 32183 32194
+0 1
+.names 32193 32194 32195
+1- 1
+-1 1
+.names 32158 32196
+0 1
+.names 32211 32212 32197
+1- 1
+-1 1
+.names 32197 32198
+1 1
+.names 32198 32199
+0 1
+.names 2413 32200
+1 1
+.names 32200 32201
+1 1
+.names 32201 32202
+0 1
+.names 32199 32202 32203
+1- 1
+-1 1
+.names 32217 32219 32204
+11 1
+.names 32204 32205
+1 1
+.names 32205 32206
+0 1
+.names 7582 32207
+0 1
+.names 32226 32227 32208
+11 1
+.names 32208 32209
+1 1
+.names 32209 32210
+0 1
+.names 32207 32210 32211
+11 1
+.names 7582 32124 32212
+11 1
+.names 32161 32235 32213
+1- 1
+-1 1
+.names 32213 32214
+1 1
+.names 7600 22288 32215
+1- 1
+-1 1
+.names 32215 32216
+1 1
+.names 32214 32216 32217
+11 1
+.names 32228 32229 32218
+1- 1
+-1 1
+.names 32218 32219
+1 1
+.names 7613 32223 32220
+1- 1
+-1 1
+.names 32220 32221
+1 1
+.names 2413 32222
+1 1
+.names 32222 32223
+0 1
+.names 32234 2413 32224
+1- 1
+-1 1
+.names 32224 32225
+1 1
+.names 32225 32226
+0 1
+.names 32221 32227
+0 1
+.names 56600 32228
+0 1
+.names 7597 32229
+0 1
+.names 32229 32230
+1 1
+.names 32230 32231
+0 1
+.names 32161 32232
+1 1
+.names 32232 32233
+0 1
+.names 32231 32233 32234
+1- 1
+-1 1
+.names 1350 32235
+0 1
+.names 32250 32251 32236
+1- 1
+-1 1
+.names 32236 32237
+1 1
+.names 32237 32238
+0 1
+.names 2413 32239
+1 1
+.names 32239 32240
+1 1
+.names 32240 32241
+0 1
+.names 32238 32241 32242
+1- 1
+-1 1
+.names 32270 32271 32243
+11 1
+.names 32243 32244
+1 1
+.names 32244 32245
+0 1
+.names 7618 32246
+0 1
+.names 32258 32259 32247
+11 1
+.names 32247 32248
+1 1
+.names 32248 32249
+0 1
+.names 32246 32249 32250
+11 1
+.names 7618 32124 32251
+11 1
+.names 7617 32255 32252
+1- 1
+-1 1
+.names 32252 32253
+1 1
+.names 2413 32254
+1 1
+.names 32254 32255
+0 1
+.names 32278 2413 32256
+1- 1
+-1 1
+.names 32256 32257
+1 1
+.names 32257 32258
+0 1
+.names 32253 32259
+0 1
+.names 32262 32263 32260
+1- 1
+-1 1
+.names 32260 32261
+1 1
+.names 56607 32262
+0 1
+.names 7617 32263
+0 1
+.names 32266 32267 32264
+1- 1
+-1 1
+.names 32264 32265
+1 1
+.names 1351 7591 32266
+11 1
+.names 32261 32267
+0 1
+.names 7621 56571 32268
+11 1
+.names 32268 32269
+1 1
+.names 32269 32270
+0 1
+.names 32265 32271
+0 1
+.names 7591 32272
+0 1
+.names 32272 32273
+1 1
+.names 7621 32274
+0 1
+.names 32274 32275
+1 1
+.names 32275 32276
+0 1
+.names 32273 32277
+0 1
+.names 32276 32277 32278
+1- 1
+-1 1
+.names 32272 32281 32279
+1- 1
+-1 1
+.names 32279 32280
+1 1
+.names 1352 32281
+0 1
+.names 32284 7608 32282
+1- 1
+-1 1
+.names 32282 32283
+1 1
+.names 56609 32284
+0 1
+.names 7620 32288 32285
+1- 1
+-1 1
+.names 32285 32286
+1 1
+.names 2413 32287
+1 1
+.names 32287 32288
+0 1
+.names 32294 32295 32289
+1- 1
+-1 1
+.names 32289 32290
+1 1
+.names 32298 32299 32291
+11 1
+.names 32291 32292
+1 1
+.names 32292 32293
+0 1
+.names 32246 32293 32294
+11 1
+.names 7618 32124 32295
+11 1
+.names 32302 2413 32296
+1- 1
+-1 1
+.names 32296 32297
+1 1
+.names 32297 32298
+0 1
+.names 32286 32299
+0 1
+.names 32272 32300
+1 1
+.names 32300 32301
+0 1
+.names 7594 32301 32302
+1- 1
+-1 1
+.names 32309 32310 32303
+1- 1
+-1 1
+.names 32303 32304
+1 1
+.names 32280 32305
+0 1
+.names 32319 32320 32306
+1- 1
+-1 1
+.names 32306 32307
+1 1
+.names 32307 32308
+0 1
+.names 32305 32308 32309
+1- 1
+-1 1
+.names 32283 32310
+0 1
+.names 32313 32316 32311
+11 1
+.names 32311 32312
+1 1
+.names 32304 32313
+0 1
+.names 1783 32314
+1 1
+.names 32314 32315
+1 1
+.names 32315 32316
+0 1
+.names 32290 32317
+0 1
+.names 32312 32318
+0 1
+.names 7620 32319
+0 1
+.names 56573 32320
+0 1
+.names 32335 32337 32321
+1- 1
+-1 1
+.names 32321 32322
+1 1
+.names 32322 32323
+0 1
+.names 2413 32324
+1 1
+.names 32324 32325
+1 1
+.names 32325 32326
+0 1
+.names 32323 32326 32327
+1- 1
+-1 1
+.names 32358 32354 32328
+11 1
+.names 32328 32329
+1 1
+.names 32329 32330
+0 1
+.names 7607 32331
+0 1
+.names 32348 32349 32332
+11 1
+.names 32332 32333
+1 1
+.names 32333 32334
+0 1
+.names 32331 32334 32335
+11 1
+.names 56616 32336
+0 1
+.names 7607 32336 32337
+11 1
+.names 7611 2413 32338
+1- 1
+-1 1
+.names 32338 32339
+1 1
+.names 32344 32346 32340
+1- 1
+-1 1
+.names 32340 32341
+1 1
+.names 32347 2413 32342
+11 1
+.names 32342 32343
+1 1
+.names 32343 32344
+0 1
+.names 32190 32345
+1 1
+.names 32345 32346
+0 1
+.names 7592 32347
+0 1
+.names 32341 32348
+0 1
+.names 32339 32349
+0 1
+.names 32347 32352 32350
+1- 1
+-1 1
+.names 32350 32351
+1 1
+.names 1353 32352
+0 1
+.names 32124 32125 32353
+1- 1
+-1 1
+.names 32353 32354
+1 1
+.names 32190 32357 32355
+1- 1
+-1 1
+.names 32355 32356
+1 1
+.names 56580 32357
+0 1
+.names 32356 32351 32358
+11 1
+.names 32373 32374 32359
+1- 1
+-1 1
+.names 32359 32360
+1 1
+.names 32360 32361
+0 1
+.names 2413 32362
+1 1
+.names 32362 32363
+1 1
+.names 32363 32364
+0 1
+.names 32361 32364 32365
+1- 1
+-1 1
+.names 32394 32395 32366
+11 1
+.names 32366 32367
+1 1
+.names 32367 32368
+0 1
+.names 7580 32369
+0 1
+.names 32390 32391 32370
+11 1
+.names 32370 32371
+1 1
+.names 32371 32372
+0 1
+.names 32369 32372 32373
+11 1
+.names 7580 32124 32374
+11 1
+.names 32377 32380 32375
+1- 1
+-1 1
+.names 32375 32376
+1 1
+.names 7590 1354 32377
+11 1
+.names 32396 32274 32378
+1- 1
+-1 1
+.names 32378 32379
+1 1
+.names 32379 32380
+0 1
+.names 32385 2413 32381
+1- 1
+-1 1
+.names 32381 32382
+1 1
+.names 32120 32383
+1 1
+.names 32383 32384
+0 1
+.names 7616 32384 32385
+1- 1
+-1 1
+.names 7621 32389 32386
+1- 1
+-1 1
+.names 32386 32387
+1 1
+.names 2413 32388
+1 1
+.names 32388 32389
+0 1
+.names 32382 32390
+0 1
+.names 32387 32391
+0 1
+.names 56629 7616 32392
+11 1
+.names 32392 32393
+1 1
+.names 32393 32394
+0 1
+.names 32376 32395
+0 1
+.names 56593 32396
+0 1
+.names 32410 32411 32397
+1- 1
+-1 1
+.names 32397 32398
+1 1
+.names 32398 32399
+0 1
+.names 2413 32400
+1 1
+.names 32400 32401
+1 1
+.names 32401 32402
+0 1
+.names 32399 32402 32403
+1- 1
+-1 1
+.names 32416 32418 32404
+11 1
+.names 32404 32405
+1 1
+.names 32405 32406
+0 1
+.names 32428 32429 32407
+11 1
+.names 32407 32408
+1 1
+.names 32408 32409
+0 1
+.names 32246 32409 32410
+11 1
+.names 7618 32124 32411
+11 1
+.names 32161 32432 32412
+1- 1
+-1 1
+.names 32412 32413
+1 1
+.names 7600 32433 32414
+1- 1
+-1 1
+.names 32414 32415
+1 1
+.names 32413 32415 32416
+11 1
+.names 32430 32431 32417
+1- 1
+-1 1
+.names 32417 32418
+1 1
+.names 32423 2413 32419
+1- 1
+-1 1
+.names 32419 32420
+1 1
+.names 32161 32421
+1 1
+.names 32421 32422
+0 1
+.names 7616 32422 32423
+1- 1
+-1 1
+.names 7613 32427 32424
+1- 1
+-1 1
+.names 32424 32425
+1 1
+.names 2413 32426
+1 1
+.names 32426 32427
+0 1
+.names 32425 32428
+0 1
+.names 32420 32429
+0 1
+.names 56618 32430
+0 1
+.names 7616 32431
+0 1
+.names 1355 32432
+0 1
+.names 56582 32433
+0 1
+.names 32439 32440 32434
+1- 1
+-1 1
+.names 32434 32435
+1 1
+.names 32447 32448 32436
+11 1
+.names 32436 32437
+1 1
+.names 32437 32438
+0 1
+.names 32246 32438 32439
+11 1
+.names 7618 32124 32440
+11 1
+.names 1783 32444 32441
+1- 1
+-1 1
+.names 32441 32442
+1 1
+.names 32274 32443
+1 1
+.names 32443 32444
+0 1
+.names 32454 2413 32445
+1- 1
+-1 1
+.names 32445 32446
+1 1
+.names 32446 32447
+0 1
+.names 32442 32448
+0 1
+.names 32451 32229 32449
+1- 1
+-1 1
+.names 32449 32450
+1 1
+.names 56608 32451
+0 1
+.names 32161 32452
+1 1
+.names 32452 32453
+0 1
+.names 7597 32453 32454
+1- 1
+-1 1
+.names 32463 32464 32455
+1- 1
+-1 1
+.names 32455 32456
+1 1
+.names 32161 32473 32457
+1- 1
+-1 1
+.names 32457 32458
+1 1
+.names 32458 32459
+0 1
+.names 32274 32474 32460
+1- 1
+-1 1
+.names 32460 32461
+1 1
+.names 32461 32462
+0 1
+.names 32459 32462 32463
+1- 1
+-1 1
+.names 32450 32464
+0 1
+.names 32435 32465
+0 1
+.names 32471 32472 32466
+11 1
+.names 32466 32467
+1 1
+.names 32467 32468
+0 1
+.names 1783 32469
+1 1
+.names 32469 32470
+1 1
+.names 32456 32471
+0 1
+.names 32470 32472
+0 1
+.names 1356 32473
+0 1
+.names 56572 32474
+0 1
+.names 32488 32489 32475
+1- 1
+-1 1
+.names 32475 32476
+1 1
+.names 32476 32477
+0 1
+.names 2413 32478
+1 1
+.names 32478 32479
+1 1
+.names 32479 32480
+0 1
+.names 32477 32480 32481
+1- 1
+-1 1
+.names 32510 32502 32482
+11 1
+.names 32482 32483
+1 1
+.names 32483 32484
+0 1
+.names 32499 32500 32485
+11 1
+.names 32485 32486
+1 1
+.names 32486 32487
+0 1
+.names 32246 32487 32488
+11 1
+.names 7618 32124 32489
+11 1
+.names 32494 2413 32490
+1- 1
+-1 1
+.names 32490 32491
+1 1
+.names 32120 32492
+1 1
+.names 32492 32493
+0 1
+.names 7616 32493 32494
+1- 1
+-1 1
+.names 7622 32498 32495
+1- 1
+-1 1
+.names 32495 32496
+1 1
+.names 2413 32497
+1 1
+.names 32497 32498
+0 1
+.names 32491 32499
+0 1
+.names 32496 32500
+0 1
+.names 32503 32431 32501
+1- 1
+-1 1
+.names 32501 32502
+1 1
+.names 56624 32503
+0 1
+.names 32120 32506 32504
+1- 1
+-1 1
+.names 32504 32505
+1 1
+.names 1357 32506
+0 1
+.names 32190 32509 32507
+1- 1
+-1 1
+.names 32507 32508
+1 1
+.names 56588 32509
+0 1
+.names 32508 32505 32510
+11 1
+.names 32524 32525 32511
+1- 1
+-1 1
+.names 32511 32512
+1 1
+.names 32512 32513
+0 1
+.names 2413 32514
+1 1
+.names 32514 32515
+1 1
+.names 32515 32516
+0 1
+.names 32513 32516 32517
+1- 1
+-1 1
+.names 32530 32532 32518
+11 1
+.names 32518 32519
+1 1
+.names 32519 32520
+0 1
+.names 32542 32543 32521
+11 1
+.names 32521 32522
+1 1
+.names 32522 32523
+0 1
+.names 32369 32523 32524
+11 1
+.names 7580 32124 32525
+11 1
+.names 32120 32546 32526
+1- 1
+-1 1
+.names 32526 32527
+1 1
+.names 7600 32545 32528
+1- 1
+-1 1
+.names 32528 32529
+1 1
+.names 32527 32529 32530
+11 1
+.names 32544 7608 32531
+1- 1
+-1 1
+.names 32531 32532
+1 1
+.names 7594 2413 32533
+1- 1
+-1 1
+.names 32533 32534
+1 1
+.names 32539 32541 32535
+1- 1
+-1 1
+.names 32535 32536
+1 1
+.names 32120 2413 32537
+11 1
+.names 32537 32538
+1 1
+.names 32538 32539
+0 1
+.names 7600 32540
+1 1
+.names 32540 32541
+0 1
+.names 32536 32542
+0 1
+.names 32534 32543
+0 1
+.names 56610 32544
+0 1
+.names 56574 32545
+0 1
+.names 1358 32546
+0 1
+.names 32549 32120 32547
+1- 1
+-1 1
+.names 32547 32548
+1 1
+.names 1359 32549
+0 1
+.names 32563 32564 32550
+1- 1
+-1 1
+.names 32550 32551
+1 1
+.names 32551 32552
+0 1
+.names 2413 32553
+1 1
+.names 32553 32554
+1 1
+.names 32554 32555
+0 1
+.names 32552 32555 32556
+1- 1
+-1 1
+.names 32582 32583 32557
+11 1
+.names 32557 32558
+1 1
+.names 32558 32559
+0 1
+.names 32578 32579 32560
+11 1
+.names 32560 32561
+1 1
+.names 32561 32562
+0 1
+.names 32369 32562 32563
+11 1
+.names 7580 32124 32564
+11 1
+.names 32567 32568 32565
+1- 1
+-1 1
+.names 32565 32566
+1 1
+.names 7621 56587 32567
+11 1
+.names 32548 32568
+0 1
+.names 32573 2413 32569
+1- 1
+-1 1
+.names 32569 32570
+1 1
+.names 32120 32571
+1 1
+.names 32571 32572
+0 1
+.names 7616 32572 32573
+1- 1
+-1 1
+.names 7621 32577 32574
+1- 1
+-1 1
+.names 32574 32575
+1 1
+.names 2413 32576
+1 1
+.names 32576 32577
+0 1
+.names 32570 32578
+0 1
+.names 32575 32579
+0 1
+.names 56623 7616 32580
+11 1
+.names 32580 32581
+1 1
+.names 32581 32582
+0 1
+.names 32566 32583
+0 1
+.names 32597 32598 32584
+1- 1
+-1 1
+.names 32584 32585
+1 1
+.names 32585 32586
+0 1
+.names 2413 32587
+1 1
+.names 32587 32588
+1 1
+.names 32588 32589
+0 1
+.names 32586 32589 32590
+1- 1
+-1 1
+.names 32619 32620 32591
+11 1
+.names 32591 32592
+1 1
+.names 32592 32593
+0 1
+.names 32608 32609 32594
+11 1
+.names 32594 32595
+1 1
+.names 32595 32596
+0 1
+.names 32207 32596 32597
+11 1
+.names 7582 32124 32598
+11 1
+.names 32603 2413 32599
+1- 1
+-1 1
+.names 32599 32600
+1 1
+.names 32272 32601
+1 1
+.names 32601 32602
+0 1
+.names 7620 32602 32603
+1- 1
+-1 1
+.names 7594 32607 32604
+1- 1
+-1 1
+.names 32604 32605
+1 1
+.names 2413 32606
+1 1
+.names 32606 32607
+0 1
+.names 32600 32608
+0 1
+.names 32605 32609
+0 1
+.names 32612 7608 32610
+1- 1
+-1 1
+.names 32610 32611
+1 1
+.names 56599 32612
+0 1
+.names 32615 32616 32613
+1- 1
+-1 1
+.names 32613 32614
+1 1
+.names 7591 1360 32615
+11 1
+.names 32611 32616
+0 1
+.names 7620 56563 32617
+11 1
+.names 32617 32618
+1 1
+.names 32618 32619
+0 1
+.names 32614 32620
+0 1
+.names 32627 32629 32621
+1- 1
+-1 1
+.names 32621 32622
+1 1
+.names 7599 32623
+0 1
+.names 32639 32640 32624
+11 1
+.names 32624 32625
+1 1
+.names 32625 32626
+0 1
+.names 32623 32626 32627
+11 1
+.names 56581 32628
+0 1
+.names 7599 32628 32629
+11 1
+.names 7611 2413 32630
+1- 1
+-1 1
+.names 32630 32631
+1 1
+.names 32636 32638 32632
+1- 1
+-1 1
+.names 32632 32633
+1 1
+.names 32272 2413 32634
+11 1
+.names 32634 32635
+1 1
+.names 32635 32636
+0 1
+.names 7608 32637
+1 1
+.names 32637 32638
+0 1
+.names 32633 32639
+0 1
+.names 32631 32640
+0 1
+.names 32655 32658 32641
+1- 1
+-1 1
+.names 32641 32642
+1 1
+.names 32622 32643
+0 1
+.names 32646 7608 32644
+1- 1
+-1 1
+.names 32644 32645
+1 1
+.names 56617 32646
+0 1
+.names 32645 32647
+0 1
+.names 32124 32125 32648
+1- 1
+-1 1
+.names 32648 32649
+1 1
+.names 32649 32650
+0 1
+.names 32647 32650 32651
+1- 1
+-1 1
+.names 32659 32272 32652
+1- 1
+-1 1
+.names 32652 32653
+1 1
+.names 32653 32654
+0 1
+.names 32651 32654 32655
+1- 1
+-1 1
+.names 2413 32656
+1 1
+.names 32656 32657
+1 1
+.names 32657 32658
+0 1
+.names 1361 32659
+0 1
+.names 32665 32666 32660
+1- 1
+-1 1
+.names 32660 32661
+1 1
+.names 32676 32677 32662
+11 1
+.names 32662 32663
+1 1
+.names 32663 32664
+0 1
+.names 32369 32664 32665
+11 1
+.names 7580 32124 32666
+11 1
+.names 32671 2413 32667
+1- 1
+-1 1
+.names 32667 32668
+1 1
+.names 32272 32669
+1 1
+.names 32669 32670
+0 1
+.names 7597 32670 32671
+1- 1
+-1 1
+.names 7613 32675 32672
+1- 1
+-1 1
+.names 32672 32673
+1 1
+.names 2413 32674
+1 1
+.names 32674 32675
+0 1
+.names 32668 32676
+0 1
+.names 32673 32677
+0 1
+.names 7600 32680 32678
+1- 1
+-1 1
+.names 32678 32679
+1 1
+.names 56570 32680
+0 1
+.names 32683 32229 32681
+1- 1
+-1 1
+.names 32681 32682
+1 1
+.names 56606 32683
+0 1
+.names 32690 32691 32684
+1- 1
+-1 1
+.names 32684 32685
+1 1
+.names 32679 32686
+0 1
+.names 32272 32700 32687
+1- 1
+-1 1
+.names 32687 32688
+1 1
+.names 32688 32689
+0 1
+.names 32686 32689 32690
+1- 1
+-1 1
+.names 32682 32691
+0 1
+.names 32661 32692
+0 1
+.names 32696 32699 32693
+11 1
+.names 32693 32694
+1 1
+.names 32694 32695
+0 1
+.names 32685 32696
+0 1
+.names 1783 32697
+1 1
+.names 32697 32698
+1 1
+.names 32698 32699
+0 1
+.names 1362 32700
+0 1
+.names 32714 32715 32701
+1- 1
+-1 1
+.names 32701 32702
+1 1
+.names 32702 32703
+0 1
+.names 2413 32704
+1 1
+.names 32704 32705
+1 1
+.names 32705 32706
+0 1
+.names 32703 32706 32707
+1- 1
+-1 1
+.names 32718 32721 32708
+11 1
+.names 32708 32709
+1 1
+.names 32709 32710
+0 1
+.names 32728 32729 32711
+11 1
+.names 32711 32712
+1 1
+.names 32712 32713
+0 1
+.names 32369 32713 32714
+11 1
+.names 7580 32124 32715
+11 1
+.names 32734 56565 32716
+11 1
+.names 32716 32717
+1 1
+.names 32717 32718
+0 1
+.names 32736 32737 32719
+1- 1
+-1 1
+.names 32719 32720
+1 1
+.names 32720 32721
+0 1
+.names 7594 32725 32722
+1- 1
+-1 1
+.names 32722 32723
+1 1
+.names 2413 32724
+1 1
+.names 32724 32725
+0 1
+.names 32740 2413 32726
+1- 1
+-1 1
+.names 32726 32727
+1 1
+.names 32727 32728
+0 1
+.names 32723 32729
+0 1
+.names 32732 7608 32730
+1- 1
+-1 1
+.names 32730 32731
+1 1
+.names 56601 32732
+0 1
+.names 32738 32733
+0 1
+.names 32733 32734
+1 1
+.names 32347 32735
+1 1
+.names 7592 1363 32736
+11 1
+.names 32731 32737
+0 1
+.names 32190 32738
+1 1
+.names 32735 32739
+0 1
+.names 32733 32739 32740
+1- 1
+-1 1
+.names 32743 32161 32741
+1- 1
+-1 1
+.names 32741 32742
+1 1
+.names 1364 32743
+0 1
+.names 32757 32758 32744
+1- 1
+-1 1
+.names 32744 32745
+1 1
+.names 32745 32746
+0 1
+.names 2413 32747
+1 1
+.names 32747 32748
+1 1
+.names 32748 32749
+0 1
+.names 32746 32749 32750
+1- 1
+-1 1
+.names 32761 32764 32751
+11 1
+.names 32751 32752
+1 1
+.names 32752 32753
+0 1
+.names 32769 32772 32754
+11 1
+.names 32754 32755
+1 1
+.names 32755 32756
+0 1
+.names 32207 32756 32757
+11 1
+.names 7582 32124 32758
+11 1
+.names 32774 32759
+0 1
+.names 32759 32760
+1 1
+.names 32760 32761
+0 1
+.names 32781 32782 32762
+1- 1
+-1 1
+.names 32762 32763
+1 1
+.names 32763 32764
+0 1
+.names 7621 32768 32765
+1- 1
+-1 1
+.names 32765 32766
+1 1
+.names 2413 32767
+1 1
+.names 32767 32768
+0 1
+.names 32766 32769
+0 1
+.names 32780 2413 32770
+1- 1
+-1 1
+.names 32770 32771
+1 1
+.names 32771 32772
+0 1
+.names 32775 32229 32773
+1- 1
+-1 1
+.names 32773 32774
+1 1
+.names 56604 32775
+0 1
+.names 32229 32776
+1 1
+.names 32776 32777
+0 1
+.names 32161 32778
+1 1
+.names 32778 32779
+0 1
+.names 32777 32779 32780
+1- 1
+-1 1
+.names 7621 56568 32781
+11 1
+.names 32742 32782
+0 1
+.names 32272 32785 32783
+1- 1
+-1 1
+.names 32783 32784
+1 1
+.names 1365 32785
+0 1
+.names 32791 32792 32786
+1- 1
+-1 1
+.names 32786 32787
+1 1
+.names 32802 32803 32788
+11 1
+.names 32788 32789
+1 1
+.names 32789 32790
+0 1
+.names 32246 32790 32791
+11 1
+.names 7618 32124 32792
+11 1
+.names 32797 2413 32793
+1- 1
+-1 1
+.names 32793 32794
+1 1
+.names 32272 32795
+1 1
+.names 32795 32796
+0 1
+.names 7597 32796 32797
+1- 1
+-1 1
+.names 7613 32801 32798
+1- 1
+-1 1
+.names 32798 32799
+1 1
+.names 2413 32800
+1 1
+.names 32800 32801
+0 1
+.names 32794 32802
+0 1
+.names 32799 32803
+0 1
+.names 32806 32229 32804
+1- 1
+-1 1
+.names 32804 32805
+1 1
+.names 56615 32806
+0 1
+.names 32813 32814 32807
+1- 1
+-1 1
+.names 32807 32808
+1 1
+.names 32784 32809
+0 1
+.names 7600 32823 32810
+1- 1
+-1 1
+.names 32810 32811
+1 1
+.names 32811 32812
+0 1
+.names 32809 32812 32813
+1- 1
+-1 1
+.names 32805 32814
+0 1
+.names 32787 32815
+0 1
+.names 32821 32822 32816
+11 1
+.names 32816 32817
+1 1
+.names 32817 32818
+0 1
+.names 1783 32819
+1 1
+.names 32819 32820
+1 1
+.names 32808 32821
+0 1
+.names 32820 32822
+0 1
+.names 56579 32823
+0 1
+.names 32837 32838 32824
+1- 1
+-1 1
+.names 32824 32825
+1 1
+.names 32825 32826
+0 1
+.names 2413 32827
+1 1
+.names 32827 32828
+1 1
+.names 32828 32829
+0 1
+.names 32826 32829 32830
+1- 1
+-1 1
+.names 32859 32851 32831
+11 1
+.names 32831 32832
+1 1
+.names 32832 32833
+0 1
+.names 32848 32849 32834
+11 1
+.names 32834 32835
+1 1
+.names 32835 32836
+0 1
+.names 32369 32836 32837
+11 1
+.names 7580 32124 32838
+11 1
+.names 32843 2413 32839
+1- 1
+-1 1
+.names 32839 32840
+1 1
+.names 32347 32841
+1 1
+.names 32841 32842
+0 1
+.names 7617 32842 32843
+1- 1
+-1 1
+.names 7622 32847 32844
+1- 1
+-1 1
+.names 32844 32845
+1 1
+.names 2413 32846
+1 1
+.names 32846 32847
+0 1
+.names 32840 32848
+0 1
+.names 32845 32849
+0 1
+.names 32852 32263 32850
+1- 1
+-1 1
+.names 32850 32851
+1 1
+.names 56622 32852
+0 1
+.names 32347 32855 32853
+1- 1
+-1 1
+.names 32853 32854
+1 1
+.names 1366 32855
+0 1
+.names 32190 32858 32856
+1- 1
+-1 1
+.names 32856 32857
+1 1
+.names 56586 32858
+0 1
+.names 32857 32854 32859
+11 1
+.names 32347 32862 32860
+1- 1
+-1 1
+.names 32860 32861
+1 1
+.names 1367 32862
+0 1
+.names 32876 32877 32863
+1- 1
+-1 1
+.names 32863 32864
+1 1
+.names 32864 32865
+0 1
+.names 2413 32866
+1 1
+.names 32866 32867
+1 1
+.names 32867 32868
+0 1
+.names 32865 32868 32869
+1- 1
+-1 1
+.names 32880 32882 32870
+11 1
+.names 32870 32871
+1 1
+.names 32871 32872
+0 1
+.names 32892 32893 32873
+11 1
+.names 32873 32874
+1 1
+.names 32874 32875
+0 1
+.names 32207 32875 32876
+11 1
+.names 7582 32124 32877
+11 1
+.names 32319 5787 32878
+1- 1
+-1 1
+.names 32878 32879
+1 1
+.names 32861 32879 32880
+11 1
+.names 32124 32263 32881
+1- 1
+-1 1
+.names 32881 32882
+1 1
+.names 32887 2413 32883
+1- 1
+-1 1
+.names 32883 32884
+1 1
+.names 32347 32885
+1 1
+.names 32885 32886
+0 1
+.names 7617 32886 32887
+1- 1
+-1 1
+.names 7620 32891 32888
+1- 1
+-1 1
+.names 32888 32889
+1 1
+.names 2413 32890
+1 1
+.names 32890 32891
+0 1
+.names 32884 32892
+0 1
+.names 32889 32893
+0 1
+.names 32907 32908 32894
+1- 1
+-1 1
+.names 32894 32895
+1 1
+.names 32895 32896
+0 1
+.names 2413 32897
+1 1
+.names 32897 32898
+1 1
+.names 32898 32899
+0 1
+.names 32896 32899 32900
+1- 1
+-1 1
+.names 32913 32915 32901
+11 1
+.names 32901 32902
+1 1
+.names 32902 32903
+0 1
+.names 32925 32926 32904
+11 1
+.names 32904 32905
+1 1
+.names 32905 32906
+0 1
+.names 32246 32906 32907
+11 1
+.names 7618 32124 32908
+11 1
+.names 32347 32929 32909
+1- 1
+-1 1
+.names 32909 32910
+1 1
+.names 32319 32928 32911
+1- 1
+-1 1
+.names 32911 32912
+1 1
+.names 32910 32912 32913
+11 1
+.names 32927 32431 32914
+1- 1
+-1 1
+.names 32914 32915
+1 1
+.names 32920 2413 32916
+1- 1
+-1 1
+.names 32916 32917
+1 1
+.names 32347 32918
+1 1
+.names 32918 32919
+0 1
+.names 7616 32919 32920
+1- 1
+-1 1
+.names 7620 32924 32921
+1- 1
+-1 1
+.names 32921 32922
+1 1
+.names 2413 32923
+1 1
+.names 32923 32924
+0 1
+.names 32917 32925
+0 1
+.names 32922 32926
+0 1
+.names 56620 32927
+0 1
+.names 56584 32928
+0 1
+.names 1368 32929
+0 1
+.names 32347 32932 32930
+1- 1
+-1 1
+.names 32930 32931
+1 1
+.names 1369 32932
+0 1
+.names 32938 32939 32933
+1- 1
+-1 1
+.names 32933 32934
+1 1
+.names 32949 32950 32935
+11 1
+.names 32935 32936
+1 1
+.names 32936 32937
+0 1
+.names 32246 32937 32938
+11 1
+.names 7618 32124 32939
+11 1
+.names 32944 2413 32940
+1- 1
+-1 1
+.names 32940 32941
+1 1
+.names 32347 32942
+1 1
+.names 32942 32943
+0 1
+.names 7597 32943 32944
+1- 1
+-1 1
+.names 7620 32948 32945
+1- 1
+-1 1
+.names 32945 32946
+1 1
+.names 2413 32947
+1 1
+.names 32947 32948
+0 1
+.names 32941 32949
+0 1
+.names 32946 32950
+0 1
+.names 32953 32229 32951
+1- 1
+-1 1
+.names 32951 32952
+1 1
+.names 56612 32953
+0 1
+.names 32960 32961 32954
+1- 1
+-1 1
+.names 32954 32955
+1 1
+.names 32931 32956
+0 1
+.names 32319 32970 32957
+1- 1
+-1 1
+.names 32957 32958
+1 1
+.names 32958 32959
+0 1
+.names 32956 32959 32960
+1- 1
+-1 1
+.names 32952 32961
+0 1
+.names 32934 32962
+0 1
+.names 32968 32969 32963
+11 1
+.names 32963 32964
+1 1
+.names 32964 32965
+0 1
+.names 1783 32966
+1 1
+.names 32966 32967
+1 1
+.names 32955 32968
+0 1
+.names 32967 32969
+0 1
+.names 56576 32970
+0 1
+.names 32984 32985 32971
+1- 1
+-1 1
+.names 32971 32972
+1 1
+.names 32972 32973
+0 1
+.names 2413 32974
+1 1
+.names 32974 32975
+1 1
+.names 32975 32976
+0 1
+.names 32973 32976 32977
+1- 1
+-1 1
+.names 32990 32992 32978
+11 1
+.names 32978 32979
+1 1
+.names 32979 32980
+0 1
+.names 33002 33003 32981
+11 1
+.names 32981 32982
+1 1
+.names 32982 32983
+0 1
+.names 32246 32983 32984
+11 1
+.names 7618 32124 32985
+11 1
+.names 7600 33004 32986
+1- 1
+-1 1
+.names 32986 32987
+1 1
+.names 32120 33006 32988
+1- 1
+-1 1
+.names 32988 32989
+1 1
+.names 32987 32989 32990
+11 1
+.names 33005 32431 32991
+1- 1
+-1 1
+.names 32991 32992
+1 1
+.names 32997 2413 32993
+1- 1
+-1 1
+.names 32993 32994
+1 1
+.names 32120 32995
+1 1
+.names 32995 32996
+0 1
+.names 7616 32996 32997
+1- 1
+-1 1
+.names 1783 33001 32998
+1- 1
+-1 1
+.names 32998 32999
+1 1
+.names 7600 33000
+1 1
+.names 33000 33001
+0 1
+.names 32994 33002
+0 1
+.names 32999 33003
+0 1
+.names 56577 33004
+0 1
+.names 56613 33005
+0 1
+.names 1370 33006
+0 1
+.names 33009 32120 33007
+1- 1
+-1 1
+.names 33007 33008
+1 1
+.names 1371 33009
+0 1
+.names 33023 33024 33010
+1- 1
+-1 1
+.names 33010 33011
+1 1
+.names 33011 33012
+0 1
+.names 2413 33013
+1 1
+.names 33013 33014
+1 1
+.names 33014 33015
+0 1
+.names 33012 33015 33016
+1- 1
+-1 1
+.names 33027 33030 33017
+11 1
+.names 33017 33018
+1 1
+.names 33018 33019
+0 1
+.names 33040 33041 33020
+11 1
+.names 33020 33021
+1 1
+.names 33021 33022
+0 1
+.names 32207 33022 33023
+11 1
+.names 7582 32124 33024
+11 1
+.names 33045 33025
+0 1
+.names 33025 33026
+1 1
+.names 33026 33027
+0 1
+.names 33042 33043 33028
+1- 1
+-1 1
+.names 33028 33029
+1 1
+.names 33029 33030
+0 1
+.names 33035 2413 33031
+1- 1
+-1 1
+.names 33031 33032
+1 1
+.names 32120 33033
+1 1
+.names 33033 33034
+0 1
+.names 7617 33034 33035
+1- 1
+-1 1
+.names 7613 33039 33036
+1- 1
+-1 1
+.names 33036 33037
+1 1
+.names 2413 33038
+1 1
+.names 33038 33039
+0 1
+.names 33032 33040
+0 1
+.names 33037 33041
+0 1
+.names 7613 56591 33042
+11 1
+.names 33008 33043
+0 1
+.names 33046 32263 33044
+1- 1
+-1 1
+.names 33044 33045
+1 1
+.names 56627 33046
+0 1
+.names 32347 33049 33047
+1- 1
+-1 1
+.names 33047 33048
+1 1
+.names 1372 33049
+0 1
+.names 33055 33056 33050
+1- 1
+-1 1
+.names 33050 33051
+1 1
+.names 33066 33067 33052
+11 1
+.names 33052 33053
+1 1
+.names 33053 33054
+0 1
+.names 32207 33054 33055
+11 1
+.names 7582 32124 33056
+11 1
+.names 33061 2413 33057
+1- 1
+-1 1
+.names 33057 33058
+1 1
+.names 32347 33059
+1 1
+.names 33059 33060
+0 1
+.names 7597 33060 33061
+1- 1
+-1 1
+.names 7620 33065 33062
+1- 1
+-1 1
+.names 33062 33063
+1 1
+.names 2413 33064
+1 1
+.names 33064 33065
+0 1
+.names 33058 33066
+0 1
+.names 33063 33067
+0 1
+.names 33070 32229 33068
+1- 1
+-1 1
+.names 33068 33069
+1 1
+.names 56611 33070
+0 1
+.names 33077 33078 33071
+1- 1
+-1 1
+.names 33071 33072
+1 1
+.names 33048 33073
+0 1
+.names 32319 33087 33074
+1- 1
+-1 1
+.names 33074 33075
+1 1
+.names 33075 33076
+0 1
+.names 33073 33076 33077
+1- 1
+-1 1
+.names 33069 33078
+0 1
+.names 33051 33079
+0 1
+.names 33085 33086 33080
+11 1
+.names 33080 33081
+1 1
+.names 33081 33082
+0 1
+.names 1783 33083
+1 1
+.names 33083 33084
+1 1
+.names 33072 33085
+0 1
+.names 33084 33086
+0 1
+.names 56575 33087
+0 1
+.names 33101 33102 33088
+1- 1
+-1 1
+.names 33088 33089
+1 1
+.names 33089 33090
+0 1
+.names 2413 33091
+1 1
+.names 33091 33092
+1 1
+.names 33092 33093
+0 1
+.names 33090 33093 33094
+1- 1
+-1 1
+.names 33105 33108 33095
+11 1
+.names 33095 33096
+1 1
+.names 33096 33097
+0 1
+.names 33115 33116 33098
+11 1
+.names 33098 33099
+1 1
+.names 33099 33100
+0 1
+.names 32207 33100 33101
+11 1
+.names 7582 32124 33102
+11 1
+.names 7621 56589 33103
+11 1
+.names 33103 33104
+1 1
+.names 33104 33105
+0 1
+.names 33121 33122 33106
+1- 1
+-1 1
+.names 33106 33107
+1 1
+.names 33107 33108
+0 1
+.names 7594 33112 33109
+1- 1
+-1 1
+.names 33109 33110
+1 1
+.names 2413 33111
+1 1
+.names 33111 33112
+0 1
+.names 33126 2413 33113
+1- 1
+-1 1
+.names 33113 33114
+1 1
+.names 33114 33115
+0 1
+.names 33110 33116
+0 1
+.names 33119 7608 33117
+1- 1
+-1 1
+.names 33117 33118
+1 1
+.names 56625 33119
+0 1
+.names 32161 33120
+1 1
+.names 7612 1373 33121
+11 1
+.names 33118 33122
+0 1
+.names 32274 33123
+1 1
+.names 33123 33124
+0 1
+.names 33120 33125
+0 1
+.names 33124 33125 33126
+1- 1
+-1 1
+.names 32347 33129 33127
+1- 1
+-1 1
+.names 33127 33128
+1 1
+.names 1374 33129
+0 1
+.names 33143 33144 33130
+1- 1
+-1 1
+.names 33130 33131
+1 1
+.names 33131 33132
+0 1
+.names 2413 33133
+1 1
+.names 33133 33134
+1 1
+.names 33134 33135
+0 1
+.names 33132 33135 33136
+1- 1
+-1 1
+.names 33162 33157 33137
+11 1
+.names 33137 33138
+1 1
+.names 33138 33139
+0 1
+.names 33154 33155 33140
+11 1
+.names 33140 33141
+1 1
+.names 33141 33142
+0 1
+.names 32369 33142 33143
+11 1
+.names 7580 32124 33144
+11 1
+.names 33149 2413 33145
+1- 1
+-1 1
+.names 33145 33146
+1 1
+.names 32347 33147
+1 1
+.names 33147 33148
+0 1
+.names 7617 33148 33149
+1- 1
+-1 1
+.names 7622 33153 33150
+1- 1
+-1 1
+.names 33150 33151
+1 1
+.names 2413 33152
+1 1
+.names 33152 33153
+0 1
+.names 33146 33154
+0 1
+.names 33151 33155
+0 1
+.names 33158 32263 33156
+1- 1
+-1 1
+.names 33156 33157
+1 1
+.names 56626 33158
+0 1
+.names 32190 33161 33159
+1- 1
+-1 1
+.names 33159 33160
+1 1
+.names 56590 33161
+0 1
+.names 33160 33128 33162
+11 1
+.names 32272 33165 33163
+1- 1
+-1 1
+.names 33163 33164
+1 1
+.names 1375 33165
+0 1
+.names 33171 33172 33166
+1- 1
+-1 1
+.names 33166 33167
+1 1
+.names 33179 33180 33168
+11 1
+.names 33168 33169
+1 1
+.names 33169 33170
+0 1
+.names 32246 33170 33171
+11 1
+.names 7618 32124 33172
+11 1
+.names 7621 33176 33173
+1- 1
+-1 1
+.names 33173 33174
+1 1
+.names 2413 33175
+1 1
+.names 33175 33176
+0 1
+.names 33186 2413 33177
+1- 1
+-1 1
+.names 33177 33178
+1 1
+.names 33178 33179
+0 1
+.names 33174 33180
+0 1
+.names 33183 32229 33181
+1- 1
+-1 1
+.names 33181 33182
+1 1
+.names 56602 33183
+0 1
+.names 32272 33184
+1 1
+.names 33184 33185
+0 1
+.names 7597 33185 33186
+1- 1
+-1 1
+.names 33193 33194 33187
+1- 1
+-1 1
+.names 33187 33188
+1 1
+.names 33164 33189
+0 1
+.names 32274 22359 33190
+1- 1
+-1 1
+.names 33190 33191
+1 1
+.names 33191 33192
+0 1
+.names 33189 33192 33193
+1- 1
+-1 1
+.names 33182 33194
+0 1
+.names 33167 33195
+0 1
+.names 33201 33202 33196
+11 1
+.names 33196 33197
+1 1
+.names 33197 33198
+0 1
+.names 1783 33199
+1 1
+.names 33199 33200
+1 1
+.names 33188 33201
+0 1
+.names 33200 33202
+0 1
+.names 33216 33217 33203
+1- 1
+-1 1
+.names 33203 33204
+1 1
+.names 33204 33205
+0 1
+.names 33239 7608 33206
+1- 1
+-1 1
+.names 33206 33207
+1 1
+.names 33207 33208
+0 1
+.names 33205 33208 33209
+1- 1
+-1 1
+.names 33220 33223 33210
+11 1
+.names 33210 33211
+1 1
+.names 33211 33212
+0 1
+.names 33237 33238 33213
+11 1
+.names 33213 33214
+1 1
+.names 33214 33215
+0 1
+.names 32623 33215 33216
+11 1
+.names 7599 22376 33217
+11 1
+.names 56630 7611 33218
+11 1
+.names 33218 33219
+1 1
+.names 33219 33220
+0 1
+.names 33224 33227 33221
+1- 1
+-1 1
+.names 33221 33222
+1 1
+.names 33222 33223
+0 1
+.names 7591 1376 33224
+11 1
+.names 2413 33225
+1 1
+.names 33225 33226
+1 1
+.names 33226 33227
+0 1
+.names 33232 2413 33228
+1- 1
+-1 1
+.names 33228 33229
+1 1
+.names 2413 33230
+1 1
+.names 33230 33231
+0 1
+.names 7611 33231 33232
+1- 1
+-1 1
+.names 7594 33236 33233
+1- 1
+-1 1
+.names 33233 33234
+1 1
+.names 32272 33235
+1 1
+.names 33235 33236
+0 1
+.names 33229 33237
+0 1
+.names 33234 33238
+0 1
+.names 56603 33239
+0 1
+.names 33253 33254 33240
+1- 1
+-1 1
+.names 33240 33241
+1 1
+.names 33241 33242
+0 1
+.names 2413 33243
+1 1
+.names 33243 33244
+1 1
+.names 33244 33245
+0 1
+.names 33242 33245 33246
+1- 1
+-1 1
+.names 33275 33267 33247
+11 1
+.names 33247 33248
+1 1
+.names 33248 33249
+0 1
+.names 33264 33265 33250
+11 1
+.names 33250 33251
+1 1
+.names 33251 33252
+0 1
+.names 32246 33252 33253
+11 1
+.names 7618 32124 33254
+11 1
+.names 33259 2413 33255
+1- 1
+-1 1
+.names 33255 33256
+1 1
+.names 32161 33257
+1 1
+.names 33257 33258
+0 1
+.names 7617 33258 33259
+1- 1
+-1 1
+.names 7622 33263 33260
+1- 1
+-1 1
+.names 33260 33261
+1 1
+.names 2413 33262
+1 1
+.names 33262 33263
+0 1
+.names 33256 33264
+0 1
+.names 33261 33265
+0 1
+.names 33268 32263 33266
+1- 1
+-1 1
+.names 33266 33267
+1 1
+.names 56614 33268
+0 1
+.names 32161 33271 33269
+1- 1
+-1 1
+.names 33269 33270
+1 1
+.names 1377 33271
+0 1
+.names 32190 33274 33272
+1- 1
+-1 1
+.names 33272 33273
+1 1
+.names 56578 33274
+0 1
+.names 33273 33270 33275
+11 1
+.names 32120 33278 33276
+1- 1
+-1 1
+.names 33276 33277
+1 1
+.names 1378 33278
+0 1
+.names 33281 7608 33279
+1- 1
+-1 1
+.names 33279 33280
+1 1
+.names 56619 33281
+0 1
+.names 33286 2413 33282
+1- 1
+-1 1
+.names 33282 33283
+1 1
+.names 32120 33284
+1 1
+.names 33284 33285
+0 1
+.names 7594 33285 33286
+1- 1
+-1 1
+.names 7622 33290 33287
+1- 1
+-1 1
+.names 33287 33288
+1 1
+.names 2413 33289
+1 1
+.names 33289 33290
+0 1
+.names 33296 33297 33291
+1- 1
+-1 1
+.names 33291 33292
+1 1
+.names 33298 33299 33293
+11 1
+.names 33293 33294
+1 1
+.names 33294 33295
+0 1
+.names 32246 33295 33296
+11 1
+.names 7618 32124 33297
+11 1
+.names 33283 33298
+0 1
+.names 33288 33299
+0 1
+.names 33306 33307 33300
+1- 1
+-1 1
+.names 33300 33301
+1 1
+.names 32190 33316 33302
+1- 1
+-1 1
+.names 33302 33303
+1 1
+.names 33303 33304
+0 1
+.names 33277 33305
+0 1
+.names 33304 33305 33306
+1- 1
+-1 1
+.names 33280 33307
+0 1
+.names 33310 33313 33308
+11 1
+.names 33308 33309
+1 1
+.names 33301 33310
+0 1
+.names 1783 33311
+1 1
+.names 33311 33312
+1 1
+.names 33312 33313
+0 1
+.names 33292 33314
+0 1
+.names 33309 33315
+0 1
+.names 56583 33316
+0 1
+.names 33330 33331 33317
+1- 1
+-1 1
+.names 33317 33318
+1 1
+.names 33318 33319
+0 1
+.names 2413 33320
+1 1
+.names 33320 33321
+1 1
+.names 33321 33322
+0 1
+.names 33319 33322 33323
+1- 1
+-1 1
+.names 33334 33337 33324
+11 1
+.names 33324 33325
+1 1
+.names 33325 33326
+0 1
+.names 33344 33345 33327
+11 1
+.names 33327 33328
+1 1
+.names 33328 33329
+0 1
+.names 32246 33329 33330
+11 1
+.names 7618 32124 33331
+11 1
+.names 7620 56592 33332
+11 1
+.names 33332 33333
+1 1
+.names 33333 33334
+0 1
+.names 33352 33353 33335
+1- 1
+-1 1
+.names 33335 33336
+1 1
+.names 33336 33337
+0 1
+.names 7617 33341 33338
+1- 1
+-1 1
+.names 33338 33339
+1 1
+.names 2413 33340
+1 1
+.names 33340 33341
+0 1
+.names 33351 2413 33342
+1- 1
+-1 1
+.names 33342 33343
+1 1
+.names 33343 33344
+0 1
+.names 33339 33345
+0 1
+.names 33348 32263 33346
+1- 1
+-1 1
+.names 33346 33347
+1 1
+.names 56628 33348
+0 1
+.names 32161 33349
+1 1
+.names 33349 33350
+0 1
+.names 7620 33350 33351
+1- 1
+-1 1
+.names 7612 1379 33352
+11 1
+.names 33347 33353
+0 1
+.names 33360 33361 33354
+1- 1
+-1 1
+.names 33354 33355
+1 1
+.names 7636 33356
+0 1
+.names 33362 33364 33357
+11 1
+.names 33357 33358
+1 1
+.names 33358 33359
+0 1
+.names 33356 33359 33360
+11 1
+.names 7636 32235 33361
+11 1
+.names 7637 33362
+0 1
+.names 2413 33363
+1 1
+.names 33363 33364
+0 1
+.names 32228 33365
+1 1
+.names 33365 33366
+0 1
+.names 33362 33367
+1 1
+.names 33367 33368
+0 1
+.names 33366 33368 33369
+11 1
+.names 33355 33370
+0 1
+.names 33377 33378 33371
+1- 1
+-1 1
+.names 33371 33372
+1 1
+.names 7624 33373
+0 1
+.names 33362 33380 33374
+11 1
+.names 33374 33375
+1 1
+.names 33375 33376
+0 1
+.names 33373 33376 33377
+11 1
+.names 7624 32166 33378
+11 1
+.names 2413 33379
+1 1
+.names 33379 33380
+0 1
+.names 32184 33381
+1 1
+.names 33381 33382
+0 1
+.names 33362 33383
+1 1
+.names 33383 33384
+0 1
+.names 33382 33384 33385
+11 1
+.names 33372 33386
+0 1
+.names 7631 33387
+0 1
+.names 33387 33388
+1 1
+.names 33387 33392 33389
+11 1
+.names 33389 33390
+1 1
+.names 2413 33391
+1 1
+.names 33391 33392
+0 1
+.names 33396 33397 33393
+1- 1
+-1 1
+.names 33393 33394
+1 1
+.names 33390 33395
+0 1
+.names 33373 33395 33396
+11 1
+.names 7624 32121 33397
+11 1
+.names 32156 33398
+1 1
+.names 33388 33399
+0 1
+.names 33398 33400
+0 1
+.names 33399 33400 33401
+11 1
+.names 33394 33402
+0 1
+.names 33387 33403
+1 1
+.names 33387 33407 33404
+11 1
+.names 33404 33405
+1 1
+.names 2413 33406
+1 1
+.names 33406 33407
+0 1
+.names 33411 33413 33408
+1- 1
+-1 1
+.names 33408 33409
+1 1
+.names 33405 33410
+0 1
+.names 33356 33410 33411
+11 1
+.names 1351 33412
+0 1
+.names 7636 33412 33413
+11 1
+.names 33403 33414
+0 1
+.names 32262 33415
+1 1
+.names 33415 33416
+0 1
+.names 33414 33416 33417
+11 1
+.names 33409 33418
+0 1
+.names 33424 33425 33419
+1- 1
+-1 1
+.names 33419 33420
+1 1
+.names 33426 33428 33421
+11 1
+.names 33421 33422
+1 1
+.names 33422 33423
+0 1
+.names 33356 33423 33424
+11 1
+.names 7636 32281 33425
+11 1
+.names 7629 33426
+0 1
+.names 2413 33427
+1 1
+.names 33427 33428
+0 1
+.names 32284 33429
+1 1
+.names 33429 33430
+0 1
+.names 33426 33431
+1 1
+.names 33431 33432
+0 1
+.names 33430 33432 33433
+11 1
+.names 33420 33434
+0 1
+.names 33440 33441 33435
+1- 1
+-1 1
+.names 33435 33436
+1 1
+.names 33442 33444 33437
+11 1
+.names 33437 33438
+1 1
+.names 33438 33439
+0 1
+.names 33356 33439 33440
+11 1
+.names 7636 32352 33441
+11 1
+.names 7632 33442
+0 1
+.names 2413 33443
+1 1
+.names 33443 33444
+0 1
+.names 32336 33445
+1 1
+.names 33445 33446
+0 1
+.names 33442 33447
+1 1
+.names 33447 33448
+0 1
+.names 33446 33448 33449
+11 1
+.names 33436 33450
+0 1
+.names 33457 33459 33451
+1- 1
+-1 1
+.names 33451 33452
+1 1
+.names 7635 33453
+0 1
+.names 33426 33461 33454
+11 1
+.names 33454 33455
+1 1
+.names 33455 33456
+0 1
+.names 33453 33456 33457
+11 1
+.names 1354 33458
+0 1
+.names 7635 33458 33459
+11 1
+.names 2413 33460
+1 1
+.names 33460 33461
+0 1
+.names 56629 33462
+0 1
+.names 33462 33463
+1 1
+.names 33463 33464
+0 1
+.names 33426 33465
+1 1
+.names 33465 33466
+0 1
+.names 33464 33466 33467
+11 1
+.names 33452 33468
+0 1
+.names 33474 33475 33469
+1- 1
+-1 1
+.names 33469 33470
+1 1
+.names 33442 33477 33471
+11 1
+.names 33471 33472
+1 1
+.names 33472 33473
+0 1
+.names 33373 33473 33474
+11 1
+.names 7624 32432 33475
+11 1
+.names 2413 33476
+1 1
+.names 33476 33477
+0 1
+.names 32430 33478
+1 1
+.names 33478 33479
+0 1
+.names 33442 33480
+1 1
+.names 33480 33481
+0 1
+.names 33479 33481 33482
+11 1
+.names 33470 33483
+0 1
+.names 33489 33491 33484
+1- 1
+-1 1
+.names 33484 33485
+1 1
+.names 33426 33493 33486
+11 1
+.names 33486 33487
+1 1
+.names 33487 33488
+0 1
+.names 33356 33488 33489
+11 1
+.names 1360 33490
+0 1
+.names 7636 33490 33491
+11 1
+.names 2413 33492
+1 1
+.names 33492 33493
+0 1
+.names 32612 33494
+1 1
+.names 33494 33495
+0 1
+.names 33426 33496
+1 1
+.names 33496 33497
+0 1
+.names 33495 33497 33498
+11 1
+.names 33485 33499
+0 1
+.names 33387 33500
+1 1
+.names 33387 33504 33501
+11 1
+.names 33501 33502
+1 1
+.names 2413 33503
+1 1
+.names 33503 33504
+0 1
+.names 33508 33509 33505
+1- 1
+-1 1
+.names 33505 33506
+1 1
+.names 33502 33507
+0 1
+.names 33453 33507 33508
+11 1
+.names 7635 32473 33509
+11 1
+.names 32451 33510
+1 1
+.names 33500 33511
+0 1
+.names 33510 33512
+0 1
+.names 33511 33512 33513
+11 1
+.names 33506 33514
+0 1
+.names 33387 33515
+1 1
+.names 33387 33519 33516
+11 1
+.names 33516 33517
+1 1
+.names 2413 33518
+1 1
+.names 33518 33519
+0 1
+.names 33523 33524 33520
+1- 1
+-1 1
+.names 33520 33521
+1 1
+.names 33517 33522
+0 1
+.names 33373 33522 33523
+11 1
+.names 7624 32506 33524
+11 1
+.names 32503 33525
+1 1
+.names 33515 33526
+0 1
+.names 33525 33527
+0 1
+.names 33526 33527 33528
+11 1
+.names 33521 33529
+0 1
+.names 33535 33536 33530
+1- 1
+-1 1
+.names 33530 33531
+1 1
+.names 33426 33538 33532
+11 1
+.names 33532 33533
+1 1
+.names 33533 33534
+0 1
+.names 33356 33534 33535
+11 1
+.names 7636 32546 33536
+11 1
+.names 2413 33537
+1 1
+.names 33537 33538
+0 1
+.names 32544 33539
+1 1
+.names 33539 33540
+0 1
+.names 33426 33541
+1 1
+.names 33541 33542
+0 1
+.names 33540 33542 33543
+11 1
+.names 33531 33544
+0 1
+.names 33550 33551 33545
+1- 1
+-1 1
+.names 33545 33546
+1 1
+.names 33426 33553 33547
+11 1
+.names 33547 33548
+1 1
+.names 33548 33549
+0 1
+.names 33373 33549 33550
+11 1
+.names 7624 32549 33551
+11 1
+.names 2413 33552
+1 1
+.names 33552 33553
+0 1
+.names 56623 33554
+0 1
+.names 33554 33555
+1 1
+.names 33555 33556
+0 1
+.names 33426 33557
+1 1
+.names 33557 33558
+0 1
+.names 33556 33558 33559
+11 1
+.names 33546 33560
+0 1
+.names 33566 33567 33561
+1- 1
+-1 1
+.names 33561 33562
+1 1
+.names 33426 33569 33563
+11 1
+.names 33563 33564
+1 1
+.names 33564 33565
+0 1
+.names 33373 33565 33566
+11 1
+.names 7624 32700 33567
+11 1
+.names 2413 33568
+1 1
+.names 33568 33569
+0 1
+.names 32683 33570
+1 1
+.names 33570 33571
+0 1
+.names 33426 33572
+1 1
+.names 33572 33573
+0 1
+.names 33571 33573 33574
+11 1
+.names 33562 33575
+0 1
+.names 33581 33582 33576
+1- 1
+-1 1
+.names 33576 33577
+1 1
+.names 33442 33584 33578
+11 1
+.names 33578 33579
+1 1
+.names 33579 33580
+0 1
+.names 33373 33580 33581
+11 1
+.names 7624 32659 33582
+11 1
+.names 2413 33583
+1 1
+.names 33583 33584
+0 1
+.names 32646 33585
+1 1
+.names 33585 33586
+0 1
+.names 33442 33587
+1 1
+.names 33587 33588
+0 1
+.names 33586 33588 33589
+11 1
+.names 33577 33590
+0 1
+.names 33596 33597 33591
+1- 1
+-1 1
+.names 33591 33592
+1 1
+.names 33362 33599 33593
+11 1
+.names 33593 33594
+1 1
+.names 33594 33595
+0 1
+.names 33453 33595 33596
+11 1
+.names 7635 32785 33597
+11 1
+.names 2413 33598
+1 1
+.names 33598 33599
+0 1
+.names 32806 33600
+1 1
+.names 33600 33601
+0 1
+.names 33362 33602
+1 1
+.names 33602 33603
+0 1
+.names 33601 33603 33604
+11 1
+.names 33592 33605
+0 1
+.names 33611 33612 33606
+1- 1
+-1 1
+.names 33606 33607
+1 1
+.names 33426 33614 33608
+11 1
+.names 33608 33609
+1 1
+.names 33609 33610
+0 1
+.names 33453 33610 33611
+11 1
+.names 7635 32855 33612
+11 1
+.names 2413 33613
+1 1
+.names 33613 33614
+0 1
+.names 33426 33615
+1 1
+.names 33615 33616
+0 1
+.names 32852 33617
+1 1
+.names 33617 33618
+0 1
+.names 33616 33618 33619
+11 1
+.names 33607 33620
+0 1
+.names 33387 33621
+1 1
+.names 33387 33625 33622
+11 1
+.names 33622 33623
+1 1
+.names 2413 33624
+1 1
+.names 33624 33625
+0 1
+.names 33629 33630 33626
+1- 1
+-1 1
+.names 33626 33627
+1 1
+.names 33623 33628
+0 1
+.names 33356 33628 33629
+11 1
+.names 7636 32862 33630
+11 1
+.names 32124 33631
+1 1
+.names 33621 33632
+0 1
+.names 33631 33633
+0 1
+.names 33632 33633 33634
+11 1
+.names 33627 33635
+0 1
+.names 33641 33643 33636
+1- 1
+-1 1
+.names 33636 33637
+1 1
+.names 33387 33645 33638
+11 1
+.names 33638 33639
+1 1
+.names 33639 33640
+0 1
+.names 33356 33640 33641
+11 1
+.names 1363 33642
+0 1
+.names 7636 33642 33643
+11 1
+.names 2413 33644
+1 1
+.names 33644 33645
+0 1
+.names 32732 33646
+1 1
+.names 33646 33647
+0 1
+.names 33387 33648
+1 1
+.names 33648 33649
+0 1
+.names 33647 33649 33650
+11 1
+.names 33637 33651
+0 1
+.names 33657 33658 33652
+1- 1
+-1 1
+.names 33652 33653
+1 1
+.names 33442 33660 33654
+11 1
+.names 33654 33655
+1 1
+.names 33655 33656
+0 1
+.names 33453 33656 33657
+11 1
+.names 7635 32743 33658
+11 1
+.names 2413 33659
+1 1
+.names 33659 33660
+0 1
+.names 32775 33661
+1 1
+.names 33661 33662
+0 1
+.names 33442 33663
+1 1
+.names 33663 33664
+0 1
+.names 33662 33664 33665
+11 1
+.names 33653 33666
+0 1
+.names 33672 33673 33667
+1- 1
+-1 1
+.names 33667 33668
+1 1
+.names 33442 33675 33669
+11 1
+.names 33669 33670
+1 1
+.names 33670 33671
+0 1
+.names 33453 33671 33672
+11 1
+.names 7635 32929 33673
+11 1
+.names 2413 33674
+1 1
+.names 33674 33675
+0 1
+.names 32927 33676
+1 1
+.names 33676 33677
+0 1
+.names 33442 33678
+1 1
+.names 33678 33679
+0 1
+.names 33677 33679 33680
+11 1
+.names 33668 33681
+0 1
+.names 33687 33688 33682
+1- 1
+-1 1
+.names 33682 33683
+1 1
+.names 33442 33690 33684
+11 1
+.names 33684 33685
+1 1
+.names 33685 33686
+0 1
+.names 33356 33686 33687
+11 1
+.names 7636 32932 33688
+11 1
+.names 2413 33689
+1 1
+.names 33689 33690
+0 1
+.names 32953 33691
+1 1
+.names 33691 33692
+0 1
+.names 33442 33693
+1 1
+.names 33693 33694
+0 1
+.names 33692 33694 33695
+11 1
+.names 33683 33696
+0 1
+.names 33702 33703 33697
+1- 1
+-1 1
+.names 33697 33698
+1 1
+.names 33387 33705 33699
+11 1
+.names 33699 33700
+1 1
+.names 33700 33701
+0 1
+.names 33373 33701 33702
+11 1
+.names 7624 33006 33703
+11 1
+.names 2413 33704
+1 1
+.names 33704 33705
+0 1
+.names 33387 33706
+1 1
+.names 33706 33707
+0 1
+.names 33005 33708
+1 1
+.names 33708 33709
+0 1
+.names 33707 33709 33710
+11 1
+.names 33698 33711
+0 1
+.names 33387 33712
+1 1
+.names 33387 33716 33713
+11 1
+.names 33713 33714
+1 1
+.names 2413 33715
+1 1
+.names 33715 33716
+0 1
+.names 33720 33721 33717
+1- 1
+-1 1
+.names 33717 33718
+1 1
+.names 33714 33719
+0 1
+.names 33453 33719 33720
+11 1
+.names 7635 33009 33721
+11 1
+.names 33046 33722
+1 1
+.names 33712 33723
+0 1
+.names 33722 33724
+0 1
+.names 33723 33724 33725
+11 1
+.names 33718 33726
+0 1
+.names 33732 33733 33727
+1- 1
+-1 1
+.names 33727 33728
+1 1
+.names 33426 33735 33729
+11 1
+.names 33729 33730
+1 1
+.names 33730 33731
+0 1
+.names 33453 33731 33732
+11 1
+.names 7635 33049 33733
+11 1
+.names 2413 33734
+1 1
+.names 33734 33735
+0 1
+.names 33070 33736
+1 1
+.names 33736 33737
+0 1
+.names 33426 33738
+1 1
+.names 33738 33739
+0 1
+.names 33737 33739 33740
+11 1
+.names 33728 33741
+0 1
+.names 33747 33749 33742
+1- 1
+-1 1
+.names 33742 33743
+1 1
+.names 33442 33751 33744
+11 1
+.names 33744 33745
+1 1
+.names 33745 33746
+0 1
+.names 33453 33746 33747
+11 1
+.names 1373 33748
+0 1
+.names 7635 33748 33749
+11 1
+.names 2413 33750
+1 1
+.names 33750 33751
+0 1
+.names 33119 33752
+1 1
+.names 33752 33753
+0 1
+.names 33442 33754
+1 1
+.names 33754 33755
+0 1
+.names 33753 33755 33756
+11 1
+.names 33743 33757
+0 1
+.names 33387 33758
+1 1
+.names 33387 33762 33759
+11 1
+.names 33759 33760
+1 1
+.names 2413 33761
+1 1
+.names 33761 33762
+0 1
+.names 33766 33767 33763
+1- 1
+-1 1
+.names 33763 33764
+1 1
+.names 33760 33765
+0 1
+.names 33356 33765 33766
+11 1
+.names 7636 33129 33767
+11 1
+.names 33158 33768
+1 1
+.names 33758 33769
+0 1
+.names 33768 33770
+0 1
+.names 33769 33770 33771
+11 1
+.names 33764 33772
+0 1
+.names 33778 33779 33773
+1- 1
+-1 1
+.names 33773 33774
+1 1
+.names 33442 33781 33775
+11 1
+.names 33775 33776
+1 1
+.names 33776 33777
+0 1
+.names 33453 33777 33778
+11 1
+.names 7635 33165 33779
+11 1
+.names 2413 33780
+1 1
+.names 33780 33781
+0 1
+.names 33183 33782
+1 1
+.names 33782 33783
+0 1
+.names 33442 33784
+1 1
+.names 33784 33785
+0 1
+.names 33783 33785 33786
+11 1
+.names 33774 33787
+0 1
+.names 33793 33795 33788
+1- 1
+-1 1
+.names 33788 33789
+1 1
+.names 33442 33797 33790
+11 1
+.names 33790 33791
+1 1
+.names 33791 33792
+0 1
+.names 33373 33792 33793
+11 1
+.names 1376 33794
+0 1
+.names 7624 33794 33795
+11 1
+.names 2413 33796
+1 1
+.names 33796 33797
+0 1
+.names 33239 33798
+1 1
+.names 33798 33799
+0 1
+.names 33442 33800
+1 1
+.names 33800 33801
+0 1
+.names 33799 33801 33802
+11 1
+.names 33789 33803
+0 1
+.names 33387 33804
+1 1
+.names 33387 33808 33805
+11 1
+.names 33805 33806
+1 1
+.names 2413 33807
+1 1
+.names 33807 33808
+0 1
+.names 33812 33813 33809
+1- 1
+-1 1
+.names 33809 33810
+1 1
+.names 33806 33811
+0 1
+.names 33453 33811 33812
+11 1
+.names 7635 33271 33813
+11 1
+.names 33804 33814
+0 1
+.names 33268 33815
+1 1
+.names 33815 33816
+0 1
+.names 33814 33816 33817
+11 1
+.names 33810 33818
+0 1
+.names 33824 33825 33819
+1- 1
+-1 1
+.names 33819 33820
+1 1
+.names 33362 33827 33821
+11 1
+.names 33821 33822
+1 1
+.names 33822 33823
+0 1
+.names 33373 33823 33824
+11 1
+.names 7624 33278 33825
+11 1
+.names 2413 33826
+1 1
+.names 33826 33827
+0 1
+.names 33281 33828
+1 1
+.names 33828 33829
+0 1
+.names 33362 33830
+1 1
+.names 33830 33831
+0 1
+.names 33829 33831 33832
+11 1
+.names 33820 33833
+0 1
+.names 33839 33841 33834
+1- 1
+-1 1
+.names 33834 33835
+1 1
+.names 33426 33843 33836
+11 1
+.names 33836 33837
+1 1
+.names 33837 33838
+0 1
+.names 33356 33838 33839
+11 1
+.names 1379 33840
+0 1
+.names 7636 33840 33841
+11 1
+.names 2413 33842
+1 1
+.names 33842 33843
+0 1
+.names 33348 33844
+1 1
+.names 33844 33845
+0 1
+.names 33426 33846
+1 1
+.names 33846 33847
+0 1
+.names 33845 33847 33848
+11 1
+.names 33835 33849
+0 1
+.names 33852 32155 33850
+1- 1
+-1 1
+.names 33850 33851
+1 1
+.names 8152 33852
+0 1
+.names 33855 33856 33853
+1- 1
+-1 1
+.names 33853 33854
+1 1
+.names 258 33855
+0 1
+.names 8173 33856
+0 1
+.names 33863 33866 33857
+1- 1
+-1 1
+.names 33857 33858
+1 1
+.names 33870 33871 33859
+1- 1
+-1 1
+.names 33859 33860
+1 1
+.names 33860 33861
+0 1
+.names 33854 33862
+0 1
+.names 33861 33862 33863
+1- 1
+-1 1
+.names 33874 33876 33864
+11 1
+.names 33864 33865
+1 1
+.names 33865 33866
+0 1
+.names 33887 33888 33867
+11 1
+.names 33867 33868
+1 1
+.names 33868 33869
+0 1
+.names 2413 33869 33870
+11 1
+.names 1783 2413 33871
+11 1
+.names 8162 33889 33872
+1- 1
+-1 1
+.names 33872 33873
+1 1
+.names 33873 33851 33874
+11 1
+.names 8170 33890 33875
+1- 1
+-1 1
+.names 33875 33876
+1 1
+.names 33881 33882 33877
+1- 1
+-1 1
+.names 33877 33878
+1 1
+.names 33852 33879
+1 1
+.names 33879 33880
+0 1
+.names 8173 33880 33881
+1- 1
+-1 1
+.names 6023 33882
+0 1
+.names 8175 33886 33883
+1- 1
+-1 1
+.names 33883 33884
+1 1
+.names 8170 33885
+1 1
+.names 33885 33886
+0 1
+.names 33878 33887
+0 1
+.names 33884 33888
+0 1
+.names 5959 33889
+0 1
+.names 5879 33890
+0 1
+.names 33893 33856 33891
+1- 1
+-1 1
+.names 33891 33892
+1 1
+.names 242 33893
+0 1
+.names 33900 33901 33894
+1- 1
+-1 1
+.names 33894 33895
+1 1
+.names 8174 33896
+0 1
+.names 33912 33913 33897
+11 1
+.names 33897 33898
+1 1
+.names 33898 33899
+0 1
+.names 33896 33899 33900
+11 1
+.names 8174 32189 33901
+11 1
+.names 33906 33907 33902
+1- 1
+-1 1
+.names 33902 33903
+1 1
+.names 8170 33904
+1 1
+.names 33904 33905
+0 1
+.names 8184 33905 33906
+1- 1
+-1 1
+.names 5991 33907
+0 1
+.names 8173 33911 33908
+1- 1
+-1 1
+.names 33908 33909
+1 1
+.names 2413 33910
+1 1
+.names 33910 33911
+0 1
+.names 33903 33912
+0 1
+.names 33909 33913
+0 1
+.names 33917 33918 33914
+1- 1
+-1 1
+.names 33914 33915
+1 1
+.names 33932 33933 33916
+1- 1
+-1 1
+.names 33916 33917
+1 1
+.names 33895 33918
+0 1
+.names 8170 33921 33919
+1- 1
+-1 1
+.names 33919 33920
+1 1
+.names 5815 33921
+0 1
+.names 2413 33922
+1 1
+.names 33922 33923
+1 1
+.names 33926 33927 33924
+1- 1
+-1 1
+.names 33924 33925
+1 1
+.names 5927 33926
+0 1
+.names 8184 33927
+0 1
+.names 33923 33928
+0 1
+.names 33925 33929
+0 1
+.names 33928 33929 33930
+1- 1
+-1 1
+.names 33920 33931
+0 1
+.names 33930 33931 33932
+1- 1
+-1 1
+.names 33892 33933
+0 1
+.names 33942 33945 33934
+1- 1
+-1 1
+.names 33934 33935
+1 1
+.names 33950 33952 33936
+1- 1
+-1 1
+.names 33936 33937
+1 1
+.names 33937 33938
+0 1
+.names 2413 33939
+1 1
+.names 33939 33940
+1 1
+.names 33940 33941
+0 1
+.names 33938 33941 33942
+1- 1
+-1 1
+.names 33957 33959 33943
+11 1
+.names 33943 33944
+1 1
+.names 33944 33945
+0 1
+.names 8144 33946
+0 1
+.names 33966 33967 33947
+11 1
+.names 33947 33948
+1 1
+.names 33948 33949
+0 1
+.names 33946 33949 33950
+11 1
+.names 237 33951
+0 1
+.names 8144 33951 33952
+11 1
+.names 33896 22288 33953
+1- 1
+-1 1
+.names 33953 33954
+1 1
+.names 8162 33976 33955
+1- 1
+-1 1
+.names 33955 33956
+1 1
+.names 33954 33956 33957
+11 1
+.names 33968 33969 33958
+1- 1
+-1 1
+.names 33958 33959
+1 1
+.names 8175 33963 33960
+1- 1
+-1 1
+.names 33960 33961
+1 1
+.names 2413 33962
+1 1
+.names 33962 33963
+0 1
+.names 33974 33975 33964
+1- 1
+-1 1
+.names 33964 33965
+1 1
+.names 33965 33966
+0 1
+.names 33961 33967
+0 1
+.names 5795 33968
+0 1
+.names 8159 33969
+0 1
+.names 33969 33970
+1 1
+.names 33970 33971
+0 1
+.names 33896 33972
+1 1
+.names 33972 33973
+0 1
+.names 33971 33973 33974
+1- 1
+-1 1
+.names 5981 33975
+0 1
+.names 5917 33976
+0 1
+.names 33985 33988 33977
+1- 1
+-1 1
+.names 33977 33978
+1 1
+.names 33993 33995 33979
+1- 1
+-1 1
+.names 33979 33980
+1 1
+.names 33980 33981
+0 1
+.names 2413 33982
+1 1
+.names 33982 33983
+1 1
+.names 33983 33984
+0 1
+.names 33981 33984 33985
+1- 1
+-1 1
+.names 34014 34015 33986
+11 1
+.names 33986 33987
+1 1
+.names 33987 33988
+0 1
+.names 8180 33989
+0 1
+.names 34002 34003 33990
+11 1
+.names 33990 33991
+1 1
+.names 33991 33992
+0 1
+.names 33989 33992 33993
+11 1
+.names 244 33994
+0 1
+.names 8180 33994 33995
+11 1
+.names 8179 33999 33996
+1- 1
+-1 1
+.names 33996 33997
+1 1
+.names 2413 33998
+1 1
+.names 33998 33999
+0 1
+.names 34022 34023 34000
+1- 1
+-1 1
+.names 34000 34001
+1 1
+.names 34001 34002
+0 1
+.names 33997 34003
+0 1
+.names 34006 34007 34004
+1- 1
+-1 1
+.names 34004 34005
+1 1
+.names 5823 34006
+0 1
+.names 8179 34007
+0 1
+.names 34010 34011 34008
+1- 1
+-1 1
+.names 34008 34009
+1 1
+.names 56571 8153 34010
+11 1
+.names 34005 34011
+0 1
+.names 8183 5931 34012
+11 1
+.names 34012 34013
+1 1
+.names 34013 34014
+0 1
+.names 34009 34015
+0 1
+.names 8153 34016
+0 1
+.names 34016 34017
+1 1
+.names 8183 34018
+0 1
+.names 34018 34019
+1 1
+.names 34019 34020
+0 1
+.names 34017 34021
+0 1
+.names 34020 34021 34022
+1- 1
+-1 1
+.names 5995 34023
+0 1
+.names 34016 32320 34024
+1- 1
+-1 1
+.names 34024 34025
+1 1
+.names 34028 8170 34026
+1- 1
+-1 1
+.names 34026 34027
+1 1
+.names 5831 34028
+0 1
+.names 8182 34032 34029
+1- 1
+-1 1
+.names 34029 34030
+1 1
+.names 2413 34031
+1 1
+.names 34031 34032
+0 1
+.names 34038 34040 34033
+1- 1
+-1 1
+.names 34033 34034
+1 1
+.names 34043 34044 34035
+11 1
+.names 34035 34036
+1 1
+.names 34036 34037
+0 1
+.names 33989 34037 34038
+11 1
+.names 246 34039
+0 1
+.names 8180 34039 34040
+11 1
+.names 34047 34048 34041
+1- 1
+-1 1
+.names 34041 34042
+1 1
+.names 34042 34043
+0 1
+.names 34030 34044
+0 1
+.names 34016 34045
+1 1
+.names 34045 34046
+0 1
+.names 8156 34046 34047
+1- 1
+-1 1
+.names 5999 34048
+0 1
+.names 34055 34056 34049
+1- 1
+-1 1
+.names 34049 34050
+1 1
+.names 34025 34051
+0 1
+.names 34067 34068 34052
+1- 1
+-1 1
+.names 34052 34053
+1 1
+.names 34053 34054
+0 1
+.names 34051 34054 34055
+1- 1
+-1 1
+.names 34027 34056
+0 1
+.names 34059 34062 34057
+11 1
+.names 34057 34058
+1 1
+.names 34050 34059
+0 1
+.names 1783 34060
+1 1
+.names 34060 34061
+1 1
+.names 34061 34062
+0 1
+.names 34065 34066 34063
+1- 1
+-1 1
+.names 34063 34064
+1 1
+.names 34034 34065
+0 1
+.names 34058 34066
+0 1
+.names 8182 34067
+0 1
+.names 5935 34068
+0 1
+.names 34077 34080 34069
+1- 1
+-1 1
+.names 34069 34070
+1 1
+.names 34085 34087 34071
+1- 1
+-1 1
+.names 34071 34072
+1 1
+.names 34072 34073
+0 1
+.names 2413 34074
+1 1
+.names 34074 34075
+1 1
+.names 34075 34076
+0 1
+.names 34073 34076 34077
+1- 1
+-1 1
+.names 34109 34104 34078
+11 1
+.names 34078 34079
+1 1
+.names 34079 34080
+0 1
+.names 8169 34081
+0 1
+.names 34099 34100 34082
+11 1
+.names 34082 34083
+1 1
+.names 34083 34084
+0 1
+.names 34081 34084 34085
+11 1
+.names 5859 34086
+0 1
+.names 8169 34086 34087
+11 1
+.names 8173 34090 34088
+1- 1
+-1 1
+.names 34088 34089
+1 1
+.names 6013 34090
+0 1
+.names 34095 34097 34091
+1- 1
+-1 1
+.names 34091 34092
+1 1
+.names 34098 2413 34093
+11 1
+.names 34093 34094
+1 1
+.names 34094 34095
+0 1
+.names 33927 34096
+1 1
+.names 34096 34097
+0 1
+.names 8154 34098
+0 1
+.names 34092 34099
+0 1
+.names 34089 34100
+0 1
+.names 34098 32357 34101
+1- 1
+-1 1
+.names 34101 34102
+1 1
+.names 34105 33856 34103
+1- 1
+-1 1
+.names 34103 34104
+1 1
+.names 253 34105
+0 1
+.names 33927 34108 34106
+1- 1
+-1 1
+.names 34106 34107
+1 1
+.names 5949 34108
+0 1
+.names 34107 34102 34109
+11 1
+.names 34118 34121 34110
+1- 1
+-1 1
+.names 34110 34111
+1 1
+.names 34126 34128 34112
+1- 1
+-1 1
+.names 34112 34113
+1 1
+.names 34113 34114
+0 1
+.names 2413 34115
+1 1
+.names 34115 34116
+1 1
+.names 34116 34117
+0 1
+.names 34114 34117 34118
+1- 1
+-1 1
+.names 34149 34150 34119
+11 1
+.names 34119 34120
+1 1
+.names 34120 34121
+0 1
+.names 8142 34122
+0 1
+.names 34145 34146 34123
+11 1
+.names 34123 34124
+1 1
+.names 34124 34125
+0 1
+.names 34122 34125 34126
+11 1
+.names 266 34127
+0 1
+.names 8142 34127 34128
+11 1
+.names 34131 34134 34129
+1- 1
+-1 1
+.names 34129 34130
+1 1
+.names 8152 56593 34131
+11 1
+.names 34151 34018 34132
+1- 1
+-1 1
+.names 34132 34133
+1 1
+.names 34133 34134
+0 1
+.names 34139 34140 34135
+1- 1
+-1 1
+.names 34135 34136
+1 1
+.names 33852 34137
+1 1
+.names 34137 34138
+0 1
+.names 8178 34138 34139
+1- 1
+-1 1
+.names 6039 34140
+0 1
+.names 8183 34144 34141
+1- 1
+-1 1
+.names 34141 34142
+1 1
+.names 2413 34143
+1 1
+.names 34143 34144
+0 1
+.names 34136 34145
+0 1
+.names 34142 34146
+0 1
+.names 5909 8178 34147
+11 1
+.names 34147 34148
+1 1
+.names 34148 34149
+0 1
+.names 34130 34150
+0 1
+.names 5975 34151
+0 1
+.names 34160 34163 34152
+1- 1
+-1 1
+.names 34152 34153
+1 1
+.names 34167 34169 34154
+1- 1
+-1 1
+.names 34154 34155
+1 1
+.names 34155 34156
+0 1
+.names 2413 34157
+1 1
+.names 34157 34158
+1 1
+.names 34158 34159
+0 1
+.names 34156 34159 34160
+1- 1
+-1 1
+.names 34174 34176 34161
+11 1
+.names 34161 34162
+1 1
+.names 34162 34163
+0 1
+.names 34187 34188 34164
+11 1
+.names 34164 34165
+1 1
+.names 34165 34166
+0 1
+.names 33989 34166 34167
+11 1
+.names 255 34168
+0 1
+.names 8180 34168 34169
+11 1
+.names 33896 32433 34170
+1- 1
+-1 1
+.names 34170 34171
+1 1
+.names 8162 34191 34172
+1- 1
+-1 1
+.names 34172 34173
+1 1
+.names 34171 34173 34174
+11 1
+.names 34189 34190 34175
+1- 1
+-1 1
+.names 34175 34176
+1 1
+.names 34181 34182 34177
+1- 1
+-1 1
+.names 34177 34178
+1 1
+.names 33896 34179
+1 1
+.names 34179 34180
+0 1
+.names 8178 34180 34181
+1- 1
+-1 1
+.names 6017 34182
+0 1
+.names 8175 34186 34183
+1- 1
+-1 1
+.names 34183 34184
+1 1
+.names 2413 34185
+1 1
+.names 34185 34186
+0 1
+.names 34184 34187
+0 1
+.names 34178 34188
+0 1
+.names 5867 34189
+0 1
+.names 8178 34190
+0 1
+.names 5953 34191
+0 1
+.names 34197 34199 34192
+1- 1
+-1 1
+.names 34192 34193
+1 1
+.names 34206 34207 34194
+11 1
+.names 34194 34195
+1 1
+.names 34195 34196
+0 1
+.names 33989 34196 34197
+11 1
+.names 245 34198
+0 1
+.names 8180 34198 34199
+11 1
+.names 1783 34203 34200
+1- 1
+-1 1
+.names 34200 34201
+1 1
+.names 34018 34202
+1 1
+.names 34202 34203
+0 1
+.names 34213 34214 34204
+1- 1
+-1 1
+.names 34204 34205
+1 1
+.names 34205 34206
+0 1
+.names 34201 34207
+0 1
+.names 34210 33969 34208
+1- 1
+-1 1
+.names 34208 34209
+1 1
+.names 5827 34210
+0 1
+.names 33896 34211
+1 1
+.names 34211 34212
+0 1
+.names 8159 34212 34213
+1- 1
+-1 1
+.names 5997 34214
+0 1
+.names 34223 34224 34215
+1- 1
+-1 1
+.names 34215 34216
+1 1
+.names 33896 32474 34217
+1- 1
+-1 1
+.names 34217 34218
+1 1
+.names 34218 34219
+0 1
+.names 34018 34235 34220
+1- 1
+-1 1
+.names 34220 34221
+1 1
+.names 34221 34222
+0 1
+.names 34219 34222 34223
+1- 1
+-1 1
+.names 34209 34224
+0 1
+.names 34227 34230 34225
+1- 1
+-1 1
+.names 34225 34226
+1 1
+.names 34193 34227
+0 1
+.names 34233 34234 34228
+11 1
+.names 34228 34229
+1 1
+.names 34229 34230
+0 1
+.names 1783 34231
+1 1
+.names 34231 34232
+1 1
+.names 34216 34233
+0 1
+.names 34232 34234
+0 1
+.names 5933 34235
+0 1
+.names 34244 34247 34236
+1- 1
+-1 1
+.names 34236 34237
+1 1
+.names 34251 34253 34238
+1- 1
+-1 1
+.names 34238 34239
+1 1
+.names 34239 34240
+0 1
+.names 2413 34241
+1 1
+.names 34241 34242
+1 1
+.names 34242 34243
+0 1
+.names 34240 34243 34244
+1- 1
+-1 1
+.names 34274 34267 34245
+11 1
+.names 34245 34246
+1 1
+.names 34246 34247
+0 1
+.names 34264 34265 34248
+11 1
+.names 34248 34249
+1 1
+.names 34249 34250
+0 1
+.names 33989 34250 34251
+11 1
+.names 261 34252
+0 1
+.names 8180 34252 34253
+11 1
+.names 34258 34259 34254
+1- 1
+-1 1
+.names 34254 34255
+1 1
+.names 33852 34256
+1 1
+.names 34256 34257
+0 1
+.names 8178 34257 34258
+1- 1
+-1 1
+.names 6029 34259
+0 1
+.names 8184 34263 34260
+1- 1
+-1 1
+.names 34260 34261
+1 1
+.names 2413 34262
+1 1
+.names 34262 34263
+0 1
+.names 34255 34264
+0 1
+.names 34261 34265
+0 1
+.names 34268 34190 34266
+1- 1
+-1 1
+.names 34266 34267
+1 1
+.names 5891 34268
+0 1
+.names 33852 32509 34269
+1- 1
+-1 1
+.names 34269 34270
+1 1
+.names 33927 34273 34271
+1- 1
+-1 1
+.names 34271 34272
+1 1
+.names 5965 34273
+0 1
+.names 34272 34270 34274
+11 1
+.names 34283 34286 34275
+1- 1
+-1 1
+.names 34275 34276
+1 1
+.names 34290 34292 34277
+1- 1
+-1 1
+.names 34277 34278
+1 1
+.names 34278 34279
+0 1
+.names 2413 34280
+1 1
+.names 34280 34281
+1 1
+.names 34281 34282
+0 1
+.names 34279 34282 34283
+1- 1
+-1 1
+.names 34297 34299 34284
+11 1
+.names 34284 34285
+1 1
+.names 34285 34286
+0 1
+.names 34310 34311 34287
+11 1
+.names 34287 34288
+1 1
+.names 34288 34289
+0 1
+.names 34122 34289 34290
+11 1
+.names 247 34291
+0 1
+.names 8142 34291 34292
+11 1
+.names 33852 32545 34293
+1- 1
+-1 1
+.names 34293 34294
+1 1
+.names 8162 34313 34295
+1- 1
+-1 1
+.names 34295 34296
+1 1
+.names 34294 34296 34297
+11 1
+.names 34312 8170 34298
+1- 1
+-1 1
+.names 34298 34299
+1 1
+.names 8156 34302 34300
+1- 1
+-1 1
+.names 34300 34301
+1 1
+.names 6001 34302
+0 1
+.names 34307 34309 34303
+1- 1
+-1 1
+.names 34303 34304
+1 1
+.names 33852 2413 34305
+11 1
+.names 34305 34306
+1 1
+.names 34306 34307
+0 1
+.names 8162 34308
+1 1
+.names 34308 34309
+0 1
+.names 34304 34310
+0 1
+.names 34301 34311
+0 1
+.names 5835 34312
+0 1
+.names 5937 34313
+0 1
+.names 34316 33852 34314
+1- 1
+-1 1
+.names 34314 34315
+1 1
+.names 56587 34316
+0 1
+.names 34325 34328 34317
+1- 1
+-1 1
+.names 34317 34318
+1 1
+.names 34332 34334 34319
+1- 1
+-1 1
+.names 34319 34320
+1 1
+.names 34320 34321
+0 1
+.names 2413 34322
+1 1
+.names 34322 34323
+1 1
+.names 34323 34324
+0 1
+.names 34321 34324 34325
+1- 1
+-1 1
+.names 34353 34354 34326
+11 1
+.names 34326 34327
+1 1
+.names 34327 34328
+0 1
+.names 34349 34350 34329
+11 1
+.names 34329 34330
+1 1
+.names 34330 34331
+0 1
+.names 34122 34331 34332
+11 1
+.names 260 34333
+0 1
+.names 8142 34333 34334
+11 1
+.names 34337 34338 34335
+1- 1
+-1 1
+.names 34335 34336
+1 1
+.names 8183 5963 34337
+11 1
+.names 34315 34338
+0 1
+.names 34343 34344 34339
+1- 1
+-1 1
+.names 34339 34340
+1 1
+.names 33852 34341
+1 1
+.names 34341 34342
+0 1
+.names 8178 34342 34343
+1- 1
+-1 1
+.names 6027 34344
+0 1
+.names 8183 34348 34345
+1- 1
+-1 1
+.names 34345 34346
+1 1
+.names 2413 34347
+1 1
+.names 34347 34348
+0 1
+.names 34340 34349
+0 1
+.names 34346 34350
+0 1
+.names 5887 8178 34351
+11 1
+.names 34351 34352
+1 1
+.names 34352 34353
+0 1
+.names 34336 34354
+0 1
+.names 34363 34366 34355
+1- 1
+-1 1
+.names 34355 34356
+1 1
+.names 34370 34372 34357
+1- 1
+-1 1
+.names 34357 34358
+1 1
+.names 34358 34359
+0 1
+.names 2413 34360
+1 1
+.names 34360 34361
+1 1
+.names 34361 34362
+0 1
+.names 34359 34362 34363
+1- 1
+-1 1
+.names 34394 34395 34364
+11 1
+.names 34364 34365
+1 1
+.names 34365 34366
+0 1
+.names 34383 34384 34367
+11 1
+.names 34367 34368
+1 1
+.names 34368 34369
+0 1
+.names 33946 34369 34370
+11 1
+.names 236 34371
+0 1
+.names 8144 34371 34372
+11 1
+.names 34377 34378 34373
+1- 1
+-1 1
+.names 34373 34374
+1 1
+.names 34016 34375
+1 1
+.names 34375 34376
+0 1
+.names 8182 34376 34377
+1- 1
+-1 1
+.names 5979 34378
+0 1
+.names 8156 34382 34379
+1- 1
+-1 1
+.names 34379 34380
+1 1
+.names 2413 34381
+1 1
+.names 34381 34382
+0 1
+.names 34374 34383
+0 1
+.names 34380 34384
+0 1
+.names 34387 8170 34385
+1- 1
+-1 1
+.names 34385 34386
+1 1
+.names 5791 34387
+0 1
+.names 34390 34391 34388
+1- 1
+-1 1
+.names 34388 34389
+1 1
+.names 8153 56563 34390
+11 1
+.names 34386 34391
+0 1
+.names 8182 5915 34392
+11 1
+.names 34392 34393
+1 1
+.names 34393 34394
+0 1
+.names 34389 34395
+0 1
+.names 34402 34404 34396
+1- 1
+-1 1
+.names 34396 34397
+1 1
+.names 8161 34398
+0 1
+.names 34415 34416 34399
+11 1
+.names 34399 34400
+1 1
+.names 34400 34401
+0 1
+.names 34398 34401 34402
+11 1
+.names 5951 34403
+0 1
+.names 8161 34403 34404
+11 1
+.names 8173 34407 34405
+1- 1
+-1 1
+.names 34405 34406
+1 1
+.names 6015 34407
+0 1
+.names 34412 34414 34408
+1- 1
+-1 1
+.names 34408 34409
+1 1
+.names 34016 2413 34410
+11 1
+.names 34410 34411
+1 1
+.names 34411 34412
+0 1
+.names 8170 34413
+1 1
+.names 34413 34414
+0 1
+.names 34409 34415
+0 1
+.names 34406 34416
+0 1
+.names 34420 34421 34417
+1- 1
+-1 1
+.names 34417 34418
+1 1
+.names 34433 34436 34419
+1- 1
+-1 1
+.names 34419 34420
+1 1
+.names 34397 34421
+0 1
+.names 34424 8170 34422
+1- 1
+-1 1
+.names 34422 34423
+1 1
+.names 5863 34424
+0 1
+.names 34423 34425
+0 1
+.names 34437 33856 34426
+1- 1
+-1 1
+.names 34426 34427
+1 1
+.names 34427 34428
+0 1
+.names 34425 34428 34429
+1- 1
+-1 1
+.names 32628 34016 34430
+1- 1
+-1 1
+.names 34430 34431
+1 1
+.names 34431 34432
+0 1
+.names 34429 34432 34433
+1- 1
+-1 1
+.names 2413 34434
+1 1
+.names 34434 34435
+1 1
+.names 34435 34436
+0 1
+.names 254 34437
+0 1
+.names 34443 34445 34438
+1- 1
+-1 1
+.names 34438 34439
+1 1
+.names 34456 34457 34440
+11 1
+.names 34440 34441
+1 1
+.names 34441 34442
+0 1
+.names 34122 34442 34443
+11 1
+.names 243 34444
+0 1
+.names 8142 34444 34445
+11 1
+.names 34450 34451 34446
+1- 1
+-1 1
+.names 34446 34447
+1 1
+.names 34016 34448
+1 1
+.names 34448 34449
+0 1
+.names 8159 34449 34450
+1- 1
+-1 1
+.names 5993 34451
+0 1
+.names 8175 34455 34452
+1- 1
+-1 1
+.names 34452 34453
+1 1
+.names 2413 34454
+1 1
+.names 34454 34455
+0 1
+.names 34447 34456
+0 1
+.names 34453 34457
+0 1
+.names 8162 34460 34458
+1- 1
+-1 1
+.names 34458 34459
+1 1
+.names 5929 34460
+0 1
+.names 34463 33969 34461
+1- 1
+-1 1
+.names 34461 34462
+1 1
+.names 5819 34463
+0 1
+.names 34470 34471 34464
+1- 1
+-1 1
+.names 34464 34465
+1 1
+.names 34459 34466
+0 1
+.names 34016 32680 34467
+1- 1
+-1 1
+.names 34467 34468
+1 1
+.names 34468 34469
+0 1
+.names 34466 34469 34470
+1- 1
+-1 1
+.names 34462 34471
+0 1
+.names 34474 34477 34472
+1- 1
+-1 1
+.names 34472 34473
+1 1
+.names 34439 34474
+0 1
+.names 34478 34481 34475
+11 1
+.names 34475 34476
+1 1
+.names 34476 34477
+0 1
+.names 34465 34478
+0 1
+.names 1783 34479
+1 1
+.names 34479 34480
+1 1
+.names 34480 34481
+0 1
+.names 34490 34493 34482
+1- 1
+-1 1
+.names 34482 34483
+1 1
+.names 34497 34499 34484
+1- 1
+-1 1
+.names 34484 34485
+1 1
+.names 34485 34486
+0 1
+.names 2413 34487
+1 1
+.names 34487 34488
+1 1
+.names 34488 34489
+0 1
+.names 34486 34489 34490
+1- 1
+-1 1
+.names 34502 34505 34491
+11 1
+.names 34491 34492
+1 1
+.names 34492 34493
+0 1
+.names 34512 34513 34494
+11 1
+.names 34494 34495
+1 1
+.names 34495 34496
+0 1
+.names 34122 34496 34497
+11 1
+.names 238 34498
+0 1
+.names 8142 34498 34499
+11 1
+.names 34518 5919 34500
+11 1
+.names 34500 34501
+1 1
+.names 34501 34502
+0 1
+.names 34520 34521 34503
+1- 1
+-1 1
+.names 34503 34504
+1 1
+.names 34504 34505
+0 1
+.names 8156 34509 34506
+1- 1
+-1 1
+.names 34506 34507
+1 1
+.names 2413 34508
+1 1
+.names 34508 34509
+0 1
+.names 34524 34525 34510
+1- 1
+-1 1
+.names 34510 34511
+1 1
+.names 34511 34512
+0 1
+.names 34507 34513
+0 1
+.names 34516 8170 34514
+1- 1
+-1 1
+.names 34514 34515
+1 1
+.names 5799 34516
+0 1
+.names 34522 34517
+0 1
+.names 34517 34518
+1 1
+.names 34098 34519
+1 1
+.names 8154 56565 34520
+11 1
+.names 34515 34521
+0 1
+.names 33927 34522
+1 1
+.names 34519 34523
+0 1
+.names 34517 34523 34524
+1- 1
+-1 1
+.names 5983 34525
+0 1
+.names 22346 33896 34526
+1- 1
+-1 1
+.names 34526 34527
+1 1
+.names 34536 34539 34528
+1- 1
+-1 1
+.names 34528 34529
+1 1
+.names 34543 34545 34530
+1- 1
+-1 1
+.names 34530 34531
+1 1
+.names 34531 34532
+0 1
+.names 2413 34533
+1 1
+.names 34533 34534
+1 1
+.names 34534 34535
+0 1
+.names 34532 34535 34536
+1- 1
+-1 1
+.names 34548 34551 34537
+11 1
+.names 34537 34538
+1 1
+.names 34538 34539
+0 1
+.names 34556 34559 34540
+11 1
+.names 34540 34541
+1 1
+.names 34541 34542
+0 1
+.names 33946 34542 34543
+11 1
+.names 241 34544
+0 1
+.names 8144 34544 34545
+11 1
+.names 34561 34546
+0 1
+.names 34546 34547
+1 1
+.names 34547 34548
+0 1
+.names 34569 34570 34549
+1- 1
+-1 1
+.names 34549 34550
+1 1
+.names 34550 34551
+0 1
+.names 8183 34555 34552
+1- 1
+-1 1
+.names 34552 34553
+1 1
+.names 2413 34554
+1 1
+.names 34554 34555
+0 1
+.names 34553 34556
+0 1
+.names 34567 34568 34557
+1- 1
+-1 1
+.names 34557 34558
+1 1
+.names 34558 34559
+0 1
+.names 34562 33969 34560
+1- 1
+-1 1
+.names 34560 34561
+1 1
+.names 5811 34562
+0 1
+.names 33969 34563
+1 1
+.names 34563 34564
+0 1
+.names 33896 34565
+1 1
+.names 34565 34566
+0 1
+.names 34564 34566 34567
+1- 1
+-1 1
+.names 5989 34568
+0 1
+.names 8183 5925 34569
+11 1
+.names 34527 34570
+0 1
+.names 34016 32823 34571
+1- 1
+-1 1
+.names 34571 34572
+1 1
+.names 34578 34580 34573
+1- 1
+-1 1
+.names 34573 34574
+1 1
+.names 34591 34592 34575
+11 1
+.names 34575 34576
+1 1
+.names 34576 34577
+0 1
+.names 33989 34577 34578
+11 1
+.names 252 34579
+0 1
+.names 8180 34579 34580
+11 1
+.names 34585 34586 34581
+1- 1
+-1 1
+.names 34581 34582
+1 1
+.names 34016 34583
+1 1
+.names 34583 34584
+0 1
+.names 8159 34584 34585
+1- 1
+-1 1
+.names 6011 34586
+0 1
+.names 8175 34590 34587
+1- 1
+-1 1
+.names 34587 34588
+1 1
+.names 2413 34589
+1 1
+.names 34589 34590
+0 1
+.names 34582 34591
+0 1
+.names 34588 34592
+0 1
+.names 34595 33969 34593
+1- 1
+-1 1
+.names 34593 34594
+1 1
+.names 5855 34595
+0 1
+.names 34602 34603 34596
+1- 1
+-1 1
+.names 34596 34597
+1 1
+.names 34572 34598
+0 1
+.names 8162 34614 34599
+1- 1
+-1 1
+.names 34599 34600
+1 1
+.names 34600 34601
+0 1
+.names 34598 34601 34602
+1- 1
+-1 1
+.names 34594 34603
+0 1
+.names 34606 34609 34604
+1- 1
+-1 1
+.names 34604 34605
+1 1
+.names 34574 34606
+0 1
+.names 34612 34613 34607
+11 1
+.names 34607 34608
+1 1
+.names 34608 34609
+0 1
+.names 1783 34610
+1 1
+.names 34610 34611
+1 1
+.names 34597 34612
+0 1
+.names 34611 34613
+0 1
+.names 5947 34614
+0 1
+.names 34623 34626 34615
+1- 1
+-1 1
+.names 34615 34616
+1 1
+.names 34630 34632 34617
+1- 1
+-1 1
+.names 34617 34618
+1 1
+.names 34618 34619
+0 1
+.names 2413 34620
+1 1
+.names 34620 34621
+1 1
+.names 34621 34622
+0 1
+.names 34619 34622 34623
+1- 1
+-1 1
+.names 34653 34646 34624
+11 1
+.names 34624 34625
+1 1
+.names 34625 34626
+0 1
+.names 34643 34644 34627
+11 1
+.names 34627 34628
+1 1
+.names 34628 34629
+0 1
+.names 34122 34629 34630
+11 1
+.names 259 34631
+0 1
+.names 8142 34631 34632
+11 1
+.names 34637 34638 34633
+1- 1
+-1 1
+.names 34633 34634
+1 1
+.names 34098 34635
+1 1
+.names 34635 34636
+0 1
+.names 8179 34636 34637
+1- 1
+-1 1
+.names 6025 34638
+0 1
+.names 8184 34642 34639
+1- 1
+-1 1
+.names 34639 34640
+1 1
+.names 2413 34641
+1 1
+.names 34641 34642
+0 1
+.names 34634 34643
+0 1
+.names 34640 34644
+0 1
+.names 34647 34007 34645
+1- 1
+-1 1
+.names 34645 34646
+1 1
+.names 5883 34647
+0 1
+.names 34098 32858 34648
+1- 1
+-1 1
+.names 34648 34649
+1 1
+.names 33927 34652 34650
+1- 1
+-1 1
+.names 34650 34651
+1 1
+.names 5961 34652
+0 1
+.names 34651 34649 34653
+11 1
+.names 34098 34656 34654
+1- 1
+-1 1
+.names 34654 34655
+1 1
+.names 5788 34656
+0 1
+.names 34665 34668 34657
+1- 1
+-1 1
+.names 34657 34658
+1 1
+.names 34672 34674 34659
+1- 1
+-1 1
+.names 34659 34660
+1 1
+.names 34660 34661
+0 1
+.names 2413 34662
+1 1
+.names 34662 34663
+1 1
+.names 34663 34664
+0 1
+.names 34661 34664 34665
+1- 1
+-1 1
+.names 34677 34679 34666
+11 1
+.names 34666 34667
+1 1
+.names 34667 34668
+0 1
+.names 34690 34691 34669
+11 1
+.names 34669 34670
+1 1
+.names 34670 34671
+0 1
+.names 33946 34671 34672
+11 1
+.names 267 34673
+0 1
+.names 8144 34673 34674
+11 1
+.names 34067 34693 34675
+1- 1
+-1 1
+.names 34675 34676
+1 1
+.names 34655 34676 34677
+11 1
+.names 34692 34007 34678
+1- 1
+-1 1
+.names 34678 34679
+1 1
+.names 34684 34685 34680
+1- 1
+-1 1
+.names 34680 34681
+1 1
+.names 34098 34682
+1 1
+.names 34682 34683
+0 1
+.names 8179 34683 34684
+1- 1
+-1 1
+.names 6041 34685
+0 1
+.names 8182 34689 34686
+1- 1
+-1 1
+.names 34686 34687
+1 1
+.names 2413 34688
+1 1
+.names 34688 34689
+0 1
+.names 34681 34690
+0 1
+.names 34687 34691
+0 1
+.names 5913 34692
+0 1
+.names 5977 34693
+0 1
+.names 34702 34705 34694
+1- 1
+-1 1
+.names 34694 34695
+1 1
+.names 34709 34711 34696
+1- 1
+-1 1
+.names 34696 34697
+1 1
+.names 34697 34698
+0 1
+.names 2413 34699
+1 1
+.names 34699 34700
+1 1
+.names 34700 34701
+0 1
+.names 34698 34701 34702
+1- 1
+-1 1
+.names 34716 34718 34703
+11 1
+.names 34703 34704
+1 1
+.names 34704 34705
+0 1
+.names 34729 34730 34706
+11 1
+.names 34706 34707
+1 1
+.names 34707 34708
+0 1
+.names 33989 34708 34709
+11 1
+.names 257 34710
+0 1
+.names 8180 34710 34711
+11 1
+.names 34098 32928 34712
+1- 1
+-1 1
+.names 34712 34713
+1 1
+.names 34067 34732 34714
+1- 1
+-1 1
+.names 34714 34715
+1 1
+.names 34713 34715 34716
+11 1
+.names 34731 34190 34717
+1- 1
+-1 1
+.names 34717 34718
+1 1
+.names 34723 34724 34719
+1- 1
+-1 1
+.names 34719 34720
+1 1
+.names 34098 34721
+1 1
+.names 34721 34722
+0 1
+.names 8178 34722 34723
+1- 1
+-1 1
+.names 6021 34724
+0 1
+.names 8182 34728 34725
+1- 1
+-1 1
+.names 34725 34726
+1 1
+.names 2413 34727
+1 1
+.names 34727 34728
+0 1
+.names 34720 34729
+0 1
+.names 34726 34730
+0 1
+.names 5875 34731
+0 1
+.names 5957 34732
+0 1
+.names 34098 32970 34733
+1- 1
+-1 1
+.names 34733 34734
+1 1
+.names 34740 34742 34735
+1- 1
+-1 1
+.names 34735 34736
+1 1
+.names 34753 34754 34737
+11 1
+.names 34737 34738
+1 1
+.names 34738 34739
+0 1
+.names 33989 34739 34740
+11 1
+.names 249 34741
+0 1
+.names 8180 34741 34742
+11 1
+.names 34747 34748 34743
+1- 1
+-1 1
+.names 34743 34744
+1 1
+.names 34098 34745
+1 1
+.names 34745 34746
+0 1
+.names 8159 34746 34747
+1- 1
+-1 1
+.names 6005 34748
+0 1
+.names 8182 34752 34749
+1- 1
+-1 1
+.names 34749 34750
+1 1
+.names 2413 34751
+1 1
+.names 34751 34752
+0 1
+.names 34744 34753
+0 1
+.names 34750 34754
+0 1
+.names 34757 33969 34755
+1- 1
+-1 1
+.names 34755 34756
+1 1
+.names 5843 34757
+0 1
+.names 34764 34765 34758
+1- 1
+-1 1
+.names 34758 34759
+1 1
+.names 34734 34760
+0 1
+.names 34067 34776 34761
+1- 1
+-1 1
+.names 34761 34762
+1 1
+.names 34762 34763
+0 1
+.names 34760 34763 34764
+1- 1
+-1 1
+.names 34756 34765
+0 1
+.names 34768 34771 34766
+1- 1
+-1 1
+.names 34766 34767
+1 1
+.names 34736 34768
+0 1
+.names 34774 34775 34769
+11 1
+.names 34769 34770
+1 1
+.names 34770 34771
+0 1
+.names 1783 34772
+1 1
+.names 34772 34773
+1 1
+.names 34759 34774
+0 1
+.names 34773 34775
+0 1
+.names 5941 34776
+0 1
+.names 34785 34788 34777
+1- 1
+-1 1
+.names 34777 34778
+1 1
+.names 34792 34794 34779
+1- 1
+-1 1
+.names 34779 34780
+1 1
+.names 34780 34781
+0 1
+.names 2413 34782
+1 1
+.names 34782 34783
+1 1
+.names 34783 34784
+0 1
+.names 34781 34784 34785
+1- 1
+-1 1
+.names 34799 34801 34786
+11 1
+.names 34786 34787
+1 1
+.names 34787 34788
+0 1
+.names 34812 34813 34789
+11 1
+.names 34789 34790
+1 1
+.names 34790 34791
+0 1
+.names 33989 34791 34792
+11 1
+.names 250 34793
+0 1
+.names 8180 34793 34794
+11 1
+.names 8162 34814 34795
+1- 1
+-1 1
+.names 34795 34796
+1 1
+.names 33852 33004 34797
+1- 1
+-1 1
+.names 34797 34798
+1 1
+.names 34796 34798 34799
+11 1
+.names 34815 34190 34800
+1- 1
+-1 1
+.names 34800 34801
+1 1
+.names 34806 34807 34802
+1- 1
+-1 1
+.names 34802 34803
+1 1
+.names 33852 34804
+1 1
+.names 34804 34805
+0 1
+.names 8178 34805 34806
+1- 1
+-1 1
+.names 6007 34807
+0 1
+.names 1783 34811 34808
+1- 1
+-1 1
+.names 34808 34809
+1 1
+.names 8162 34810
+1 1
+.names 34810 34811
+0 1
+.names 34803 34812
+0 1
+.names 34809 34813
+0 1
+.names 5943 34814
+0 1
+.names 5847 34815
+0 1
+.names 34818 33852 34816
+1- 1
+-1 1
+.names 34816 34817
+1 1
+.names 56591 34818
+0 1
+.names 34827 34830 34819
+1- 1
+-1 1
+.names 34819 34820
+1 1
+.names 34834 34836 34821
+1- 1
+-1 1
+.names 34821 34822
+1 1
+.names 34822 34823
+0 1
+.names 2413 34824
+1 1
+.names 34824 34825
+1 1
+.names 34825 34826
+0 1
+.names 34823 34826 34827
+1- 1
+-1 1
+.names 34839 34842 34828
+11 1
+.names 34828 34829
+1 1
+.names 34829 34830
+0 1
+.names 34853 34854 34831
+11 1
+.names 34831 34832
+1 1
+.names 34832 34833
+0 1
+.names 33946 34833 34834
+11 1
+.names 264 34835
+0 1
+.names 8144 34835 34836
+11 1
+.names 34858 34837
+0 1
+.names 34837 34838
+1 1
+.names 34838 34839
+0 1
+.names 34855 34856 34840
+1- 1
+-1 1
+.names 34840 34841
+1 1
+.names 34841 34842
+0 1
+.names 34847 34848 34843
+1- 1
+-1 1
+.names 34843 34844
+1 1
+.names 33852 34845
+1 1
+.names 34845 34846
+0 1
+.names 8179 34846 34847
+1- 1
+-1 1
+.names 6035 34848
+0 1
+.names 8175 34852 34849
+1- 1
+-1 1
+.names 34849 34850
+1 1
+.names 2413 34851
+1 1
+.names 34851 34852
+0 1
+.names 34844 34853
+0 1
+.names 34850 34854
+0 1
+.names 8175 5971 34855
+11 1
+.names 34817 34856
+0 1
+.names 34859 34007 34857
+1- 1
+-1 1
+.names 34857 34858
+1 1
+.names 5903 34859
+0 1
+.names 34098 33087 34860
+1- 1
+-1 1
+.names 34860 34861
+1 1
+.names 34867 34869 34862
+1- 1
+-1 1
+.names 34862 34863
+1 1
+.names 34880 34881 34864
+11 1
+.names 34864 34865
+1 1
+.names 34865 34866
+0 1
+.names 33946 34866 34867
+11 1
+.names 248 34868
+0 1
+.names 8144 34868 34869
+11 1
+.names 34874 34875 34870
+1- 1
+-1 1
+.names 34870 34871
+1 1
+.names 34098 34872
+1 1
+.names 34872 34873
+0 1
+.names 8159 34873 34874
+1- 1
+-1 1
+.names 6003 34875
+0 1
+.names 8182 34879 34876
+1- 1
+-1 1
+.names 34876 34877
+1 1
+.names 2413 34878
+1 1
+.names 34878 34879
+0 1
+.names 34871 34880
+0 1
+.names 34877 34881
+0 1
+.names 34884 33969 34882
+1- 1
+-1 1
+.names 34882 34883
+1 1
+.names 5839 34884
+0 1
+.names 34891 34892 34885
+1- 1
+-1 1
+.names 34885 34886
+1 1
+.names 34861 34887
+0 1
+.names 34067 34903 34888
+1- 1
+-1 1
+.names 34888 34889
+1 1
+.names 34889 34890
+0 1
+.names 34887 34890 34891
+1- 1
+-1 1
+.names 34883 34892
+0 1
+.names 34895 34898 34893
+1- 1
+-1 1
+.names 34893 34894
+1 1
+.names 34863 34895
+0 1
+.names 34901 34902 34896
+11 1
+.names 34896 34897
+1 1
+.names 34897 34898
+0 1
+.names 1783 34899
+1 1
+.names 34899 34900
+1 1
+.names 34886 34901
+0 1
+.names 34900 34902
+0 1
+.names 5939 34903
+0 1
+.names 34912 34915 34904
+1- 1
+-1 1
+.names 34904 34905
+1 1
+.names 34919 34921 34906
+1- 1
+-1 1
+.names 34906 34907
+1 1
+.names 34907 34908
+0 1
+.names 2413 34909
+1 1
+.names 34909 34910
+1 1
+.names 34910 34911
+0 1
+.names 34908 34911 34912
+1- 1
+-1 1
+.names 34924 34927 34913
+11 1
+.names 34913 34914
+1 1
+.names 34914 34915
+0 1
+.names 34934 34935 34916
+11 1
+.names 34916 34917
+1 1
+.names 34917 34918
+0 1
+.names 33946 34918 34919
+11 1
+.names 262 34920
+0 1
+.names 8144 34920 34921
+11 1
+.names 8183 5967 34922
+11 1
+.names 34922 34923
+1 1
+.names 34923 34924
+0 1
+.names 34940 34941 34925
+1- 1
+-1 1
+.names 34925 34926
+1 1
+.names 34926 34927
+0 1
+.names 8156 34931 34928
+1- 1
+-1 1
+.names 34928 34929
+1 1
+.names 2413 34930
+1 1
+.names 34930 34931
+0 1
+.names 34945 34946 34932
+1- 1
+-1 1
+.names 34932 34933
+1 1
+.names 34933 34934
+0 1
+.names 34929 34935
+0 1
+.names 34938 8170 34936
+1- 1
+-1 1
+.names 34936 34937
+1 1
+.names 5895 34938
+0 1
+.names 33896 34939
+1 1
+.names 8174 56589 34940
+11 1
+.names 34937 34941
+0 1
+.names 34018 34942
+1 1
+.names 34942 34943
+0 1
+.names 34939 34944
+0 1
+.names 34943 34944 34945
+1- 1
+-1 1
+.names 6031 34946
+0 1
+.names 34098 33161 34947
+1- 1
+-1 1
+.names 34947 34948
+1 1
+.names 34957 34960 34949
+1- 1
+-1 1
+.names 34949 34950
+1 1
+.names 34964 34966 34951
+1- 1
+-1 1
+.names 34951 34952
+1 1
+.names 34952 34953
+0 1
+.names 2413 34954
+1 1
+.names 34954 34955
+1 1
+.names 34955 34956
+0 1
+.names 34953 34956 34957
+1- 1
+-1 1
+.names 34985 34980 34958
+11 1
+.names 34958 34959
+1 1
+.names 34959 34960
+0 1
+.names 34977 34978 34961
+11 1
+.names 34961 34962
+1 1
+.names 34962 34963
+0 1
+.names 34122 34963 34964
+11 1
+.names 263 34965
+0 1
+.names 8142 34965 34966
+11 1
+.names 34971 34972 34967
+1- 1
+-1 1
+.names 34967 34968
+1 1
+.names 34098 34969
+1 1
+.names 34969 34970
+0 1
+.names 8179 34970 34971
+1- 1
+-1 1
+.names 6033 34972
+0 1
+.names 8184 34976 34973
+1- 1
+-1 1
+.names 34973 34974
+1 1
+.names 2413 34975
+1 1
+.names 34975 34976
+0 1
+.names 34968 34977
+0 1
+.names 34974 34978
+0 1
+.names 34981 34007 34979
+1- 1
+-1 1
+.names 34979 34980
+1 1
+.names 5899 34981
+0 1
+.names 33927 34984 34982
+1- 1
+-1 1
+.names 34982 34983
+1 1
+.names 5969 34984
+0 1
+.names 34983 34948 34985
+11 1
+.names 34016 22359 34986
+1- 1
+-1 1
+.names 34986 34987
+1 1
+.names 34993 34995 34988
+1- 1
+-1 1
+.names 34988 34989
+1 1
+.names 35002 35003 34990
+11 1
+.names 34990 34991
+1 1
+.names 34991 34992
+0 1
+.names 33989 34992 34993
+11 1
+.names 239 34994
+0 1
+.names 8180 34994 34995
+11 1
+.names 8183 34999 34996
+1- 1
+-1 1
+.names 34996 34997
+1 1
+.names 2413 34998
+1 1
+.names 34998 34999
+0 1
+.names 35009 35010 35000
+1- 1
+-1 1
+.names 35000 35001
+1 1
+.names 35001 35002
+0 1
+.names 34997 35003
+0 1
+.names 35006 33969 35004
+1- 1
+-1 1
+.names 35004 35005
+1 1
+.names 5803 35006
+0 1
+.names 34016 35007
+1 1
+.names 35007 35008
+0 1
+.names 8159 35008 35009
+1- 1
+-1 1
+.names 5985 35010
+0 1
+.names 35017 35018 35011
+1- 1
+-1 1
+.names 35011 35012
+1 1
+.names 34987 35013
+0 1
+.names 34018 35029 35014
+1- 1
+-1 1
+.names 35014 35015
+1 1
+.names 35015 35016
+0 1
+.names 35013 35016 35017
+1- 1
+-1 1
+.names 35005 35018
+0 1
+.names 35021 35024 35019
+1- 1
+-1 1
+.names 35019 35020
+1 1
+.names 34989 35021
+0 1
+.names 35027 35028 35022
+11 1
+.names 35022 35023
+1 1
+.names 35023 35024
+0 1
+.names 1783 35025
+1 1
+.names 35025 35026
+1 1
+.names 35012 35027
+0 1
+.names 35026 35028
+0 1
+.names 5921 35029
+0 1
+.names 35038 35041 35030
+1- 1
+-1 1
+.names 35030 35031
+1 1
+.names 35045 35047 35032
+1- 1
+-1 1
+.names 35032 35033
+1 1
+.names 35033 35034
+0 1
+.names 35070 8170 35035
+1- 1
+-1 1
+.names 35035 35036
+1 1
+.names 35036 35037
+0 1
+.names 35034 35037 35038
+1- 1
+-1 1
+.names 35050 35053 35039
+11 1
+.names 35039 35040
+1 1
+.names 35040 35041
+0 1
+.names 35068 35069 35042
+11 1
+.names 35042 35043
+1 1
+.names 35043 35044
+0 1
+.names 34398 35044 35045
+11 1
+.names 5923 35046
+0 1
+.names 8161 35046 35047
+11 1
+.names 240 8173 35048
+11 1
+.names 35048 35049
+1 1
+.names 35049 35050
+0 1
+.names 35054 35057 35051
+1- 1
+-1 1
+.names 35051 35052
+1 1
+.names 35052 35053
+0 1
+.names 8153 56567 35054
+11 1
+.names 2413 35055
+1 1
+.names 35055 35056
+1 1
+.names 35056 35057
+0 1
+.names 35062 35063 35058
+1- 1
+-1 1
+.names 35058 35059
+1 1
+.names 2413 35060
+1 1
+.names 35060 35061
+0 1
+.names 8173 35061 35062
+1- 1
+-1 1
+.names 5987 35063
+0 1
+.names 8156 35067 35064
+1- 1
+-1 1
+.names 35064 35065
+1 1
+.names 34016 35066
+1 1
+.names 35066 35067
+0 1
+.names 35059 35068
+0 1
+.names 35065 35069
+0 1
+.names 5807 35070
+0 1
+.names 35079 35082 35071
+1- 1
+-1 1
+.names 35071 35072
+1 1
+.names 35086 35087 35073
+1- 1
+-1 1
+.names 35073 35074
+1 1
+.names 35074 35075
+0 1
+.names 2413 35076
+1 1
+.names 35076 35077
+1 1
+.names 35077 35078
+0 1
+.names 35075 35078 35079
+1- 1
+-1 1
+.names 35108 35101 35080
+11 1
+.names 35080 35081
+1 1
+.names 35081 35082
+0 1
+.names 35098 35099 35083
+11 1
+.names 35083 35084
+1 1
+.names 35084 35085
+0 1
+.names 33989 35085 35086
+11 1
+.names 8180 20312 35087
+11 1
+.names 35092 35093 35088
+1- 1
+-1 1
+.names 35088 35089
+1 1
+.names 33896 35090
+1 1
+.names 35090 35091
+0 1
+.names 8179 35091 35092
+1- 1
+-1 1
+.names 6009 35093
+0 1
+.names 8184 35097 35094
+1- 1
+-1 1
+.names 35094 35095
+1 1
+.names 2413 35096
+1 1
+.names 35096 35097
+0 1
+.names 35089 35098
+0 1
+.names 35095 35099
+0 1
+.names 35102 34007 35100
+1- 1
+-1 1
+.names 35100 35101
+1 1
+.names 5851 35102
+0 1
+.names 33896 33274 35103
+1- 1
+-1 1
+.names 35103 35104
+1 1
+.names 33927 35107 35105
+1- 1
+-1 1
+.names 35105 35106
+1 1
+.names 5945 35107
+0 1
+.names 35106 35104 35108
+11 1
+.names 33852 33316 35109
+1- 1
+-1 1
+.names 35109 35110
+1 1
+.names 35113 8170 35111
+1- 1
+-1 1
+.names 35111 35112
+1 1
+.names 5871 35113
+0 1
+.names 35118 35119 35114
+1- 1
+-1 1
+.names 35114 35115
+1 1
+.names 33852 35116
+1 1
+.names 35116 35117
+0 1
+.names 8156 35117 35118
+1- 1
+-1 1
+.names 6019 35119
+0 1
+.names 8184 35123 35120
+1- 1
+-1 1
+.names 35120 35121
+1 1
+.names 2413 35122
+1 1
+.names 35122 35123
+0 1
+.names 35129 35131 35124
+1- 1
+-1 1
+.names 35124 35125
+1 1
+.names 35132 35133 35126
+11 1
+.names 35126 35127
+1 1
+.names 35127 35128
+0 1
+.names 33989 35128 35129
+11 1
+.names 256 35130
+0 1
+.names 8180 35130 35131
+11 1
+.names 35115 35132
+0 1
+.names 35121 35133
+0 1
+.names 35140 35141 35134
+1- 1
+-1 1
+.names 35134 35135
+1 1
+.names 33927 35152 35136
+1- 1
+-1 1
+.names 35136 35137
+1 1
+.names 35137 35138
+0 1
+.names 35110 35139
+0 1
+.names 35138 35139 35140
+1- 1
+-1 1
+.names 35112 35141
+0 1
+.names 35144 35147 35142
+11 1
+.names 35142 35143
+1 1
+.names 35135 35144
+0 1
+.names 1783 35145
+1 1
+.names 35145 35146
+1 1
+.names 35146 35147
+0 1
+.names 35150 35151 35148
+1- 1
+-1 1
+.names 35148 35149
+1 1
+.names 35125 35150
+0 1
+.names 35143 35151
+0 1
+.names 5955 35152
+0 1
+.names 35161 35164 35153
+1- 1
+-1 1
+.names 35153 35154
+1 1
+.names 35168 35170 35155
+1- 1
+-1 1
+.names 35155 35156
+1 1
+.names 35156 35157
+0 1
+.names 2413 35158
+1 1
+.names 35158 35159
+1 1
+.names 35159 35160
+0 1
+.names 35157 35160 35161
+1- 1
+-1 1
+.names 35173 35176 35162
+11 1
+.names 35162 35163
+1 1
+.names 35163 35164
+0 1
+.names 35183 35184 35165
+11 1
+.names 35165 35166
+1 1
+.names 35166 35167
+0 1
+.names 33989 35167 35168
+11 1
+.names 265 35169
+0 1
+.names 8180 35169 35170
+11 1
+.names 8182 5973 35171
+11 1
+.names 35171 35172
+1 1
+.names 35172 35173
+0 1
+.names 35192 35193 35174
+1- 1
+-1 1
+.names 35174 35175
+1 1
+.names 35175 35176
+0 1
+.names 8179 35180 35177
+1- 1
+-1 1
+.names 35177 35178
+1 1
+.names 2413 35179
+1 1
+.names 35179 35180
+0 1
+.names 35190 35191 35181
+1- 1
+-1 1
+.names 35181 35182
+1 1
+.names 35182 35183
+0 1
+.names 35178 35184
+0 1
+.names 35187 34007 35185
+1- 1
+-1 1
+.names 35185 35186
+1 1
+.names 5906 35187
+0 1
+.names 33896 35188
+1 1
+.names 35188 35189
+0 1
+.names 8182 35189 35190
+1- 1
+-1 1
+.names 6037 35191
+0 1
+.names 8174 56592 35192
+11 1
+.names 35186 35193
+0 1
+.names 35196 33274 35194
+1- 1
+-1 1
+.names 35194 35195
+1 1
+.names 8196 35196
+0 1
+.names 2413 35199 35197
+1- 1
+-1 1
+.names 35197 35198
+1 1
+.names 8217 35199
+0 1
+.names 35206 35209 35200
+1- 1
+-1 1
+.names 35200 35201
+1 1
+.names 35213 35214 35202
+1- 1
+-1 1
+.names 35202 35203
+1 1
+.names 35203 35204
+0 1
+.names 35198 35205
+0 1
+.names 35204 35205 35206
+1- 1
+-1 1
+.names 35217 35219 35207
+11 1
+.names 35207 35208
+1 1
+.names 35208 35209
+0 1
+.names 35232 35233 35210
+11 1
+.names 35210 35211
+1 1
+.names 35211 35212
+0 1
+.names 2413 35212 35213
+11 1
+.names 1783 2413 35214
+11 1
+.names 8206 5787 35215
+1- 1
+-1 1
+.names 35215 35216
+1 1
+.names 35216 35195 35217
+11 1
+.names 8214 32680 35218
+1- 1
+-1 1
+.names 35218 35219
+1 1
+.names 35224 35227 35220
+1- 1
+-1 1
+.names 35220 35221
+1 1
+.names 35196 35222
+1 1
+.names 35222 35223
+0 1
+.names 8217 35223 35224
+1- 1
+-1 1
+.names 39175 39176 35225
+1- 1
+-1 1
+.names 35225 35226
+1 1
+.names 35226 35227
+0 1
+.names 8219 35231 35228
+1- 1
+-1 1
+.names 35228 35229
+1 1
+.names 8214 35230
+1 1
+.names 35230 35231
+0 1
+.names 35221 35232
+0 1
+.names 35229 35233
+0 1
+.names 32189 35199 35234
+1- 1
+-1 1
+.names 35234 35235
+1 1
+.names 35242 35243 35236
+1- 1
+-1 1
+.names 35236 35237
+1 1
+.names 8218 35238
+0 1
+.names 35253 35254 35239
+11 1
+.names 35239 35240
+1 1
+.names 35240 35241
+0 1
+.names 35238 35241 35242
+11 1
+.names 8218 32189 35243
+11 1
+.names 35248 32189 35244
+1- 1
+-1 1
+.names 35244 35245
+1 1
+.names 8214 35246
+1 1
+.names 35246 35247
+0 1
+.names 8228 35247 35248
+1- 1
+-1 1
+.names 8217 35252 35249
+1- 1
+-1 1
+.names 35249 35250
+1 1
+.names 2413 35251
+1 1
+.names 35251 35252
+0 1
+.names 35245 35253
+0 1
+.names 35250 35254
+0 1
+.names 35258 35259 35255
+1- 1
+-1 1
+.names 35255 35256
+1 1
+.names 35271 35272 35257
+1- 1
+-1 1
+.names 35257 35258
+1 1
+.names 35237 35259
+0 1
+.names 8214 32189 35260
+1- 1
+-1 1
+.names 35260 35261
+1 1
+.names 2413 35262
+1 1
+.names 35262 35263
+1 1
+.names 5787 35266 35264
+1- 1
+-1 1
+.names 35264 35265
+1 1
+.names 8228 35266
+0 1
+.names 35263 35267
+0 1
+.names 35265 35268
+0 1
+.names 35267 35268 35269
+1- 1
+-1 1
+.names 35261 35270
+0 1
+.names 35269 35270 35271
+1- 1
+-1 1
+.names 35235 35272
+0 1
+.names 35281 35284 35273
+1- 1
+-1 1
+.names 35273 35274
+1 1
+.names 35289 35290 35275
+1- 1
+-1 1
+.names 35275 35276
+1 1
+.names 35276 35277
+0 1
+.names 2413 35278
+1 1
+.names 35278 35279
+1 1
+.names 35279 35280
+0 1
+.names 35277 35280 35281
+1- 1
+-1 1
+.names 35295 35297 35282
+11 1
+.names 35282 35283
+1 1
+.names 35283 35284
+0 1
+.names 8188 35285
+0 1
+.names 35304 35305 35286
+11 1
+.names 35286 35287
+1 1
+.names 35287 35288
+0 1
+.names 35285 35288 35289
+11 1
+.names 8188 22288 35290
+11 1
+.names 35238 22288 35291
+1- 1
+-1 1
+.names 35291 35292
+1 1
+.names 8206 5787 35293
+1- 1
+-1 1
+.names 35293 35294
+1 1
+.names 35292 35294 35295
+11 1
+.names 22288 35306 35296
+1- 1
+-1 1
+.names 35296 35297
+1 1
+.names 8219 35301 35298
+1- 1
+-1 1
+.names 35298 35299
+1 1
+.names 2413 35300
+1 1
+.names 35300 35301
+0 1
+.names 35311 22288 35302
+1- 1
+-1 1
+.names 35302 35303
+1 1
+.names 35303 35304
+0 1
+.names 35299 35305
+0 1
+.names 8203 35306
+0 1
+.names 35306 35307
+1 1
+.names 35307 35308
+0 1
+.names 35238 35309
+1 1
+.names 35309 35310
+0 1
+.names 35308 35310 35311
+1- 1
+-1 1
+.names 35320 35323 35312
+1- 1
+-1 1
+.names 35312 35313
+1 1
+.names 35328 35330 35314
+1- 1
+-1 1
+.names 35314 35315
+1 1
+.names 35315 35316
+0 1
+.names 2413 35317
+1 1
+.names 35317 35318
+1 1
+.names 35318 35319
+0 1
+.names 35316 35319 35320
+1- 1
+-1 1
+.names 35348 35349 35321
+11 1
+.names 35321 35322
+1 1
+.names 35322 35323
+0 1
+.names 8224 35324
+0 1
+.names 35337 35338 35325
+11 1
+.names 35325 35326
+1 1
+.names 35326 35327
+0 1
+.names 35324 35327 35328
+11 1
+.names 56571 35329
+0 1
+.names 8224 35329 35330
+11 1
+.names 8223 35334 35331
+1- 1
+-1 1
+.names 35331 35332
+1 1
+.names 2413 35333
+1 1
+.names 35333 35334
+0 1
+.names 35356 35329 35335
+1- 1
+-1 1
+.names 35335 35336
+1 1
+.names 35336 35337
+0 1
+.names 35332 35338
+0 1
+.names 32680 35341 35339
+1- 1
+-1 1
+.names 35339 35340
+1 1
+.names 8223 35341
+0 1
+.names 35344 35345 35342
+1- 1
+-1 1
+.names 35342 35343
+1 1
+.names 56571 8197 35344
+11 1
+.names 35340 35345
+0 1
+.names 8227 56594 35346
+11 1
+.names 35346 35347
+1 1
+.names 35347 35348
+0 1
+.names 35343 35349
+0 1
+.names 8197 35350
+0 1
+.names 35350 35351
+1 1
+.names 8227 35352
+0 1
+.names 35352 35353
+1 1
+.names 35353 35354
+0 1
+.names 35351 35355
+0 1
+.names 35354 35355 35356
+1- 1
+-1 1
+.names 35350 32320 35357
+1- 1
+-1 1
+.names 35357 35358
+1 1
+.names 32680 8214 35359
+1- 1
+-1 1
+.names 35359 35360
+1 1
+.names 8226 35364 35361
+1- 1
+-1 1
+.names 35361 35362
+1 1
+.names 2413 35363
+1 1
+.names 35363 35364
+0 1
+.names 35370 35371 35365
+1- 1
+-1 1
+.names 35365 35366
+1 1
+.names 35374 35375 35367
+11 1
+.names 35367 35368
+1 1
+.names 35368 35369
+0 1
+.names 35324 35369 35370
+11 1
+.names 8224 32320 35371
+11 1
+.names 35378 32320 35372
+1- 1
+-1 1
+.names 35372 35373
+1 1
+.names 35373 35374
+0 1
+.names 35362 35375
+0 1
+.names 35350 35376
+1 1
+.names 35376 35377
+0 1
+.names 8200 35377 35378
+1- 1
+-1 1
+.names 35385 35386 35379
+1- 1
+-1 1
+.names 35379 35380
+1 1
+.names 35358 35381
+0 1
+.names 35397 5787 35382
+1- 1
+-1 1
+.names 35382 35383
+1 1
+.names 35383 35384
+0 1
+.names 35381 35384 35385
+1- 1
+-1 1
+.names 35360 35386
+0 1
+.names 35389 35392 35387
+11 1
+.names 35387 35388
+1 1
+.names 35380 35389
+0 1
+.names 1783 35390
+1 1
+.names 35390 35391
+1 1
+.names 35391 35392
+0 1
+.names 35395 35396 35393
+1- 1
+-1 1
+.names 35393 35394
+1 1
+.names 35366 35395
+0 1
+.names 35388 35396
+0 1
+.names 8226 35397
+0 1
+.names 35406 35409 35398
+1- 1
+-1 1
+.names 35398 35399
+1 1
+.names 35414 35415 35400
+1- 1
+-1 1
+.names 35400 35401
+1 1
+.names 35401 35402
+0 1
+.names 2413 35403
+1 1
+.names 35403 35404
+1 1
+.names 35404 35405
+0 1
+.names 35402 35405 35406
+1- 1
+-1 1
+.names 35437 35434 35407
+11 1
+.names 35407 35408
+1 1
+.names 35408 35409
+0 1
+.names 8213 35410
+0 1
+.names 35429 35430 35411
+11 1
+.names 35411 35412
+1 1
+.names 35412 35413
+0 1
+.names 35410 35413 35414
+11 1
+.names 8213 32680 35415
+11 1
+.names 8217 35420 35416
+1- 1
+-1 1
+.names 35416 35417
+1 1
+.names 39197 39200 35418
+1- 1
+-1 1
+.names 35418 35419
+1 1
+.names 35419 35420
+0 1
+.names 35425 35427 35421
+1- 1
+-1 1
+.names 35421 35422
+1 1
+.names 35428 2413 35423
+11 1
+.names 35423 35424
+1 1
+.names 35424 35425
+0 1
+.names 35266 35426
+1 1
+.names 35426 35427
+0 1
+.names 8198 35428
+0 1
+.names 35422 35429
+0 1
+.names 35417 35430
+0 1
+.names 35428 33274 35431
+1- 1
+-1 1
+.names 35431 35432
+1 1
+.names 2413 35199 35433
+1- 1
+-1 1
+.names 35433 35434
+1 1
+.names 35266 5787 35435
+1- 1
+-1 1
+.names 35435 35436
+1 1
+.names 35436 35432 35437
+11 1
+.names 35446 35449 35438
+1- 1
+-1 1
+.names 35438 35439
+1 1
+.names 35454 35455 35440
+1- 1
+-1 1
+.names 35440 35441
+1 1
+.names 35441 35442
+0 1
+.names 2413 35443
+1 1
+.names 35443 35444
+1 1
+.names 35444 35445
+0 1
+.names 35442 35445 35446
+1- 1
+-1 1
+.names 35478 35479 35447
+11 1
+.names 35447 35448
+1 1
+.names 35448 35449
+0 1
+.names 8186 35450
+0 1
+.names 35474 35475 35451
+11 1
+.names 35451 35452
+1 1
+.names 35452 35453
+0 1
+.names 35450 35453 35454
+11 1
+.names 8186 2413 35455
+11 1
+.names 35458 35461 35456
+1- 1
+-1 1
+.names 35456 35457
+1 1
+.names 8196 56578 35458
+11 1
+.names 5787 35352 35459
+1- 1
+-1 1
+.names 35459 35460
+1 1
+.names 35460 35461
+0 1
+.names 35466 35469 35462
+1- 1
+-1 1
+.names 35462 35463
+1 1
+.names 35196 35464
+1 1
+.names 35464 35465
+0 1
+.names 8222 35465 35466
+1- 1
+-1 1
+.names 39961 39964 35467
+1- 1
+-1 1
+.names 35467 35468
+1 1
+.names 35468 35469
+0 1
+.names 8227 35473 35470
+1- 1
+-1 1
+.names 35470 35471
+1 1
+.names 2413 35472
+1 1
+.names 35472 35473
+0 1
+.names 35463 35474
+0 1
+.names 35471 35475
+0 1
+.names 56570 8222 35476
+11 1
+.names 35476 35477
+1 1
+.names 35477 35478
+0 1
+.names 35457 35479
+0 1
+.names 35488 35491 35480
+1- 1
+-1 1
+.names 35480 35481
+1 1
+.names 35495 35496 35482
+1- 1
+-1 1
+.names 35482 35483
+1 1
+.names 35483 35484
+0 1
+.names 2413 35485
+1 1
+.names 35485 35486
+1 1
+.names 35486 35487
+0 1
+.names 35484 35487 35488
+1- 1
+-1 1
+.names 35501 35503 35489
+11 1
+.names 35489 35490
+1 1
+.names 35490 35491
+0 1
+.names 35516 35517 35492
+11 1
+.names 35492 35493
+1 1
+.names 35493 35494
+0 1
+.names 35324 35494 35495
+11 1
+.names 8224 2413 35496
+11 1
+.names 35238 33274 35497
+1- 1
+-1 1
+.names 35497 35498
+1 1
+.names 8206 5787 35499
+1- 1
+-1 1
+.names 35499 35500
+1 1
+.names 35498 35500 35501
+11 1
+.names 32680 35518 35502
+1- 1
+-1 1
+.names 35502 35503
+1 1
+.names 35508 35511 35504
+1- 1
+-1 1
+.names 35504 35505
+1 1
+.names 35238 35506
+1 1
+.names 35506 35507
+0 1
+.names 8222 35507 35508
+1- 1
+-1 1
+.names 40174 40177 35509
+1- 1
+-1 1
+.names 35509 35510
+1 1
+.names 35510 35511
+0 1
+.names 8219 35515 35512
+1- 1
+-1 1
+.names 35512 35513
+1 1
+.names 2413 35514
+1 1
+.names 35514 35515
+0 1
+.names 35513 35516
+0 1
+.names 35505 35517
+0 1
+.names 8222 35518
+0 1
+.names 35524 35525 35519
+1- 1
+-1 1
+.names 35519 35520
+1 1
+.names 35532 35533 35521
+11 1
+.names 35521 35522
+1 1
+.names 35522 35523
+0 1
+.names 35324 35523 35524
+11 1
+.names 8224 32474 35525
+11 1
+.names 1783 35529 35526
+1- 1
+-1 1
+.names 35526 35527
+1 1
+.names 35352 35528
+1 1
+.names 35528 35529
+0 1
+.names 35538 32474 35530
+1- 1
+-1 1
+.names 35530 35531
+1 1
+.names 35531 35532
+0 1
+.names 35527 35533
+0 1
+.names 32680 35306 35534
+1- 1
+-1 1
+.names 35534 35535
+1 1
+.names 35238 35536
+1 1
+.names 35536 35537
+0 1
+.names 8203 35537 35538
+1- 1
+-1 1
+.names 35547 35548 35539
+1- 1
+-1 1
+.names 35539 35540
+1 1
+.names 35238 32474 35541
+1- 1
+-1 1
+.names 35541 35542
+1 1
+.names 35542 35543
+0 1
+.names 35352 5787 35544
+1- 1
+-1 1
+.names 35544 35545
+1 1
+.names 35545 35546
+0 1
+.names 35543 35546 35547
+1- 1
+-1 1
+.names 35535 35548
+0 1
+.names 35551 35554 35549
+1- 1
+-1 1
+.names 35549 35550
+1 1
+.names 35520 35551
+0 1
+.names 35557 35558 35552
+11 1
+.names 35552 35553
+1 1
+.names 35553 35554
+0 1
+.names 1783 35555
+1 1
+.names 35555 35556
+1 1
+.names 35540 35557
+0 1
+.names 35556 35558
+0 1
+.names 35567 35570 35559
+1- 1
+-1 1
+.names 35559 35560
+1 1
+.names 35574 35575 35561
+1- 1
+-1 1
+.names 35561 35562
+1 1
+.names 35562 35563
+0 1
+.names 2413 35564
+1 1
+.names 35564 35565
+1 1
+.names 35565 35566
+0 1
+.names 35563 35566 35567
+1- 1
+-1 1
+.names 35596 35591 35568
+11 1
+.names 35568 35569
+1 1
+.names 35569 35570
+0 1
+.names 35588 35589 35571
+11 1
+.names 35571 35572
+1 1
+.names 35572 35573
+0 1
+.names 35324 35573 35574
+11 1
+.names 8224 2413 35575
+11 1
+.names 35580 35583 35576
+1- 1
+-1 1
+.names 35576 35577
+1 1
+.names 35196 35578
+1 1
+.names 35578 35579
+0 1
+.names 8222 35579 35580
+1- 1
+-1 1
+.names 39460 39463 35581
+1- 1
+-1 1
+.names 35581 35582
+1 1
+.names 35582 35583
+0 1
+.names 8228 35587 35584
+1- 1
+-1 1
+.names 35584 35585
+1 1
+.names 2413 35586
+1 1
+.names 35586 35587
+0 1
+.names 35577 35588
+0 1
+.names 35585 35589
+0 1
+.names 32680 35518 35590
+1- 1
+-1 1
+.names 35590 35591
+1 1
+.names 35196 33274 35592
+1- 1
+-1 1
+.names 35592 35593
+1 1
+.names 35266 5787 35594
+1- 1
+-1 1
+.names 35594 35595
+1 1
+.names 35595 35593 35596
+11 1
+.names 35605 35608 35597
+1- 1
+-1 1
+.names 35597 35598
+1 1
+.names 35612 35613 35599
+1- 1
+-1 1
+.names 35599 35600
+1 1
+.names 35600 35601
+0 1
+.names 2413 35602
+1 1
+.names 35602 35603
+1 1
+.names 35603 35604
+0 1
+.names 35601 35604 35605
+1- 1
+-1 1
+.names 35618 35620 35606
+11 1
+.names 35606 35607
+1 1
+.names 35607 35608
+0 1
+.names 35630 35631 35609
+11 1
+.names 35609 35610
+1 1
+.names 35610 35611
+0 1
+.names 35450 35611 35612
+11 1
+.names 8186 32545 35613
+11 1
+.names 35196 32545 35614
+1- 1
+-1 1
+.names 35614 35615
+1 1
+.names 8206 5787 35616
+1- 1
+-1 1
+.names 35616 35617
+1 1
+.names 35615 35617 35618
+11 1
+.names 32680 8214 35619
+1- 1
+-1 1
+.names 35619 35620
+1 1
+.names 8200 32545 35621
+1- 1
+-1 1
+.names 35621 35622
+1 1
+.names 35627 35629 35623
+1- 1
+-1 1
+.names 35623 35624
+1 1
+.names 35196 2413 35625
+11 1
+.names 35625 35626
+1 1
+.names 35626 35627
+0 1
+.names 8206 35628
+1 1
+.names 35628 35629
+0 1
+.names 35624 35630
+0 1
+.names 35622 35631
+0 1
+.names 33274 35196 35632
+1- 1
+-1 1
+.names 35632 35633
+1 1
+.names 35642 35645 35634
+1- 1
+-1 1
+.names 35634 35635
+1 1
+.names 35649 35650 35636
+1- 1
+-1 1
+.names 35636 35637
+1 1
+.names 35637 35638
+0 1
+.names 2413 35639
+1 1
+.names 35639 35640
+1 1
+.names 35640 35641
+0 1
+.names 35638 35641 35642
+1- 1
+-1 1
+.names 35671 35672 35643
+11 1
+.names 35643 35644
+1 1
+.names 35644 35645
+0 1
+.names 35667 35668 35646
+11 1
+.names 35646 35647
+1 1
+.names 35647 35648
+0 1
+.names 35450 35648 35649
+11 1
+.names 8186 2413 35650
+11 1
+.names 35653 35654 35651
+1- 1
+-1 1
+.names 35651 35652
+1 1
+.names 8227 56594 35653
+11 1
+.names 35633 35654
+0 1
+.names 35659 35662 35655
+1- 1
+-1 1
+.names 35655 35656
+1 1
+.names 35196 35657
+1 1
+.names 35657 35658
+0 1
+.names 8222 35658 35659
+1- 1
+-1 1
+.names 39237 39240 35660
+1- 1
+-1 1
+.names 35660 35661
+1 1
+.names 35661 35662
+0 1
+.names 8227 35666 35663
+1- 1
+-1 1
+.names 35663 35664
+1 1
+.names 2413 35665
+1 1
+.names 35665 35666
+0 1
+.names 35656 35667
+0 1
+.names 35664 35668
+0 1
+.names 56570 8222 35669
+11 1
+.names 35669 35670
+1 1
+.names 35670 35671
+0 1
+.names 35652 35672
+0 1
+.names 35681 35684 35673
+1- 1
+-1 1
+.names 35673 35674
+1 1
+.names 35688 35689 35675
+1- 1
+-1 1
+.names 35675 35676
+1 1
+.names 35676 35677
+0 1
+.names 2413 35678
+1 1
+.names 35678 35679
+1 1
+.names 35679 35680
+0 1
+.names 35677 35680 35681
+1- 1
+-1 1
+.names 35709 35710 35682
+11 1
+.names 35682 35683
+1 1
+.names 35683 35684
+0 1
+.names 35699 35700 35685
+11 1
+.names 35685 35686
+1 1
+.names 35686 35687
+0 1
+.names 35285 35687 35688
+11 1
+.names 8188 22306 35689
+11 1
+.names 35694 22306 35690
+1- 1
+-1 1
+.names 35690 35691
+1 1
+.names 35350 35692
+1 1
+.names 35692 35693
+0 1
+.names 8226 35693 35694
+1- 1
+-1 1
+.names 8200 35698 35695
+1- 1
+-1 1
+.names 35695 35696
+1 1
+.names 2413 35697
+1 1
+.names 35697 35698
+0 1
+.names 35691 35699
+0 1
+.names 35696 35700
+0 1
+.names 22306 8214 35701
+1- 1
+-1 1
+.names 35701 35702
+1 1
+.names 35705 35706 35703
+1- 1
+-1 1
+.names 35703 35704
+1 1
+.names 8197 56563 35705
+11 1
+.names 35702 35706
+0 1
+.names 8226 56594 35707
+11 1
+.names 35707 35708
+1 1
+.names 35708 35709
+0 1
+.names 35704 35710
+0 1
+.names 35717 35718 35711
+1- 1
+-1 1
+.names 35711 35712
+1 1
+.names 8205 35713
+0 1
+.names 35731 35732 35714
+11 1
+.names 35714 35715
+1 1
+.names 35715 35716
+0 1
+.names 35713 35716 35717
+11 1
+.names 8205 5787 35718
+11 1
+.names 8217 35723 35719
+1- 1
+-1 1
+.names 35719 35720
+1 1
+.names 39697 39700 35721
+1- 1
+-1 1
+.names 35721 35722
+1 1
+.names 35722 35723
+0 1
+.names 35728 35730 35724
+1- 1
+-1 1
+.names 35724 35725
+1 1
+.names 35350 2413 35726
+11 1
+.names 35726 35727
+1 1
+.names 35727 35728
+0 1
+.names 8214 35729
+1 1
+.names 35729 35730
+0 1
+.names 35725 35731
+0 1
+.names 35720 35732
+0 1
+.names 35736 35737 35733
+1- 1
+-1 1
+.names 35733 35734
+1 1
+.names 35748 35751 35735
+1- 1
+-1 1
+.names 35735 35736
+1 1
+.names 35712 35737
+0 1
+.names 32680 8214 35738
+1- 1
+-1 1
+.names 35738 35739
+1 1
+.names 35739 35740
+0 1
+.names 2413 35199 35741
+1- 1
+-1 1
+.names 35741 35742
+1 1
+.names 35742 35743
+0 1
+.names 35740 35743 35744
+1- 1
+-1 1
+.names 33274 35350 35745
+1- 1
+-1 1
+.names 35745 35746
+1 1
+.names 35746 35747
+0 1
+.names 35744 35747 35748
+1- 1
+-1 1
+.names 2413 35749
+1 1
+.names 35749 35750
+1 1
+.names 35750 35751
+0 1
+.names 35757 35758 35752
+1- 1
+-1 1
+.names 35752 35753
+1 1
+.names 35768 35769 35754
+11 1
+.names 35754 35755
+1 1
+.names 35755 35756
+0 1
+.names 35450 35756 35757
+11 1
+.names 8186 32680 35758
+11 1
+.names 35763 32680 35759
+1- 1
+-1 1
+.names 35759 35760
+1 1
+.names 35350 35761
+1 1
+.names 35761 35762
+0 1
+.names 8203 35762 35763
+1- 1
+-1 1
+.names 8219 35767 35764
+1- 1
+-1 1
+.names 35764 35765
+1 1
+.names 2413 35766
+1 1
+.names 35766 35767
+0 1
+.names 35760 35768
+0 1
+.names 35765 35769
+0 1
+.names 8206 5787 35770
+1- 1
+-1 1
+.names 35770 35771
+1 1
+.names 32680 35306 35772
+1- 1
+-1 1
+.names 35772 35773
+1 1
+.names 35780 35781 35774
+1- 1
+-1 1
+.names 35774 35775
+1 1
+.names 35771 35776
+0 1
+.names 35350 32680 35777
+1- 1
+-1 1
+.names 35777 35778
+1 1
+.names 35778 35779
+0 1
+.names 35776 35779 35780
+1- 1
+-1 1
+.names 35773 35781
+0 1
+.names 35784 35787 35782
+1- 1
+-1 1
+.names 35782 35783
+1 1
+.names 35753 35784
+0 1
+.names 35788 35791 35785
+11 1
+.names 35785 35786
+1 1
+.names 35786 35787
+0 1
+.names 35775 35788
+0 1
+.names 1783 35789
+1 1
+.names 35789 35790
+1 1
+.names 35790 35791
+0 1
+.names 35800 35803 35792
+1- 1
+-1 1
+.names 35792 35793
+1 1
+.names 35807 35808 35794
+1- 1
+-1 1
+.names 35794 35795
+1 1
+.names 35795 35796
+0 1
+.names 2413 35797
+1 1
+.names 35797 35798
+1 1
+.names 35798 35799
+0 1
+.names 35796 35799 35800
+1- 1
+-1 1
+.names 35811 35814 35801
+11 1
+.names 35801 35802
+1 1
+.names 35802 35803
+0 1
+.names 35821 35822 35804
+11 1
+.names 35804 35805
+1 1
+.names 35805 35806
+0 1
+.names 35450 35806 35807
+11 1
+.names 8186 22325 35808
+11 1
+.names 35826 56594 35809
+11 1
+.names 35809 35810
+1 1
+.names 35810 35811
+0 1
+.names 35828 35829 35812
+1- 1
+-1 1
+.names 35812 35813
+1 1
+.names 35813 35814
+0 1
+.names 8200 35818 35815
+1- 1
+-1 1
+.names 35815 35816
+1 1
+.names 2413 35817
+1 1
+.names 35817 35818
+0 1
+.names 35832 22325 35819
+1- 1
+-1 1
+.names 35819 35820
+1 1
+.names 35820 35821
+0 1
+.names 35816 35822
+0 1
+.names 22325 8214 35823
+1- 1
+-1 1
+.names 35823 35824
+1 1
+.names 35830 35825
+0 1
+.names 35825 35826
+1 1
+.names 35428 35827
+1 1
+.names 8198 56565 35828
+11 1
+.names 35824 35829
+0 1
+.names 35266 35830
+1 1
+.names 35827 35831
+0 1
+.names 35825 35831 35832
+1- 1
+-1 1
+.names 22346 35238 35833
+1- 1
+-1 1
+.names 35833 35834
+1 1
+.names 35843 35846 35835
+1- 1
+-1 1
+.names 35835 35836
+1 1
+.names 35850 35851 35837
+1- 1
+-1 1
+.names 35837 35838
+1 1
+.names 35838 35839
+0 1
+.names 2413 35840
+1 1
+.names 35840 35841
+1 1
+.names 35841 35842
+0 1
+.names 35839 35842 35843
+1- 1
+-1 1
+.names 35854 35857 35844
+11 1
+.names 35844 35845
+1 1
+.names 35845 35846
+0 1
+.names 35862 35865 35847
+11 1
+.names 35847 35848
+1 1
+.names 35848 35849
+0 1
+.names 35285 35849 35850
+11 1
+.names 8188 22346 35851
+11 1
+.names 35867 35852
+0 1
+.names 35852 35853
+1 1
+.names 35853 35854
+0 1
+.names 35873 35874 35855
+1- 1
+-1 1
+.names 35855 35856
+1 1
+.names 35856 35857
+0 1
+.names 8227 35861 35858
+1- 1
+-1 1
+.names 35858 35859
+1 1
+.names 2413 35860
+1 1
+.names 35860 35861
+0 1
+.names 35859 35862
+0 1
+.names 35872 22346 35863
+1- 1
+-1 1
+.names 35863 35864
+1 1
+.names 35864 35865
+0 1
+.names 22346 35306 35866
+1- 1
+-1 1
+.names 35866 35867
+1 1
+.names 35306 35868
+1 1
+.names 35868 35869
+0 1
+.names 35238 35870
+1 1
+.names 35870 35871
+0 1
+.names 35869 35871 35872
+1- 1
+-1 1
+.names 8227 56594 35873
+11 1
+.names 35834 35874
+0 1
+.names 35350 33274 35875
+1- 1
+-1 1
+.names 35875 35876
+1 1
+.names 35882 35883 35877
+1- 1
+-1 1
+.names 35877 35878
+1 1
+.names 35896 35897 35879
+11 1
+.names 35879 35880
+1 1
+.names 35880 35881
+0 1
+.names 35324 35881 35882
+11 1
+.names 8224 2413 35883
+11 1
+.names 35888 35891 35884
+1- 1
+-1 1
+.names 35884 35885
+1 1
+.names 35350 35886
+1 1
+.names 35886 35887
+0 1
+.names 8203 35887 35888
+1- 1
+-1 1
+.names 39583 39586 35889
+1- 1
+-1 1
+.names 35889 35890
+1 1
+.names 35890 35891
+0 1
+.names 8219 35895 35892
+1- 1
+-1 1
+.names 35892 35893
+1 1
+.names 2413 35894
+1 1
+.names 35894 35895
+0 1
+.names 35885 35896
+0 1
+.names 35893 35897
+0 1
+.names 32680 35306 35898
+1- 1
+-1 1
+.names 35898 35899
+1 1
+.names 35906 35907 35900
+1- 1
+-1 1
+.names 35900 35901
+1 1
+.names 35876 35902
+0 1
+.names 8206 5787 35903
+1- 1
+-1 1
+.names 35903 35904
+1 1
+.names 35904 35905
+0 1
+.names 35902 35905 35906
+1- 1
+-1 1
+.names 35899 35907
+0 1
+.names 35910 35913 35908
+1- 1
+-1 1
+.names 35908 35909
+1 1
+.names 35878 35910
+0 1
+.names 35916 35917 35911
+11 1
+.names 35911 35912
+1 1
+.names 35912 35913
+0 1
+.names 1783 35914
+1 1
+.names 35914 35915
+1 1
+.names 35901 35916
+0 1
+.names 35915 35917
+0 1
+.names 35926 35929 35918
+1- 1
+-1 1
+.names 35918 35919
+1 1
+.names 35933 35934 35920
+1- 1
+-1 1
+.names 35920 35921
+1 1
+.names 35921 35922
+0 1
+.names 2413 35923
+1 1
+.names 35923 35924
+1 1
+.names 35924 35925
+0 1
+.names 35922 35925 35926
+1- 1
+-1 1
+.names 35955 35950 35927
+11 1
+.names 35927 35928
+1 1
+.names 35928 35929
+0 1
+.names 35947 35948 35930
+11 1
+.names 35930 35931
+1 1
+.names 35931 35932
+0 1
+.names 35450 35932 35933
+11 1
+.names 8186 2413 35934
+11 1
+.names 35939 35942 35935
+1- 1
+-1 1
+.names 35935 35936
+1 1
+.names 35428 35937
+1 1
+.names 35937 35938
+0 1
+.names 8223 35938 35939
+1- 1
+-1 1
+.names 39683 39686 35940
+1- 1
+-1 1
+.names 35940 35941
+1 1
+.names 35941 35942
+0 1
+.names 8228 35946 35943
+1- 1
+-1 1
+.names 35943 35944
+1 1
+.names 2413 35945
+1 1
+.names 35945 35946
+0 1
+.names 35936 35947
+0 1
+.names 35944 35948
+0 1
+.names 32680 35341 35949
+1- 1
+-1 1
+.names 35949 35950
+1 1
+.names 35428 33274 35951
+1- 1
+-1 1
+.names 35951 35952
+1 1
+.names 35266 5787 35953
+1- 1
+-1 1
+.names 35953 35954
+1 1
+.names 35954 35952 35955
+11 1
+.names 35428 33274 35956
+1- 1
+-1 1
+.names 35956 35957
+1 1
+.names 35966 35969 35958
+1- 1
+-1 1
+.names 35958 35959
+1 1
+.names 35973 35974 35960
+1- 1
+-1 1
+.names 35960 35961
+1 1
+.names 35961 35962
+0 1
+.names 2413 35963
+1 1
+.names 35963 35964
+1 1
+.names 35964 35965
+0 1
+.names 35962 35965 35966
+1- 1
+-1 1
+.names 35977 35979 35967
+11 1
+.names 35967 35968
+1 1
+.names 35968 35969
+0 1
+.names 35992 35993 35970
+11 1
+.names 35970 35971
+1 1
+.names 35971 35972
+0 1
+.names 35285 35972 35973
+11 1
+.names 8188 2413 35974
+11 1
+.names 35397 5787 35975
+1- 1
+-1 1
+.names 35975 35976
+1 1
+.names 35957 35976 35977
+11 1
+.names 32680 35341 35978
+1- 1
+-1 1
+.names 35978 35979
+1 1
+.names 35984 35987 35980
+1- 1
+-1 1
+.names 35980 35981
+1 1
+.names 35428 35982
+1 1
+.names 35982 35983
+0 1
+.names 8223 35983 35984
+1- 1
+-1 1
+.names 40226 40229 35985
+1- 1
+-1 1
+.names 35985 35986
+1 1
+.names 35986 35987
+0 1
+.names 8226 35991 35988
+1- 1
+-1 1
+.names 35988 35989
+1 1
+.names 2413 35990
+1 1
+.names 35990 35991
+0 1
+.names 35981 35992
+0 1
+.names 35989 35993
+0 1
+.names 36002 36005 35994
+1- 1
+-1 1
+.names 35994 35995
+1 1
+.names 36009 36010 35996
+1- 1
+-1 1
+.names 35996 35997
+1 1
+.names 35997 35998
+0 1
+.names 2413 35999
+1 1
+.names 35999 36000
+1 1
+.names 36000 36001
+0 1
+.names 35998 36001 36002
+1- 1
+-1 1
+.names 36015 36017 36003
+11 1
+.names 36003 36004
+1 1
+.names 36004 36005
+0 1
+.names 36030 36031 36006
+11 1
+.names 36006 36007
+1 1
+.names 36007 36008
+0 1
+.names 35324 36008 36009
+11 1
+.names 8224 2413 36010
+11 1
+.names 35428 33274 36011
+1- 1
+-1 1
+.names 36011 36012
+1 1
+.names 35397 5787 36013
+1- 1
+-1 1
+.names 36013 36014
+1 1
+.names 36012 36014 36015
+11 1
+.names 32680 35518 36016
+1- 1
+-1 1
+.names 36016 36017
+1 1
+.names 36022 36025 36018
+1- 1
+-1 1
+.names 36018 36019
+1 1
+.names 35428 36020
+1 1
+.names 36020 36021
+0 1
+.names 8222 36021 36022
+1- 1
+-1 1
+.names 39738 39741 36023
+1- 1
+-1 1
+.names 36023 36024
+1 1
+.names 36024 36025
+0 1
+.names 8226 36029 36026
+1- 1
+-1 1
+.names 36026 36027
+1 1
+.names 2413 36028
+1 1
+.names 36028 36029
+0 1
+.names 36019 36030
+0 1
+.names 36027 36031
+0 1
+.names 35428 32970 36032
+1- 1
+-1 1
+.names 36032 36033
+1 1
+.names 36039 36040 36034
+1- 1
+-1 1
+.names 36034 36035
+1 1
+.names 36050 36051 36036
+11 1
+.names 36036 36037
+1 1
+.names 36037 36038
+0 1
+.names 35324 36038 36039
+11 1
+.names 8224 32970 36040
+11 1
+.names 36045 32970 36041
+1- 1
+-1 1
+.names 36041 36042
+1 1
+.names 35428 36043
+1 1
+.names 36043 36044
+0 1
+.names 8203 36044 36045
+1- 1
+-1 1
+.names 8226 36049 36046
+1- 1
+-1 1
+.names 36046 36047
+1 1
+.names 2413 36048
+1 1
+.names 36048 36049
+0 1
+.names 36042 36050
+0 1
+.names 36047 36051
+0 1
+.names 32680 35306 36052
+1- 1
+-1 1
+.names 36052 36053
+1 1
+.names 36060 36061 36054
+1- 1
+-1 1
+.names 36054 36055
+1 1
+.names 36033 36056
+0 1
+.names 35397 5787 36057
+1- 1
+-1 1
+.names 36057 36058
+1 1
+.names 36058 36059
+0 1
+.names 36056 36059 36060
+1- 1
+-1 1
+.names 36053 36061
+0 1
+.names 36064 36067 36062
+1- 1
+-1 1
+.names 36062 36063
+1 1
+.names 36035 36064
+0 1
+.names 36070 36071 36065
+11 1
+.names 36065 36066
+1 1
+.names 36066 36067
+0 1
+.names 1783 36068
+1 1
+.names 36068 36069
+1 1
+.names 36055 36070
+0 1
+.names 36069 36071
+0 1
+.names 36080 36083 36072
+1- 1
+-1 1
+.names 36072 36073
+1 1
+.names 36087 36088 36074
+1- 1
+-1 1
+.names 36074 36075
+1 1
+.names 36075 36076
+0 1
+.names 2413 36077
+1 1
+.names 36077 36078
+1 1
+.names 36078 36079
+0 1
+.names 36076 36079 36080
+1- 1
+-1 1
+.names 36093 36095 36081
+11 1
+.names 36081 36082
+1 1
+.names 36082 36083
+0 1
+.names 36105 36106 36084
+11 1
+.names 36084 36085
+1 1
+.names 36085 36086
+0 1
+.names 35324 36086 36087
+11 1
+.names 8224 33004 36088
+11 1
+.names 8206 5787 36089
+1- 1
+-1 1
+.names 36089 36090
+1 1
+.names 35196 33004 36091
+1- 1
+-1 1
+.names 36091 36092
+1 1
+.names 36090 36092 36093
+11 1
+.names 32680 35518 36094
+1- 1
+-1 1
+.names 36094 36095
+1 1
+.names 36100 33004 36096
+1- 1
+-1 1
+.names 36096 36097
+1 1
+.names 35196 36098
+1 1
+.names 36098 36099
+0 1
+.names 8222 36099 36100
+1- 1
+-1 1
+.names 1783 36104 36101
+1- 1
+-1 1
+.names 36101 36102
+1 1
+.names 8206 36103
+1 1
+.names 36103 36104
+0 1
+.names 36097 36105
+0 1
+.names 36102 36106
+0 1
+.names 33274 35196 36107
+1- 1
+-1 1
+.names 36107 36108
+1 1
+.names 36117 36120 36109
+1- 1
+-1 1
+.names 36109 36110
+1 1
+.names 36124 36125 36111
+1- 1
+-1 1
+.names 36111 36112
+1 1
+.names 36112 36113
+0 1
+.names 2413 36114
+1 1
+.names 36114 36115
+1 1
+.names 36115 36116
+0 1
+.names 36113 36116 36117
+1- 1
+-1 1
+.names 36128 36131 36118
+11 1
+.names 36118 36119
+1 1
+.names 36119 36120
+0 1
+.names 36144 36145 36121
+11 1
+.names 36121 36122
+1 1
+.names 36122 36123
+0 1
+.names 35285 36123 36124
+11 1
+.names 8188 2413 36125
+11 1
+.names 36149 36126
+0 1
+.names 36126 36127
+1 1
+.names 36127 36128
+0 1
+.names 36146 36147 36129
+1- 1
+-1 1
+.names 36129 36130
+1 1
+.names 36130 36131
+0 1
+.names 36136 36139 36132
+1- 1
+-1 1
+.names 36132 36133
+1 1
+.names 35196 36134
+1 1
+.names 36134 36135
+0 1
+.names 8223 36135 36136
+1- 1
+-1 1
+.names 40060 40063 36137
+1- 1
+-1 1
+.names 36137 36138
+1 1
+.names 36138 36139
+0 1
+.names 8219 36143 36140
+1- 1
+-1 1
+.names 36140 36141
+1 1
+.names 2413 36142
+1 1
+.names 36142 36143
+0 1
+.names 36133 36144
+0 1
+.names 36141 36145
+0 1
+.names 8219 56594 36146
+11 1
+.names 36108 36147
+0 1
+.names 32680 35341 36148
+1- 1
+-1 1
+.names 36148 36149
+1 1
+.names 35428 33087 36150
+1- 1
+-1 1
+.names 36150 36151
+1 1
+.names 36157 36158 36152
+1- 1
+-1 1
+.names 36152 36153
+1 1
+.names 36168 36169 36154
+11 1
+.names 36154 36155
+1 1
+.names 36155 36156
+0 1
+.names 35285 36156 36157
+11 1
+.names 8188 33087 36158
+11 1
+.names 36163 33087 36159
+1- 1
+-1 1
+.names 36159 36160
+1 1
+.names 35428 36161
+1 1
+.names 36161 36162
+0 1
+.names 8203 36162 36163
+1- 1
+-1 1
+.names 8226 36167 36164
+1- 1
+-1 1
+.names 36164 36165
+1 1
+.names 2413 36166
+1 1
+.names 36166 36167
+0 1
+.names 36160 36168
+0 1
+.names 36165 36169
+0 1
+.names 32680 35306 36170
+1- 1
+-1 1
+.names 36170 36171
+1 1
+.names 36178 36179 36172
+1- 1
+-1 1
+.names 36172 36173
+1 1
+.names 36151 36174
+0 1
+.names 35397 5787 36175
+1- 1
+-1 1
+.names 36175 36176
+1 1
+.names 36176 36177
+0 1
+.names 36174 36177 36178
+1- 1
+-1 1
+.names 36171 36179
+0 1
+.names 36182 36185 36180
+1- 1
+-1 1
+.names 36180 36181
+1 1
+.names 36153 36182
+0 1
+.names 36188 36189 36183
+11 1
+.names 36183 36184
+1 1
+.names 36184 36185
+0 1
+.names 1783 36186
+1 1
+.names 36186 36187
+1 1
+.names 36173 36188
+0 1
+.names 36187 36189
+0 1
+.names 36198 36201 36190
+1- 1
+-1 1
+.names 36190 36191
+1 1
+.names 36205 36206 36192
+1- 1
+-1 1
+.names 36192 36193
+1 1
+.names 36193 36194
+0 1
+.names 2413 36195
+1 1
+.names 36195 36196
+1 1
+.names 36196 36197
+0 1
+.names 36194 36197 36198
+1- 1
+-1 1
+.names 36209 36212 36199
+11 1
+.names 36199 36200
+1 1
+.names 36200 36201
+0 1
+.names 36219 36220 36202
+11 1
+.names 36202 36203
+1 1
+.names 36203 36204
+0 1
+.names 35285 36204 36205
+11 1
+.names 8188 2413 36206
+11 1
+.names 8227 56594 36207
+11 1
+.names 36207 36208
+1 1
+.names 36208 36209
+0 1
+.names 36224 36225 36210
+1- 1
+-1 1
+.names 36210 36211
+1 1
+.names 36211 36212
+0 1
+.names 8200 36216 36213
+1- 1
+-1 1
+.names 36213 36214
+1 1
+.names 2413 36215
+1 1
+.names 36215 36216
+0 1
+.names 36229 36232 36217
+1- 1
+-1 1
+.names 36217 36218
+1 1
+.names 36218 36219
+0 1
+.names 36214 36220
+0 1
+.names 32680 8214 36221
+1- 1
+-1 1
+.names 36221 36222
+1 1
+.names 35238 36223
+1 1
+.names 8218 56578 36224
+11 1
+.names 36222 36225
+0 1
+.names 35352 36226
+1 1
+.names 36226 36227
+0 1
+.names 36223 36228
+0 1
+.names 36227 36228 36229
+1- 1
+-1 1
+.names 39311 39312 36230
+1- 1
+-1 1
+.names 36230 36231
+1 1
+.names 36231 36232
+0 1
+.names 35428 33274 36233
+1- 1
+-1 1
+.names 36233 36234
+1 1
+.names 36243 36246 36235
+1- 1
+-1 1
+.names 36235 36236
+1 1
+.names 36250 36251 36237
+1- 1
+-1 1
+.names 36237 36238
+1 1
+.names 36238 36239
+0 1
+.names 2413 36240
+1 1
+.names 36240 36241
+1 1
+.names 36241 36242
+0 1
+.names 36239 36242 36243
+1- 1
+-1 1
+.names 36270 36267 36244
+11 1
+.names 36244 36245
+1 1
+.names 36245 36246
+0 1
+.names 36264 36265 36247
+11 1
+.names 36247 36248
+1 1
+.names 36248 36249
+0 1
+.names 35450 36249 36250
+11 1
+.names 8186 2413 36251
+11 1
+.names 36256 36259 36252
+1- 1
+-1 1
+.names 36252 36253
+1 1
+.names 35428 36254
+1 1
+.names 36254 36255
+0 1
+.names 8223 36255 36256
+1- 1
+-1 1
+.names 39510 39513 36257
+1- 1
+-1 1
+.names 36257 36258
+1 1
+.names 36258 36259
+0 1
+.names 8228 36263 36260
+1- 1
+-1 1
+.names 36260 36261
+1 1
+.names 2413 36262
+1 1
+.names 36262 36263
+0 1
+.names 36253 36264
+0 1
+.names 36261 36265
+0 1
+.names 32680 35341 36266
+1- 1
+-1 1
+.names 36266 36267
+1 1
+.names 35266 5787 36268
+1- 1
+-1 1
+.names 36268 36269
+1 1
+.names 36269 36234 36270
+11 1
+.names 35350 22359 36271
+1- 1
+-1 1
+.names 36271 36272
+1 1
+.names 36278 36279 36273
+1- 1
+-1 1
+.names 36273 36274
+1 1
+.names 36286 36287 36275
+11 1
+.names 36275 36276
+1 1
+.names 36276 36277
+0 1
+.names 35324 36277 36278
+11 1
+.names 8224 22359 36279
+11 1
+.names 8227 36283 36280
+1- 1
+-1 1
+.names 36280 36281
+1 1
+.names 2413 36282
+1 1
+.names 36282 36283
+0 1
+.names 36292 22359 36284
+1- 1
+-1 1
+.names 36284 36285
+1 1
+.names 36285 36286
+0 1
+.names 36281 36287
+0 1
+.names 22359 35306 36288
+1- 1
+-1 1
+.names 36288 36289
+1 1
+.names 35350 36290
+1 1
+.names 36290 36291
+0 1
+.names 8203 36291 36292
+1- 1
+-1 1
+.names 36299 36300 36293
+1- 1
+-1 1
+.names 36293 36294
+1 1
+.names 36272 36295
+0 1
+.names 35352 5787 36296
+1- 1
+-1 1
+.names 36296 36297
+1 1
+.names 36297 36298
+0 1
+.names 36295 36298 36299
+1- 1
+-1 1
+.names 36289 36300
+0 1
+.names 36303 36306 36301
+1- 1
+-1 1
+.names 36301 36302
+1 1
+.names 36274 36303
+0 1
+.names 36309 36310 36304
+11 1
+.names 36304 36305
+1 1
+.names 36305 36306
+0 1
+.names 1783 36307
+1 1
+.names 36307 36308
+1 1
+.names 36294 36309
+0 1
+.names 36308 36310
+0 1
+.names 36319 36322 36311
+1- 1
+-1 1
+.names 36311 36312
+1 1
+.names 36326 36327 36313
+1- 1
+-1 1
+.names 36313 36314
+1 1
+.names 36314 36315
+0 1
+.names 22376 8214 36316
+1- 1
+-1 1
+.names 36316 36317
+1 1
+.names 36317 36318
+0 1
+.names 36315 36318 36319
+1- 1
+-1 1
+.names 36330 36333 36320
+11 1
+.names 36320 36321
+1 1
+.names 36321 36322
+0 1
+.names 36347 36348 36323
+11 1
+.names 36323 36324
+1 1
+.names 36324 36325
+0 1
+.names 35713 36325 36326
+11 1
+.names 8205 5787 36327
+11 1
+.names 56567 8217 36328
+11 1
+.names 36328 36329
+1 1
+.names 36329 36330
+0 1
+.names 36334 36337 36331
+1- 1
+-1 1
+.names 36331 36332
+1 1
+.names 36332 36333
+0 1
+.names 8197 56567 36334
+11 1
+.names 2413 36335
+1 1
+.names 36335 36336
+1 1
+.names 36336 36337
+0 1
+.names 36342 22376 36338
+1- 1
+-1 1
+.names 36338 36339
+1 1
+.names 2413 36340
+1 1
+.names 36340 36341
+0 1
+.names 8217 36341 36342
+1- 1
+-1 1
+.names 8200 36346 36343
+1- 1
+-1 1
+.names 36343 36344
+1 1
+.names 35350 36345
+1 1
+.names 36345 36346
+0 1
+.names 36339 36347
+0 1
+.names 36344 36348
+0 1
+.names 36357 36360 36349
+1- 1
+-1 1
+.names 36349 36350
+1 1
+.names 36364 36365 36351
+1- 1
+-1 1
+.names 36351 36352
+1 1
+.names 36352 36353
+0 1
+.names 2413 36354
+1 1
+.names 36354 36355
+1 1
+.names 36355 36356
+0 1
+.names 36353 36356 36357
+1- 1
+-1 1
+.names 36383 36378 36358
+11 1
+.names 36358 36359
+1 1
+.names 36359 36360
+0 1
+.names 36375 36376 36361
+11 1
+.names 36361 36362
+1 1
+.names 36362 36363
+0 1
+.names 35324 36363 36364
+11 1
+.names 8224 33274 36365
+11 1
+.names 36370 33274 36366
+1- 1
+-1 1
+.names 36366 36367
+1 1
+.names 35238 36368
+1 1
+.names 36368 36369
+0 1
+.names 8223 36369 36370
+1- 1
+-1 1
+.names 8228 36374 36371
+1- 1
+-1 1
+.names 36371 36372
+1 1
+.names 2413 36373
+1 1
+.names 36373 36374
+0 1
+.names 36367 36375
+0 1
+.names 36372 36376
+0 1
+.names 32680 35341 36377
+1- 1
+-1 1
+.names 36377 36378
+1 1
+.names 35238 33274 36379
+1- 1
+-1 1
+.names 36379 36380
+1 1
+.names 35266 5787 36381
+1- 1
+-1 1
+.names 36381 36382
+1 1
+.names 36382 36380 36383
+11 1
+.names 35196 33274 36384
+1- 1
+-1 1
+.names 36384 36385
+1 1
+.names 32680 8214 36386
+1- 1
+-1 1
+.names 36386 36387
+1 1
+.names 36392 36395 36388
+1- 1
+-1 1
+.names 36388 36389
+1 1
+.names 35196 36390
+1 1
+.names 36390 36391
+0 1
+.names 8200 36391 36392
+1- 1
+-1 1
+.names 40189 40192 36393
+1- 1
+-1 1
+.names 36393 36394
+1 1
+.names 36394 36395
+0 1
+.names 8228 36399 36396
+1- 1
+-1 1
+.names 36396 36397
+1 1
+.names 2413 36398
+1 1
+.names 36398 36399
+0 1
+.names 36405 36406 36400
+1- 1
+-1 1
+.names 36400 36401
+1 1
+.names 36407 36408 36402
+11 1
+.names 36402 36403
+1 1
+.names 36403 36404
+0 1
+.names 35324 36404 36405
+11 1
+.names 8224 2413 36406
+11 1
+.names 36389 36407
+0 1
+.names 36397 36408
+0 1
+.names 36415 36416 36409
+1- 1
+-1 1
+.names 36409 36410
+1 1
+.names 35266 5787 36411
+1- 1
+-1 1
+.names 36411 36412
+1 1
+.names 36412 36413
+0 1
+.names 36385 36414
+0 1
+.names 36413 36414 36415
+1- 1
+-1 1
+.names 36387 36416
+0 1
+.names 36419 36422 36417
+11 1
+.names 36417 36418
+1 1
+.names 36410 36419
+0 1
+.names 1783 36420
+1 1
+.names 36420 36421
+1 1
+.names 36421 36422
+0 1
+.names 36425 36426 36423
+1- 1
+-1 1
+.names 36423 36424
+1 1
+.names 36401 36425
+0 1
+.names 36418 36426
+0 1
+.names 36435 36438 36427
+1- 1
+-1 1
+.names 36427 36428
+1 1
+.names 36442 36443 36429
+1- 1
+-1 1
+.names 36429 36430
+1 1
+.names 36430 36431
+0 1
+.names 2413 36432
+1 1
+.names 36432 36433
+1 1
+.names 36433 36434
+0 1
+.names 36431 36434 36435
+1- 1
+-1 1
+.names 36446 36449 36436
+11 1
+.names 36436 36437
+1 1
+.names 36437 36438
+0 1
+.names 36456 36457 36439
+11 1
+.names 36439 36440
+1 1
+.names 36440 36441
+0 1
+.names 35324 36441 36442
+11 1
+.names 8224 2413 36443
+11 1
+.names 8226 56594 36444
+11 1
+.names 36444 36445
+1 1
+.names 36445 36446
+0 1
+.names 36466 36467 36447
+1- 1
+-1 1
+.names 36447 36448
+1 1
+.names 36448 36449
+0 1
+.names 8223 36453 36450
+1- 1
+-1 1
+.names 36450 36451
+1 1
+.names 2413 36452
+1 1
+.names 36452 36453
+0 1
+.names 36462 36465 36454
+1- 1
+-1 1
+.names 36454 36455
+1 1
+.names 36455 36456
+0 1
+.names 36451 36457
+0 1
+.names 32680 35341 36458
+1- 1
+-1 1
+.names 36458 36459
+1 1
+.names 35238 36460
+1 1
+.names 36460 36461
+0 1
+.names 8226 36461 36462
+1- 1
+-1 1
+.names 39946 39949 36463
+1- 1
+-1 1
+.names 36463 36464
+1 1
+.names 36464 36465
+0 1
+.names 8218 56578 36466
+11 1
+.names 36459 36467
+0 1
+.names 36474 36478 36468
+1- 1
+-1 1
+.names 36468 36469
+1 1
+.names 8240 36470
+0 1
+.names 35256 36471
+0 1
+.names 36471 36472
+1 1
+.names 36472 36473
+0 1
+.names 36470 36473 36474
+11 1
+.names 33915 36475
+0 1
+.names 36475 36476
+1 1
+.names 36476 36477
+0 1
+.names 8240 36477 36478
+11 1
+.names 36485 36489 36479
+1- 1
+-1 1
+.names 36479 36480
+1 1
+.names 8234 36481
+0 1
+.names 35201 36482
+0 1
+.names 36482 36483
+1 1
+.names 36483 36484
+0 1
+.names 36481 36484 36485
+11 1
+.names 33858 36486
+0 1
+.names 36486 36487
+1 1
+.names 36487 36488
+0 1
+.names 8234 36488 36489
+11 1
+.names 36495 36499 36490
+1- 1
+-1 1
+.names 36490 36491
+1 1
+.names 35399 36492
+0 1
+.names 36492 36493
+1 1
+.names 36493 36494
+0 1
+.names 36470 36494 36495
+11 1
+.names 34070 36496
+0 1
+.names 36496 36497
+1 1
+.names 36497 36498
+0 1
+.names 8240 36498 36499
+11 1
+.names 36505 36509 36500
+1- 1
+-1 1
+.names 36500 36501
+1 1
+.names 35439 36502
+0 1
+.names 36502 36503
+1 1
+.names 36503 36504
+0 1
+.names 36481 36504 36505
+11 1
+.names 34111 36506
+0 1
+.names 36506 36507
+1 1
+.names 36507 36508
+0 1
+.names 8234 36508 36509
+11 1
+.names 36516 36520 36510
+1- 1
+-1 1
+.names 36510 36511
+1 1
+.names 8235 36512
+0 1
+.names 35674 36513
+0 1
+.names 36513 36514
+1 1
+.names 36514 36515
+0 1
+.names 36512 36515 36516
+11 1
+.names 34356 36517
+0 1
+.names 36517 36518
+1 1
+.names 36518 36519
+0 1
+.names 8235 36519 36520
+11 1
+.names 36527 36531 36521
+1- 1
+-1 1
+.names 36521 36522
+1 1
+.names 8237 36523
+0 1
+.names 35274 36524
+0 1
+.names 36524 36525
+1 1
+.names 36525 36526
+0 1
+.names 36523 36526 36527
+11 1
+.names 33935 36528
+0 1
+.names 36528 36529
+1 1
+.names 36529 36530
+0 1
+.names 8237 36530 36531
+11 1
+.names 36537 36541 36532
+1- 1
+-1 1
+.names 36532 36533
+1 1
+.names 35313 36534
+0 1
+.names 36534 36535
+1 1
+.names 36535 36536
+0 1
+.names 36523 36536 36537
+11 1
+.names 33978 36538
+0 1
+.names 36538 36539
+1 1
+.names 36539 36540
+0 1
+.names 8237 36540 36541
+11 1
+.names 36547 36551 36542
+1- 1
+-1 1
+.names 36542 36543
+1 1
+.names 35394 36544
+0 1
+.names 36544 36545
+1 1
+.names 36545 36546
+0 1
+.names 36481 36546 36547
+11 1
+.names 34064 36548
+0 1
+.names 36548 36549
+1 1
+.names 36549 36550
+0 1
+.names 8234 36550 36551
+11 1
+.names 36557 36561 36552
+1- 1
+-1 1
+.names 36552 36553
+1 1
+.names 35481 36554
+0 1
+.names 36554 36555
+1 1
+.names 36555 36556
+0 1
+.names 36523 36556 36557
+11 1
+.names 34153 36558
+0 1
+.names 36558 36559
+1 1
+.names 36559 36560
+0 1
+.names 8237 36560 36561
+11 1
+.names 36567 36571 36562
+1- 1
+-1 1
+.names 36562 36563
+1 1
+.names 35550 36564
+0 1
+.names 36564 36565
+1 1
+.names 36565 36566
+0 1
+.names 36470 36566 36567
+11 1
+.names 34226 36568
+0 1
+.names 36568 36569
+1 1
+.names 36569 36570
+0 1
+.names 8240 36570 36571
+11 1
+.names 36578 36582 36572
+1- 1
+-1 1
+.names 36572 36573
+1 1
+.names 8239 36574
+0 1
+.names 35560 36575
+0 1
+.names 36575 36576
+1 1
+.names 36576 36577
+0 1
+.names 36574 36577 36578
+11 1
+.names 34237 36579
+0 1
+.names 36579 36580
+1 1
+.names 36580 36581
+0 1
+.names 8239 36581 36582
+11 1
+.names 36588 36592 36583
+1- 1
+-1 1
+.names 36583 36584
+1 1
+.names 35598 36585
+0 1
+.names 36585 36586
+1 1
+.names 36586 36587
+0 1
+.names 36512 36587 36588
+11 1
+.names 34276 36589
+0 1
+.names 36589 36590
+1 1
+.names 36590 36591
+0 1
+.names 8235 36591 36592
+11 1
+.names 36598 36602 36593
+1- 1
+-1 1
+.names 36593 36594
+1 1
+.names 35635 36595
+0 1
+.names 36595 36596
+1 1
+.names 36596 36597
+0 1
+.names 36512 36597 36598
+11 1
+.names 34318 36599
+0 1
+.names 36599 36600
+1 1
+.names 36600 36601
+0 1
+.names 8235 36601 36602
+11 1
+.names 36608 36612 36603
+1- 1
+-1 1
+.names 36603 36604
+1 1
+.names 35793 36605
+0 1
+.names 36605 36606
+1 1
+.names 36606 36607
+0 1
+.names 36512 36607 36608
+11 1
+.names 34483 36609
+0 1
+.names 36609 36610
+1 1
+.names 36610 36611
+0 1
+.names 8235 36611 36612
+11 1
+.names 36618 36622 36613
+1- 1
+-1 1
+.names 36613 36614
+1 1
+.names 35836 36615
+0 1
+.names 36615 36616
+1 1
+.names 36616 36617
+0 1
+.names 36574 36617 36618
+11 1
+.names 34529 36619
+0 1
+.names 36619 36620
+1 1
+.names 36620 36621
+0 1
+.names 8239 36621 36622
+11 1
+.names 36628 36632 36623
+1- 1
+-1 1
+.names 36623 36624
+1 1
+.names 35783 36625
+0 1
+.names 36625 36626
+1 1
+.names 36626 36627
+0 1
+.names 36481 36627 36628
+11 1
+.names 34473 36629
+0 1
+.names 36629 36630
+1 1
+.names 36630 36631
+0 1
+.names 8234 36631 36632
+11 1
+.names 36638 36642 36633
+1- 1
+-1 1
+.names 36633 36634
+1 1
+.names 35734 36635
+0 1
+.names 36635 36636
+1 1
+.names 36636 36637
+0 1
+.names 36574 36637 36638
+11 1
+.names 34418 36639
+0 1
+.names 36639 36640
+1 1
+.names 36640 36641
+0 1
+.names 8239 36641 36642
+11 1
+.names 36648 36652 36643
+1- 1
+-1 1
+.names 36643 36644
+1 1
+.names 35909 36645
+0 1
+.names 36645 36646
+1 1
+.names 36646 36647
+0 1
+.names 36523 36647 36648
+11 1
+.names 34605 36649
+0 1
+.names 36649 36650
+1 1
+.names 36650 36651
+0 1
+.names 8237 36651 36652
+11 1
+.names 36658 36662 36653
+1- 1
+-1 1
+.names 36653 36654
+1 1
+.names 35919 36655
+0 1
+.names 36655 36656
+1 1
+.names 36656 36657
+0 1
+.names 36523 36657 36658
+11 1
+.names 34616 36659
+0 1
+.names 36659 36660
+1 1
+.names 36660 36661
+0 1
+.names 8237 36661 36662
+11 1
+.names 36668 36672 36663
+1- 1
+-1 1
+.names 36663 36664
+1 1
+.names 35959 36665
+0 1
+.names 36665 36666
+1 1
+.names 36666 36667
+0 1
+.names 36470 36667 36668
+11 1
+.names 34658 36669
+0 1
+.names 36669 36670
+1 1
+.names 36670 36671
+0 1
+.names 8240 36671 36672
+11 1
+.names 36679 36683 36673
+1- 1
+-1 1
+.names 36673 36674
+1 1
+.names 8238 36675
+0 1
+.names 35995 36676
+0 1
+.names 36676 36677
+1 1
+.names 36677 36678
+0 1
+.names 36675 36678 36679
+11 1
+.names 34695 36680
+0 1
+.names 36680 36681
+1 1
+.names 36681 36682
+0 1
+.names 8238 36682 36683
+11 1
+.names 36689 36693 36684
+1- 1
+-1 1
+.names 36684 36685
+1 1
+.names 36063 36686
+0 1
+.names 36686 36687
+1 1
+.names 36687 36688
+0 1
+.names 36675 36688 36689
+11 1
+.names 34767 36690
+0 1
+.names 36690 36691
+1 1
+.names 36691 36692
+0 1
+.names 8238 36692 36693
+11 1
+.names 36699 36703 36694
+1- 1
+-1 1
+.names 36694 36695
+1 1
+.names 36073 36696
+0 1
+.names 36696 36697
+1 1
+.names 36697 36698
+0 1
+.names 36574 36698 36699
+11 1
+.names 34778 36700
+0 1
+.names 36700 36701
+1 1
+.names 36701 36702
+0 1
+.names 8239 36702 36703
+11 1
+.names 36709 36713 36704
+1- 1
+-1 1
+.names 36704 36705
+1 1
+.names 36110 36706
+0 1
+.names 36706 36707
+1 1
+.names 36707 36708
+0 1
+.names 36481 36708 36709
+11 1
+.names 34820 36710
+0 1
+.names 36710 36711
+1 1
+.names 36711 36712
+0 1
+.names 8234 36712 36713
+11 1
+.names 36719 36723 36714
+1- 1
+-1 1
+.names 36714 36715
+1 1
+.names 36181 36716
+0 1
+.names 36716 36717
+1 1
+.names 36717 36718
+0 1
+.names 36512 36718 36719
+11 1
+.names 34894 36720
+0 1
+.names 36720 36721
+1 1
+.names 36721 36722
+0 1
+.names 8235 36722 36723
+11 1
+.names 36729 36733 36724
+1- 1
+-1 1
+.names 36724 36725
+1 1
+.names 36191 36726
+0 1
+.names 36726 36727
+1 1
+.names 36727 36728
+0 1
+.names 36470 36728 36729
+11 1
+.names 34905 36730
+0 1
+.names 36730 36731
+1 1
+.names 36731 36732
+0 1
+.names 8240 36732 36733
+11 1
+.names 36739 36743 36734
+1- 1
+-1 1
+.names 36734 36735
+1 1
+.names 36236 36736
+0 1
+.names 36736 36737
+1 1
+.names 36737 36738
+0 1
+.names 36470 36738 36739
+11 1
+.names 34950 36740
+0 1
+.names 36740 36741
+1 1
+.names 36741 36742
+0 1
+.names 8240 36742 36743
+11 1
+.names 36749 36753 36744
+1- 1
+-1 1
+.names 36744 36745
+1 1
+.names 36302 36746
+0 1
+.names 36746 36747
+1 1
+.names 36747 36748
+0 1
+.names 36675 36748 36749
+11 1
+.names 35020 36750
+0 1
+.names 36750 36751
+1 1
+.names 36751 36752
+0 1
+.names 8238 36752 36753
+11 1
+.names 36759 36763 36754
+1- 1
+-1 1
+.names 36754 36755
+1 1
+.names 36312 36756
+0 1
+.names 36756 36757
+1 1
+.names 36757 36758
+0 1
+.names 36675 36758 36759
+11 1
+.names 35031 36760
+0 1
+.names 36760 36761
+1 1
+.names 36761 36762
+0 1
+.names 8238 36762 36763
+11 1
+.names 36769 36773 36764
+1- 1
+-1 1
+.names 36764 36765
+1 1
+.names 36350 36766
+0 1
+.names 36766 36767
+1 1
+.names 36767 36768
+0 1
+.names 36675 36768 36769
+11 1
+.names 35072 36770
+0 1
+.names 36770 36771
+1 1
+.names 36771 36772
+0 1
+.names 8238 36772 36773
+11 1
+.names 36779 36783 36774
+1- 1
+-1 1
+.names 36774 36775
+1 1
+.names 36424 36776
+0 1
+.names 36776 36777
+1 1
+.names 36777 36778
+0 1
+.names 36574 36778 36779
+11 1
+.names 35149 36780
+0 1
+.names 36780 36781
+1 1
+.names 36781 36782
+0 1
+.names 8239 36782 36783
+11 1
+.names 36789 36793 36784
+1- 1
+-1 1
+.names 36784 36785
+1 1
+.names 36428 36786
+0 1
+.names 36786 36787
+1 1
+.names 36787 36788
+0 1
+.names 36574 36788 36789
+11 1
+.names 35154 36790
+0 1
+.names 36790 36791
+1 1
+.names 36791 36792
+0 1
+.names 8239 36792 36793
+11 1
+.names 36800 36801 36794
+1- 1
+-1 1
+.names 36794 36795
+1 1
+.names 8254 36796
+0 1
+.names 36802 36804 36797
+11 1
+.names 36797 36798
+1 1
+.names 36798 36799
+0 1
+.names 36796 36799 36800
+11 1
+.names 8254 2413 36801
+11 1
+.names 8255 36802
+0 1
+.names 14886 36803
+1 1
+.names 36803 36804
+0 1
+.names 36811 36812 36805
+1- 1
+-1 1
+.names 36805 36806
+1 1
+.names 2413 36807
+1 1
+.names 36807 36808
+0 1
+.names 36802 36809
+1 1
+.names 36809 36810
+0 1
+.names 36808 36810 36811
+11 1
+.names 36795 36812
+0 1
+.names 36819 36820 36813
+1- 1
+-1 1
+.names 36813 36814
+1 1
+.names 8242 36815
+0 1
+.names 36802 36822 36816
+11 1
+.names 36816 36817
+1 1
+.names 36817 36818
+0 1
+.names 36815 36818 36819
+11 1
+.names 8242 2413 36820
+11 1
+.names 14886 36821
+1 1
+.names 36821 36822
+0 1
+.names 36829 36830 36823
+1- 1
+-1 1
+.names 36823 36824
+1 1
+.names 2413 36825
+1 1
+.names 36825 36826
+0 1
+.names 36802 36827
+1 1
+.names 36827 36828
+0 1
+.names 36826 36828 36829
+11 1
+.names 36814 36830
+0 1
+.names 8249 36831
+0 1
+.names 36831 36832
+1 1
+.names 36831 36836 36833
+11 1
+.names 36833 36834
+1 1
+.names 14886 36835
+1 1
+.names 36835 36836
+0 1
+.names 36840 36841 36837
+1- 1
+-1 1
+.names 36837 36838
+1 1
+.names 36834 36839
+0 1
+.names 36815 36839 36840
+11 1
+.names 8242 2413 36841
+11 1
+.names 2413 36842
+1 1
+.names 36847 36848 36843
+1- 1
+-1 1
+.names 36843 36844
+1 1
+.names 36832 36845
+0 1
+.names 36842 36846
+0 1
+.names 36845 36846 36847
+11 1
+.names 36838 36848
+0 1
+.names 36831 36849
+1 1
+.names 36831 36853 36850
+11 1
+.names 36850 36851
+1 1
+.names 14886 36852
+1 1
+.names 36852 36853
+0 1
+.names 36857 36858 36854
+1- 1
+-1 1
+.names 36854 36855
+1 1
+.names 36851 36856
+0 1
+.names 36796 36856 36857
+11 1
+.names 8254 2413 36858
+11 1
+.names 36864 36865 36859
+1- 1
+-1 1
+.names 36859 36860
+1 1
+.names 36849 36861
+0 1
+.names 2413 36862
+1 1
+.names 36862 36863
+0 1
+.names 36861 36863 36864
+11 1
+.names 36855 36865
+0 1
+.names 36871 36872 36866
+1- 1
+-1 1
+.names 36866 36867
+1 1
+.names 36873 36875 36868
+11 1
+.names 36868 36869
+1 1
+.names 36869 36870
+0 1
+.names 36796 36870 36871
+11 1
+.names 8254 2413 36872
+11 1
+.names 8247 36873
+0 1
+.names 14886 36874
+1 1
+.names 36874 36875
+0 1
+.names 36882 36883 36876
+1- 1
+-1 1
+.names 36876 36877
+1 1
+.names 2413 36878
+1 1
+.names 36878 36879
+0 1
+.names 36873 36880
+1 1
+.names 36880 36881
+0 1
+.names 36879 36881 36882
+11 1
+.names 36867 36883
+0 1
+.names 36889 36890 36884
+1- 1
+-1 1
+.names 36884 36885
+1 1
+.names 36891 36893 36886
+11 1
+.names 36886 36887
+1 1
+.names 36887 36888
+0 1
+.names 36796 36888 36889
+11 1
+.names 8254 2413 36890
+11 1
+.names 8250 36891
+0 1
+.names 14886 36892
+1 1
+.names 36892 36893
+0 1
+.names 36900 36901 36894
+1- 1
+-1 1
+.names 36894 36895
+1 1
+.names 2413 36896
+1 1
+.names 36896 36897
+0 1
+.names 36891 36898
+1 1
+.names 36898 36899
+0 1
+.names 36897 36899 36900
+11 1
+.names 36885 36901
+0 1
+.names 36908 36909 36902
+1- 1
+-1 1
+.names 36902 36903
+1 1
+.names 8253 36904
+0 1
+.names 36873 36911 36905
+11 1
+.names 36905 36906
+1 1
+.names 36906 36907
+0 1
+.names 36904 36907 36908
+11 1
+.names 8253 2413 36909
+11 1
+.names 14886 36910
+1 1
+.names 36910 36911
+0 1
+.names 36918 36919 36912
+1- 1
+-1 1
+.names 36912 36913
+1 1
+.names 2413 36914
+1 1
+.names 36914 36915
+0 1
+.names 36873 36916
+1 1
+.names 36916 36917
+0 1
+.names 36915 36917 36918
+11 1
+.names 36903 36919
+0 1
+.names 36925 36926 36920
+1- 1
+-1 1
+.names 36920 36921
+1 1
+.names 36891 36928 36922
+11 1
+.names 36922 36923
+1 1
+.names 36923 36924
+0 1
+.names 36815 36924 36925
+11 1
+.names 8242 2413 36926
+11 1
+.names 14886 36927
+1 1
+.names 36927 36928
+0 1
+.names 36935 36936 36929
+1- 1
+-1 1
+.names 36929 36930
+1 1
+.names 2413 36931
+1 1
+.names 36931 36932
+0 1
+.names 36891 36933
+1 1
+.names 36933 36934
+0 1
+.names 36932 36934 36935
+11 1
+.names 36921 36936
+0 1
+.names 36942 36943 36937
+1- 1
+-1 1
+.names 36937 36938
+1 1
+.names 36873 36945 36939
+11 1
+.names 36939 36940
+1 1
+.names 36940 36941
+0 1
+.names 36796 36941 36942
+11 1
+.names 8254 14886 36943
+11 1
+.names 14886 36944
+1 1
+.names 36944 36945
+0 1
+.names 36952 36953 36946
+1- 1
+-1 1
+.names 36946 36947
+1 1
+.names 2413 36948
+1 1
+.names 36948 36949
+0 1
+.names 36873 36950
+1 1
+.names 36950 36951
+0 1
+.names 36949 36951 36952
+11 1
+.names 36938 36953
+0 1
+.names 36831 36954
+1 1
+.names 36831 36958 36955
+11 1
+.names 36955 36956
+1 1
+.names 14886 36957
+1 1
+.names 36957 36958
+0 1
+.names 36962 36963 36959
+1- 1
+-1 1
+.names 36959 36960
+1 1
+.names 36956 36961
+0 1
+.names 36904 36961 36962
+11 1
+.names 8253 2413 36963
+11 1
+.names 2413 36964
+1 1
+.names 36969 36970 36965
+1- 1
+-1 1
+.names 36965 36966
+1 1
+.names 36954 36967
+0 1
+.names 36964 36968
+0 1
+.names 36967 36968 36969
+11 1
+.names 36960 36970
+0 1
+.names 36831 36971
+1 1
+.names 36831 36975 36972
+11 1
+.names 36972 36973
+1 1
+.names 14886 36974
+1 1
+.names 36974 36975
+0 1
+.names 36979 36980 36976
+1- 1
+-1 1
+.names 36976 36977
+1 1
+.names 36973 36978
+0 1
+.names 36815 36978 36979
+11 1
+.names 8242 2413 36980
+11 1
+.names 2413 36981
+1 1
+.names 36986 36987 36982
+1- 1
+-1 1
+.names 36982 36983
+1 1
+.names 36971 36984
+0 1
+.names 36981 36985
+0 1
+.names 36984 36985 36986
+11 1
+.names 36977 36987
+0 1
+.names 36993 36994 36988
+1- 1
+-1 1
+.names 36988 36989
+1 1
+.names 36873 36996 36990
+11 1
+.names 36990 36991
+1 1
+.names 36991 36992
+0 1
+.names 36796 36992 36993
+11 1
+.names 8254 2413 36994
+11 1
+.names 14886 36995
+1 1
+.names 36995 36996
+0 1
+.names 37003 37004 36997
+1- 1
+-1 1
+.names 36997 36998
+1 1
+.names 2413 36999
+1 1
+.names 36999 37000
+0 1
+.names 36873 37001
+1 1
+.names 37001 37002
+0 1
+.names 37000 37002 37003
+11 1
+.names 36989 37004
+0 1
+.names 37010 37011 37005
+1- 1
+-1 1
+.names 37005 37006
+1 1
+.names 36873 37013 37007
+11 1
+.names 37007 37008
+1 1
+.names 37008 37009
+0 1
+.names 36815 37009 37010
+11 1
+.names 8242 2413 37011
+11 1
+.names 14886 37012
+1 1
+.names 37012 37013
+0 1
+.names 37020 37021 37014
+1- 1
+-1 1
+.names 37014 37015
+1 1
+.names 2413 37016
+1 1
+.names 37016 37017
+0 1
+.names 36873 37018
+1 1
+.names 37018 37019
+0 1
+.names 37017 37019 37020
+11 1
+.names 37006 37021
+0 1
+.names 37027 37028 37022
+1- 1
+-1 1
+.names 37022 37023
+1 1
+.names 36873 37030 37024
+11 1
+.names 37024 37025
+1 1
+.names 37025 37026
+0 1
+.names 36815 37026 37027
+11 1
+.names 8242 2413 37028
+11 1
+.names 14886 37029
+1 1
+.names 37029 37030
+0 1
+.names 37037 37038 37031
+1- 1
+-1 1
+.names 37031 37032
+1 1
+.names 2413 37033
+1 1
+.names 37033 37034
+0 1
+.names 36873 37035
+1 1
+.names 37035 37036
+0 1
+.names 37034 37036 37037
+11 1
+.names 37023 37038
+0 1
+.names 37044 37045 37039
+1- 1
+-1 1
+.names 37039 37040
+1 1
+.names 36891 37047 37041
+11 1
+.names 37041 37042
+1 1
+.names 37042 37043
+0 1
+.names 36815 37043 37044
+11 1
+.names 8242 2413 37045
+11 1
+.names 14886 37046
+1 1
+.names 37046 37047
+0 1
+.names 37054 37055 37048
+1- 1
+-1 1
+.names 37048 37049
+1 1
+.names 2413 37050
+1 1
+.names 37050 37051
+0 1
+.names 36891 37052
+1 1
+.names 37052 37053
+0 1
+.names 37051 37053 37054
+11 1
+.names 37040 37055
+0 1
+.names 37061 37062 37056
+1- 1
+-1 1
+.names 37056 37057
+1 1
+.names 36802 37064 37058
+11 1
+.names 37058 37059
+1 1
+.names 37059 37060
+0 1
+.names 36904 37060 37061
+11 1
+.names 8253 2413 37062
+11 1
+.names 14886 37063
+1 1
+.names 37063 37064
+0 1
+.names 37071 37072 37065
+1- 1
+-1 1
+.names 37065 37066
+1 1
+.names 2413 37067
+1 1
+.names 37067 37068
+0 1
+.names 36802 37069
+1 1
+.names 37069 37070
+0 1
+.names 37068 37070 37071
+11 1
+.names 37057 37072
+0 1
+.names 37078 37079 37073
+1- 1
+-1 1
+.names 37073 37074
+1 1
+.names 36873 37081 37075
+11 1
+.names 37075 37076
+1 1
+.names 37076 37077
+0 1
+.names 36904 37077 37078
+11 1
+.names 8253 2413 37079
+11 1
+.names 14886 37080
+1 1
+.names 37080 37081
+0 1
+.names 37088 37089 37082
+1- 1
+-1 1
+.names 37082 37083
+1 1
+.names 36873 37084
+1 1
+.names 37084 37085
+0 1
+.names 2413 37086
+1 1
+.names 37086 37087
+0 1
+.names 37085 37087 37088
+11 1
+.names 37074 37089
+0 1
+.names 36831 37090
+1 1
+.names 36831 37094 37091
+11 1
+.names 37091 37092
+1 1
+.names 14886 37093
+1 1
+.names 37093 37094
+0 1
+.names 37098 37099 37095
+1- 1
+-1 1
+.names 37095 37096
+1 1
+.names 37092 37097
+0 1
+.names 36796 37097 37098
+11 1
+.names 8254 2413 37099
+11 1
+.names 2413 37100
+1 1
+.names 37105 37106 37101
+1- 1
+-1 1
+.names 37101 37102
+1 1
+.names 37090 37103
+0 1
+.names 37100 37104
+0 1
+.names 37103 37104 37105
+11 1
+.names 37096 37106
+0 1
+.names 37112 37113 37107
+1- 1
+-1 1
+.names 37107 37108
+1 1
+.names 36831 37115 37109
+11 1
+.names 37109 37110
+1 1
+.names 37110 37111
+0 1
+.names 36796 37111 37112
+11 1
+.names 8254 2413 37113
+11 1
+.names 14886 37114
+1 1
+.names 37114 37115
+0 1
+.names 37122 37123 37116
+1- 1
+-1 1
+.names 37116 37117
+1 1
+.names 2413 37118
+1 1
+.names 37118 37119
+0 1
+.names 36831 37120
+1 1
+.names 37120 37121
+0 1
+.names 37119 37121 37122
+11 1
+.names 37108 37123
+0 1
+.names 37129 37130 37124
+1- 1
+-1 1
+.names 37124 37125
+1 1
+.names 36891 37132 37126
+11 1
+.names 37126 37127
+1 1
+.names 37127 37128
+0 1
+.names 36904 37128 37129
+11 1
+.names 8253 2413 37130
+11 1
+.names 14886 37131
+1 1
+.names 37131 37132
+0 1
+.names 37139 37140 37133
+1- 1
+-1 1
+.names 37133 37134
+1 1
+.names 2413 37135
+1 1
+.names 37135 37136
+0 1
+.names 36891 37137
+1 1
+.names 37137 37138
+0 1
+.names 37136 37138 37139
+11 1
+.names 37125 37140
+0 1
+.names 37146 37147 37141
+1- 1
+-1 1
+.names 37141 37142
+1 1
+.names 36891 37149 37143
+11 1
+.names 37143 37144
+1 1
+.names 37144 37145
+0 1
+.names 36904 37145 37146
+11 1
+.names 8253 2413 37147
+11 1
+.names 14886 37148
+1 1
+.names 37148 37149
+0 1
+.names 37156 37157 37150
+1- 1
+-1 1
+.names 37150 37151
+1 1
+.names 2413 37152
+1 1
+.names 37152 37153
+0 1
+.names 36891 37154
+1 1
+.names 37154 37155
+0 1
+.names 37153 37155 37156
+11 1
+.names 37142 37157
+0 1
+.names 37163 37164 37158
+1- 1
+-1 1
+.names 37158 37159
+1 1
+.names 36891 37166 37160
+11 1
+.names 37160 37161
+1 1
+.names 37161 37162
+0 1
+.names 36796 37162 37163
+11 1
+.names 8254 2413 37164
+11 1
+.names 14886 37165
+1 1
+.names 37165 37166
+0 1
+.names 37173 37174 37167
+1- 1
+-1 1
+.names 37167 37168
+1 1
+.names 2413 37169
+1 1
+.names 37169 37170
+0 1
+.names 36891 37171
+1 1
+.names 37171 37172
+0 1
+.names 37170 37172 37173
+11 1
+.names 37159 37174
+0 1
+.names 37180 37181 37175
+1- 1
+-1 1
+.names 37175 37176
+1 1
+.names 36831 37183 37177
+11 1
+.names 37177 37178
+1 1
+.names 37178 37179
+0 1
+.names 36815 37179 37180
+11 1
+.names 8242 2413 37181
+11 1
+.names 14886 37182
+1 1
+.names 37182 37183
+0 1
+.names 37190 37191 37184
+1- 1
+-1 1
+.names 37184 37185
+1 1
+.names 36831 37186
+1 1
+.names 37186 37187
+0 1
+.names 2413 37188
+1 1
+.names 37188 37189
+0 1
+.names 37187 37189 37190
+11 1
+.names 37176 37191
+0 1
+.names 36831 37192
+1 1
+.names 36831 37196 37193
+11 1
+.names 37193 37194
+1 1
+.names 14886 37195
+1 1
+.names 37195 37196
+0 1
+.names 37200 37201 37197
+1- 1
+-1 1
+.names 37197 37198
+1 1
+.names 37194 37199
+0 1
+.names 36904 37199 37200
+11 1
+.names 8253 2413 37201
+11 1
+.names 2413 37202
+1 1
+.names 37207 37208 37203
+1- 1
+-1 1
+.names 37203 37204
+1 1
+.names 37192 37205
+0 1
+.names 37202 37206
+0 1
+.names 37205 37206 37207
+11 1
+.names 37198 37208
+0 1
+.names 37214 37215 37209
+1- 1
+-1 1
+.names 37209 37210
+1 1
+.names 36873 37217 37211
+11 1
+.names 37211 37212
+1 1
+.names 37212 37213
+0 1
+.names 36904 37213 37214
+11 1
+.names 8253 2413 37215
+11 1
+.names 14886 37216
+1 1
+.names 37216 37217
+0 1
+.names 37224 37225 37218
+1- 1
+-1 1
+.names 37218 37219
+1 1
+.names 2413 37220
+1 1
+.names 37220 37221
+0 1
+.names 36873 37222
+1 1
+.names 37222 37223
+0 1
+.names 37221 37223 37224
+11 1
+.names 37210 37225
+0 1
+.names 37231 37232 37226
+1- 1
+-1 1
+.names 37226 37227
+1 1
+.names 36891 37234 37228
+11 1
+.names 37228 37229
+1 1
+.names 37229 37230
+0 1
+.names 36904 37230 37231
+11 1
+.names 8253 2413 37232
+11 1
+.names 14886 37233
+1 1
+.names 37233 37234
+0 1
+.names 37241 37242 37235
+1- 1
+-1 1
+.names 37235 37236
+1 1
+.names 2413 37237
+1 1
+.names 37237 37238
+0 1
+.names 36891 37239
+1 1
+.names 37239 37240
+0 1
+.names 37238 37240 37241
+11 1
+.names 37227 37242
+0 1
+.names 36831 37243
+1 1
+.names 36831 37247 37244
+11 1
+.names 37244 37245
+1 1
+.names 14886 37246
+1 1
+.names 37246 37247
+0 1
+.names 37251 37252 37248
+1- 1
+-1 1
+.names 37248 37249
+1 1
+.names 37245 37250
+0 1
+.names 36796 37250 37251
+11 1
+.names 8254 2413 37252
+11 1
+.names 2413 37253
+1 1
+.names 37258 37259 37254
+1- 1
+-1 1
+.names 37254 37255
+1 1
+.names 37243 37256
+0 1
+.names 37253 37257
+0 1
+.names 37256 37257 37258
+11 1
+.names 37249 37259
+0 1
+.names 37265 37266 37260
+1- 1
+-1 1
+.names 37260 37261
+1 1
+.names 36891 37268 37262
+11 1
+.names 37262 37263
+1 1
+.names 37263 37264
+0 1
+.names 36904 37264 37265
+11 1
+.names 8253 2413 37266
+11 1
+.names 14886 37267
+1 1
+.names 37267 37268
+0 1
+.names 37275 37276 37269
+1- 1
+-1 1
+.names 37269 37270
+1 1
+.names 2413 37271
+1 1
+.names 37271 37272
+0 1
+.names 36891 37273
+1 1
+.names 37273 37274
+0 1
+.names 37272 37274 37275
+11 1
+.names 37261 37276
+0 1
+.names 37282 37283 37277
+1- 1
+-1 1
+.names 37277 37278
+1 1
+.names 36891 37285 37279
+11 1
+.names 37279 37280
+1 1
+.names 37280 37281
+0 1
+.names 36815 37281 37282
+11 1
+.names 8242 2413 37283
+11 1
+.names 14886 37284
+1 1
+.names 37284 37285
+0 1
+.names 37292 37293 37286
+1- 1
+-1 1
+.names 37286 37287
+1 1
+.names 2413 37288
+1 1
+.names 37288 37289
+0 1
+.names 36891 37290
+1 1
+.names 37290 37291
+0 1
+.names 37289 37291 37292
+11 1
+.names 37278 37293
+0 1
+.names 36831 37294
+1 1
+.names 36831 37298 37295
+11 1
+.names 37295 37296
+1 1
+.names 14886 37297
+1 1
+.names 37297 37298
+0 1
+.names 37302 37303 37299
+1- 1
+-1 1
+.names 37299 37300
+1 1
+.names 37296 37301
+0 1
+.names 36904 37301 37302
+11 1
+.names 8253 2413 37303
+11 1
+.names 37309 37310 37304
+1- 1
+-1 1
+.names 37304 37305
+1 1
+.names 37294 37306
+0 1
+.names 2413 37307
+1 1
+.names 37307 37308
+0 1
+.names 37306 37308 37309
+11 1
+.names 37300 37310
+0 1
+.names 37316 37317 37311
+1- 1
+-1 1
+.names 37311 37312
+1 1
+.names 36802 37319 37313
+11 1
+.names 37313 37314
+1 1
+.names 37314 37315
+0 1
+.names 36815 37315 37316
+11 1
+.names 8242 2413 37317
+11 1
+.names 14886 37318
+1 1
+.names 37318 37319
+0 1
+.names 37326 37327 37320
+1- 1
+-1 1
+.names 37320 37321
+1 1
+.names 2413 37322
+1 1
+.names 37322 37323
+0 1
+.names 36802 37324
+1 1
+.names 37324 37325
+0 1
+.names 37323 37325 37326
+11 1
+.names 37312 37327
+0 1
+.names 37333 37334 37328
+1- 1
+-1 1
+.names 37328 37329
+1 1
+.names 36873 37336 37330
+11 1
+.names 37330 37331
+1 1
+.names 37331 37332
+0 1
+.names 36796 37332 37333
+11 1
+.names 8254 2413 37334
+11 1
+.names 14886 37335
+1 1
+.names 37335 37336
+0 1
+.names 37343 37344 37337
+1- 1
+-1 1
+.names 37337 37338
+1 1
+.names 2413 37339
+1 1
+.names 37339 37340
+0 1
+.names 36873 37341
+1 1
+.names 37341 37342
+0 1
+.names 37340 37342 37343
+11 1
+.names 37329 37344
+0 1
+.names 37351 37353 37345
+1- 1
+-1 1
+.names 37345 37346
+1 1
+.names 8271 37347
+0 1
+.names 37382 37383 37348
+11 1
+.names 37348 37349
+1 1
+.names 37349 37350
+0 1
+.names 37347 37350 37351
+11 1
+.names 36844 37352
+0 1
+.names 8271 37352 37353
+11 1
+.names 37362 37365 37354
+1- 1
+-1 1
+.names 37354 37355
+1 1
+.names 37397 37399 37356
+11 1
+.names 37356 37357
+1 1
+.names 37357 37358
+0 1
+.names 37401 37403 37359
+11 1
+.names 37359 37360
+1 1
+.names 37360 37361
+0 1
+.names 37358 37361 37362
+1- 1
+-1 1
+.names 37390 37393 37363
+11 1
+.names 37363 37364
+1 1
+.names 37364 37365
+0 1
+.names 37371 32155 37366
+1- 1
+-1 1
+.names 37366 37367
+1 1
+.names 8291 37368
+0 1
+.names 37368 37369
+1 1
+.names 37369 37370
+0 1
+.names 8306 37370 37371
+1- 1
+-1 1
+.names 37374 37375 37372
+11 1
+.names 37372 37373
+1 1
+.names 8260 37374
+0 1
+.names 8281 37375
+0 1
+.names 37378 37379 37376
+11 1
+.names 37376 37377
+1 1
+.names 8268 37378
+0 1
+.names 8264 37379
+0 1
+.names 37387 37388 37380
+1- 1
+-1 1
+.names 37380 37381
+1 1
+.names 37381 37382
+0 1
+.names 37367 37383
+0 1
+.names 37355 37386 37384
+1- 1
+-1 1
+.names 37384 37385
+1 1
+.names 37346 37386
+0 1
+.names 37373 37387
+0 1
+.names 37377 37388
+0 1
+.names 56655 37389
+0 1
+.names 37389 37378 37390
+1- 1
+-1 1
+.names 8306 37391
+0 1
+.names 7806 37392
+0 1
+.names 37391 37392 37393
+1- 1
+-1 1
+.names 55082 55085 37394
+1- 1
+-1 1
+.names 37394 37395
+1 1
+.names 37395 37396
+0 1
+.names 37368 37396 37397
+1- 1
+-1 1
+.names 159 37398
+0 1
+.names 37398 37375 37399
+1- 1
+-1 1
+.names 36480 37400
+0 1
+.names 37374 37400 37401
+1- 1
+-1 1
+.names 430 37402
+0 1
+.names 37379 37402 37403
+1- 1
+-1 1
+.names 37409 37411 37404
+1- 1
+-1 1
+.names 37404 37405
+1 1
+.names 37438 37439 37406
+11 1
+.names 37406 37407
+1 1
+.names 37407 37408
+0 1
+.names 37347 37408 37409
+11 1
+.names 36806 37410
+0 1
+.names 8271 37410 37411
+11 1
+.names 37420 37423 37412
+1- 1
+-1 1
+.names 37412 37413
+1 1
+.names 37446 37448 37414
+11 1
+.names 37414 37415
+1 1
+.names 37415 37416
+0 1
+.names 37452 37454 37417
+11 1
+.names 37417 37418
+1 1
+.names 37418 37419
+0 1
+.names 37416 37419 37420
+1- 1
+-1 1
+.names 37456 37459 37421
+11 1
+.names 37421 37422
+1 1
+.names 37422 37423
+0 1
+.names 37429 22288 37424
+1- 1
+-1 1
+.names 37424 37425
+1 1
+.names 8312 37426
+0 1
+.names 37426 37427
+1 1
+.names 37427 37428
+0 1
+.names 8309 37428 37429
+1- 1
+-1 1
+.names 37432 37375 37430
+11 1
+.names 37430 37431
+1 1
+.names 8259 37432
+0 1
+.names 37378 37435 37433
+11 1
+.names 37433 37434
+1 1
+.names 8299 37435
+0 1
+.names 37449 37450 37436
+1- 1
+-1 1
+.names 37436 37437
+1 1
+.names 37437 37438
+0 1
+.names 37425 37439
+0 1
+.names 37413 37442 37440
+1- 1
+-1 1
+.names 37440 37441
+1 1
+.names 37405 37442
+0 1
+.names 55158 55161 37443
+1- 1
+-1 1
+.names 37443 37444
+1 1
+.names 37444 37445
+0 1
+.names 37426 37445 37446
+1- 1
+-1 1
+.names 138 37447
+0 1
+.names 37447 37375 37448
+1- 1
+-1 1
+.names 37431 37449
+0 1
+.names 37434 37450
+0 1
+.names 36522 37451
+0 1
+.names 37432 37451 37452
+1- 1
+-1 1
+.names 409 37453
+0 1
+.names 37435 37453 37454
+1- 1
+-1 1
+.names 56634 37455
+0 1
+.names 37455 37378 37456
+1- 1
+-1 1
+.names 8309 37457
+0 1
+.names 7776 37458
+0 1
+.names 37457 37458 37459
+1- 1
+-1 1
+.names 8295 37460
+1 1
+.names 37464 32189 37461
+1- 1
+-1 1
+.names 37461 37462
+1 1
+.names 37460 37463
+0 1
+.names 8307 37463 37464
+1- 1
+-1 1
+.names 37467 37468 37465
+11 1
+.names 37465 37466
+1 1
+.names 8257 37467
+0 1
+.names 8302 37468
+0 1
+.names 37473 37474 37469
+11 1
+.names 37469 37470
+1 1
+.names 37502 37505 37471
+1- 1
+-1 1
+.names 37471 37472
+1 1
+.names 37472 37473
+0 1
+.names 37462 37474
+0 1
+.names 37478 37481 37475
+1- 1
+-1 1
+.names 37475 37476
+1 1
+.names 37516 37517 37477
+1- 1
+-1 1
+.names 37477 37478
+1 1
+.names 37486 37488 37479
+1- 1
+-1 1
+.names 37479 37480
+1 1
+.names 37480 37481
+0 1
+.names 8284 37482
+0 1
+.names 37482 37483
+1 1
+.names 37483 37484
+0 1
+.names 36824 37485
+0 1
+.names 37484 37485 37486
+11 1
+.names 37470 37487
+0 1
+.names 37483 37487 37488
+11 1
+.names 37492 37494 37489
+11 1
+.names 37489 37490
+1 1
+.names 143 37491
+0 1
+.names 37491 8295 37492
+1- 1
+-1 1
+.names 56639 37493
+0 1
+.names 37493 37468 37494
+1- 1
+-1 1
+.names 37498 37501 37495
+11 1
+.names 37495 37496
+1 1
+.names 414 37497
+0 1
+.names 37435 37497 37498
+1- 1
+-1 1
+.names 8307 37499
+0 1
+.names 7946 37500
+0 1
+.names 37499 37500 37501
+1- 1
+-1 1
+.names 37466 37502
+0 1
+.names 37435 37426 37503
+11 1
+.names 37503 37504
+1 1
+.names 37504 37505
+0 1
+.names 37509 37513 37506
+11 1
+.names 37506 37507
+1 1
+.names 36469 37508
+0 1
+.names 37467 37508 37509
+1- 1
+-1 1
+.names 55135 55136 37510
+1- 1
+-1 1
+.names 37510 37511
+1 1
+.names 37511 37512
+0 1
+.names 37426 37512 37513
+1- 1
+-1 1
+.names 37496 37514
+0 1
+.names 37507 37515
+0 1
+.names 37514 37515 37516
+1- 1
+-1 1
+.names 37490 37517
+0 1
+.names 37520 37521 37518
+1- 1
+-1 1
+.names 37518 37519
+1 1
+.names 8283 37520
+0 1
+.names 36860 37521
+0 1
+.names 37526 37527 37522
+1- 1
+-1 1
+.names 37522 37523
+1 1
+.names 37575 37524
+0 1
+.names 37524 37525
+1 1
+.names 37525 37526
+0 1
+.names 145 37527
+0 1
+.names 37532 37535 37528
+1- 1
+-1 1
+.names 37528 37529
+1 1
+.names 37587 37588 37530
+11 1
+.names 37530 37531
+1 1
+.names 37531 37532
+0 1
+.names 37540 37542 37533
+1- 1
+-1 1
+.names 37533 37534
+1 1
+.names 37534 37535
+0 1
+.names 8262 37536
+0 1
+.names 37570 37573 37537
+11 1
+.names 37537 37538
+1 1
+.names 37538 37539
+0 1
+.names 37536 37539 37540
+11 1
+.names 416 37541
+0 1
+.names 8262 37541 37542
+11 1
+.names 37545 37548 37543
+1- 1
+-1 1
+.names 37543 37544
+1 1
+.names 37519 37545
+0 1
+.names 8322 37546
+0 1
+.names 37546 37547
+1 1
+.names 37547 37548
+0 1
+.names 37545 37553 37549
+1- 1
+-1 1
+.names 37549 37550
+1 1
+.names 37555 37551
+0 1
+.names 37551 37552
+1 1
+.names 37552 37553
+0 1
+.names 55200 55203 37554
+1- 1
+-1 1
+.names 37554 37555
+1 1
+.names 37558 37561 37556
+1- 1
+-1 1
+.names 37556 37557
+1 1
+.names 37544 37550 37558
+11 1
+.names 37577 37579 37559
+11 1
+.names 37559 37560
+1 1
+.names 37560 37561
+0 1
+.names 37566 37569 37562
+1- 1
+-1 1
+.names 37562 37563
+1 1
+.names 37574 37520 37564
+11 1
+.names 37564 37565
+1 1
+.names 37565 37566
+0 1
+.names 37546 37499 37567
+11 1
+.names 37567 37568
+1 1
+.names 37568 37569
+0 1
+.names 37563 37570
+0 1
+.names 37581 37582 37571
+1- 1
+-1 1
+.names 37571 37572
+1 1
+.names 37572 37573
+0 1
+.names 8304 37574
+0 1
+.names 8295 37575
+1 1
+.names 36533 37576
+0 1
+.names 37432 37576 37577
+1- 1
+-1 1
+.names 7966 37578
+0 1
+.names 37499 37578 37579
+1- 1
+-1 1
+.names 37432 37580
+1 1
+.names 37524 35329 37581
+1- 1
+-1 1
+.names 37580 37582
+0 1
+.names 37585 37586 37583
+1- 1
+-1 1
+.names 37583 37584
+1 1
+.names 8304 56641 37585
+11 1
+.names 37523 37586
+0 1
+.names 37557 37587
+0 1
+.names 37584 37588
+0 1
+.names 37594 37596 37589
+1- 1
+-1 1
+.names 37589 37590
+1 1
+.names 37621 37622 37591
+11 1
+.names 37591 37592
+1 1
+.names 37592 37593
+0 1
+.names 37520 37593 37594
+11 1
+.names 36877 37595
+0 1
+.names 8283 37595 37596
+11 1
+.names 37605 37608 37597
+1- 1
+-1 1
+.names 37597 37598
+1 1
+.names 37629 37631 37599
+11 1
+.names 37599 37600
+1 1
+.names 37600 37601
+0 1
+.names 37639 37641 37602
+11 1
+.names 37602 37603
+1 1
+.names 37603 37604
+0 1
+.names 37601 37604 37605
+1- 1
+-1 1
+.names 37633 37635 37606
+11 1
+.names 37606 37607
+1 1
+.names 37607 37608
+0 1
+.names 37613 32320 37609
+1- 1
+-1 1
+.names 37609 37610
+1 1
+.names 37368 37611
+1 1
+.names 37611 37612
+0 1
+.names 8307 37612 37613
+1- 1
+-1 1
+.names 37432 37616 37614
+11 1
+.names 37614 37615
+1 1
+.names 8282 37616
+0 1
+.names 37378 37379 37617
+11 1
+.names 37617 37618
+1 1
+.names 37636 37637 37619
+1- 1
+-1 1
+.names 37619 37620
+1 1
+.names 37620 37621
+0 1
+.names 37610 37622
+0 1
+.names 37598 37625 37623
+1- 1
+-1 1
+.names 37623 37624
+1 1
+.names 37590 37625
+0 1
+.names 55279 55280 37626
+1- 1
+-1 1
+.names 37626 37627
+1 1
+.names 37627 37628
+0 1
+.names 37368 37628 37629
+1- 1
+-1 1
+.names 147 37630
+0 1
+.names 37630 37616 37631
+1- 1
+-1 1
+.names 56643 37632
+0 1
+.names 37632 37378 37633
+1- 1
+-1 1
+.names 7676 37634
+0 1
+.names 37499 37634 37635
+1- 1
+-1 1
+.names 37615 37636
+0 1
+.names 37618 37637
+0 1
+.names 36543 37638
+0 1
+.names 37432 37638 37639
+1- 1
+-1 1
+.names 418 37640
+0 1
+.names 37379 37640 37641
+1- 1
+-1 1
+.names 37647 37649 37642
+1- 1
+-1 1
+.names 37642 37643
+1 1
+.names 37660 37661 37644
+11 1
+.names 37644 37645
+1 1
+.names 37645 37646
+0 1
+.names 37520 37646 37647
+11 1
+.names 36895 37648
+0 1
+.names 8283 37648 37649
+11 1
+.names 37655 32357 37650
+1- 1
+-1 1
+.names 37650 37651
+1 1
+.names 8313 37652
+0 1
+.names 37652 37653
+1 1
+.names 37653 37654
+0 1
+.names 8309 37654 37655
+1- 1
+-1 1
+.names 37432 37616 37656
+11 1
+.names 37656 37657
+1 1
+.names 37683 37684 37658
+1- 1
+-1 1
+.names 37658 37659
+1 1
+.names 37659 37660
+0 1
+.names 37651 37661
+0 1
+.names 37665 37666 37662
+1- 1
+-1 1
+.names 37662 37663
+1 1
+.names 37693 37694 37664
+1- 1
+-1 1
+.names 37664 37665
+1 1
+.names 37643 37666
+0 1
+.names 37672 37674 37667
+11 1
+.names 37667 37668
+1 1
+.names 55289 55292 37669
+1- 1
+-1 1
+.names 37669 37670
+1 1
+.names 37670 37671
+0 1
+.names 37652 37671 37672
+1- 1
+-1 1
+.names 154 37673
+0 1
+.names 37673 37616 37674
+1- 1
+-1 1
+.names 37574 37435 37675
+11 1
+.names 37675 37676
+1 1
+.names 37680 37682 37677
+11 1
+.names 37677 37678
+1 1
+.names 56650 37679
+0 1
+.names 37679 37574 37680
+1- 1
+-1 1
+.names 7746 37681
+0 1
+.names 37457 37681 37682
+1- 1
+-1 1
+.names 37657 37683
+0 1
+.names 37676 37684
+0 1
+.names 37688 37690 37685
+11 1
+.names 37685 37686
+1 1
+.names 36491 37687
+0 1
+.names 37432 37687 37688
+1- 1
+-1 1
+.names 425 37689
+0 1
+.names 37435 37689 37690
+1- 1
+-1 1
+.names 37668 37691
+0 1
+.names 37686 37692
+0 1
+.names 37691 37692 37693
+1- 1
+-1 1
+.names 37678 37694
+0 1
+.names 37700 37702 37695
+1- 1
+-1 1
+.names 37695 37696
+1 1
+.names 37714 37715 37697
+11 1
+.names 37697 37698
+1 1
+.names 37698 37699
+0 1
+.names 37374 37699 37700
+11 1
+.names 36501 37701
+0 1
+.names 8260 37701 37702
+11 1
+.names 37707 32396 37703
+1- 1
+-1 1
+.names 37703 37704
+1 1
+.names 37375 37705
+1 1
+.names 37705 37706
+0 1
+.names 8306 37706 37707
+1- 1
+-1 1
+.names 37368 37520 37708
+11 1
+.names 37708 37709
+1 1
+.names 37378 37536 37710
+11 1
+.names 37710 37711
+1 1
+.names 37727 37728 37712
+1- 1
+-1 1
+.names 37712 37713
+1 1
+.names 37713 37714
+0 1
+.names 37704 37715
+0 1
+.names 37719 37720 37716
+1- 1
+-1 1
+.names 37716 37717
+1 1
+.names 37745 37746 37718
+1- 1
+-1 1
+.names 37718 37719
+1 1
+.names 37696 37720
+0 1
+.names 37724 37726 37721
+11 1
+.names 37721 37722
+1 1
+.names 56663 37723
+0 1
+.names 37723 37378 37724
+1- 1
+-1 1
+.names 7896 37725
+0 1
+.names 37391 37725 37726
+1- 1
+-1 1
+.names 37709 37727
+0 1
+.names 37711 37728
+0 1
+.names 37732 37734 37729
+11 1
+.names 37729 37730
+1 1
+.names 167 37731
+0 1
+.names 37731 37375 37732
+1- 1
+-1 1
+.names 36913 37733
+0 1
+.names 37520 37733 37734
+1- 1
+-1 1
+.names 37740 37742 37735
+11 1
+.names 37735 37736
+1 1
+.names 55329 55332 37737
+1- 1
+-1 1
+.names 37737 37738
+1 1
+.names 37738 37739
+0 1
+.names 37368 37739 37740
+1- 1
+-1 1
+.names 438 37741
+0 1
+.names 37536 37741 37742
+1- 1
+-1 1
+.names 37730 37743
+0 1
+.names 37736 37744
+0 1
+.names 37743 37744 37745
+1- 1
+-1 1
+.names 37722 37746
+0 1
+.names 37749 37520 37747
+1- 1
+-1 1
+.names 37747 37748
+1 1
+.names 36930 37749
+0 1
+.names 37754 37757 37750
+1- 1
+-1 1
+.names 37750 37751
+1 1
+.names 37761 37763 37752
+1- 1
+-1 1
+.names 37752 37753
+1 1
+.names 37753 37754
+0 1
+.names 37777 37780 37755
+11 1
+.names 37755 37756
+1 1
+.names 37756 37757
+0 1
+.names 37799 37800 37758
+11 1
+.names 37758 37759
+1 1
+.names 37759 37760
+0 1
+.names 37499 37760 37761
+11 1
+.names 7766 37762
+0 1
+.names 8307 37762 37763
+11 1
+.names 37766 37769 37764
+1- 1
+-1 1
+.names 37764 37765
+1 1
+.names 37748 37766
+0 1
+.names 8301 37767
+0 1
+.names 37767 37768
+1 1
+.names 37768 37769
+0 1
+.names 37766 37774 37770
+1- 1
+-1 1
+.names 37770 37771
+1 1
+.names 37810 37772
+0 1
+.names 37772 37773
+1 1
+.names 37773 37774
+0 1
+.names 37781 37784 37775
+1- 1
+-1 1
+.names 37775 37776
+1 1
+.names 37776 37777
+0 1
+.names 37801 37804 37778
+1- 1
+-1 1
+.names 37778 37779
+1 1
+.names 37779 37780
+0 1
+.names 37765 37771 37781
+11 1
+.names 37806 37808 37782
+11 1
+.names 37782 37783
+1 1
+.names 37783 37784
+0 1
+.names 37787 37790 37785
+1- 1
+-1 1
+.names 37785 37786
+1 1
+.names 8281 32433 37787
+1- 1
+-1 1
+.names 8318 37788
+0 1
+.names 37788 37789
+1 1
+.names 37789 37790
+0 1
+.names 37795 37798 37791
+1- 1
+-1 1
+.names 37791 37792
+1 1
+.names 37574 37520 37793
+11 1
+.names 37793 37794
+1 1
+.names 37794 37795
+0 1
+.names 37536 37767 37796
+11 1
+.names 37796 37797
+1 1
+.names 37797 37798
+0 1
+.names 37792 37799
+0 1
+.names 37786 37800
+0 1
+.names 8304 56652 37801
+11 1
+.names 37536 37811 37802
+1- 1
+-1 1
+.names 37802 37803
+1 1
+.names 37803 37804
+0 1
+.names 156 37805
+0 1
+.names 37375 37805 37806
+1- 1
+-1 1
+.names 36553 37807
+0 1
+.names 37807 37788 37808
+1- 1
+-1 1
+.names 55369 55372 37809
+1- 1
+-1 1
+.names 37809 37810
+1 1
+.names 427 37811
+0 1
+.names 37815 37817 37812
+11 1
+.names 37812 37813
+1 1
+.names 417 37814
+0 1
+.names 37379 37814 37815
+1- 1
+-1 1
+.names 8130 37816
+0 1
+.names 37457 37816 37817
+1- 1
+-1 1
+.names 37379 37652 37818
+11 1
+.names 37818 37819
+1 1
+.names 37375 37820
+1 1
+.names 37824 32474 37821
+1- 1
+-1 1
+.names 37821 37822
+1 1
+.names 37820 37823
+0 1
+.names 8309 37823 37824
+1- 1
+-1 1
+.names 37829 37830 37825
+11 1
+.names 37825 37826
+1 1
+.names 37858 37859 37827
+1- 1
+-1 1
+.names 37827 37828
+1 1
+.names 37828 37829
+0 1
+.names 37822 37830
+0 1
+.names 37834 37836 37831
+1- 1
+-1 1
+.names 37831 37832
+1 1
+.names 37826 37833
+0 1
+.names 37482 37833 37834
+11 1
+.names 36966 37835
+0 1
+.names 8284 37835 37836
+11 1
+.names 37840 37841 37837
+1- 1
+-1 1
+.names 37837 37838
+1 1
+.names 37862 37863 37839
+1- 1
+-1 1
+.names 37839 37840
+1 1
+.names 37832 37841
+0 1
+.names 37845 37847 37842
+11 1
+.names 37842 37843
+1 1
+.names 146 37844
+0 1
+.names 37844 37375 37845
+1- 1
+-1 1
+.names 56642 37846
+0 1
+.names 37846 37468 37847
+1- 1
+-1 1
+.names 37851 37855 37848
+11 1
+.names 37848 37849
+1 1
+.names 36563 37850
+0 1
+.names 37467 37850 37851
+1- 1
+-1 1
+.names 55435 55438 37852
+1- 1
+-1 1
+.names 37852 37853
+1 1
+.names 37853 37854
+0 1
+.names 37652 37854 37855
+1- 1
+-1 1
+.names 37467 37468 37856
+11 1
+.names 37856 37857
+1 1
+.names 37857 37858
+0 1
+.names 37819 37859
+0 1
+.names 37813 37860
+0 1
+.names 37849 37861
+0 1
+.names 37860 37861 37862
+1- 1
+-1 1
+.names 37843 37863
+0 1
+.names 37869 37871 37864
+1- 1
+-1 1
+.names 37864 37865
+1 1
+.names 37881 37882 37866
+11 1
+.names 37866 37867
+1 1
+.names 37867 37868
+0 1
+.names 37482 37868 37869
+11 1
+.names 36983 37870
+0 1
+.names 8284 37870 37871
+11 1
+.names 37876 32509 37872
+1- 1
+-1 1
+.names 37872 37873
+1 1
+.names 37546 37874
+1 1
+.names 37874 37875
+0 1
+.names 8309 37875 37876
+1- 1
+-1 1
+.names 37374 37616 37877
+11 1
+.names 37877 37878
+1 1
+.names 37898 37899 37879
+1- 1
+-1 1
+.names 37879 37880
+1 1
+.names 37880 37881
+0 1
+.names 37873 37882
+0 1
+.names 37886 37887 37883
+1- 1
+-1 1
+.names 37883 37884
+1 1
+.names 37910 37911 37885
+1- 1
+-1 1
+.names 37885 37886
+1 1
+.names 37865 37887
+0 1
+.names 37893 37895 37888
+11 1
+.names 37888 37889
+1 1
+.names 55451 55454 37890
+1- 1
+-1 1
+.names 37890 37891
+1 1
+.names 37891 37892
+0 1
+.names 37546 37892 37893
+1- 1
+-1 1
+.names 162 37894
+0 1
+.names 37894 37616 37895
+1- 1
+-1 1
+.names 37574 37435 37896
+11 1
+.names 37896 37897
+1 1
+.names 37878 37898
+0 1
+.names 37897 37899
+0 1
+.names 37903 37905 37900
+11 1
+.names 37900 37901
+1 1
+.names 56658 37902
+0 1
+.names 37902 37574 37903
+1- 1
+-1 1
+.names 7836 37904
+0 1
+.names 37457 37904 37905
+1- 1
+-1 1
+.names 37889 37906
+0 1
+.names 37913 37915 37907
+11 1
+.names 37907 37908
+1 1
+.names 37908 37909
+0 1
+.names 37906 37909 37910
+1- 1
+-1 1
+.names 37901 37911
+0 1
+.names 36573 37912
+0 1
+.names 37374 37912 37913
+1- 1
+-1 1
+.names 433 37914
+0 1
+.names 37435 37914 37915
+1- 1
+-1 1
+.names 37921 37923 37916
+1- 1
+-1 1
+.names 37916 37917
+1 1
+.names 37948 37949 37918
+11 1
+.names 37918 37919
+1 1
+.names 37919 37920
+0 1
+.names 37374 37920 37921
+11 1
+.names 36584 37922
+0 1
+.names 8260 37922 37923
+11 1
+.names 37932 37935 37924
+1- 1
+-1 1
+.names 37924 37925
+1 1
+.names 37958 37960 37926
+11 1
+.names 37926 37927
+1 1
+.names 37927 37928
+0 1
+.names 37966 37968 37929
+11 1
+.names 37929 37930
+1 1
+.names 37930 37931
+0 1
+.names 37928 37931 37932
+1- 1
+-1 1
+.names 37954 37956 37933
+11 1
+.names 37933 37934
+1 1
+.names 37934 37935
+0 1
+.names 37940 32545 37936
+1- 1
+-1 1
+.names 37936 37937
+1 1
+.names 8295 37938
+1 1
+.names 37938 37939
+0 1
+.names 8309 37939 37940
+1- 1
+-1 1
+.names 37943 37347 37941
+11 1
+.names 37941 37942
+1 1
+.names 8319 37943
+0 1
+.names 37378 37536 37944
+11 1
+.names 37944 37945
+1 1
+.names 37961 37962 37946
+1- 1
+-1 1
+.names 37946 37947
+1 1
+.names 37947 37948
+0 1
+.names 37937 37949
+0 1
+.names 37925 37952 37950
+1- 1
+-1 1
+.names 37950 37951
+1 1
+.names 37917 37952
+0 1
+.names 56644 37953
+0 1
+.names 37953 37378 37954
+1- 1
+-1 1
+.names 7686 37955
+0 1
+.names 37457 37955 37956
+1- 1
+-1 1
+.names 148 37957
+0 1
+.names 37957 8295 37958
+1- 1
+-1 1
+.names 36998 37959
+0 1
+.names 37347 37959 37960
+1- 1
+-1 1
+.names 37942 37961
+0 1
+.names 37945 37962
+0 1
+.names 55489 55492 37963
+1- 1
+-1 1
+.names 37963 37964
+1 1
+.names 37964 37965
+0 1
+.names 37943 37965 37966
+1- 1
+-1 1
+.names 419 37967
+0 1
+.names 37536 37967 37968
+1- 1
+-1 1
+.names 8295 37969
+1 1
+.names 37347 37972 37970
+1- 1
+-1 1
+.names 37970 37971
+1 1
+.names 37015 37972
+0 1
+.names 37977 37980 37973
+1- 1
+-1 1
+.names 37973 37974
+1 1
+.names 37984 37986 37975
+1- 1
+-1 1
+.names 37975 37976
+1 1
+.names 37976 37977
+0 1
+.names 37999 38002 37978
+11 1
+.names 37978 37979
+1 1
+.names 37979 37980
+0 1
+.names 38019 38020 37981
+11 1
+.names 37981 37982
+1 1
+.names 37982 37983
+0 1
+.names 37499 37983 37984
+11 1
+.names 7826 37985
+0 1
+.names 8307 37985 37986
+11 1
+.names 37989 37991 37987
+1- 1
+-1 1
+.names 37987 37988
+1 1
+.names 37971 37989
+0 1
+.names 37767 37990
+1 1
+.names 37990 37991
+0 1
+.names 37989 37996 37992
+1- 1
+-1 1
+.names 37992 37993
+1 1
+.names 38029 37994
+0 1
+.names 37994 37995
+1 1
+.names 37995 37996
+0 1
+.names 38003 38006 37997
+1- 1
+-1 1
+.names 37997 37998
+1 1
+.names 37998 37999
+0 1
+.names 38030 38031 38000
+1- 1
+-1 1
+.names 38000 38001
+1 1
+.names 38001 38002
+0 1
+.names 37988 37993 38003
+11 1
+.names 38022 38024 38004
+11 1
+.names 38004 38005
+1 1
+.names 38005 38006
+0 1
+.names 38010 34316 38007
+1- 1
+-1 1
+.names 38007 38008
+1 1
+.names 37969 38009
+0 1
+.names 8302 38009 38010
+1- 1
+-1 1
+.names 38015 38018 38011
+1- 1
+-1 1
+.names 38011 38012
+1 1
+.names 37347 37432 38013
+11 1
+.names 38013 38014
+1 1
+.names 38014 38015
+0 1
+.names 37435 37767 38016
+11 1
+.names 38016 38017
+1 1
+.names 38017 38018
+0 1
+.names 38008 38019
+0 1
+.names 38012 38020
+0 1
+.names 36594 38021
+0 1
+.names 37432 38021 38022
+1- 1
+-1 1
+.names 161 38023
+0 1
+.names 8295 38023 38024
+1- 1
+-1 1
+.names 38027 37468 38025
+1- 1
+-1 1
+.names 38025 38026
+1 1
+.names 56657 38027
+0 1
+.names 55530 55533 38028
+1- 1
+-1 1
+.names 38028 38029
+1 1
+.names 8299 432 38030
+11 1
+.names 38026 38031
+0 1
+.names 38037 38039 38032
+1- 1
+-1 1
+.names 38032 38033
+1 1
+.names 38066 38067 38034
+11 1
+.names 38034 38035
+1 1
+.names 38035 38036
+0 1
+.names 37347 38036 38037
+11 1
+.names 36947 38038
+0 1
+.names 8271 38038 38039
+11 1
+.names 38048 38051 38040
+1- 1
+-1 1
+.names 38040 38041
+1 1
+.names 38072 38074 38042
+11 1
+.names 38042 38043
+1 1
+.names 38043 38044
+0 1
+.names 38076 38080 38045
+11 1
+.names 38045 38046
+1 1
+.names 38046 38047
+0 1
+.names 38044 38047 38048
+1- 1
+-1 1
+.names 38082 38084 38049
+11 1
+.names 38049 38050
+1 1
+.names 38050 38051
+0 1
+.names 38057 22306 38052
+1- 1
+-1 1
+.names 38052 38053
+1 1
+.names 8303 38054
+0 1
+.names 38054 38055
+1 1
+.names 38055 38056
+0 1
+.names 8281 38056 38057
+1- 1
+-1 1
+.names 38062 38065 38058
+1- 1
+-1 1
+.names 38058 38059
+1 1
+.names 37391 37467 38060
+11 1
+.names 38060 38061
+1 1
+.names 38061 38062
+0 1
+.names 37379 37767 38063
+11 1
+.names 38063 38064
+1 1
+.names 38064 38065
+0 1
+.names 38059 38066
+0 1
+.names 38053 38067
+0 1
+.names 38041 38070 38068
+1- 1
+-1 1
+.names 38068 38069
+1 1
+.names 38033 38070
+0 1
+.names 137 38071
+0 1
+.names 38071 37375 38072
+1- 1
+-1 1
+.names 56633 38073
+0 1
+.names 38073 38054 38074
+1- 1
+-1 1
+.names 36511 38075
+0 1
+.names 37467 38075 38076
+1- 1
+-1 1
+.names 55566 55569 38077
+1- 1
+-1 1
+.names 38077 38078
+1 1
+.names 38078 38079
+0 1
+.names 37767 38079 38080
+1- 1
+-1 1
+.names 408 38081
+0 1
+.names 37379 38081 38082
+1- 1
+-1 1
+.names 7665 38083
+0 1
+.names 37391 38083 38084
+1- 1
+-1 1
+.names 38093 38096 38085
+1- 1
+-1 1
+.names 38085 38086
+1 1
+.names 38134 38136 38087
+11 1
+.names 38087 38088
+1 1
+.names 38088 38089
+0 1
+.names 38128 38132 38090
+11 1
+.names 38090 38091
+1 1
+.names 38091 38092
+0 1
+.names 38089 38092 38093
+1- 1
+-1 1
+.names 38118 38120 38094
+11 1
+.names 38094 38095
+1 1
+.names 38095 38096
+0 1
+.names 38101 32680 38097
+1- 1
+-1 1
+.names 38097 38098
+1 1
+.names 8295 38099
+1 1
+.names 38099 38100
+0 1
+.names 8309 38100 38101
+1- 1
+-1 1
+.names 37788 37468 38102
+11 1
+.names 38102 38103
+1 1
+.names 37379 37426 38104
+11 1
+.names 38104 38105
+1 1
+.names 38110 38111 38106
+11 1
+.names 38106 38107
+1 1
+.names 38125 38126 38108
+1- 1
+-1 1
+.names 38108 38109
+1 1
+.names 38109 38110
+0 1
+.names 38098 38111
+0 1
+.names 38086 38116 38112
+1- 1
+-1 1
+.names 38112 38113
+1 1
+.names 38122 38124 38114
+1- 1
+-1 1
+.names 38114 38115
+1 1
+.names 38115 38116
+0 1
+.names 144 38117
+0 1
+.names 38117 8295 38118
+1- 1
+-1 1
+.names 56640 38119
+0 1
+.names 38119 37468 38120
+1- 1
+-1 1
+.names 38107 38121
+0 1
+.names 37482 38121 38122
+11 1
+.names 37032 38123
+0 1
+.names 8284 38123 38124
+11 1
+.names 38103 38125
+0 1
+.names 38105 38126
+0 1
+.names 36624 38127
+0 1
+.names 37788 38127 38128
+1- 1
+-1 1
+.names 55674 55677 38129
+1- 1
+-1 1
+.names 38129 38130
+1 1
+.names 38130 38131
+0 1
+.names 37426 38131 38132
+1- 1
+-1 1
+.names 415 38133
+0 1
+.names 37379 38133 38134
+1- 1
+-1 1
+.names 7956 38135
+0 1
+.names 37457 38135 38136
+1- 1
+-1 1
+.names 38142 38144 38137
+1- 1
+-1 1
+.names 38137 38138
+1 1
+.names 38156 38157 38139
+11 1
+.names 38139 38140
+1 1
+.names 38140 38141
+0 1
+.names 37347 38141 38142
+11 1
+.names 37049 38143
+0 1
+.names 8271 38143 38144
+11 1
+.names 38149 32628 38145
+1- 1
+-1 1
+.names 38145 38146
+1 1
+.names 8295 38147
+1 1
+.names 38147 38148
+0 1
+.names 8307 38148 38149
+1- 1
+-1 1
+.names 37368 37379 38150
+11 1
+.names 38150 38151
+1 1
+.names 38054 37467 38152
+11 1
+.names 38152 38153
+1 1
+.names 38172 38173 38154
+1- 1
+-1 1
+.names 38154 38155
+1 1
+.names 38155 38156
+0 1
+.names 38146 38157
+0 1
+.names 38163 38165 38158
+11 1
+.names 38158 38159
+1 1
+.names 55621 55622 38160
+1- 1
+-1 1
+.names 38160 38161
+1 1
+.names 38161 38162
+0 1
+.names 37368 38162 38163
+1- 1
+-1 1
+.names 155 38164
+0 1
+.names 8295 38164 38165
+1- 1
+-1 1
+.names 38169 38171 38166
+11 1
+.names 38166 38167
+1 1
+.names 56651 38168
+0 1
+.names 38168 38054 38169
+1- 1
+-1 1
+.names 7756 38170
+0 1
+.names 37499 38170 38171
+1- 1
+-1 1
+.names 38151 38172
+0 1
+.names 38153 38173
+0 1
+.names 38178 38179 38174
+1- 1
+-1 1
+.names 38174 38175
+1 1
+.names 38182 38167 38176
+11 1
+.names 38176 38177
+1 1
+.names 38177 38178
+0 1
+.names 38138 38179
+0 1
+.names 38184 38186 38180
+11 1
+.names 38180 38181
+1 1
+.names 38159 38181 38182
+11 1
+.names 36634 38183
+0 1
+.names 37467 38183 38184
+1- 1
+-1 1
+.names 426 38185
+0 1
+.names 37379 38185 38186
+1- 1
+-1 1
+.names 37616 38187
+1 1
+.names 37943 38192 38188
+1- 1
+-1 1
+.names 38188 38189
+1 1
+.names 55803 55806 38190
+1- 1
+-1 1
+.names 38190 38191
+1 1
+.names 38191 38192
+0 1
+.names 38198 38200 38193
+1- 1
+-1 1
+.names 38193 38194
+1 1
+.names 38231 38232 38195
+11 1
+.names 38195 38196
+1 1
+.names 38196 38197
+0 1
+.names 37788 38197 38198
+11 1
+.names 36644 38199
+0 1
+.names 8318 38199 38200
+11 1
+.names 38204 38206 38201
+11 1
+.names 38201 38202
+1 1
+.names 153 38203
+0 1
+.names 38203 37616 38204
+1- 1
+-1 1
+.names 37066 38205
+0 1
+.names 37520 38205 38206
+1- 1
+-1 1
+.names 38219 38220 38207
+1- 1
+-1 1
+.names 38207 38208
+1 1
+.names 37457 38238 38209
+1- 1
+-1 1
+.names 38209 38210
+1 1
+.names 38210 38211
+0 1
+.names 38239 37468 38212
+1- 1
+-1 1
+.names 38212 38213
+1 1
+.names 38213 38214
+0 1
+.names 38211 38214 38215
+1- 1
+-1 1
+.names 37536 38242 38216
+1- 1
+-1 1
+.names 38216 38217
+1 1
+.names 38217 38218
+0 1
+.names 38215 38218 38219
+1- 1
+-1 1
+.names 38189 38220
+0 1
+.names 38224 32823 38221
+1- 1
+-1 1
+.names 38221 38222
+1 1
+.names 38187 38223
+0 1
+.names 8309 38223 38224
+1- 1
+-1 1
+.names 37943 37520 38225
+11 1
+.names 38225 38226
+1 1
+.names 37468 37536 38227
+11 1
+.names 38227 38228
+1 1
+.names 38240 38241 38229
+1- 1
+-1 1
+.names 38229 38230
+1 1
+.names 38230 38231
+0 1
+.names 38222 38232
+0 1
+.names 38236 38237 38233
+1- 1
+-1 1
+.names 38233 38234
+1 1
+.names 38194 38235
+0 1
+.names 38208 38235 38236
+1- 1
+-1 1
+.names 38202 38237
+0 1
+.names 7736 38238
+0 1
+.names 56649 38239
+0 1
+.names 38226 38240
+0 1
+.names 38228 38241
+0 1
+.names 424 38242
+0 1
+.names 38248 38250 38243
+1- 1
+-1 1
+.names 38243 38244
+1 1
+.names 38274 38275 38245
+11 1
+.names 38245 38246
+1 1
+.names 38246 38247
+0 1
+.names 37432 38247 38248
+11 1
+.names 36654 38249
+0 1
+.names 8259 38249 38250
+11 1
+.names 38259 38262 38251
+1- 1
+-1 1
+.names 38251 38252
+1 1
+.names 38280 38282 38253
+11 1
+.names 38253 38254
+1 1
+.names 38254 38255
+0 1
+.names 38288 38290 38256
+11 1
+.names 38256 38257
+1 1
+.names 38257 38258
+0 1
+.names 38255 38258 38259
+1- 1
+-1 1
+.names 38292 38294 38260
+11 1
+.names 38260 38261
+1 1
+.names 38261 38262
+0 1
+.names 38267 32858 38263
+1- 1
+-1 1
+.names 38263 38264
+1 1
+.names 8295 38265
+1 1
+.names 38265 38266
+0 1
+.names 8306 38266 38267
+1- 1
+-1 1
+.names 37943 37536 38268
+11 1
+.names 38268 38269
+1 1
+.names 37378 37482 38270
+11 1
+.names 38270 38271
+1 1
+.names 38283 38284 38272
+1- 1
+-1 1
+.names 38272 38273
+1 1
+.names 38273 38274
+0 1
+.names 38264 38275
+0 1
+.names 38252 38278 38276
+1- 1
+-1 1
+.names 38276 38277
+1 1
+.names 38244 38278
+0 1
+.names 160 38279
+0 1
+.names 38279 8295 38280
+1- 1
+-1 1
+.names 37083 38281
+0 1
+.names 37482 38281 38282
+1- 1
+-1 1
+.names 38269 38283
+0 1
+.names 38271 38284
+0 1
+.names 55818 55821 38285
+1- 1
+-1 1
+.names 38285 38286
+1 1
+.names 38286 38287
+0 1
+.names 37943 38287 38288
+1- 1
+-1 1
+.names 431 38289
+0 1
+.names 37536 38289 38290
+1- 1
+-1 1
+.names 56656 38291
+0 1
+.names 38291 37378 38292
+1- 1
+-1 1
+.names 7816 38293
+0 1
+.names 37391 38293 38294
+1- 1
+-1 1
+.names 38297 37482 38295
+1- 1
+-1 1
+.names 38295 38296
+1 1
+.names 37102 38297
+0 1
+.names 38302 38305 38298
+1- 1
+-1 1
+.names 38298 38299
+1 1
+.names 38309 38311 38300
+1- 1
+-1 1
+.names 38300 38301
+1 1
+.names 38301 38302
+0 1
+.names 38324 38327 38303
+11 1
+.names 38303 38304
+1 1
+.names 38304 38305
+0 1
+.names 38343 38344 38306
+11 1
+.names 38306 38307
+1 1
+.names 38307 38308
+0 1
+.names 37536 38308 38309
+11 1
+.names 439 38310
+0 1
+.names 8262 38310 38311
+11 1
+.names 38314 38316 38312
+1- 1
+-1 1
+.names 38312 38313
+1 1
+.names 38296 38314
+0 1
+.names 37426 38315
+1 1
+.names 38315 38316
+0 1
+.names 38314 38321 38317
+1- 1
+-1 1
+.names 38317 38318
+1 1
+.names 38356 38319
+0 1
+.names 38319 38320
+1 1
+.names 38320 38321
+0 1
+.names 38328 38331 38322
+1- 1
+-1 1
+.names 38322 38323
+1 1
+.names 38323 38324
+0 1
+.names 38345 38348 38325
+1- 1
+-1 1
+.names 38325 38326
+1 1
+.names 38326 38327
+0 1
+.names 38313 38318 38328
+11 1
+.names 38350 38352 38329
+11 1
+.names 38329 38330
+1 1
+.names 38330 38331
+0 1
+.names 38336 5787 38332
+1- 1
+-1 1
+.names 38332 38333
+1 1
+.names 8295 38334
+1 1
+.names 38334 38335
+0 1
+.names 8257 38335 38336
+1- 1
+-1 1
+.names 37391 38054 38337
+11 1
+.names 38337 38338
+1 1
+.names 37426 37482 38339
+11 1
+.names 38339 38340
+1 1
+.names 38353 38354 38341
+1- 1
+-1 1
+.names 38341 38342
+1 1
+.names 38342 38343
+0 1
+.names 38333 38344
+0 1
+.names 8303 56664 38345
+11 1
+.names 38357 37467 38346
+1- 1
+-1 1
+.names 38346 38347
+1 1
+.names 38347 38348
+0 1
+.names 168 38349
+0 1
+.names 8295 38349 38350
+1- 1
+-1 1
+.names 7906 38351
+0 1
+.names 37391 38351 38352
+1- 1
+-1 1
+.names 38338 38353
+0 1
+.names 38340 38354
+0 1
+.names 55859 55862 38355
+1- 1
+-1 1
+.names 38355 38356
+1 1
+.names 36664 38357
+0 1
+.names 38363 38365 38358
+1- 1
+-1 1
+.names 38358 38359
+1 1
+.names 38389 38390 38360
+11 1
+.names 38360 38361
+1 1
+.names 38361 38362
+0 1
+.names 37347 38362 38363
+11 1
+.names 37151 38364
+0 1
+.names 8271 38364 38365
+11 1
+.names 38374 38377 38366
+1- 1
+-1 1
+.names 38366 38367
+1 1
+.names 38401 38403 38368
+11 1
+.names 38368 38369
+1 1
+.names 38369 38370
+0 1
+.names 38407 38409 38371
+11 1
+.names 38371 38372
+1 1
+.names 38372 38373
+0 1
+.names 38370 38373 38374
+1- 1
+-1 1
+.names 38395 38397 38375
+11 1
+.names 38375 38376
+1 1
+.names 38376 38377
+0 1
+.names 38382 32928 38378
+1- 1
+-1 1
+.names 38378 38379
+1 1
+.names 37767 38380
+1 1
+.names 38380 38381
+0 1
+.names 8306 38381 38382
+1- 1
+-1 1
+.names 37788 37616 38383
+11 1
+.names 38383 38384
+1 1
+.names 38054 37536 38385
+11 1
+.names 38385 38386
+1 1
+.names 38404 38405 38387
+1- 1
+-1 1
+.names 38387 38388
+1 1
+.names 38388 38389
+0 1
+.names 38379 38390
+0 1
+.names 38367 38393 38391
+1- 1
+-1 1
+.names 38391 38392
+1 1
+.names 38359 38393
+0 1
+.names 56654 38394
+0 1
+.names 38394 38054 38395
+1- 1
+-1 1
+.names 7796 38396
+0 1
+.names 37391 38396 38397
+1- 1
+-1 1
+.names 55894 55897 38398
+1- 1
+-1 1
+.names 38398 38399
+1 1
+.names 38399 38400
+0 1
+.names 37767 38400 38401
+1- 1
+-1 1
+.names 158 38402
+0 1
+.names 38402 37616 38403
+1- 1
+-1 1
+.names 38384 38404
+0 1
+.names 38386 38405
+0 1
+.names 36674 38406
+0 1
+.names 37788 38406 38407
+1- 1
+-1 1
+.names 429 38408
+0 1
+.names 37536 38408 38409
+1- 1
+-1 1
+.names 38415 38417 38410
+1- 1
+-1 1
+.names 38410 38411
+1 1
+.names 38427 38428 38412
+11 1
+.names 38412 38413
+1 1
+.names 38413 38414
+0 1
+.names 37520 38414 38415
+11 1
+.names 37117 38416
+0 1
+.names 8283 38416 38417
+11 1
+.names 38422 22325 38418
+1- 1
+-1 1
+.names 38418 38419
+1 1
+.names 37546 38420
+1 1
+.names 38420 38421
+0 1
+.names 8309 38421 38422
+1- 1
+-1 1
+.names 37788 37616 38423
+11 1
+.names 38423 38424
+1 1
+.names 38450 38451 38425
+1- 1
+-1 1
+.names 38425 38426
+1 1
+.names 38426 38427
+0 1
+.names 38419 38428
+0 1
+.names 38432 38433 38429
+1- 1
+-1 1
+.names 38429 38430
+1 1
+.names 38460 38461 38431
+1- 1
+-1 1
+.names 38431 38432
+1 1
+.names 38411 38433
+0 1
+.names 37574 37435 38434
+11 1
+.names 38434 38435
+1 1
+.names 38441 38443 38436
+11 1
+.names 38436 38437
+1 1
+.names 55689 55692 38438
+1- 1
+-1 1
+.names 38438 38439
+1 1
+.names 38439 38440
+0 1
+.names 37546 38440 38441
+1- 1
+-1 1
+.names 139 38442
+0 1
+.names 38442 37616 38443
+1- 1
+-1 1
+.names 38447 38449 38444
+11 1
+.names 38444 38445
+1 1
+.names 56635 38446
+0 1
+.names 38446 37574 38447
+1- 1
+-1 1
+.names 7886 38448
+0 1
+.names 37457 38448 38449
+1- 1
+-1 1
+.names 38424 38450
+0 1
+.names 38435 38451
+0 1
+.names 38455 38457 38452
+11 1
+.names 38452 38453
+1 1
+.names 36604 38454
+0 1
+.names 37788 38454 38455
+1- 1
+-1 1
+.names 410 38456
+0 1
+.names 37435 38456 38457
+1- 1
+-1 1
+.names 38437 38458
+0 1
+.names 38453 38459
+0 1
+.names 38458 38459 38460
+1- 1
+-1 1
+.names 38445 38461
+0 1
+.names 38467 38469 38462
+1- 1
+-1 1
+.names 38462 38463
+1 1
+.names 38491 38492 38464
+11 1
+.names 38464 38465
+1 1
+.names 38465 38466
+0 1
+.names 37467 38466 38467
+11 1
+.names 36614 38468
+0 1
+.names 8257 38468 38469
+11 1
+.names 38478 38481 38470
+1- 1
+-1 1
+.names 38470 38471
+1 1
+.names 38501 38503 38472
+11 1
+.names 38472 38473
+1 1
+.names 38473 38474
+0 1
+.names 38509 38511 38475
+11 1
+.names 38475 38476
+1 1
+.names 38476 38477
+0 1
+.names 38474 38477 38478
+1- 1
+-1 1
+.names 38497 38499 38479
+11 1
+.names 38479 38480
+1 1
+.names 38480 38481
+0 1
+.names 38486 22346 38482
+1- 1
+-1 1
+.names 38482 38483
+1 1
+.names 8295 38484
+1 1
+.names 38484 38485
+0 1
+.names 8307 38485 38486
+1- 1
+-1 1
+.names 37468 37347 38487
+11 1
+.names 38487 38488
+1 1
+.names 38512 38513 38489
+1- 1
+-1 1
+.names 38489 38490
+1 1
+.names 38490 38491
+0 1
+.names 38483 38492
+0 1
+.names 38471 38495 38493
+1- 1
+-1 1
+.names 38493 38494
+1 1
+.names 38463 38495
+0 1
+.names 56638 38496
+0 1
+.names 37468 38496 38497
+1- 1
+-1 1
+.names 7936 38498
+0 1
+.names 37499 38498 38499
+1- 1
+-1 1
+.names 142 38500
+0 1
+.names 8295 38500 38501
+1- 1
+-1 1
+.names 37134 38502
+0 1
+.names 37347 38502 38503
+1- 1
+-1 1
+.names 37652 37435 38504
+11 1
+.names 38504 38505
+1 1
+.names 55734 55737 38506
+1- 1
+-1 1
+.names 38506 38507
+1 1
+.names 38507 38508
+0 1
+.names 37652 38508 38509
+1- 1
+-1 1
+.names 413 38510
+0 1
+.names 37435 38510 38511
+1- 1
+-1 1
+.names 38505 38512
+0 1
+.names 38488 38513
+0 1
+.names 37482 38516 38514
+1- 1
+-1 1
+.names 38514 38515
+1 1
+.names 37168 38516
+0 1
+.names 38521 38524 38517
+1- 1
+-1 1
+.names 38517 38518
+1 1
+.names 38573 38574 38519
+11 1
+.names 38519 38520
+1 1
+.names 38520 38521
+0 1
+.names 38528 38530 38522
+1- 1
+-1 1
+.names 38522 38523
+1 1
+.names 38523 38524
+0 1
+.names 38551 38554 38525
+11 1
+.names 38525 38526
+1 1
+.names 38526 38527
+0 1
+.names 37391 38527 38528
+11 1
+.names 7706 38529
+0 1
+.names 8306 38529 38530
+11 1
+.names 38533 38535 38531
+1- 1
+-1 1
+.names 38531 38532
+1 1
+.names 38515 38533
+0 1
+.names 37652 38534
+1 1
+.names 38534 38535
+0 1
+.names 38533 38540 38536
+1- 1
+-1 1
+.names 38536 38537
+1 1
+.names 38542 38538
+0 1
+.names 38538 38539
+1 1
+.names 38539 38540
+0 1
+.names 55960 55963 38541
+1- 1
+-1 1
+.names 38541 38542
+1 1
+.names 38547 38550 38543
+1- 1
+-1 1
+.names 38543 38544
+1 1
+.names 37482 37467 38545
+11 1
+.names 38545 38546
+1 1
+.names 38546 38547
+0 1
+.names 37435 37652 38548
+11 1
+.names 38548 38549
+1 1
+.names 38549 38550
+0 1
+.names 38544 38551
+0 1
+.names 38570 32970 38552
+1- 1
+-1 1
+.names 38552 38553
+1 1
+.names 38553 38554
+0 1
+.names 38558 38560 38555
+11 1
+.names 38555 38556
+1 1
+.names 36685 38557
+0 1
+.names 37467 38557 38558
+1- 1
+-1 1
+.names 150 38559
+0 1
+.names 8295 38559 38560
+1- 1
+-1 1
+.names 37435 38563 38561
+1- 1
+-1 1
+.names 38561 38562
+1 1
+.names 421 38563
+0 1
+.names 38566 38567 38564
+1- 1
+-1 1
+.names 38564 38565
+1 1
+.names 8304 56646 38566
+11 1
+.names 38562 38567
+0 1
+.names 8295 38568
+1 1
+.names 38568 38569
+0 1
+.names 8304 38569 38570
+1- 1
+-1 1
+.names 38575 38576 38571
+1- 1
+-1 1
+.names 38571 38572
+1 1
+.names 38572 38573
+0 1
+.names 38565 38574
+0 1
+.names 38532 38537 38575
+11 1
+.names 38556 38576
+0 1
+.names 38582 38584 38577
+1- 1
+-1 1
+.names 38577 38578
+1 1
+.names 38608 38609 38579
+11 1
+.names 38579 38580
+1 1
+.names 38580 38581
+0 1
+.names 37482 38581 38582
+11 1
+.names 37185 38583
+0 1
+.names 8284 38583 38584
+11 1
+.names 38593 38596 38585
+1- 1
+-1 1
+.names 38585 38586
+1 1
+.names 38616 38618 38587
+11 1
+.names 38587 38588
+1 1
+.names 38588 38589
+0 1
+.names 38626 38628 38590
+11 1
+.names 38590 38591
+1 1
+.names 38591 38592
+0 1
+.names 38589 38592 38593
+1- 1
+-1 1
+.names 38620 38622 38594
+11 1
+.names 38594 38595
+1 1
+.names 38595 38596
+0 1
+.names 38601 33004 38597
+1- 1
+-1 1
+.names 38597 38598
+1 1
+.names 37546 38599
+1 1
+.names 38599 38600
+0 1
+.names 8306 38600 38601
+1- 1
+-1 1
+.names 37432 37616 38602
+11 1
+.names 38602 38603
+1 1
+.names 37378 37536 38604
+11 1
+.names 38604 38605
+1 1
+.names 38623 38624 38606
+1- 1
+-1 1
+.names 38606 38607
+1 1
+.names 38607 38608
+0 1
+.names 38598 38609
+0 1
+.names 38586 38612 38610
+1- 1
+-1 1
+.names 38610 38611
+1 1
+.names 38578 38612
+0 1
+.names 55975 55978 38613
+1- 1
+-1 1
+.names 38613 38614
+1 1
+.names 38614 38615
+0 1
+.names 37546 38615 38616
+1- 1
+-1 1
+.names 151 38617
+0 1
+.names 38617 37616 38618
+1- 1
+-1 1
+.names 56647 38619
+0 1
+.names 38619 37378 38620
+1- 1
+-1 1
+.names 7716 38621
+0 1
+.names 37391 38621 38622
+1- 1
+-1 1
+.names 38603 38623
+0 1
+.names 38605 38624
+0 1
+.names 36695 38625
+0 1
+.names 37432 38625 38626
+1- 1
+-1 1
+.names 422 38627
+0 1
+.names 37536 38627 38628
+1- 1
+-1 1
+.names 38634 38636 38629
+1- 1
+-1 1
+.names 38629 38630
+1 1
+.names 38660 38661 38631
+11 1
+.names 38631 38632
+1 1
+.names 38632 38633
+0 1
+.names 37520 38633 38634
+11 1
+.names 37204 38635
+0 1
+.names 8283 38635 38636
+11 1
+.names 38645 38648 38637
+1- 1
+-1 1
+.names 38637 38638
+1 1
+.names 38674 38676 38639
+11 1
+.names 38639 38640
+1 1
+.names 38640 38641
+0 1
+.names 38678 38680 38642
+11 1
+.names 38642 38643
+1 1
+.names 38643 38644
+0 1
+.names 38641 38644 38645
+1- 1
+-1 1
+.names 38666 38668 38646
+11 1
+.names 38646 38647
+1 1
+.names 38647 38648
+0 1
+.names 38653 34818 38649
+1- 1
+-1 1
+.names 38649 38650
+1 1
+.names 37368 38651
+1 1
+.names 38651 38652
+0 1
+.names 8309 38652 38653
+1- 1
+-1 1
+.names 37432 37616 38654
+11 1
+.names 38654 38655
+1 1
+.names 38054 37536 38656
+11 1
+.names 38656 38657
+1 1
+.names 38669 38670 38658
+1- 1
+-1 1
+.names 38658 38659
+1 1
+.names 38659 38660
+0 1
+.names 38650 38661
+0 1
+.names 38638 38664 38662
+1- 1
+-1 1
+.names 38662 38663
+1 1
+.names 38630 38664
+0 1
+.names 56661 38665
+0 1
+.names 38665 38054 38666
+1- 1
+-1 1
+.names 7866 38667
+0 1
+.names 37457 38667 38668
+1- 1
+-1 1
+.names 38655 38669
+0 1
+.names 38657 38670
+0 1
+.names 56016 56019 38671
+1- 1
+-1 1
+.names 38671 38672
+1 1
+.names 38672 38673
+0 1
+.names 37368 38673 38674
+1- 1
+-1 1
+.names 165 38675
+0 1
+.names 38675 37616 38676
+1- 1
+-1 1
+.names 36705 38677
+0 1
+.names 37432 38677 38678
+1- 1
+-1 1
+.names 436 38679
+0 1
+.names 37536 38679 38680
+1- 1
+-1 1
+.names 38686 38688 38681
+1- 1
+-1 1
+.names 38681 38682
+1 1
+.names 38712 38713 38683
+11 1
+.names 38683 38684
+1 1
+.names 38684 38685
+0 1
+.names 37788 38685 38686
+11 1
+.names 36715 38687
+0 1
+.names 8318 38687 38688
+11 1
+.names 38697 38700 38689
+1- 1
+-1 1
+.names 38689 38690
+1 1
+.names 38718 38720 38691
+11 1
+.names 38691 38692
+1 1
+.names 38692 38693
+0 1
+.names 38730 38732 38694
+11 1
+.names 38694 38695
+1 1
+.names 38695 38696
+0 1
+.names 38693 38696 38697
+1- 1
+-1 1
+.names 38722 38724 38698
+11 1
+.names 38698 38699
+1 1
+.names 38699 38700
+0 1
+.names 38705 33087 38701
+1- 1
+-1 1
+.names 38701 38702
+1 1
+.names 37375 38703
+1 1
+.names 38703 38704
+0 1
+.names 8307 38704 38705
+1- 1
+-1 1
+.names 37943 37482 38706
+11 1
+.names 38706 38707
+1 1
+.names 37378 37379 38708
+11 1
+.names 38708 38709
+1 1
+.names 38725 38726 38710
+1- 1
+-1 1
+.names 38710 38711
+1 1
+.names 38711 38712
+0 1
+.names 38702 38713
+0 1
+.names 38690 38716 38714
+1- 1
+-1 1
+.names 38714 38715
+1 1
+.names 38682 38716
+0 1
+.names 149 38717
+0 1
+.names 38717 37375 38718
+1- 1
+-1 1
+.names 37219 38719
+0 1
+.names 37482 38719 38720
+1- 1
+-1 1
+.names 56645 38721
+0 1
+.names 38721 37378 38722
+1- 1
+-1 1
+.names 7696 38723
+0 1
+.names 37499 38723 38724
+1- 1
+-1 1
+.names 38707 38725
+0 1
+.names 38709 38726
+0 1
+.names 56083 56086 38727
+1- 1
+-1 1
+.names 38727 38728
+1 1
+.names 38728 38729
+0 1
+.names 37943 38729 38730
+1- 1
+-1 1
+.names 420 38731
+0 1
+.names 37379 38731 38732
+1- 1
+-1 1
+.names 38738 38740 38733
+1- 1
+-1 1
+.names 38733 38734
+1 1
+.names 38765 38766 38735
+11 1
+.names 38735 38736
+1 1
+.names 38736 38737
+0 1
+.names 37347 38737 38738
+11 1
+.names 37236 38739
+0 1
+.names 8271 38739 38740
+11 1
+.names 38749 38752 38741
+1- 1
+-1 1
+.names 38741 38742
+1 1
+.names 38783 38785 38743
+11 1
+.names 38743 38744
+1 1
+.names 38744 38745
+0 1
+.names 38777 38779 38746
+11 1
+.names 38746 38747
+1 1
+.names 38747 38748
+0 1
+.names 38745 38748 38749
+1- 1
+-1 1
+.names 38773 38775 38750
+11 1
+.names 38750 38751
+1 1
+.names 38751 38752
+0 1
+.names 38757 38758 38753
+1- 1
+-1 1
+.names 38753 38754
+1 1
+.names 37767 38755
+1 1
+.names 38755 38756
+0 1
+.names 8307 38756 38757
+1- 1
+-1 1
+.names 56589 38758
+0 1
+.names 37788 37375 38759
+11 1
+.names 38759 38760
+1 1
+.names 37378 37536 38761
+11 1
+.names 38761 38762
+1 1
+.names 38770 38771 38763
+1- 1
+-1 1
+.names 38763 38764
+1 1
+.names 38764 38765
+0 1
+.names 38754 38766
+0 1
+.names 38742 38769 38767
+1- 1
+-1 1
+.names 38767 38768
+1 1
+.names 38734 38769
+0 1
+.names 38760 38770
+0 1
+.names 38762 38771
+0 1
+.names 56659 38772
+0 1
+.names 38772 37378 38773
+1- 1
+-1 1
+.names 7846 38774
+0 1
+.names 37499 38774 38775
+1- 1
+-1 1
+.names 36725 38776
+0 1
+.names 37788 38776 38777
+1- 1
+-1 1
+.names 434 38778
+0 1
+.names 37536 38778 38779
+1- 1
+-1 1
+.names 56098 56101 38780
+1- 1
+-1 1
+.names 38780 38781
+1 1
+.names 38781 38782
+0 1
+.names 37767 38782 38783
+1- 1
+-1 1
+.names 163 38784
+0 1
+.names 38784 37375 38785
+1- 1
+-1 1
+.names 38788 37347 38786
+1- 1
+-1 1
+.names 38786 38787
+1 1
+.names 37255 38788
+0 1
+.names 38793 38796 38789
+1- 1
+-1 1
+.names 38789 38790
+1 1
+.names 38815 38818 38791
+11 1
+.names 38791 38792
+1 1
+.names 38792 38793
+0 1
+.names 38800 38802 38794
+1- 1
+-1 1
+.names 38794 38795
+1 1
+.names 38795 38796
+0 1
+.names 38836 38837 38797
+11 1
+.names 38797 38798
+1 1
+.names 38798 38799
+0 1
+.names 37379 38799 38800
+11 1
+.names 435 38801
+0 1
+.names 8264 38801 38802
+11 1
+.names 38805 38807 38803
+1- 1
+-1 1
+.names 38803 38804
+1 1
+.names 38787 38805
+0 1
+.names 37368 38806
+1 1
+.names 38806 38807
+0 1
+.names 38805 38812 38808
+1- 1
+-1 1
+.names 38808 38809
+1 1
+.names 38847 38810
+0 1
+.names 38810 38811
+1 1
+.names 38811 38812
+0 1
+.names 38819 38822 38813
+1- 1
+-1 1
+.names 38813 38814
+1 1
+.names 38814 38815
+0 1
+.names 38842 38845 38816
+1- 1
+-1 1
+.names 38816 38817
+1 1
+.names 38817 38818
+0 1
+.names 38804 38809 38819
+11 1
+.names 38839 38841 38820
+11 1
+.names 38820 38821
+1 1
+.names 38821 38822
+0 1
+.names 38827 33161 38823
+1- 1
+-1 1
+.names 38823 38824
+1 1
+.names 37375 38825
+1 1
+.names 38825 38826
+0 1
+.names 8257 38826 38827
+1- 1
+-1 1
+.names 38832 38835 38828
+1- 1
+-1 1
+.names 38828 38829
+1 1
+.names 37574 37347 38830
+11 1
+.names 38830 38831
+1 1
+.names 38831 38832
+0 1
+.names 37368 37499 38833
+11 1
+.names 38833 38834
+1 1
+.names 38834 38835
+0 1
+.names 38829 38836
+0 1
+.names 38824 38837
+0 1
+.names 164 38838
+0 1
+.names 37375 38838 38839
+1- 1
+-1 1
+.names 7856 38840
+0 1
+.names 37499 38840 38841
+1- 1
+-1 1
+.names 8304 56660 38842
+11 1
+.names 38848 37467 38843
+1- 1
+-1 1
+.names 38843 38844
+1 1
+.names 38844 38845
+0 1
+.names 56142 56145 38846
+1- 1
+-1 1
+.names 38846 38847
+1 1
+.names 36735 38848
+0 1
+.names 38854 38856 38849
+1- 1
+-1 1
+.names 38849 38850
+1 1
+.names 38880 38881 38851
+11 1
+.names 38851 38852
+1 1
+.names 38852 38853
+0 1
+.names 37520 38853 38854
+11 1
+.names 37270 38855
+0 1
+.names 8283 38855 38856
+11 1
+.names 38865 38868 38857
+1- 1
+-1 1
+.names 38857 38858
+1 1
+.names 38894 38896 38859
+11 1
+.names 38859 38860
+1 1
+.names 38860 38861
+0 1
+.names 38898 38900 38862
+11 1
+.names 38862 38863
+1 1
+.names 38863 38864
+0 1
+.names 38861 38864 38865
+1- 1
+-1 1
+.names 38886 38888 38866
+11 1
+.names 38866 38867
+1 1
+.names 38867 38868
+0 1
+.names 38873 22359 38869
+1- 1
+-1 1
+.names 38869 38870
+1 1
+.names 37368 38871
+1 1
+.names 38871 38872
+0 1
+.names 8309 38872 38873
+1- 1
+-1 1
+.names 37788 37616 38874
+11 1
+.names 38874 38875
+1 1
+.names 38054 37379 38876
+11 1
+.names 38876 38877
+1 1
+.names 38889 38890 38878
+1- 1
+-1 1
+.names 38878 38879
+1 1
+.names 38879 38880
+0 1
+.names 38870 38881
+0 1
+.names 38858 38884 38882
+1- 1
+-1 1
+.names 38882 38883
+1 1
+.names 38850 38884
+0 1
+.names 56636 38885
+0 1
+.names 38885 38054 38886
+1- 1
+-1 1
+.names 7916 38887
+0 1
+.names 37457 38887 38888
+1- 1
+-1 1
+.names 38875 38889
+0 1
+.names 38877 38890
+0 1
+.names 56205 56208 38891
+1- 1
+-1 1
+.names 38891 38892
+1 1
+.names 38892 38893
+0 1
+.names 37368 38893 38894
+1- 1
+-1 1
+.names 140 38895
+0 1
+.names 38895 37616 38896
+1- 1
+-1 1
+.names 36745 38897
+0 1
+.names 37788 38897 38898
+1- 1
+-1 1
+.names 411 38899
+0 1
+.names 37379 38899 38900
+1- 1
+-1 1
+.names 38906 38908 38901
+1- 1
+-1 1
+.names 38901 38902
+1 1
+.names 38932 38933 38903
+11 1
+.names 38903 38904
+1 1
+.names 38904 38905
+0 1
+.names 37520 38905 38906
+11 1
+.names 37287 38907
+0 1
+.names 8283 38907 38908
+11 1
+.names 38917 38920 38909
+1- 1
+-1 1
+.names 38909 38910
+1 1
+.names 38946 38948 38911
+11 1
+.names 38911 38912
+1 1
+.names 38912 38913
+0 1
+.names 38950 38952 38914
+11 1
+.names 38914 38915
+1 1
+.names 38915 38916
+0 1
+.names 38913 38916 38917
+1- 1
+-1 1
+.names 38938 38940 38918
+11 1
+.names 38918 38919
+1 1
+.names 38919 38920
+0 1
+.names 38925 22376 38921
+1- 1
+-1 1
+.names 38921 38922
+1 1
+.names 37546 38923
+1 1
+.names 38923 38924
+0 1
+.names 8307 38924 38925
+1- 1
+-1 1
+.names 37374 37375 38926
+11 1
+.names 38926 38927
+1 1
+.names 37378 37379 38928
+11 1
+.names 38928 38929
+1 1
+.names 38941 38942 38930
+1- 1
+-1 1
+.names 38930 38931
+1 1
+.names 38931 38932
+0 1
+.names 38922 38933
+0 1
+.names 38910 38936 38934
+1- 1
+-1 1
+.names 38934 38935
+1 1
+.names 38902 38936
+0 1
+.names 56637 38937
+0 1
+.names 38937 37378 38938
+1- 1
+-1 1
+.names 7926 38939
+0 1
+.names 37499 38939 38940
+1- 1
+-1 1
+.names 38927 38941
+0 1
+.names 38929 38942
+0 1
+.names 56220 56223 38943
+1- 1
+-1 1
+.names 38943 38944
+1 1
+.names 38944 38945
+0 1
+.names 37546 38945 38946
+1- 1
+-1 1
+.names 141 38947
+0 1
+.names 38947 37375 38948
+1- 1
+-1 1
+.names 36755 38949
+0 1
+.names 37374 38949 38950
+1- 1
+-1 1
+.names 412 38951
+0 1
+.names 37379 38951 38952
+1- 1
+-1 1
+.names 38959 38961 38953
+1- 1
+-1 1
+.names 38953 38954
+1 1
+.names 8294 38955
+0 1
+.names 38983 38984 38956
+11 1
+.names 38956 38957
+1 1
+.names 38957 38958
+0 1
+.names 38955 38958 38959
+11 1
+.names 152 38960
+0 1
+.names 8294 38960 38961
+11 1
+.names 38970 38973 38962
+1- 1
+-1 1
+.names 38962 38963
+1 1
+.names 38995 38997 38964
+11 1
+.names 38964 38965
+1 1
+.names 38965 38966
+0 1
+.names 39001 39005 38967
+11 1
+.names 38967 38968
+1 1
+.names 38968 38969
+0 1
+.names 38966 38969 38970
+1- 1
+-1 1
+.names 38989 38991 38971
+11 1
+.names 38971 38972
+1 1
+.names 38972 38973
+0 1
+.names 38976 38978 38974
+1- 1
+-1 1
+.names 38974 38975
+1 1
+.names 8271 33274 38976
+1- 1
+-1 1
+.names 37374 38977
+1 1
+.names 38977 38978
+0 1
+.names 37391 37468 38979
+11 1
+.names 38979 38980
+1 1
+.names 38998 38999 38981
+1- 1
+-1 1
+.names 38981 38982
+1 1
+.names 38982 38983
+0 1
+.names 38975 38984
+0 1
+.names 38963 38987 38985
+1- 1
+-1 1
+.names 38985 38986
+1 1
+.names 38954 38987
+0 1
+.names 36765 38988
+0 1
+.names 37374 38988 38989
+1- 1
+-1 1
+.names 56648 38990
+0 1
+.names 37468 38990 38991
+1- 1
+-1 1
+.names 37435 37652 38992
+11 1
+.names 38992 38993
+1 1
+.names 423 38994
+0 1
+.names 37435 38994 38995
+1- 1
+-1 1
+.names 37305 38996
+0 1
+.names 37347 38996 38997
+1- 1
+-1 1
+.names 38980 38998
+0 1
+.names 38993 38999
+0 1
+.names 7726 39000
+0 1
+.names 37391 39000 39001
+1- 1
+-1 1
+.names 56259 56262 39002
+1- 1
+-1 1
+.names 39002 39003
+1 1
+.names 39003 39004
+0 1
+.names 37652 39004 39005
+1- 1
+-1 1
+.names 39011 39013 39006
+1- 1
+-1 1
+.names 39006 39007
+1 1
+.names 39025 39026 39008
+11 1
+.names 39008 39009
+1 1
+.names 39009 39010
+0 1
+.names 37520 39010 39011
+11 1
+.names 37321 39012
+0 1
+.names 8283 39012 39013
+11 1
+.names 39018 33316 39014
+1- 1
+-1 1
+.names 39014 39015
+1 1
+.names 8295 39016
+1 1
+.names 39016 39017
+0 1
+.names 8306 39017 39018
+1- 1
+-1 1
+.names 37374 37468 39019
+11 1
+.names 39019 39020
+1 1
+.names 37536 37652 39021
+11 1
+.names 39021 39022
+1 1
+.names 39056 39057 39023
+1- 1
+-1 1
+.names 39023 39024
+1 1
+.names 39024 39025
+0 1
+.names 39015 39026
+0 1
+.names 39030 39031 39027
+1- 1
+-1 1
+.names 39027 39028
+1 1
+.names 39054 39055 39029
+1- 1
+-1 1
+.names 39029 39030
+1 1
+.names 39007 39031
+0 1
+.names 39035 39039 39032
+11 1
+.names 39032 39033
+1 1
+.names 36775 39034
+0 1
+.names 37374 39034 39035
+1- 1
+-1 1
+.names 56331 56332 39036
+1- 1
+-1 1
+.names 39036 39037
+1 1
+.names 39037 39038
+0 1
+.names 37652 39038 39039
+1- 1
+-1 1
+.names 39043 39045 39040
+11 1
+.names 39040 39041
+1 1
+.names 428 39042
+0 1
+.names 37536 39042 39043
+1- 1
+-1 1
+.names 7786 39044
+0 1
+.names 37391 39044 39045
+1- 1
+-1 1
+.names 39049 39051 39046
+11 1
+.names 39046 39047
+1 1
+.names 157 39048
+0 1
+.names 39048 8295 39049
+1- 1
+-1 1
+.names 56653 39050
+0 1
+.names 39050 37468 39051
+1- 1
+-1 1
+.names 39041 39052
+0 1
+.names 39033 39053
+0 1
+.names 39052 39053 39054
+1- 1
+-1 1
+.names 39047 39055
+0 1
+.names 39020 39056
+0 1
+.names 39022 39057
+0 1
+.names 39063 39065 39058
+1- 1
+-1 1
+.names 39058 39059
+1 1
+.names 39090 39091 39060
+11 1
+.names 39060 39061
+1 1
+.names 39061 39062
+0 1
+.names 37482 39062 39063
+11 1
+.names 37338 39064
+0 1
+.names 8284 39064 39065
+11 1
+.names 39074 39077 39066
+1- 1
+-1 1
+.names 39066 39067
+1 1
+.names 39098 39100 39068
+11 1
+.names 39068 39069
+1 1
+.names 39069 39070
+0 1
+.names 39106 39108 39071
+11 1
+.names 39071 39072
+1 1
+.names 39072 39073
+0 1
+.names 39070 39073 39074
+1- 1
+-1 1
+.names 39102 39104 39075
+11 1
+.names 39075 39076
+1 1
+.names 39076 39077
+0 1
+.names 39082 39083 39078
+1- 1
+-1 1
+.names 39078 39079
+1 1
+.names 37767 39080
+1 1
+.names 39080 39081
+0 1
+.names 8306 39081 39082
+1- 1
+-1 1
+.names 56592 39083
+0 1
+.names 37374 37616 39084
+11 1
+.names 39084 39085
+1 1
+.names 37378 37379 39086
+11 1
+.names 39086 39087
+1 1
+.names 39109 39110 39088
+1- 1
+-1 1
+.names 39088 39089
+1 1
+.names 39089 39090
+0 1
+.names 39079 39091
+0 1
+.names 39067 39094 39092
+1- 1
+-1 1
+.names 39092 39093
+1 1
+.names 39059 39094
+0 1
+.names 56340 56343 39095
+1- 1
+-1 1
+.names 39095 39096
+1 1
+.names 39096 39097
+0 1
+.names 37767 39097 39098
+1- 1
+-1 1
+.names 166 39099
+0 1
+.names 39099 37616 39100
+1- 1
+-1 1
+.names 56662 39101
+0 1
+.names 39101 37378 39102
+1- 1
+-1 1
+.names 7876 39103
+0 1
+.names 37391 39103 39104
+1- 1
+-1 1
+.names 36785 39105
+0 1
+.names 37374 39105 39106
+1- 1
+-1 1
+.names 437 39107
+0 1
+.names 37379 39107 39108
+1- 1
+-1 1
+.names 39085 39109
+0 1
+.names 39087 39110
+0 1
+.names 39113 39114 39111
+1- 1
+-1 1
+.names 39111 39112
+1 1
+.names 8334 39113
+0 1
+.names 1380 39114
+0 1
+.names 39114 39117 39115
+1- 1
+-1 1
+.names 39115 39116
+1 1
+.names 8355 39117
+0 1
+.names 39124 39127 39118
+1- 1
+-1 1
+.names 39118 39119
+1 1
+.names 39131 39132 39120
+1- 1
+-1 1
+.names 39120 39121
+1 1
+.names 39121 39122
+0 1
+.names 39116 39123
+0 1
+.names 39122 39123 39124
+1- 1
+-1 1
+.names 39135 39137 39125
+11 1
+.names 39125 39126
+1 1
+.names 39126 39127
+0 1
+.names 39148 39149 39128
+11 1
+.names 39128 39129
+1 1
+.names 39129 39130
+0 1
+.names 2413 39130 39131
+11 1
+.names 1783 2413 39132
+11 1
+.names 8344 39150 39133
+1- 1
+-1 1
+.names 39133 39134
+1 1
+.names 39134 39112 39135
+11 1
+.names 8352 39114 39136
+1- 1
+-1 1
+.names 39136 39137
+1 1
+.names 39142 39143 39138
+1- 1
+-1 1
+.names 39138 39139
+1 1
+.names 39113 39140
+1 1
+.names 39140 39141
+0 1
+.names 8355 39141 39142
+1- 1
+-1 1
+.names 1381 39143
+0 1
+.names 8357 39147 39144
+1- 1
+-1 1
+.names 39144 39145
+1 1
+.names 8352 39146
+1 1
+.names 39146 39147
+0 1
+.names 39139 39148
+0 1
+.names 39145 39149
+0 1
+.names 1382 39150
+0 1
+.names 39153 39117 39151
+1- 1
+-1 1
+.names 39151 39152
+1 1
+.names 1383 39153
+0 1
+.names 39160 39162 39154
+1- 1
+-1 1
+.names 39154 39155
+1 1
+.names 8356 39156
+0 1
+.names 39172 39173 39157
+11 1
+.names 39157 39158
+1 1
+.names 39158 39159
+0 1
+.names 39156 39159 39160
+11 1
+.names 1384 39161
+0 1
+.names 8356 39161 39162
+11 1
+.names 39167 39150 39163
+1- 1
+-1 1
+.names 39163 39164
+1 1
+.names 8352 39165
+1 1
+.names 39165 39166
+0 1
+.names 8366 39166 39167
+1- 1
+-1 1
+.names 8355 39171 39168
+1- 1
+-1 1
+.names 39168 39169
+1 1
+.names 2413 39170
+1 1
+.names 39170 39171
+0 1
+.names 39164 39172
+0 1
+.names 39169 39173
+0 1
+.names 39189 39190 39174
+1- 1
+-1 1
+.names 39174 39175
+1 1
+.names 39155 39176
+0 1
+.names 8352 39150 39177
+1- 1
+-1 1
+.names 39177 39178
+1 1
+.names 2413 39179
+1 1
+.names 39179 39180
+1 1
+.names 39183 39184 39181
+1- 1
+-1 1
+.names 39181 39182
+1 1
+.names 1385 39183
+0 1
+.names 8366 39184
+0 1
+.names 39180 39185
+0 1
+.names 39182 39186
+0 1
+.names 39185 39186 39187
+1- 1
+-1 1
+.names 39178 39188
+0 1
+.names 39187 39188 39189
+1- 1
+-1 1
+.names 39152 39190
+0 1
+.names 39205 39207 39191
+1- 1
+-1 1
+.names 39191 39192
+1 1
+.names 39192 39193
+0 1
+.names 2413 39194
+1 1
+.names 39194 39195
+1 1
+.names 39195 39196
+0 1
+.names 39193 39196 39197
+1- 1
+-1 1
+.names 39212 39214 39198
+11 1
+.names 39198 39199
+1 1
+.names 39199 39200
+0 1
+.names 8326 39201
+0 1
+.names 39221 39222 39202
+11 1
+.names 39202 39203
+1 1
+.names 39203 39204
+0 1
+.names 39201 39204 39205
+11 1
+.names 1386 39206
+0 1
+.names 8326 39206 39207
+11 1
+.names 39156 2413 39208
+1- 1
+-1 1
+.names 39208 39209
+1 1
+.names 8344 39230 39210
+1- 1
+-1 1
+.names 39210 39211
+1 1
+.names 39209 39211 39212
+11 1
+.names 39223 39224 39213
+1- 1
+-1 1
+.names 39213 39214
+1 1
+.names 8357 39218 39215
+1- 1
+-1 1
+.names 39215 39216
+1 1
+.names 2413 39217
+1 1
+.names 39217 39218
+0 1
+.names 39229 39223 39219
+1- 1
+-1 1
+.names 39219 39220
+1 1
+.names 39220 39221
+0 1
+.names 39216 39222
+0 1
+.names 1387 39223
+0 1
+.names 8341 39224
+0 1
+.names 39224 39225
+1 1
+.names 39225 39226
+0 1
+.names 39156 39227
+1 1
+.names 39227 39228
+0 1
+.names 39226 39228 39229
+1- 1
+-1 1
+.names 1388 39230
+0 1
+.names 39245 39246 39231
+1- 1
+-1 1
+.names 39231 39232
+1 1
+.names 39232 39233
+0 1
+.names 2413 39234
+1 1
+.names 39234 39235
+1 1
+.names 39235 39236
+0 1
+.names 39233 39236 39237
+1- 1
+-1 1
+.names 39264 39265 39238
+11 1
+.names 39238 39239
+1 1
+.names 39239 39240
+0 1
+.names 8362 39241
+0 1
+.names 39253 39254 39242
+11 1
+.names 39242 39243
+1 1
+.names 39243 39244
+0 1
+.names 39241 39244 39245
+11 1
+.names 8362 39114 39246
+11 1
+.names 8361 39250 39247
+1- 1
+-1 1
+.names 39247 39248
+1 1
+.names 2413 39249
+1 1
+.names 39249 39250
+0 1
+.names 39272 39273 39251
+1- 1
+-1 1
+.names 39251 39252
+1 1
+.names 39252 39253
+0 1
+.names 39248 39254
+0 1
+.names 39114 39257 39255
+1- 1
+-1 1
+.names 39255 39256
+1 1
+.names 8361 39257
+0 1
+.names 39260 39261 39258
+1- 1
+-1 1
+.names 39258 39259
+1 1
+.names 1382 8335 39260
+11 1
+.names 39256 39261
+0 1
+.names 8365 1389 39262
+11 1
+.names 39262 39263
+1 1
+.names 39263 39264
+0 1
+.names 39259 39265
+0 1
+.names 8335 39266
+0 1
+.names 39266 39267
+1 1
+.names 8365 39268
+0 1
+.names 39268 39269
+1 1
+.names 39269 39270
+0 1
+.names 39267 39271
+0 1
+.names 39270 39271 39272
+1- 1
+-1 1
+.names 1390 39273
+0 1
+.names 39266 39114 39274
+1- 1
+-1 1
+.names 39274 39275
+1 1
+.names 39114 8352 39276
+1- 1
+-1 1
+.names 39276 39277
+1 1
+.names 8364 39281 39278
+1- 1
+-1 1
+.names 39278 39279
+1 1
+.names 2413 39280
+1 1
+.names 39280 39281
+0 1
+.names 39287 39288 39282
+1- 1
+-1 1
+.names 39282 39283
+1 1
+.names 39291 39292 39284
+11 1
+.names 39284 39285
+1 1
+.names 39285 39286
+0 1
+.names 39241 39286 39287
+11 1
+.names 8362 39114 39288
+11 1
+.names 39295 39296 39289
+1- 1
+-1 1
+.names 39289 39290
+1 1
+.names 39290 39291
+0 1
+.names 39279 39292
+0 1
+.names 39266 39293
+1 1
+.names 39293 39294
+0 1
+.names 8338 39294 39295
+1- 1
+-1 1
+.names 1391 39296
+0 1
+.names 39303 39304 39297
+1- 1
+-1 1
+.names 39297 39298
+1 1
+.names 39275 39299
+0 1
+.names 39313 39314 39300
+1- 1
+-1 1
+.names 39300 39301
+1 1
+.names 39301 39302
+0 1
+.names 39299 39302 39303
+1- 1
+-1 1
+.names 39277 39304
+0 1
+.names 39307 39310 39305
+11 1
+.names 39305 39306
+1 1
+.names 39298 39307
+0 1
+.names 1783 39308
+1 1
+.names 39308 39309
+1 1
+.names 39309 39310
+0 1
+.names 39283 39311
+0 1
+.names 39306 39312
+0 1
+.names 8364 39313
+0 1
+.names 1392 39314
+0 1
+.names 39323 39326 39315
+1- 1
+-1 1
+.names 39315 39316
+1 1
+.names 39331 39332 39317
+1- 1
+-1 1
+.names 39317 39318
+1 1
+.names 39318 39319
+0 1
+.names 2413 39320
+1 1
+.names 39320 39321
+1 1
+.names 39321 39322
+0 1
+.names 39319 39322 39323
+1- 1
+-1 1
+.names 39351 39348 39324
+11 1
+.names 39324 39325
+1 1
+.names 39325 39326
+0 1
+.names 8351 39327
+0 1
+.names 39343 39344 39328
+11 1
+.names 39328 39329
+1 1
+.names 39329 39330
+0 1
+.names 39327 39330 39331
+11 1
+.names 8351 39114 39332
+11 1
+.names 8355 39143 39333
+1- 1
+-1 1
+.names 39333 39334
+1 1
+.names 39339 39341 39335
+1- 1
+-1 1
+.names 39335 39336
+1 1
+.names 39342 2413 39337
+11 1
+.names 39337 39338
+1 1
+.names 39338 39339
+0 1
+.names 39184 39340
+1 1
+.names 39340 39341
+0 1
+.names 8336 39342
+0 1
+.names 39336 39343
+0 1
+.names 39334 39344
+0 1
+.names 39342 39114 39345
+1- 1
+-1 1
+.names 39345 39346
+1 1
+.names 39114 39117 39347
+1- 1
+-1 1
+.names 39347 39348
+1 1
+.names 39184 39223 39349
+1- 1
+-1 1
+.names 39349 39350
+1 1
+.names 39350 39346 39351
+11 1
+.names 39360 39363 39352
+1- 1
+-1 1
+.names 39352 39353
+1 1
+.names 39368 39369 39354
+1- 1
+-1 1
+.names 39354 39355
+1 1
+.names 39355 39356
+0 1
+.names 2413 39357
+1 1
+.names 39357 39358
+1 1
+.names 39358 39359
+0 1
+.names 39356 39359 39360
+1- 1
+-1 1
+.names 39389 39390 39361
+11 1
+.names 39361 39362
+1 1
+.names 39362 39363
+0 1
+.names 8324 39364
+0 1
+.names 39385 39386 39365
+11 1
+.names 39365 39366
+1 1
+.names 39366 39367
+0 1
+.names 39364 39367 39368
+11 1
+.names 8324 39114 39369
+11 1
+.names 39372 39375 39370
+1- 1
+-1 1
+.names 39370 39371
+1 1
+.names 8334 1380 39372
+11 1
+.names 39391 39268 39373
+1- 1
+-1 1
+.names 39373 39374
+1 1
+.names 39374 39375
+0 1
+.names 39380 39143 39376
+1- 1
+-1 1
+.names 39376 39377
+1 1
+.names 39113 39378
+1 1
+.names 39378 39379
+0 1
+.names 8360 39379 39380
+1- 1
+-1 1
+.names 8365 39384 39381
+1- 1
+-1 1
+.names 39381 39382
+1 1
+.names 2413 39383
+1 1
+.names 39383 39384
+0 1
+.names 39377 39385
+0 1
+.names 39382 39386
+0 1
+.names 1380 8360 39387
+11 1
+.names 39387 39388
+1 1
+.names 39388 39389
+0 1
+.names 39371 39390
+0 1
+.names 1393 39391
+0 1
+.names 39400 39403 39392
+1- 1
+-1 1
+.names 39392 39393
+1 1
+.names 39407 39408 39394
+1- 1
+-1 1
+.names 39394 39395
+1 1
+.names 39395 39396
+0 1
+.names 2413 39397
+1 1
+.names 39397 39398
+1 1
+.names 39398 39399
+0 1
+.names 39396 39399 39400
+1- 1
+-1 1
+.names 39413 39415 39401
+11 1
+.names 39401 39402
+1 1
+.names 39402 39403
+0 1
+.names 39425 39426 39404
+11 1
+.names 39404 39405
+1 1
+.names 39405 39406
+0 1
+.names 39241 39406 39407
+11 1
+.names 8362 39114 39408
+11 1
+.names 39156 39114 39409
+1- 1
+-1 1
+.names 39409 39410
+1 1
+.names 8344 39428 39411
+1- 1
+-1 1
+.names 39411 39412
+1 1
+.names 39410 39412 39413
+11 1
+.names 39114 39427 39414
+1- 1
+-1 1
+.names 39414 39415
+1 1
+.names 39420 39143 39416
+1- 1
+-1 1
+.names 39416 39417
+1 1
+.names 39156 39418
+1 1
+.names 39418 39419
+0 1
+.names 8360 39419 39420
+1- 1
+-1 1
+.names 8357 39424 39421
+1- 1
+-1 1
+.names 39421 39422
+1 1
+.names 2413 39423
+1 1
+.names 39423 39424
+0 1
+.names 39422 39425
+0 1
+.names 39417 39426
+0 1
+.names 8360 39427
+0 1
+.names 1394 39428
+0 1
+.names 39434 39435 39429
+1- 1
+-1 1
+.names 39429 39430
+1 1
+.names 39442 39443 39431
+11 1
+.names 39431 39432
+1 1
+.names 39432 39433
+0 1
+.names 39241 39433 39434
+11 1
+.names 8362 39114 39435
+11 1
+.names 1783 39439 39436
+1- 1
+-1 1
+.names 39436 39437
+1 1
+.names 39268 39438
+1 1
+.names 39438 39439
+0 1
+.names 39448 39449 39440
+1- 1
+-1 1
+.names 39440 39441
+1 1
+.names 39441 39442
+0 1
+.names 39437 39443
+0 1
+.names 39114 39224 39444
+1- 1
+-1 1
+.names 39444 39445
+1 1
+.names 39156 39446
+1 1
+.names 39446 39447
+0 1
+.names 8341 39447 39448
+1- 1
+-1 1
+.names 1395 39449
+0 1
+.names 39458 39459 39450
+1- 1
+-1 1
+.names 39450 39451
+1 1
+.names 39156 39114 39452
+1- 1
+-1 1
+.names 39452 39453
+1 1
+.names 39453 39454
+0 1
+.names 39268 39468 39455
+1- 1
+-1 1
+.names 39455 39456
+1 1
+.names 39456 39457
+0 1
+.names 39454 39457 39458
+1- 1
+-1 1
+.names 39445 39459
+0 1
+.names 39430 39460
+0 1
+.names 39466 39467 39461
+11 1
+.names 39461 39462
+1 1
+.names 39462 39463
+0 1
+.names 1783 39464
+1 1
+.names 39464 39465
+1 1
+.names 39451 39466
+0 1
+.names 39465 39467
+0 1
+.names 1396 39468
+0 1
+.names 39477 39480 39469
+1- 1
+-1 1
+.names 39469 39470
+1 1
+.names 39484 39485 39471
+1- 1
+-1 1
+.names 39471 39472
+1 1
+.names 39472 39473
+0 1
+.names 2413 39474
+1 1
+.names 39474 39475
+1 1
+.names 39475 39476
+0 1
+.names 39473 39476 39477
+1- 1
+-1 1
+.names 39503 39498 39478
+11 1
+.names 39478 39479
+1 1
+.names 39479 39480
+0 1
+.names 39495 39496 39481
+11 1
+.names 39481 39482
+1 1
+.names 39482 39483
+0 1
+.names 39241 39483 39484
+11 1
+.names 8362 39114 39485
+11 1
+.names 39490 39143 39486
+1- 1
+-1 1
+.names 39486 39487
+1 1
+.names 39113 39488
+1 1
+.names 39488 39489
+0 1
+.names 8360 39489 39490
+1- 1
+-1 1
+.names 8366 39494 39491
+1- 1
+-1 1
+.names 39491 39492
+1 1
+.names 2413 39493
+1 1
+.names 39493 39494
+0 1
+.names 39487 39495
+0 1
+.names 39492 39496
+0 1
+.names 39114 39427 39497
+1- 1
+-1 1
+.names 39497 39498
+1 1
+.names 39113 39114 39499
+1- 1
+-1 1
+.names 39499 39500
+1 1
+.names 39184 39449 39501
+1- 1
+-1 1
+.names 39501 39502
+1 1
+.names 39502 39500 39503
+11 1
+.names 39517 39518 39504
+1- 1
+-1 1
+.names 39504 39505
+1 1
+.names 39505 39506
+0 1
+.names 2413 39507
+1 1
+.names 39507 39508
+1 1
+.names 39508 39509
+0 1
+.names 39506 39509 39510
+1- 1
+-1 1
+.names 39523 39525 39511
+11 1
+.names 39511 39512
+1 1
+.names 39512 39513
+0 1
+.names 39536 39537 39514
+11 1
+.names 39514 39515
+1 1
+.names 39515 39516
+0 1
+.names 39364 39516 39517
+11 1
+.names 8324 39114 39518
+11 1
+.names 39113 39114 39519
+1- 1
+-1 1
+.names 39519 39520
+1 1
+.names 8344 39538 39521
+1- 1
+-1 1
+.names 39521 39522
+1 1
+.names 39520 39522 39523
+11 1
+.names 39114 8352 39524
+1- 1
+-1 1
+.names 39524 39525
+1 1
+.names 8338 39528 39526
+1- 1
+-1 1
+.names 39526 39527
+1 1
+.names 1397 39528
+0 1
+.names 39533 39535 39529
+1- 1
+-1 1
+.names 39529 39530
+1 1
+.names 39113 2413 39531
+11 1
+.names 39531 39532
+1 1
+.names 39532 39533
+0 1
+.names 8344 39534
+1 1
+.names 39534 39535
+0 1
+.names 39530 39536
+0 1
+.names 39527 39537
+0 1
+.names 1398 39538
+0 1
+.names 39114 39113 39539
+1- 1
+-1 1
+.names 39539 39540
+1 1
+.names 39549 39552 39541
+1- 1
+-1 1
+.names 39541 39542
+1 1
+.names 39556 39557 39543
+1- 1
+-1 1
+.names 39543 39544
+1 1
+.names 39544 39545
+0 1
+.names 2413 39546
+1 1
+.names 39546 39547
+1 1
+.names 39547 39548
+0 1
+.names 39545 39548 39549
+1- 1
+-1 1
+.names 39575 39576 39550
+11 1
+.names 39550 39551
+1 1
+.names 39551 39552
+0 1
+.names 39571 39572 39553
+11 1
+.names 39553 39554
+1 1
+.names 39554 39555
+0 1
+.names 39364 39555 39556
+11 1
+.names 8324 39114 39557
+11 1
+.names 39560 39561 39558
+1- 1
+-1 1
+.names 39558 39559
+1 1
+.names 8365 1390 39560
+11 1
+.names 39540 39561
+0 1
+.names 39566 39143 39562
+1- 1
+-1 1
+.names 39562 39563
+1 1
+.names 39113 39564
+1 1
+.names 39564 39565
+0 1
+.names 8360 39565 39566
+1- 1
+-1 1
+.names 8365 39570 39567
+1- 1
+-1 1
+.names 39567 39568
+1 1
+.names 2413 39569
+1 1
+.names 39569 39570
+0 1
+.names 39563 39571
+0 1
+.names 39568 39572
+0 1
+.names 1380 8360 39573
+11 1
+.names 39573 39574
+1 1
+.names 39574 39575
+0 1
+.names 39559 39576
+0 1
+.names 39590 39591 39577
+1- 1
+-1 1
+.names 39577 39578
+1 1
+.names 39578 39579
+0 1
+.names 2413 39580
+1 1
+.names 39580 39581
+1 1
+.names 39581 39582
+0 1
+.names 39579 39582 39583
+1- 1
+-1 1
+.names 39611 39612 39584
+11 1
+.names 39584 39585
+1 1
+.names 39585 39586
+0 1
+.names 39601 39602 39587
+11 1
+.names 39587 39588
+1 1
+.names 39588 39589
+0 1
+.names 39201 39589 39590
+11 1
+.names 8326 2413 39591
+11 1
+.names 39596 39206 39592
+1- 1
+-1 1
+.names 39592 39593
+1 1
+.names 39266 39594
+1 1
+.names 39594 39595
+0 1
+.names 8364 39595 39596
+1- 1
+-1 1
+.names 8338 39600 39597
+1- 1
+-1 1
+.names 39597 39598
+1 1
+.names 2413 39599
+1 1
+.names 39599 39600
+0 1
+.names 39593 39601
+0 1
+.names 39598 39602
+0 1
+.names 39206 8352 39603
+1- 1
+-1 1
+.names 39603 39604
+1 1
+.names 39607 39608 39605
+1- 1
+-1 1
+.names 39605 39606
+1 1
+.names 8335 1783 39607
+11 1
+.names 39604 39608
+0 1
+.names 8364 1399 39609
+11 1
+.names 39609 39610
+1 1
+.names 39610 39611
+0 1
+.names 39606 39612
+0 1
+.names 39619 39621 39613
+1- 1
+-1 1
+.names 39613 39614
+1 1
+.names 8343 39615
+0 1
+.names 39631 39632 39616
+11 1
+.names 39616 39617
+1 1
+.names 39617 39618
+0 1
+.names 39615 39618 39619
+11 1
+.names 1400 39620
+0 1
+.names 8343 39620 39621
+11 1
+.names 8355 39143 39622
+1- 1
+-1 1
+.names 39622 39623
+1 1
+.names 39628 39630 39624
+1- 1
+-1 1
+.names 39624 39625
+1 1
+.names 39266 2413 39626
+11 1
+.names 39626 39627
+1 1
+.names 39627 39628
+0 1
+.names 8352 39629
+1 1
+.names 39629 39630
+0 1
+.names 39625 39631
+0 1
+.names 39623 39632
+0 1
+.names 39636 39637 39633
+1- 1
+-1 1
+.names 39633 39634
+1 1
+.names 39648 39651 39635
+1- 1
+-1 1
+.names 39635 39636
+1 1
+.names 39614 39637
+0 1
+.names 39114 8352 39638
+1- 1
+-1 1
+.names 39638 39639
+1 1
+.names 39639 39640
+0 1
+.names 39114 39117 39641
+1- 1
+-1 1
+.names 39641 39642
+1 1
+.names 39642 39643
+0 1
+.names 39640 39643 39644
+1- 1
+-1 1
+.names 39114 39266 39645
+1- 1
+-1 1
+.names 39645 39646
+1 1
+.names 39646 39647
+0 1
+.names 39644 39647 39648
+1- 1
+-1 1
+.names 2413 39649
+1 1
+.names 39649 39650
+1 1
+.names 39650 39651
+0 1
+.names 39657 39658 39652
+1- 1
+-1 1
+.names 39652 39653
+1 1
+.names 39668 39669 39654
+11 1
+.names 39654 39655
+1 1
+.names 39655 39656
+0 1
+.names 39364 39656 39657
+11 1
+.names 8324 39150 39658
+11 1
+.names 39663 39114 39659
+1- 1
+-1 1
+.names 39659 39660
+1 1
+.names 39266 39661
+1 1
+.names 39661 39662
+0 1
+.names 8341 39662 39663
+1- 1
+-1 1
+.names 8357 39667 39664
+1- 1
+-1 1
+.names 39664 39665
+1 1
+.names 2413 39666
+1 1
+.names 39666 39667
+0 1
+.names 39660 39668
+0 1
+.names 39665 39669
+0 1
+.names 8344 39672 39670
+1- 1
+-1 1
+.names 39670 39671
+1 1
+.names 1401 39672
+0 1
+.names 39114 39224 39673
+1- 1
+-1 1
+.names 39673 39674
+1 1
+.names 39681 39682 39675
+1- 1
+-1 1
+.names 39675 39676
+1 1
+.names 39671 39677
+0 1
+.names 39266 39153 39678
+1- 1
+-1 1
+.names 39678 39679
+1 1
+.names 39679 39680
+0 1
+.names 39677 39680 39681
+1- 1
+-1 1
+.names 39674 39682
+0 1
+.names 39653 39683
+0 1
+.names 39687 39690 39684
+11 1
+.names 39684 39685
+1 1
+.names 39685 39686
+0 1
+.names 39676 39687
+0 1
+.names 1783 39688
+1 1
+.names 39688 39689
+1 1
+.names 39689 39690
+0 1
+.names 39704 39705 39691
+1- 1
+-1 1
+.names 39691 39692
+1 1
+.names 39692 39693
+0 1
+.names 2413 39694
+1 1
+.names 39694 39695
+1 1
+.names 39695 39696
+0 1
+.names 39693 39696 39697
+1- 1
+-1 1
+.names 39708 39711 39698
+11 1
+.names 39698 39699
+1 1
+.names 39699 39700
+0 1
+.names 39718 39719 39701
+11 1
+.names 39701 39702
+1 1
+.names 39702 39703
+0 1
+.names 39364 39703 39704
+11 1
+.names 8324 39223 39705
+11 1
+.names 39723 1402 39706
+11 1
+.names 39706 39707
+1 1
+.names 39707 39708
+0 1
+.names 39725 39726 39709
+1- 1
+-1 1
+.names 39709 39710
+1 1
+.names 39710 39711
+0 1
+.names 8338 39715 39712
+1- 1
+-1 1
+.names 39712 39713
+1 1
+.names 2413 39714
+1 1
+.names 39714 39715
+0 1
+.names 39729 39620 39716
+1- 1
+-1 1
+.names 39716 39717
+1 1
+.names 39717 39718
+0 1
+.names 39713 39719
+0 1
+.names 39620 8352 39720
+1- 1
+-1 1
+.names 39720 39721
+1 1
+.names 39727 39722
+0 1
+.names 39722 39723
+1 1
+.names 39342 39724
+1 1
+.names 8336 1386 39725
+11 1
+.names 39721 39726
+0 1
+.names 39184 39727
+1 1
+.names 39724 39728
+0 1
+.names 39722 39728 39729
+1- 1
+-1 1
+.names 39428 39156 39730
+1- 1
+-1 1
+.names 39730 39731
+1 1
+.names 39745 39746 39732
+1- 1
+-1 1
+.names 39732 39733
+1 1
+.names 39733 39734
+0 1
+.names 2413 39735
+1 1
+.names 39735 39736
+1 1
+.names 39736 39737
+0 1
+.names 39734 39737 39738
+1- 1
+-1 1
+.names 39749 39752 39739
+11 1
+.names 39739 39740
+1 1
+.names 39740 39741
+0 1
+.names 39757 39760 39742
+11 1
+.names 39742 39743
+1 1
+.names 39743 39744
+0 1
+.names 39201 39744 39745
+11 1
+.names 8326 39161 39746
+11 1
+.names 39762 39747
+0 1
+.names 39747 39748
+1 1
+.names 39748 39749
+0 1
+.names 39768 39769 39750
+1- 1
+-1 1
+.names 39750 39751
+1 1
+.names 39751 39752
+0 1
+.names 8365 39756 39753
+1- 1
+-1 1
+.names 39753 39754
+1 1
+.names 2413 39755
+1 1
+.names 39755 39756
+0 1
+.names 39754 39757
+0 1
+.names 39767 39153 39758
+1- 1
+-1 1
+.names 39758 39759
+1 1
+.names 39759 39760
+0 1
+.names 39153 39224 39761
+1- 1
+-1 1
+.names 39761 39762
+1 1
+.names 39224 39763
+1 1
+.names 39763 39764
+0 1
+.names 39156 39765
+1 1
+.names 39765 39766
+0 1
+.names 39764 39766 39767
+1- 1
+-1 1
+.names 8365 1403 39768
+11 1
+.names 39731 39769
+0 1
+.names 39266 39114 39770
+1- 1
+-1 1
+.names 39770 39771
+1 1
+.names 39777 39778 39772
+1- 1
+-1 1
+.names 39772 39773
+1 1
+.names 39788 39789 39774
+11 1
+.names 39774 39775
+1 1
+.names 39775 39776
+0 1
+.names 39241 39776 39777
+11 1
+.names 8362 39114 39778
+11 1
+.names 39783 39143 39779
+1- 1
+-1 1
+.names 39779 39780
+1 1
+.names 39266 39781
+1 1
+.names 39781 39782
+0 1
+.names 8341 39782 39783
+1- 1
+-1 1
+.names 8357 39787 39784
+1- 1
+-1 1
+.names 39784 39785
+1 1
+.names 2413 39786
+1 1
+.names 39786 39787
+0 1
+.names 39780 39788
+0 1
+.names 39785 39789
+0 1
+.names 39114 39224 39790
+1- 1
+-1 1
+.names 39790 39791
+1 1
+.names 39798 39799 39792
+1- 1
+-1 1
+.names 39792 39793
+1 1
+.names 39771 39794
+0 1
+.names 8344 39206 39795
+1- 1
+-1 1
+.names 39795 39796
+1 1
+.names 39796 39797
+0 1
+.names 39794 39797 39798
+1- 1
+-1 1
+.names 39791 39799
+0 1
+.names 39802 39805 39800
+1- 1
+-1 1
+.names 39800 39801
+1 1
+.names 39773 39802
+0 1
+.names 39808 39809 39803
+11 1
+.names 39803 39804
+1 1
+.names 39804 39805
+0 1
+.names 1783 39806
+1 1
+.names 39806 39807
+1 1
+.names 39793 39808
+0 1
+.names 39807 39809
+0 1
+.names 39818 39821 39810
+1- 1
+-1 1
+.names 39810 39811
+1 1
+.names 39825 39826 39812
+1- 1
+-1 1
+.names 39812 39813
+1 1
+.names 39813 39814
+0 1
+.names 2413 39815
+1 1
+.names 39815 39816
+1 1
+.names 39816 39817
+0 1
+.names 39814 39817 39818
+1- 1
+-1 1
+.names 39844 39839 39819
+11 1
+.names 39819 39820
+1 1
+.names 39820 39821
+0 1
+.names 39836 39837 39822
+11 1
+.names 39822 39823
+1 1
+.names 39823 39824
+0 1
+.names 39364 39824 39825
+11 1
+.names 8324 39114 39826
+11 1
+.names 39831 39143 39827
+1- 1
+-1 1
+.names 39827 39828
+1 1
+.names 39342 39829
+1 1
+.names 39829 39830
+0 1
+.names 8361 39830 39831
+1- 1
+-1 1
+.names 8366 39835 39832
+1- 1
+-1 1
+.names 39832 39833
+1 1
+.names 2413 39834
+1 1
+.names 39834 39835
+0 1
+.names 39828 39836
+0 1
+.names 39833 39837
+0 1
+.names 39114 39257 39838
+1- 1
+-1 1
+.names 39838 39839
+1 1
+.names 39342 39114 39840
+1- 1
+-1 1
+.names 39840 39841
+1 1
+.names 39184 39114 39842
+1- 1
+-1 1
+.names 39842 39843
+1 1
+.names 39843 39841 39844
+11 1
+.names 39342 39114 39845
+1- 1
+-1 1
+.names 39845 39846
+1 1
+.names 39855 39858 39847
+1- 1
+-1 1
+.names 39847 39848
+1 1
+.names 39862 39863 39849
+1- 1
+-1 1
+.names 39849 39850
+1 1
+.names 39850 39851
+0 1
+.names 2413 39852
+1 1
+.names 39852 39853
+1 1
+.names 39853 39854
+0 1
+.names 39851 39854 39855
+1- 1
+-1 1
+.names 39866 39868 39856
+11 1
+.names 39856 39857
+1 1
+.names 39857 39858
+0 1
+.names 39878 39879 39859
+11 1
+.names 39859 39860
+1 1
+.names 39860 39861
+0 1
+.names 39201 39861 39862
+11 1
+.names 8326 39114 39863
+11 1
+.names 39313 39143 39864
+1- 1
+-1 1
+.names 39864 39865
+1 1
+.names 39846 39865 39866
+11 1
+.names 39114 39257 39867
+1- 1
+-1 1
+.names 39867 39868
+1 1
+.names 39873 39143 39869
+1- 1
+-1 1
+.names 39869 39870
+1 1
+.names 39342 39871
+1 1
+.names 39871 39872
+0 1
+.names 8361 39872 39873
+1- 1
+-1 1
+.names 8364 39877 39874
+1- 1
+-1 1
+.names 39874 39875
+1 1
+.names 2413 39876
+1 1
+.names 39876 39877
+0 1
+.names 39870 39878
+0 1
+.names 39875 39879
+0 1
+.names 39888 39891 39880
+1- 1
+-1 1
+.names 39880 39881
+1 1
+.names 39895 39896 39882
+1- 1
+-1 1
+.names 39882 39883
+1 1
+.names 39883 39884
+0 1
+.names 2413 39885
+1 1
+.names 39885 39886
+1 1
+.names 39886 39887
+0 1
+.names 39884 39887 39888
+1- 1
+-1 1
+.names 39901 39903 39889
+11 1
+.names 39889 39890
+1 1
+.names 39890 39891
+0 1
+.names 39913 39914 39892
+11 1
+.names 39892 39893
+1 1
+.names 39893 39894
+0 1
+.names 39241 39894 39895
+11 1
+.names 8362 39114 39896
+11 1
+.names 39342 39114 39897
+1- 1
+-1 1
+.names 39897 39898
+1 1
+.names 39313 39153 39899
+1- 1
+-1 1
+.names 39899 39900
+1 1
+.names 39898 39900 39901
+11 1
+.names 39114 39427 39902
+1- 1
+-1 1
+.names 39902 39903
+1 1
+.names 39908 39143 39904
+1- 1
+-1 1
+.names 39904 39905
+1 1
+.names 39342 39906
+1 1
+.names 39906 39907
+0 1
+.names 8360 39907 39908
+1- 1
+-1 1
+.names 8364 39912 39909
+1- 1
+-1 1
+.names 39909 39910
+1 1
+.names 2413 39911
+1 1
+.names 39911 39912
+0 1
+.names 39905 39913
+0 1
+.names 39910 39914
+0 1
+.names 39342 39114 39915
+1- 1
+-1 1
+.names 39915 39916
+1 1
+.names 39922 39923 39917
+1- 1
+-1 1
+.names 39917 39918
+1 1
+.names 39934 39935 39919
+11 1
+.names 39919 39920
+1 1
+.names 39920 39921
+0 1
+.names 39241 39921 39922
+11 1
+.names 8362 39114 39923
+11 1
+.names 39928 39929 39924
+1- 1
+-1 1
+.names 39924 39925
+1 1
+.names 39342 39926
+1 1
+.names 39926 39927
+0 1
+.names 8341 39927 39928
+1- 1
+-1 1
+.names 1404 39929
+0 1
+.names 8364 39933 39930
+1- 1
+-1 1
+.names 39930 39931
+1 1
+.names 2413 39932
+1 1
+.names 39932 39933
+0 1
+.names 39925 39934
+0 1
+.names 39931 39935
+0 1
+.names 39114 39224 39936
+1- 1
+-1 1
+.names 39936 39937
+1 1
+.names 39944 39945 39938
+1- 1
+-1 1
+.names 39938 39939
+1 1
+.names 39916 39940
+0 1
+.names 39313 39954 39941
+1- 1
+-1 1
+.names 39941 39942
+1 1
+.names 39942 39943
+0 1
+.names 39940 39943 39944
+1- 1
+-1 1
+.names 39937 39945
+0 1
+.names 39918 39946
+0 1
+.names 39952 39953 39947
+11 1
+.names 39947 39948
+1 1
+.names 39948 39949
+0 1
+.names 1783 39950
+1 1
+.names 39950 39951
+1 1
+.names 39939 39952
+0 1
+.names 39951 39953
+0 1
+.names 1405 39954
+0 1
+.names 39968 39969 39955
+1- 1
+-1 1
+.names 39955 39956
+1 1
+.names 39956 39957
+0 1
+.names 2413 39958
+1 1
+.names 39958 39959
+1 1
+.names 39959 39960
+0 1
+.names 39957 39960 39961
+1- 1
+-1 1
+.names 39974 39976 39962
+11 1
+.names 39962 39963
+1 1
+.names 39963 39964
+0 1
+.names 39986 39987 39965
+11 1
+.names 39965 39966
+1 1
+.names 39966 39967
+0 1
+.names 39241 39967 39968
+11 1
+.names 8362 39114 39969
+11 1
+.names 8344 39988 39970
+1- 1
+-1 1
+.names 39970 39971
+1 1
+.names 39113 39114 39972
+1- 1
+-1 1
+.names 39972 39973
+1 1
+.names 39971 39973 39974
+11 1
+.names 39114 39427 39975
+1- 1
+-1 1
+.names 39975 39976
+1 1
+.names 39981 39391 39977
+1- 1
+-1 1
+.names 39977 39978
+1 1
+.names 39113 39979
+1 1
+.names 39979 39980
+0 1
+.names 8360 39980 39981
+1- 1
+-1 1
+.names 1783 39985 39982
+1- 1
+-1 1
+.names 39982 39983
+1 1
+.names 8344 39984
+1 1
+.names 39984 39985
+0 1
+.names 39978 39986
+0 1
+.names 39983 39987
+0 1
+.names 1406 39988
+0 1
+.names 39114 39113 39989
+1- 1
+-1 1
+.names 39989 39990
+1 1
+.names 39999 40002 39991
+1- 1
+-1 1
+.names 39991 39992
+1 1
+.names 40006 40007 39993
+1- 1
+-1 1
+.names 39993 39994
+1 1
+.names 39994 39995
+0 1
+.names 2413 39996
+1 1
+.names 39996 39997
+1 1
+.names 39997 39998
+0 1
+.names 39995 39998 39999
+1- 1
+-1 1
+.names 40010 40013 40000
+11 1
+.names 40000 40001
+1 1
+.names 40001 40002
+0 1
+.names 40023 40024 40003
+11 1
+.names 40003 40004
+1 1
+.names 40004 40005
+0 1
+.names 39201 40005 40006
+11 1
+.names 8326 39114 40007
+11 1
+.names 40028 40008
+0 1
+.names 40008 40009
+1 1
+.names 40009 40010
+0 1
+.names 40025 40026 40011
+1- 1
+-1 1
+.names 40011 40012
+1 1
+.names 40012 40013
+0 1
+.names 40018 39143 40014
+1- 1
+-1 1
+.names 40014 40015
+1 1
+.names 39113 40016
+1 1
+.names 40016 40017
+0 1
+.names 8361 40017 40018
+1- 1
+-1 1
+.names 8357 40022 40019
+1- 1
+-1 1
+.names 40019 40020
+1 1
+.names 2413 40021
+1 1
+.names 40021 40022
+0 1
+.names 40015 40023
+0 1
+.names 40020 40024
+0 1
+.names 8357 1407 40025
+11 1
+.names 39990 40026
+0 1
+.names 39114 39257 40027
+1- 1
+-1 1
+.names 40027 40028
+1 1
+.names 39342 39114 40029
+1- 1
+-1 1
+.names 40029 40030
+1 1
+.names 40036 40037 40031
+1- 1
+-1 1
+.names 40031 40032
+1 1
+.names 40048 40049 40033
+11 1
+.names 40033 40034
+1 1
+.names 40034 40035
+0 1
+.names 39201 40035 40036
+11 1
+.names 8326 39114 40037
+11 1
+.names 40042 40043 40038
+1- 1
+-1 1
+.names 40038 40039
+1 1
+.names 39342 40040
+1 1
+.names 40040 40041
+0 1
+.names 8341 40041 40042
+1- 1
+-1 1
+.names 1407 40043
+0 1
+.names 8364 40047 40044
+1- 1
+-1 1
+.names 40044 40045
+1 1
+.names 2413 40046
+1 1
+.names 40046 40047
+0 1
+.names 40039 40048
+0 1
+.names 40045 40049
+0 1
+.names 39114 39224 40050
+1- 1
+-1 1
+.names 40050 40051
+1 1
+.names 40058 40059 40052
+1- 1
+-1 1
+.names 40052 40053
+1 1
+.names 40030 40054
+0 1
+.names 39313 40068 40055
+1- 1
+-1 1
+.names 40055 40056
+1 1
+.names 40056 40057
+0 1
+.names 40054 40057 40058
+1- 1
+-1 1
+.names 40051 40059
+0 1
+.names 40032 40060
+0 1
+.names 40066 40067 40061
+11 1
+.names 40061 40062
+1 1
+.names 40062 40063
+0 1
+.names 1783 40064
+1 1
+.names 40064 40065
+1 1
+.names 40053 40066
+0 1
+.names 40065 40067
+0 1
+.names 1408 40068
+0 1
+.names 40077 40080 40069
+1- 1
+-1 1
+.names 40069 40070
+1 1
+.names 40084 40085 40071
+1- 1
+-1 1
+.names 40071 40072
+1 1
+.names 40072 40073
+0 1
+.names 2413 40074
+1 1
+.names 40074 40075
+1 1
+.names 40075 40076
+0 1
+.names 40073 40076 40077
+1- 1
+-1 1
+.names 40088 40091 40078
+11 1
+.names 40078 40079
+1 1
+.names 40079 40080
+0 1
+.names 40098 40099 40081
+11 1
+.names 40081 40082
+1 1
+.names 40082 40083
+0 1
+.names 39201 40083 40084
+11 1
+.names 8326 39114 40085
+11 1
+.names 8365 1391 40086
+11 1
+.names 40086 40087
+1 1
+.names 40087 40088
+0 1
+.names 40103 40104 40089
+1- 1
+-1 1
+.names 40089 40090
+1 1
+.names 40090 40091
+0 1
+.names 8338 40095 40092
+1- 1
+-1 1
+.names 40092 40093
+1 1
+.names 2413 40094
+1 1
+.names 40094 40095
+0 1
+.names 40108 39143 40096
+1- 1
+-1 1
+.names 40096 40097
+1 1
+.names 40097 40098
+0 1
+.names 40093 40099
+0 1
+.names 39114 8352 40100
+1- 1
+-1 1
+.names 40100 40101
+1 1
+.names 39156 40102
+1 1
+.names 8356 1380 40103
+11 1
+.names 40101 40104
+0 1
+.names 39268 40105
+1 1
+.names 40105 40106
+0 1
+.names 40102 40107
+0 1
+.names 40106 40107 40108
+1- 1
+-1 1
+.names 39342 39114 40109
+1- 1
+-1 1
+.names 40109 40110
+1 1
+.names 40119 40122 40111
+1- 1
+-1 1
+.names 40111 40112
+1 1
+.names 40126 40127 40113
+1- 1
+-1 1
+.names 40113 40114
+1 1
+.names 40114 40115
+0 1
+.names 2413 40116
+1 1
+.names 40116 40117
+1 1
+.names 40117 40118
+0 1
+.names 40115 40118 40119
+1- 1
+-1 1
+.names 40143 40140 40120
+11 1
+.names 40120 40121
+1 1
+.names 40121 40122
+0 1
+.names 40137 40138 40123
+11 1
+.names 40123 40124
+1 1
+.names 40124 40125
+0 1
+.names 39364 40125 40126
+11 1
+.names 8324 39114 40127
+11 1
+.names 40132 39143 40128
+1- 1
+-1 1
+.names 40128 40129
+1 1
+.names 39342 40130
+1 1
+.names 40130 40131
+0 1
+.names 8361 40131 40132
+1- 1
+-1 1
+.names 8366 40136 40133
+1- 1
+-1 1
+.names 40133 40134
+1 1
+.names 2413 40135
+1 1
+.names 40135 40136
+0 1
+.names 40129 40137
+0 1
+.names 40134 40138
+0 1
+.names 39114 39257 40139
+1- 1
+-1 1
+.names 40139 40140
+1 1
+.names 39184 39528 40141
+1- 1
+-1 1
+.names 40141 40142
+1 1
+.names 40142 40110 40143
+11 1
+.names 39266 39223 40144
+1- 1
+-1 1
+.names 40144 40145
+1 1
+.names 40151 40152 40146
+1- 1
+-1 1
+.names 40146 40147
+1 1
+.names 40159 40160 40148
+11 1
+.names 40148 40149
+1 1
+.names 40149 40150
+0 1
+.names 39241 40150 40151
+11 1
+.names 8362 39620 40152
+11 1
+.names 8365 40156 40153
+1- 1
+-1 1
+.names 40153 40154
+1 1
+.names 2413 40155
+1 1
+.names 40155 40156
+0 1
+.names 40165 39428 40157
+1- 1
+-1 1
+.names 40157 40158
+1 1
+.names 40158 40159
+0 1
+.names 40154 40160
+0 1
+.names 39428 39224 40161
+1- 1
+-1 1
+.names 40161 40162
+1 1
+.names 39266 40163
+1 1
+.names 40163 40164
+0 1
+.names 8341 40164 40165
+1- 1
+-1 1
+.names 40172 40173 40166
+1- 1
+-1 1
+.names 40166 40167
+1 1
+.names 40145 40168
+0 1
+.names 39268 40182 40169
+1- 1
+-1 1
+.names 40169 40170
+1 1
+.names 40170 40171
+0 1
+.names 40168 40171 40172
+1- 1
+-1 1
+.names 40162 40173
+0 1
+.names 40147 40174
+0 1
+.names 40180 40181 40175
+11 1
+.names 40175 40176
+1 1
+.names 40176 40177
+0 1
+.names 1783 40178
+1 1
+.names 40178 40179
+1 1
+.names 40167 40180
+0 1
+.names 40179 40181
+0 1
+.names 1409 40182
+0 1
+.names 40196 40198 40183
+1- 1
+-1 1
+.names 40183 40184
+1 1
+.names 40184 40185
+0 1
+.names 39161 8352 40186
+1- 1
+-1 1
+.names 40186 40187
+1 1
+.names 40187 40188
+0 1
+.names 40185 40188 40189
+1- 1
+-1 1
+.names 40201 40204 40190
+11 1
+.names 40190 40191
+1 1
+.names 40191 40192
+0 1
+.names 40218 40219 40193
+11 1
+.names 40193 40194
+1 1
+.names 40194 40195
+0 1
+.names 39615 40195 40196
+11 1
+.names 1410 40197
+0 1
+.names 8343 40197 40198
+11 1
+.names 1394 8355 40199
+11 1
+.names 40199 40200
+1 1
+.names 40200 40201
+0 1
+.names 40205 40208 40202
+1- 1
+-1 1
+.names 40202 40203
+1 1
+.names 40203 40204
+0 1
+.names 8335 1400 40205
+11 1
+.names 2413 40206
+1 1
+.names 40206 40207
+1 1
+.names 40207 40208
+0 1
+.names 40213 39161 40209
+1- 1
+-1 1
+.names 40209 40210
+1 1
+.names 2413 40211
+1 1
+.names 40211 40212
+0 1
+.names 8355 40212 40213
+1- 1
+-1 1
+.names 8338 40217 40214
+1- 1
+-1 1
+.names 40214 40215
+1 1
+.names 39266 40216
+1 1
+.names 40216 40217
+0 1
+.names 40210 40218
+0 1
+.names 40215 40219
+0 1
+.names 40233 40234 40220
+1- 1
+-1 1
+.names 40220 40221
+1 1
+.names 40221 40222
+0 1
+.names 2413 40223
+1 1
+.names 40223 40224
+1 1
+.names 40224 40225
+0 1
+.names 40222 40225 40226
+1- 1
+-1 1
+.names 40253 40247 40227
+11 1
+.names 40227 40228
+1 1
+.names 40228 40229
+0 1
+.names 40244 40245 40230
+11 1
+.names 40230 40231
+1 1
+.names 40231 40232
+0 1
+.names 39241 40232 40233
+11 1
+.names 8362 39114 40234
+11 1
+.names 40239 39143 40235
+1- 1
+-1 1
+.names 40235 40236
+1 1
+.names 39156 40237
+1 1
+.names 40237 40238
+0 1
+.names 8361 40238 40239
+1- 1
+-1 1
+.names 8366 40243 40240
+1- 1
+-1 1
+.names 40240 40241
+1 1
+.names 2413 40242
+1 1
+.names 40242 40243
+0 1
+.names 40236 40244
+0 1
+.names 40241 40245
+0 1
+.names 39114 39257 40246
+1- 1
+-1 1
+.names 40246 40247
+1 1
+.names 39156 39114 40248
+1- 1
+-1 1
+.names 40248 40249
+1 1
+.names 39184 40252 40250
+1- 1
+-1 1
+.names 40250 40251
+1 1
+.names 1411 40252
+0 1
+.names 40251 40249 40253
+11 1
+.names 39113 39114 40254
+1- 1
+-1 1
+.names 40254 40255
+1 1
+.names 39114 8352 40256
+1- 1
+-1 1
+.names 40256 40257
+1 1
+.names 40262 39143 40258
+1- 1
+-1 1
+.names 40258 40259
+1 1
+.names 39113 40260
+1 1
+.names 40260 40261
+0 1
+.names 8338 40261 40262
+1- 1
+-1 1
+.names 8366 40266 40263
+1- 1
+-1 1
+.names 40263 40264
+1 1
+.names 2413 40265
+1 1
+.names 40265 40266
+0 1
+.names 40272 40273 40267
+1- 1
+-1 1
+.names 40267 40268
+1 1
+.names 40274 40275 40269
+11 1
+.names 40269 40270
+1 1
+.names 40270 40271
+0 1
+.names 39241 40271 40272
+11 1
+.names 8362 39114 40273
+11 1
+.names 40259 40274
+0 1
+.names 40264 40275
+0 1
+.names 40282 40283 40276
+1- 1
+-1 1
+.names 40276 40277
+1 1
+.names 39184 39161 40278
+1- 1
+-1 1
+.names 40278 40279
+1 1
+.names 40279 40280
+0 1
+.names 40255 40281
+0 1
+.names 40280 40281 40282
+1- 1
+-1 1
+.names 40257 40283
+0 1
+.names 40286 40289 40284
+11 1
+.names 40284 40285
+1 1
+.names 40277 40286
+0 1
+.names 1783 40287
+1 1
+.names 40287 40288
+1 1
+.names 40288 40289
+0 1
+.names 40292 40293 40290
+1- 1
+-1 1
+.names 40290 40291
+1 1
+.names 40268 40292
+0 1
+.names 40285 40293
+0 1
+.names 40302 40305 40294
+1- 1
+-1 1
+.names 40294 40295
+1 1
+.names 40309 40310 40296
+1- 1
+-1 1
+.names 40296 40297
+1 1
+.names 40297 40298
+0 1
+.names 2413 40299
+1 1
+.names 40299 40300
+1 1
+.names 40300 40301
+0 1
+.names 40298 40301 40302
+1- 1
+-1 1
+.names 40313 40316 40303
+11 1
+.names 40303 40304
+1 1
+.names 40304 40305
+0 1
+.names 40323 40324 40306
+11 1
+.names 40306 40307
+1 1
+.names 40307 40308
+0 1
+.names 39241 40308 40309
+11 1
+.names 8362 39114 40310
+11 1
+.names 8364 1404 40311
+11 1
+.names 40311 40312
+1 1
+.names 40312 40313
+0 1
+.names 40330 40331 40314
+1- 1
+-1 1
+.names 40314 40315
+1 1
+.names 40315 40316
+0 1
+.names 8361 40320 40317
+1- 1
+-1 1
+.names 40317 40318
+1 1
+.names 2413 40319
+1 1
+.names 40319 40320
+0 1
+.names 40329 39143 40321
+1- 1
+-1 1
+.names 40321 40322
+1 1
+.names 40322 40323
+0 1
+.names 40318 40324
+0 1
+.names 39114 39257 40325
+1- 1
+-1 1
+.names 40325 40326
+1 1
+.names 39156 40327
+1 1
+.names 40327 40328
+0 1
+.names 8364 40328 40329
+1- 1
+-1 1
+.names 8356 1380 40330
+11 1
+.names 40326 40331
+0 1
+.names 40338 40340 40332
+1- 1
+-1 1
+.names 40332 40333
+1 1
+.names 8382 40334
+0 1
+.names 40370 40371 40335
+11 1
+.names 40335 40336
+1 1
+.names 40336 40337
+0 1
+.names 40334 40337 40338
+11 1
+.names 191 40339
+0 1
+.names 8382 40339 40340
+11 1
+.names 40349 40352 40341
+1- 1
+-1 1
+.names 40341 40342
+1 1
+.names 40380 40382 40343
+11 1
+.names 40343 40344
+1 1
+.names 40344 40345
+0 1
+.names 40383 40384 40346
+11 1
+.names 40346 40347
+1 1
+.names 40347 40348
+0 1
+.names 40345 40348 40349
+1- 1
+-1 1
+.names 40377 40379 40350
+11 1
+.names 40350 40351
+1 1
+.names 40351 40352
+0 1
+.names 40358 40359 40353
+1- 1
+-1 1
+.names 40353 40354
+1 1
+.names 8402 40355
+0 1
+.names 40355 40356
+1 1
+.names 40356 40357
+0 1
+.names 8417 40357 40358
+1- 1
+-1 1
+.names 324 40359
+0 1
+.names 40362 40363 40360
+11 1
+.names 40360 40361
+1 1
+.names 8371 40362
+0 1
+.names 8392 40363
+0 1
+.names 40366 40367 40364
+11 1
+.names 40364 40365
+1 1
+.names 8379 40366
+0 1
+.names 8375 40367
+0 1
+.names 40375 40376 40368
+1- 1
+-1 1
+.names 40368 40369
+1 1
+.names 40369 40370
+0 1
+.names 40354 40371
+0 1
+.names 40342 40374 40372
+1- 1
+-1 1
+.names 40372 40373
+1 1
+.names 40333 40374
+0 1
+.names 40361 40375
+0 1
+.names 40365 40376
+0 1
+.names 25921 40366 40377
+1- 1
+-1 1
+.names 8417 40378
+0 1
+.names 40378 25921 40379
+1- 1
+-1 1
+.names 40355 27728 40380
+1- 1
+-1 1
+.names 606 40381
+0 1
+.names 40381 40363 40382
+1- 1
+-1 1
+.names 40362 25904 40383
+1- 1
+-1 1
+.names 40367 27728 40384
+1- 1
+-1 1
+.names 40390 40392 40385
+1- 1
+-1 1
+.names 40385 40386
+1 1
+.names 40420 40421 40387
+11 1
+.names 40387 40388
+1 1
+.names 40388 40389
+0 1
+.names 40334 40389 40390
+11 1
+.names 170 40391
+0 1
+.names 8382 40391 40392
+11 1
+.names 40401 40404 40393
+1- 1
+-1 1
+.names 40393 40394
+1 1
+.names 40425 40427 40395
+11 1
+.names 40395 40396
+1 1
+.names 40396 40397
+0 1
+.names 40430 40431 40398
+11 1
+.names 40398 40399
+1 1
+.names 40399 40400
+0 1
+.names 40397 40400 40401
+1- 1
+-1 1
+.names 40432 40434 40402
+11 1
+.names 40402 40403
+1 1
+.names 40403 40404
+0 1
+.names 40410 40411 40405
+1- 1
+-1 1
+.names 40405 40406
+1 1
+.names 8423 40407
+0 1
+.names 40407 40408
+1 1
+.names 40408 40409
+0 1
+.names 8420 40409 40410
+1- 1
+-1 1
+.names 303 40411
+0 1
+.names 40414 40363 40412
+11 1
+.names 40412 40413
+1 1
+.names 8370 40414
+0 1
+.names 40366 40417 40415
+11 1
+.names 40415 40416
+1 1
+.names 8410 40417
+0 1
+.names 40428 40429 40418
+1- 1
+-1 1
+.names 40418 40419
+1 1
+.names 40419 40420
+0 1
+.names 40406 40421
+0 1
+.names 40394 40424 40422
+1- 1
+-1 1
+.names 40422 40423
+1 1
+.names 40386 40424
+0 1
+.names 40407 2413 40425
+1- 1
+-1 1
+.names 585 40426
+0 1
+.names 40426 40363 40427
+1- 1
+-1 1
+.names 40413 40428
+0 1
+.names 40416 40429
+0 1
+.names 40414 2413 40430
+1- 1
+-1 1
+.names 40417 27698 40431
+1- 1
+-1 1
+.names 25969 40366 40432
+1- 1
+-1 1
+.names 8420 40433
+0 1
+.names 40433 25969 40434
+1- 1
+-1 1
+.names 8406 40435
+1 1
+.names 40439 40440 40436
+1- 1
+-1 1
+.names 40436 40437
+1 1
+.names 40435 40438
+0 1
+.names 8418 40438 40439
+1- 1
+-1 1
+.names 308 40440
+0 1
+.names 40443 40444 40441
+11 1
+.names 40441 40442
+1 1
+.names 8368 40443
+0 1
+.names 8413 40444
+0 1
+.names 40449 40450 40445
+11 1
+.names 40445 40446
+1 1
+.names 40475 40478 40447
+1- 1
+-1 1
+.names 40447 40448
+1 1
+.names 40448 40449
+0 1
+.names 40437 40450
+0 1
+.names 40454 40457 40451
+1- 1
+-1 1
+.names 40451 40452
+1 1
+.names 40485 40486 40453
+1- 1
+-1 1
+.names 40453 40454
+1 1
+.names 40462 40464 40455
+1- 1
+-1 1
+.names 40455 40456
+1 1
+.names 40456 40457
+0 1
+.names 8395 40458
+0 1
+.names 40458 40459
+1 1
+.names 40459 40460
+0 1
+.names 175 40461
+0 1
+.names 40460 40461 40462
+11 1
+.names 40446 40463
+0 1
+.names 40459 40463 40464
+11 1
+.names 40468 40469 40465
+11 1
+.names 40465 40466
+1 1
+.names 590 40467
+0 1
+.names 40467 8406 40468
+1- 1
+-1 1
+.names 26012 40444 40469
+1- 1
+-1 1
+.names 40472 40474 40470
+11 1
+.names 40470 40471
+1 1
+.names 40417 27715 40472
+1- 1
+-1 1
+.names 8418 40473
+0 1
+.names 40473 26012 40474
+1- 1
+-1 1
+.names 40442 40475
+0 1
+.names 40417 40407 40476
+11 1
+.names 40476 40477
+1 1
+.names 40477 40478
+0 1
+.names 40481 40482 40479
+11 1
+.names 40479 40480
+1 1
+.names 40443 25985 40481
+1- 1
+-1 1
+.names 40407 27715 40482
+1- 1
+-1 1
+.names 40471 40483
+0 1
+.names 40480 40484
+0 1
+.names 40483 40484 40485
+1- 1
+-1 1
+.names 40466 40486
+0 1
+.names 40489 40490 40487
+1- 1
+-1 1
+.names 40487 40488
+1 1
+.names 8394 40489
+0 1
+.names 177 40490
+0 1
+.names 40495 40496 40491
+1- 1
+-1 1
+.names 40491 40492
+1 1
+.names 40540 40493
+0 1
+.names 40493 40494
+1 1
+.names 40494 40495
+0 1
+.names 592 40496
+0 1
+.names 40501 40504 40497
+1- 1
+-1 1
+.names 40497 40498
+1 1
+.names 40551 40552 40499
+11 1
+.names 40499 40500
+1 1
+.names 40500 40501
+0 1
+.names 40509 40510 40502
+1- 1
+-1 1
+.names 40502 40503
+1 1
+.names 40503 40504
+0 1
+.names 8373 40505
+0 1
+.names 40535 40538 40506
+11 1
+.names 40506 40507
+1 1
+.names 40507 40508
+0 1
+.names 40505 40508 40509
+11 1
+.names 8373 27744 40510
+11 1
+.names 40513 40516 40511
+1- 1
+-1 1
+.names 40511 40512
+1 1
+.names 40488 40513
+0 1
+.names 8433 40514
+0 1
+.names 40514 40515
+1 1
+.names 40515 40516
+0 1
+.names 40513 40520 40517
+1- 1
+-1 1
+.names 40517 40518
+1 1
+.names 27744 40519
+1 1
+.names 40519 40520
+0 1
+.names 40523 40526 40521
+1- 1
+-1 1
+.names 40521 40522
+1 1
+.names 40512 40518 40523
+11 1
+.names 40541 40542 40524
+11 1
+.names 40524 40525
+1 1
+.names 40525 40526
+0 1
+.names 40531 40534 40527
+1- 1
+-1 1
+.names 40527 40528
+1 1
+.names 40539 40489 40529
+11 1
+.names 40529 40530
+1 1
+.names 40530 40531
+0 1
+.names 40514 40473 40532
+11 1
+.names 40532 40533
+1 1
+.names 40533 40534
+0 1
+.names 40528 40535
+0 1
+.names 40545 40546 40536
+1- 1
+-1 1
+.names 40536 40537
+1 1
+.names 40537 40538
+0 1
+.names 8415 40539
+0 1
+.names 8406 40540
+1 1
+.names 40414 26089 40541
+1- 1
+-1 1
+.names 40473 26061 40542
+1- 1
+-1 1
+.names 40414 40543
+1 1
+.names 310 40544
+0 1
+.names 40493 40544 40545
+1- 1
+-1 1
+.names 40543 40546
+0 1
+.names 40549 40550 40547
+1- 1
+-1 1
+.names 40547 40548
+1 1
+.names 8415 56433 40549
+11 1
+.names 40492 40550
+0 1
+.names 40522 40551
+0 1
+.names 40548 40552
+0 1
+.names 40558 40560 40553
+1- 1
+-1 1
+.names 40553 40554
+1 1
+.names 40586 40587 40555
+11 1
+.names 40555 40556
+1 1
+.names 40556 40557
+0 1
+.names 40489 40557 40558
+11 1
+.names 179 40559
+0 1
+.names 8394 40559 40560
+11 1
+.names 40569 40572 40561
+1- 1
+-1 1
+.names 40561 40562
+1 1
+.names 40591 40593 40563
+11 1
+.names 40563 40564
+1 1
+.names 40564 40565
+0 1
+.names 40598 40599 40566
+11 1
+.names 40566 40567
+1 1
+.names 40567 40568
+0 1
+.names 40565 40568 40569
+1- 1
+-1 1
+.names 40594 40595 40570
+11 1
+.names 40570 40571
+1 1
+.names 40571 40572
+0 1
+.names 40577 40578 40573
+1- 1
+-1 1
+.names 40573 40574
+1 1
+.names 40355 40575
+1 1
+.names 40575 40576
+0 1
+.names 8418 40576 40577
+1- 1
+-1 1
+.names 312 40578
+0 1
+.names 40414 40581 40579
+11 1
+.names 40579 40580
+1 1
+.names 8393 40581
+0 1
+.names 40366 40367 40582
+11 1
+.names 40582 40583
+1 1
+.names 40596 40597 40584
+1- 1
+-1 1
+.names 40584 40585
+1 1
+.names 40585 40586
+0 1
+.names 40574 40587
+0 1
+.names 40562 40590 40588
+1- 1
+-1 1
+.names 40588 40589
+1 1
+.names 40554 40590
+0 1
+.names 40355 27765 40591
+1- 1
+-1 1
+.names 594 40592
+0 1
+.names 40592 40581 40593
+1- 1
+-1 1
+.names 26135 40366 40594
+1- 1
+-1 1
+.names 40473 26135 40595
+1- 1
+-1 1
+.names 40580 40596
+0 1
+.names 40583 40597
+0 1
+.names 40414 26123 40598
+1- 1
+-1 1
+.names 40367 27765 40599
+1- 1
+-1 1
+.names 40605 40607 40600
+1- 1
+-1 1
+.names 40600 40601
+1 1
+.names 40619 40620 40602
+11 1
+.names 40602 40603
+1 1
+.names 40603 40604
+0 1
+.names 40489 40604 40605
+11 1
+.names 186 40606
+0 1
+.names 8394 40606 40607
+11 1
+.names 40613 40614 40608
+1- 1
+-1 1
+.names 40608 40609
+1 1
+.names 8424 40610
+0 1
+.names 40610 40611
+1 1
+.names 40611 40612
+0 1
+.names 8420 40612 40613
+1- 1
+-1 1
+.names 319 40614
+0 1
+.names 40414 40581 40615
+11 1
+.names 40615 40616
+1 1
+.names 40637 40638 40617
+1- 1
+-1 1
+.names 40617 40618
+1 1
+.names 40618 40619
+0 1
+.names 40609 40620
+0 1
+.names 40624 40625 40621
+1- 1
+-1 1
+.names 40621 40622
+1 1
+.names 40645 40646 40623
+1- 1
+-1 1
+.names 40623 40624
+1 1
+.names 40601 40625
+0 1
+.names 40628 40630 40626
+11 1
+.names 40626 40627
+1 1
+.names 40610 27782 40628
+1- 1
+-1 1
+.names 601 40629
+0 1
+.names 40629 40581 40630
+1- 1
+-1 1
+.names 40539 40417 40631
+11 1
+.names 40631 40632
+1 1
+.names 40635 40636 40633
+11 1
+.names 40633 40634
+1 1
+.names 26172 40539 40635
+1- 1
+-1 1
+.names 40433 26172 40636
+1- 1
+-1 1
+.names 40616 40637
+0 1
+.names 40632 40638
+0 1
+.names 40641 40642 40639
+11 1
+.names 40639 40640
+1 1
+.names 40414 26159 40641
+1- 1
+-1 1
+.names 40417 27782 40642
+1- 1
+-1 1
+.names 40627 40643
+0 1
+.names 40640 40644
+0 1
+.names 40643 40644 40645
+1- 1
+-1 1
+.names 40634 40646
+0 1
+.names 40652 40653 40647
+1- 1
+-1 1
+.names 40647 40648
+1 1
+.names 40666 40667 40649
+11 1
+.names 40649 40650
+1 1
+.names 40650 40651
+0 1
+.names 40362 40651 40652
+11 1
+.names 8371 26205 40653
+11 1
+.names 40658 40659 40654
+1- 1
+-1 1
+.names 40654 40655
+1 1
+.names 40363 40656
+1 1
+.names 40656 40657
+0 1
+.names 8417 40657 40658
+1- 1
+-1 1
+.names 332 40659
+0 1
+.names 40355 40489 40660
+11 1
+.names 40660 40661
+1 1
+.names 40366 40505 40662
+11 1
+.names 40662 40663
+1 1
+.names 40677 40678 40664
+1- 1
+-1 1
+.names 40664 40665
+1 1
+.names 40665 40666
+0 1
+.names 40655 40667
+0 1
+.names 40671 40672 40668
+1- 1
+-1 1
+.names 40668 40669
+1 1
+.names 40691 40692 40670
+1- 1
+-1 1
+.names 40670 40671
+1 1
+.names 40648 40672
+0 1
+.names 40675 40676 40673
+11 1
+.names 40673 40674
+1 1
+.names 26220 40366 40675
+1- 1
+-1 1
+.names 40378 26220 40676
+1- 1
+-1 1
+.names 40661 40677
+0 1
+.names 40663 40678
+0 1
+.names 40682 40684 40679
+11 1
+.names 40679 40680
+1 1
+.names 614 40681
+0 1
+.names 40681 40363 40682
+1- 1
+-1 1
+.names 199 40683
+0 1
+.names 40489 40683 40684
+1- 1
+-1 1
+.names 40687 40688 40685
+11 1
+.names 40685 40686
+1 1
+.names 40355 27799 40687
+1- 1
+-1 1
+.names 40505 27799 40688
+1- 1
+-1 1
+.names 40680 40689
+0 1
+.names 40686 40690
+0 1
+.names 40689 40690 40691
+1- 1
+-1 1
+.names 40674 40692
+0 1
+.names 40695 40489 40693
+1- 1
+-1 1
+.names 40693 40694
+1 1
+.names 188 40695
+0 1
+.names 40700 40703 40696
+1- 1
+-1 1
+.names 40696 40697
+1 1
+.names 40707 40708 40698
+1- 1
+-1 1
+.names 40698 40699
+1 1
+.names 40699 40700
+0 1
+.names 40721 40724 40701
+11 1
+.names 40701 40702
+1 1
+.names 40702 40703
+0 1
+.names 40744 40745 40704
+11 1
+.names 40704 40705
+1 1
+.names 40705 40706
+0 1
+.names 40473 40706 40707
+11 1
+.names 8418 26261 40708
+11 1
+.names 40711 40714 40709
+1- 1
+-1 1
+.names 40709 40710
+1 1
+.names 40694 40711
+0 1
+.names 8412 40712
+0 1
+.names 40712 40713
+1 1
+.names 40713 40714
+0 1
+.names 40711 40718 40715
+1- 1
+-1 1
+.names 40715 40716
+1 1
+.names 27815 40717
+1 1
+.names 40717 40718
+0 1
+.names 40725 40728 40719
+1- 1
+-1 1
+.names 40719 40720
+1 1
+.names 40720 40721
+0 1
+.names 40746 40749 40722
+1- 1
+-1 1
+.names 40722 40723
+1 1
+.names 40723 40724
+0 1
+.names 40710 40716 40725
+11 1
+.names 40751 40752 40726
+11 1
+.names 40726 40727
+1 1
+.names 40727 40728
+0 1
+.names 40732 40735 40729
+1- 1
+-1 1
+.names 40729 40730
+1 1
+.names 321 40731
+0 1
+.names 8392 40731 40732
+1- 1
+-1 1
+.names 8429 40733
+0 1
+.names 40733 40734
+1 1
+.names 40734 40735
+0 1
+.names 40740 40743 40736
+1- 1
+-1 1
+.names 40736 40737
+1 1
+.names 40539 40489 40738
+11 1
+.names 40738 40739
+1 1
+.names 40739 40740
+0 1
+.names 40505 40712 40741
+11 1
+.names 40741 40742
+1 1
+.names 40742 40743
+0 1
+.names 40737 40744
+0 1
+.names 40730 40745
+0 1
+.names 8415 56444 40746
+11 1
+.names 40505 27815 40747
+1- 1
+-1 1
+.names 40747 40748
+1 1
+.names 40748 40749
+0 1
+.names 603 40750
+0 1
+.names 40363 40750 40751
+1- 1
+-1 1
+.names 26276 40733 40752
+1- 1
+-1 1
+.names 40755 40756 40753
+11 1
+.names 40753 40754
+1 1
+.names 40367 27843 40755
+1- 1
+-1 1
+.names 40433 26330 40756
+1- 1
+-1 1
+.names 40367 40610 40757
+11 1
+.names 40757 40758
+1 1
+.names 40363 40759
+1 1
+.names 40763 40764 40760
+1- 1
+-1 1
+.names 40760 40761
+1 1
+.names 40759 40762
+0 1
+.names 8420 40762 40763
+1- 1
+-1 1
+.names 311 40764
+0 1
+.names 40769 40770 40765
+11 1
+.names 40765 40766
+1 1
+.names 40793 40794 40767
+1- 1
+-1 1
+.names 40767 40768
+1 1
+.names 40768 40769
+0 1
+.names 40761 40770
+0 1
+.names 40774 40776 40771
+1- 1
+-1 1
+.names 40771 40772
+1 1
+.names 40766 40773
+0 1
+.names 40458 40773 40774
+11 1
+.names 178 40775
+0 1
+.names 8395 40775 40776
+11 1
+.names 40780 40781 40777
+1- 1
+-1 1
+.names 40777 40778
+1 1
+.names 40797 40798 40779
+1- 1
+-1 1
+.names 40779 40780
+1 1
+.names 40772 40781
+0 1
+.names 40785 40786 40782
+11 1
+.names 40782 40783
+1 1
+.names 593 40784
+0 1
+.names 40784 40363 40785
+1- 1
+-1 1
+.names 26330 40444 40786
+1- 1
+-1 1
+.names 40789 40790 40787
+11 1
+.names 40787 40788
+1 1
+.names 40443 26310 40789
+1- 1
+-1 1
+.names 40610 27843 40790
+1- 1
+-1 1
+.names 40443 40444 40791
+11 1
+.names 40791 40792
+1 1
+.names 40792 40793
+0 1
+.names 40758 40794
+0 1
+.names 40754 40795
+0 1
+.names 40788 40796
+0 1
+.names 40795 40796 40797
+1- 1
+-1 1
+.names 40783 40798
+0 1
+.names 40804 40806 40799
+1- 1
+-1 1
+.names 40799 40800
+1 1
+.names 40817 40818 40801
+11 1
+.names 40801 40802
+1 1
+.names 40802 40803
+0 1
+.names 40458 40803 40804
+11 1
+.names 194 40805
+0 1
+.names 8395 40805 40806
+11 1
+.names 40811 40812 40807
+1- 1
+-1 1
+.names 40807 40808
+1 1
+.names 40514 40809
+1 1
+.names 40809 40810
+0 1
+.names 8420 40810 40811
+1- 1
+-1 1
+.names 327 40812
+0 1
+.names 40362 40581 40813
+11 1
+.names 40813 40814
+1 1
+.names 40831 40832 40815
+1- 1
+-1 1
+.names 40815 40816
+1 1
+.names 40816 40817
+0 1
+.names 40808 40818
+0 1
+.names 40822 40823 40819
+1- 1
+-1 1
+.names 40819 40820
+1 1
+.names 40841 40842 40821
+1- 1
+-1 1
+.names 40821 40822
+1 1
+.names 40800 40823
+0 1
+.names 40826 40828 40824
+11 1
+.names 40824 40825
+1 1
+.names 40514 27859 40826
+1- 1
+-1 1
+.names 609 40827
+0 1
+.names 40827 40581 40828
+1- 1
+-1 1
+.names 40539 40417 40829
+11 1
+.names 40829 40830
+1 1
+.names 40814 40831
+0 1
+.names 40830 40832
+0 1
+.names 40835 40836 40833
+11 1
+.names 40833 40834
+1 1
+.names 26371 40539 40835
+1- 1
+-1 1
+.names 40433 26371 40836
+1- 1
+-1 1
+.names 40825 40837
+0 1
+.names 40843 40844 40838
+11 1
+.names 40838 40839
+1 1
+.names 40839 40840
+0 1
+.names 40837 40840 40841
+1- 1
+-1 1
+.names 40834 40842
+0 1
+.names 40362 26358 40843
+1- 1
+-1 1
+.names 40417 27859 40844
+1- 1
+-1 1
+.names 40850 40851 40845
+1- 1
+-1 1
+.names 40845 40846
+1 1
+.names 40877 40878 40847
+11 1
+.names 40847 40848
+1 1
+.names 40848 40849
+0 1
+.names 40362 40849 40850
+11 1
+.names 8371 26416 40851
+11 1
+.names 40860 40863 40852
+1- 1
+-1 1
+.names 40852 40853
+1 1
+.names 40885 40887 40854
+11 1
+.names 40854 40855
+1 1
+.names 40855 40856
+0 1
+.names 40890 40891 40857
+11 1
+.names 40857 40858
+1 1
+.names 40858 40859
+0 1
+.names 40856 40859 40860
+1- 1
+-1 1
+.names 40882 40883 40861
+11 1
+.names 40861 40862
+1 1
+.names 40862 40863
+0 1
+.names 40868 40869 40864
+1- 1
+-1 1
+.names 40864 40865
+1 1
+.names 8406 40866
+1 1
+.names 40866 40867
+0 1
+.names 8420 40867 40868
+1- 1
+-1 1
+.names 313 40869
+0 1
+.names 40872 40334 40870
+11 1
+.names 40870 40871
+1 1
+.names 8430 40872
+0 1
+.names 40366 40505 40873
+11 1
+.names 40873 40874
+1 1
+.names 40888 40889 40875
+1- 1
+-1 1
+.names 40875 40876
+1 1
+.names 40876 40877
+0 1
+.names 40865 40878
+0 1
+.names 40853 40881 40879
+1- 1
+-1 1
+.names 40879 40880
+1 1
+.names 40846 40881
+0 1
+.names 26428 40366 40882
+1- 1
+-1 1
+.names 40433 26428 40883
+1- 1
+-1 1
+.names 595 40884
+0 1
+.names 40884 8406 40885
+1- 1
+-1 1
+.names 180 40886
+0 1
+.names 40334 40886 40887
+1- 1
+-1 1
+.names 40871 40888
+0 1
+.names 40874 40889
+0 1
+.names 40872 27879 40890
+1- 1
+-1 1
+.names 40505 27879 40891
+1- 1
+-1 1
+.names 8406 40892
+1 1
+.names 40334 40895 40893
+1- 1
+-1 1
+.names 40893 40894
+1 1
+.names 193 40895
+0 1
+.names 40900 40903 40896
+1- 1
+-1 1
+.names 40896 40897
+1 1
+.names 40907 40908 40898
+1- 1
+-1 1
+.names 40898 40899
+1 1
+.names 40899 40900
+0 1
+.names 40920 40923 40901
+11 1
+.names 40901 40902
+1 1
+.names 40902 40903
+0 1
+.names 40941 40942 40904
+11 1
+.names 40904 40905
+1 1
+.names 40905 40906
+0 1
+.names 40473 40906 40907
+11 1
+.names 8418 26460 40908
+11 1
+.names 40911 40913 40909
+1- 1
+-1 1
+.names 40909 40910
+1 1
+.names 40894 40911
+0 1
+.names 40712 40912
+1 1
+.names 40912 40913
+0 1
+.names 40911 40917 40914
+1- 1
+-1 1
+.names 40914 40915
+1 1
+.names 27895 40916
+1 1
+.names 40916 40917
+0 1
+.names 40924 40927 40918
+1- 1
+-1 1
+.names 40918 40919
+1 1
+.names 40919 40920
+0 1
+.names 40948 40949 40921
+1- 1
+-1 1
+.names 40921 40922
+1 1
+.names 40922 40923
+0 1
+.names 40910 40915 40924
+11 1
+.names 40943 40945 40925
+11 1
+.names 40925 40926
+1 1
+.names 40926 40927
+0 1
+.names 40931 40932 40928
+1- 1
+-1 1
+.names 40928 40929
+1 1
+.names 40892 40930
+0 1
+.names 8413 40930 40931
+1- 1
+-1 1
+.names 326 40932
+0 1
+.names 40937 40940 40933
+1- 1
+-1 1
+.names 40933 40934
+1 1
+.names 40334 40414 40935
+11 1
+.names 40935 40936
+1 1
+.names 40936 40937
+0 1
+.names 40417 40712 40938
+11 1
+.names 40938 40939
+1 1
+.names 40939 40940
+0 1
+.names 40929 40941
+0 1
+.names 40934 40942
+0 1
+.names 40414 26477 40943
+1- 1
+-1 1
+.names 608 40944
+0 1
+.names 8406 40944 40945
+1- 1
+-1 1
+.names 26460 40444 40946
+1- 1
+-1 1
+.names 40946 40947
+1 1
+.names 8410 7075 40948
+11 1
+.names 40947 40949
+0 1
+.names 40955 40957 40950
+1- 1
+-1 1
+.names 40950 40951
+1 1
+.names 40985 40986 40952
+11 1
+.names 40952 40953
+1 1
+.names 40953 40954
+0 1
+.names 40334 40954 40955
+11 1
+.names 169 40956
+0 1
+.names 8382 40956 40957
+11 1
+.names 40966 40969 40958
+1- 1
+-1 1
+.names 40958 40959
+1 1
+.names 40989 40990 40960
+11 1
+.names 40960 40961
+1 1
+.names 40961 40962
+0 1
+.names 40991 40992 40963
+11 1
+.names 40963 40964
+1 1
+.names 40964 40965
+0 1
+.names 40962 40965 40966
+1- 1
+-1 1
+.names 40993 40994 40967
+11 1
+.names 40967 40968
+1 1
+.names 40968 40969
+0 1
+.names 40975 40976 40970
+1- 1
+-1 1
+.names 40970 40971
+1 1
+.names 8414 40972
+0 1
+.names 40972 40973
+1 1
+.names 40973 40974
+0 1
+.names 8392 40974 40975
+1- 1
+-1 1
+.names 302 40976
+0 1
+.names 40981 40984 40977
+1- 1
+-1 1
+.names 40977 40978
+1 1
+.names 40378 40443 40979
+11 1
+.names 40979 40980
+1 1
+.names 40980 40981
+0 1
+.names 40367 40712 40982
+11 1
+.names 40982 40983
+1 1
+.names 40983 40984
+0 1
+.names 40978 40985
+0 1
+.names 40971 40986
+0 1
+.names 40951 40987
+0 1
+.names 584 40988
+0 1
+.names 40988 40363 40989
+1- 1
+-1 1
+.names 26539 40972 40990
+1- 1
+-1 1
+.names 40443 2413 40991
+1- 1
+-1 1
+.names 40712 2413 40992
+1- 1
+-1 1
+.names 40367 27831 40993
+1- 1
+-1 1
+.names 40378 26539 40994
+1- 1
+-1 1
+.names 41003 41006 40995
+1- 1
+-1 1
+.names 40995 40996
+1 1
+.names 41039 41040 40997
+11 1
+.names 40997 40998
+1 1
+.names 40998 40999
+0 1
+.names 41037 41038 41000
+11 1
+.names 41000 41001
+1 1
+.names 41001 41002
+0 1
+.names 40999 41002 41003
+1- 1
+-1 1
+.names 41029 41030 41004
+11 1
+.names 41004 41005
+1 1
+.names 41005 41006
+0 1
+.names 41011 41012 41007
+1- 1
+-1 1
+.names 41007 41008
+1 1
+.names 8406 41009
+1 1
+.names 41009 41010
+0 1
+.names 8420 41010 41011
+1- 1
+-1 1
+.names 309 41012
+0 1
+.names 40733 40444 41013
+11 1
+.names 41013 41014
+1 1
+.names 40367 40407 41015
+11 1
+.names 41015 41016
+1 1
+.names 41021 41022 41017
+11 1
+.names 41017 41018
+1 1
+.names 41035 41036 41019
+1- 1
+-1 1
+.names 41019 41020
+1 1
+.names 41020 41021
+0 1
+.names 41008 41022
+0 1
+.names 40996 41027 41023
+1- 1
+-1 1
+.names 41023 41024
+1 1
+.names 41032 41034 41025
+1- 1
+-1 1
+.names 41025 41026
+1 1
+.names 41026 41027
+0 1
+.names 591 41028
+0 1
+.names 41028 8406 41029
+1- 1
+-1 1
+.names 26576 40444 41030
+1- 1
+-1 1
+.names 41018 41031
+0 1
+.names 40458 41031 41032
+11 1
+.names 176 41033
+0 1
+.names 8395 41033 41034
+11 1
+.names 41014 41035
+0 1
+.names 41016 41036
+0 1
+.names 40733 26560 41037
+1- 1
+-1 1
+.names 40407 27911 41038
+1- 1
+-1 1
+.names 40367 27911 41039
+1- 1
+-1 1
+.names 40433 26576 41040
+1- 1
+-1 1
+.names 41046 41048 41041
+1- 1
+-1 1
+.names 41041 41042
+1 1
+.names 41061 41062 41043
+11 1
+.names 41043 41044
+1 1
+.names 41044 41045
+0 1
+.names 40334 41045 41046
+11 1
+.names 187 41047
+0 1
+.names 8382 41047 41048
+11 1
+.names 41053 41054 41049
+1- 1
+-1 1
+.names 41049 41050
+1 1
+.names 8406 41051
+1 1
+.names 41051 41052
+0 1
+.names 8418 41052 41053
+1- 1
+-1 1
+.names 320 41054
+0 1
+.names 40355 40367 41055
+11 1
+.names 41055 41056
+1 1
+.names 40972 40443 41057
+11 1
+.names 41057 41058
+1 1
+.names 41072 41073 41059
+1- 1
+-1 1
+.names 41059 41060
+1 1
+.names 41060 41061
+0 1
+.names 41050 41062
+0 1
+.names 41065 41067 41063
+11 1
+.names 41063 41064
+1 1
+.names 40355 27927 41065
+1- 1
+-1 1
+.names 602 41066
+0 1
+.names 8406 41066 41067
+1- 1
+-1 1
+.names 41070 41071 41068
+11 1
+.names 41068 41069
+1 1
+.names 26614 40972 41070
+1- 1
+-1 1
+.names 40473 26614 41071
+1- 1
+-1 1
+.names 41056 41072
+0 1
+.names 41058 41073
+0 1
+.names 41078 41079 41074
+1- 1
+-1 1
+.names 41074 41075
+1 1
+.names 41082 41069 41076
+11 1
+.names 41076 41077
+1 1
+.names 41077 41078
+0 1
+.names 41042 41079
+0 1
+.names 41083 41084 41080
+11 1
+.names 41080 41081
+1 1
+.names 41064 41081 41082
+11 1
+.names 40443 26602 41083
+1- 1
+-1 1
+.names 40367 27927 41084
+1- 1
+-1 1
+.names 40581 41085
+1 1
+.names 40872 27943 41086
+1- 1
+-1 1
+.names 41086 41087
+1 1
+.names 41093 41094 41088
+1- 1
+-1 1
+.names 41088 41089
+1 1
+.names 41126 41127 41090
+11 1
+.names 41090 41091
+1 1
+.names 41091 41092
+0 1
+.names 40733 41092 41093
+11 1
+.names 8429 26669 41094
+11 1
+.names 41098 41100 41095
+11 1
+.names 41095 41096
+1 1
+.names 600 41097
+0 1
+.names 41097 40581 41098
+1- 1
+-1 1
+.names 185 41099
+0 1
+.names 40489 41099 41100
+1- 1
+-1 1
+.names 41113 41114 41101
+1- 1
+-1 1
+.names 41101 41102
+1 1
+.names 40433 26637 41103
+1- 1
+-1 1
+.names 41103 41104
+1 1
+.names 41104 41105
+0 1
+.names 26637 40444 41106
+1- 1
+-1 1
+.names 41106 41107
+1 1
+.names 41107 41108
+0 1
+.names 41105 41108 41109
+1- 1
+-1 1
+.names 40505 27943 41110
+1- 1
+-1 1
+.names 41110 41111
+1 1
+.names 41111 41112
+0 1
+.names 41109 41112 41113
+1- 1
+-1 1
+.names 41087 41114
+0 1
+.names 41118 41119 41115
+1- 1
+-1 1
+.names 41115 41116
+1 1
+.names 41085 41117
+0 1
+.names 8420 41117 41118
+1- 1
+-1 1
+.names 318 41119
+0 1
+.names 40872 40489 41120
+11 1
+.names 41120 41121
+1 1
+.names 40444 40505 41122
+11 1
+.names 41122 41123
+1 1
+.names 41133 41134 41124
+1- 1
+-1 1
+.names 41124 41125
+1 1
+.names 41125 41126
+0 1
+.names 41116 41127
+0 1
+.names 41131 41132 41128
+1- 1
+-1 1
+.names 41128 41129
+1 1
+.names 41089 41130
+0 1
+.names 41102 41130 41131
+1- 1
+-1 1
+.names 41096 41132
+0 1
+.names 41121 41133
+0 1
+.names 41123 41134
+0 1
+.names 41140 41141 41135
+1- 1
+-1 1
+.names 41135 41136
+1 1
+.names 41166 41167 41137
+11 1
+.names 41137 41138
+1 1
+.names 41138 41139
+0 1
+.names 40414 41139 41140
+11 1
+.names 8370 26708 41141
+11 1
+.names 41150 41153 41142
+1- 1
+-1 1
+.names 41142 41143
+1 1
+.names 41172 41174 41144
+11 1
+.names 41144 41145
+1 1
+.names 41145 41146
+0 1
+.names 41177 41178 41147
+11 1
+.names 41147 41148
+1 1
+.names 41148 41149
+0 1
+.names 41146 41149 41150
+1- 1
+-1 1
+.names 41179 41180 41151
+11 1
+.names 41151 41152
+1 1
+.names 41152 41153
+0 1
+.names 41158 41159 41154
+1- 1
+-1 1
+.names 41154 41155
+1 1
+.names 8406 41156
+1 1
+.names 41156 41157
+0 1
+.names 8417 41157 41158
+1- 1
+-1 1
+.names 325 41159
+0 1
+.names 40872 40505 41160
+11 1
+.names 41160 41161
+1 1
+.names 40366 40458 41162
+11 1
+.names 41162 41163
+1 1
+.names 41175 41176 41164
+1- 1
+-1 1
+.names 41164 41165
+1 1
+.names 41165 41166
+0 1
+.names 41155 41167
+0 1
+.names 41143 41170 41168
+1- 1
+-1 1
+.names 41168 41169
+1 1
+.names 41136 41170
+0 1
+.names 607 41171
+0 1
+.names 41171 8406 41172
+1- 1
+-1 1
+.names 192 41173
+0 1
+.names 40458 41173 41174
+1- 1
+-1 1
+.names 41161 41175
+0 1
+.names 41163 41176
+0 1
+.names 40872 27959 41177
+1- 1
+-1 1
+.names 40505 27959 41178
+1- 1
+-1 1
+.names 26724 40366 41179
+1- 1
+-1 1
+.names 40378 26724 41180
+1- 1
+-1 1
+.names 41183 40458 41181
+1- 1
+-1 1
+.names 41181 41182
+1 1
+.names 200 41183
+0 1
+.names 41188 41191 41184
+1- 1
+-1 1
+.names 41184 41185
+1 1
+.names 41195 41196 41186
+1- 1
+-1 1
+.names 41186 41187
+1 1
+.names 41187 41188
+0 1
+.names 41208 41211 41189
+11 1
+.names 41189 41190
+1 1
+.names 41190 41191
+0 1
+.names 41228 41229 41192
+11 1
+.names 41192 41193
+1 1
+.names 41193 41194
+0 1
+.names 40505 41194 41195
+11 1
+.names 8373 27971 41196
+11 1
+.names 41199 41201 41197
+1- 1
+-1 1
+.names 41197 41198
+1 1
+.names 41182 41199
+0 1
+.names 40407 41200
+1 1
+.names 41200 41201
+0 1
+.names 41199 41205 41202
+1- 1
+-1 1
+.names 41202 41203
+1 1
+.names 27971 41204
+1 1
+.names 41204 41205
+0 1
+.names 41212 41215 41206
+1- 1
+-1 1
+.names 41206 41207
+1 1
+.names 41207 41208
+0 1
+.names 41230 41233 41209
+1- 1
+-1 1
+.names 41209 41210
+1 1
+.names 41210 41211
+0 1
+.names 41198 41203 41212
+11 1
+.names 41235 41236 41213
+11 1
+.names 41213 41214
+1 1
+.names 41214 41215
+0 1
+.names 41220 41221 41216
+1- 1
+-1 1
+.names 41216 41217
+1 1
+.names 8406 41218
+1 1
+.names 41218 41219
+0 1
+.names 8368 41219 41220
+1- 1
+-1 1
+.names 333 41221
+0 1
+.names 40378 40972 41222
+11 1
+.names 41222 41223
+1 1
+.names 40407 40458 41224
+11 1
+.names 41224 41225
+1 1
+.names 41237 41238 41226
+1- 1
+-1 1
+.names 41226 41227
+1 1
+.names 41227 41228
+0 1
+.names 41217 41229
+0 1
+.names 8414 56456 41230
+11 1
+.names 26769 40443 41231
+1- 1
+-1 1
+.names 41231 41232
+1 1
+.names 41232 41233
+0 1
+.names 615 41234
+0 1
+.names 8406 41234 41235
+1- 1
+-1 1
+.names 40378 26751 41236
+1- 1
+-1 1
+.names 41223 41237
+0 1
+.names 41225 41238
+0 1
+.names 41244 41246 41239
+1- 1
+-1 1
+.names 41239 41240
+1 1
+.names 41271 41272 41241
+11 1
+.names 41241 41242
+1 1
+.names 41242 41243
+0 1
+.names 40334 41243 41244
+11 1
+.names 190 41245
+0 1
+.names 8382 41245 41246
+11 1
+.names 41255 41258 41247
+1- 1
+-1 1
+.names 41247 41248
+1 1
+.names 41278 41280 41249
+11 1
+.names 41249 41250
+1 1
+.names 41250 41251
+0 1
+.names 41283 41284 41252
+11 1
+.names 41252 41253
+1 1
+.names 41253 41254
+0 1
+.names 41251 41254 41255
+1- 1
+-1 1
+.names 41276 41277 41256
+11 1
+.names 41256 41257
+1 1
+.names 41257 41258
+0 1
+.names 41263 41264 41259
+1- 1
+-1 1
+.names 41259 41260
+1 1
+.names 40712 41261
+1 1
+.names 41261 41262
+0 1
+.names 8417 41262 41263
+1- 1
+-1 1
+.names 323 41264
+0 1
+.names 40733 40581 41265
+11 1
+.names 41265 41266
+1 1
+.names 40972 40505 41267
+11 1
+.names 41267 41268
+1 1
+.names 41281 41282 41269
+1- 1
+-1 1
+.names 41269 41270
+1 1
+.names 41270 41271
+0 1
+.names 41260 41272
+0 1
+.names 41248 41275 41273
+1- 1
+-1 1
+.names 41273 41274
+1 1
+.names 41240 41275
+0 1
+.names 26824 40972 41276
+1- 1
+-1 1
+.names 40378 26824 41277
+1- 1
+-1 1
+.names 40712 28023 41278
+1- 1
+-1 1
+.names 605 41279
+0 1
+.names 41279 40581 41280
+1- 1
+-1 1
+.names 41266 41281
+0 1
+.names 41268 41282
+0 1
+.names 40733 26813 41283
+1- 1
+-1 1
+.names 40505 28023 41284
+1- 1
+-1 1
+.names 41290 41292 41285
+1- 1
+-1 1
+.names 41285 41286
+1 1
+.names 41303 41304 41287
+11 1
+.names 41287 41288
+1 1
+.names 41288 41289
+0 1
+.names 40489 41289 41290
+11 1
+.names 171 41291
+0 1
+.names 8394 41291 41292
+11 1
+.names 41297 41298 41293
+1- 1
+-1 1
+.names 41293 41294
+1 1
+.names 40514 41295
+1 1
+.names 41295 41296
+0 1
+.names 8420 41296 41297
+1- 1
+-1 1
+.names 304 41298
+0 1
+.names 40733 40581 41299
+11 1
+.names 41299 41300
+1 1
+.names 41321 41322 41301
+1- 1
+-1 1
+.names 41301 41302
+1 1
+.names 41302 41303
+0 1
+.names 41294 41304
+0 1
+.names 41308 41309 41305
+1- 1
+-1 1
+.names 41305 41306
+1 1
+.names 41329 41330 41307
+1- 1
+-1 1
+.names 41307 41308
+1 1
+.names 41286 41309
+0 1
+.names 40539 40417 41310
+11 1
+.names 41310 41311
+1 1
+.names 41314 41316 41312
+11 1
+.names 41312 41313
+1 1
+.names 40514 27991 41314
+1- 1
+-1 1
+.names 586 41315
+0 1
+.names 41315 40581 41316
+1- 1
+-1 1
+.names 41319 41320 41317
+11 1
+.names 41317 41318
+1 1
+.names 26862 40539 41319
+1- 1
+-1 1
+.names 40433 26862 41320
+1- 1
+-1 1
+.names 41300 41321
+0 1
+.names 41311 41322
+0 1
+.names 41325 41326 41323
+11 1
+.names 41323 41324
+1 1
+.names 40733 26847 41325
+1- 1
+-1 1
+.names 40417 27991 41326
+1- 1
+-1 1
+.names 41313 41327
+0 1
+.names 41324 41328
+0 1
+.names 41327 41328 41329
+1- 1
+-1 1
+.names 41318 41330
+0 1
+.names 41336 41337 41331
+1- 1
+-1 1
+.names 41331 41332
+1 1
+.names 41360 41361 41333
+11 1
+.names 41333 41334
+1 1
+.names 41334 41335
+0 1
+.names 40443 41335 41336
+11 1
+.names 8368 26905 41337
+11 1
+.names 41346 41349 41338
+1- 1
+-1 1
+.names 41338 41339
+1 1
+.names 41368 41370 41340
+11 1
+.names 41340 41341
+1 1
+.names 41341 41342
+0 1
+.names 41373 41374 41343
+11 1
+.names 41343 41344
+1 1
+.names 41344 41345
+0 1
+.names 41342 41345 41346
+1- 1
+-1 1
+.names 41365 41366 41347
+11 1
+.names 41347 41348
+1 1
+.names 41348 41349
+0 1
+.names 41354 41355 41350
+1- 1
+-1 1
+.names 41350 41351
+1 1
+.names 8406 41352
+1 1
+.names 41352 41353
+0 1
+.names 8418 41353 41354
+1- 1
+-1 1
+.names 307 41355
+0 1
+.names 40444 40334 41356
+11 1
+.names 41356 41357
+1 1
+.names 41375 41376 41358
+1- 1
+-1 1
+.names 41358 41359
+1 1
+.names 41359 41360
+0 1
+.names 41351 41361
+0 1
+.names 41339 41364 41362
+1- 1
+-1 1
+.names 41362 41363
+1 1
+.names 41332 41364
+0 1
+.names 40444 14886 41365
+1- 1
+-1 1
+.names 40473 26914 41366
+1- 1
+-1 1
+.names 589 41367
+0 1
+.names 8406 41367 41368
+1- 1
+-1 1
+.names 174 41369
+0 1
+.names 40334 41369 41370
+1- 1
+-1 1
+.names 40610 40417 41371
+11 1
+.names 41371 41372
+1 1
+.names 40610 28007 41373
+1- 1
+-1 1
+.names 40417 28007 41374
+1- 1
+-1 1
+.names 41372 41375
+0 1
+.names 41357 41376
+0 1
+.names 40458 41379 41377
+1- 1
+-1 1
+.names 41377 41378
+1 1
+.names 182 41379
+0 1
+.names 41384 41387 41380
+1- 1
+-1 1
+.names 41380 41381
+1 1
+.names 41431 41432 41382
+11 1
+.names 41382 41383
+1 1
+.names 41383 41384
+0 1
+.names 41391 41392 41385
+1- 1
+-1 1
+.names 41385 41386
+1 1
+.names 41386 41387
+0 1
+.names 41410 41413 41388
+11 1
+.names 41388 41389
+1 1
+.names 41389 41390
+0 1
+.names 40378 41390 41391
+11 1
+.names 8417 26947 41392
+11 1
+.names 41395 41397 41393
+1- 1
+-1 1
+.names 41393 41394
+1 1
+.names 41378 41395
+0 1
+.names 40610 41396
+1 1
+.names 41396 41397
+0 1
+.names 41395 41401 41398
+1- 1
+-1 1
+.names 41398 41399
+1 1
+.names 28039 41400
+1 1
+.names 41400 41401
+0 1
+.names 41406 41409 41402
+1- 1
+-1 1
+.names 41402 41403
+1 1
+.names 40458 40443 41404
+11 1
+.names 41404 41405
+1 1
+.names 41405 41406
+0 1
+.names 40417 40610 41407
+11 1
+.names 41407 41408
+1 1
+.names 41408 41409
+0 1
+.names 41403 41410
+0 1
+.names 41427 41428 41411
+1- 1
+-1 1
+.names 41411 41412
+1 1
+.names 41412 41413
+0 1
+.names 41416 41418 41414
+11 1
+.names 41414 41415
+1 1
+.names 40443 26977 41416
+1- 1
+-1 1
+.names 597 41417
+0 1
+.names 8406 41417 41418
+1- 1
+-1 1
+.names 40417 28039 41419
+1- 1
+-1 1
+.names 41419 41420
+1 1
+.names 41423 41424 41421
+1- 1
+-1 1
+.names 41421 41422
+1 1
+.names 8415 56438 41423
+11 1
+.names 41420 41424
+0 1
+.names 8406 41425
+1 1
+.names 41425 41426
+0 1
+.names 8415 41426 41427
+1- 1
+-1 1
+.names 315 41428
+0 1
+.names 41433 41434 41429
+1- 1
+-1 1
+.names 41429 41430
+1 1
+.names 41430 41431
+0 1
+.names 41422 41432
+0 1
+.names 41394 41399 41433
+11 1
+.names 41415 41434
+0 1
+.names 41440 41442 41435
+1- 1
+-1 1
+.names 41435 41436
+1 1
+.names 41467 41468 41437
+11 1
+.names 41437 41438
+1 1
+.names 41438 41439
+0 1
+.names 40458 41439 41440
+11 1
+.names 183 41441
+0 1
+.names 8395 41441 41442
+11 1
+.names 41451 41454 41443
+1- 1
+-1 1
+.names 41443 41444
+1 1
+.names 41472 41474 41445
+11 1
+.names 41445 41446
+1 1
+.names 41446 41447
+0 1
+.names 41479 41480 41448
+11 1
+.names 41448 41449
+1 1
+.names 41449 41450
+0 1
+.names 41447 41450 41451
+1- 1
+-1 1
+.names 41475 41476 41452
+11 1
+.names 41452 41453
+1 1
+.names 41453 41454
+0 1
+.names 41459 41460 41455
+1- 1
+-1 1
+.names 41455 41456
+1 1
+.names 40514 41457
+1 1
+.names 41457 41458
+0 1
+.names 8417 41458 41459
+1- 1
+-1 1
+.names 316 41460
+0 1
+.names 40414 40581 41461
+11 1
+.names 41461 41462
+1 1
+.names 40366 40505 41463
+11 1
+.names 41463 41464
+1 1
+.names 41477 41478 41465
+1- 1
+-1 1
+.names 41465 41466
+1 1
+.names 41466 41467
+0 1
+.names 41456 41468
+0 1
+.names 41444 41471 41469
+1- 1
+-1 1
+.names 41469 41470
+1 1
+.names 41436 41471
+0 1
+.names 40514 28055 41472
+1- 1
+-1 1
+.names 598 41473
+0 1
+.names 41473 40581 41474
+1- 1
+-1 1
+.names 27020 40366 41475
+1- 1
+-1 1
+.names 40378 27020 41476
+1- 1
+-1 1
+.names 41462 41477
+0 1
+.names 41464 41478
+0 1
+.names 40414 27009 41479
+1- 1
+-1 1
+.names 40505 28055 41480
+1- 1
+-1 1
+.names 41486 41488 41481
+1- 1
+-1 1
+.names 41481 41482
+1 1
+.names 41513 41514 41483
+11 1
+.names 41483 41484
+1 1
+.names 41484 41485
+0 1
+.names 40489 41485 41486
+11 1
+.names 197 41487
+0 1
+.names 8394 41487 41488
+11 1
+.names 41497 41500 41489
+1- 1
+-1 1
+.names 41489 41490
+1 1
+.names 41522 41524 41491
+11 1
+.names 41491 41492
+1 1
+.names 41492 41493
+0 1
+.names 41525 41526 41494
+11 1
+.names 41494 41495
+1 1
+.names 41495 41496
+0 1
+.names 41493 41496 41497
+1- 1
+-1 1
+.names 41518 41519 41498
+11 1
+.names 41498 41499
+1 1
+.names 41499 41500
+0 1
+.names 41505 41506 41501
+1- 1
+-1 1
+.names 41501 41502
+1 1
+.names 40355 41503
+1 1
+.names 41503 41504
+0 1
+.names 8420 41504 41505
+1- 1
+-1 1
+.names 330 41506
+0 1
+.names 40414 40581 41507
+11 1
+.names 41507 41508
+1 1
+.names 40972 40505 41509
+11 1
+.names 41509 41510
+1 1
+.names 41520 41521 41511
+1- 1
+-1 1
+.names 41511 41512
+1 1
+.names 41512 41513
+0 1
+.names 41502 41514
+0 1
+.names 41490 41517 41515
+1- 1
+-1 1
+.names 41515 41516
+1 1
+.names 41482 41517
+0 1
+.names 27066 40972 41518
+1- 1
+-1 1
+.names 40433 27066 41519
+1- 1
+-1 1
+.names 41508 41520
+0 1
+.names 41510 41521
+0 1
+.names 40355 28067 41522
+1- 1
+-1 1
+.names 612 41523
+0 1
+.names 41523 40581 41524
+1- 1
+-1 1
+.names 40414 27055 41525
+1- 1
+-1 1
+.names 40505 28067 41526
+1- 1
+-1 1
+.names 41532 41533 41527
+1- 1
+-1 1
+.names 41527 41528
+1 1
+.names 41558 41559 41529
+11 1
+.names 41529 41530
+1 1
+.names 41530 41531
+0 1
+.names 40733 41531 41532
+11 1
+.names 8429 27101 41533
+11 1
+.names 41542 41545 41534
+1- 1
+-1 1
+.names 41534 41535
+1 1
+.names 41564 41566 41536
+11 1
+.names 41536 41537
+1 1
+.names 41537 41538
+0 1
+.names 41571 41572 41539
+11 1
+.names 41539 41540
+1 1
+.names 41540 41541
+0 1
+.names 41538 41541 41542
+1- 1
+-1 1
+.names 41567 41568 41543
+11 1
+.names 41543 41544
+1 1
+.names 41544 41545
+0 1
+.names 41550 41551 41546
+1- 1
+-1 1
+.names 41546 41547
+1 1
+.names 40363 41548
+1 1
+.names 41548 41549
+0 1
+.names 8418 41549 41550
+1- 1
+-1 1
+.names 314 41551
+0 1
+.names 40872 40458 41552
+11 1
+.names 41552 41553
+1 1
+.names 40366 40367 41554
+11 1
+.names 41554 41555
+1 1
+.names 41569 41570 41556
+1- 1
+-1 1
+.names 41556 41557
+1 1
+.names 41557 41558
+0 1
+.names 41547 41559
+0 1
+.names 41535 41562 41560
+1- 1
+-1 1
+.names 41560 41561
+1 1
+.names 41528 41562
+0 1
+.names 596 41563
+0 1
+.names 41563 40363 41564
+1- 1
+-1 1
+.names 181 41565
+0 1
+.names 40458 41565 41566
+1- 1
+-1 1
+.names 27115 40366 41567
+1- 1
+-1 1
+.names 40473 27115 41568
+1- 1
+-1 1
+.names 41553 41569
+0 1
+.names 41555 41570
+0 1
+.names 40872 28087 41571
+1- 1
+-1 1
+.names 40367 28087 41572
+1- 1
+-1 1
+.names 41578 41580 41573
+1- 1
+-1 1
+.names 41573 41574
+1 1
+.names 41605 41606 41575
+11 1
+.names 41575 41576
+1 1
+.names 41576 41577
+0 1
+.names 40334 41577 41578
+11 1
+.names 195 41579
+0 1
+.names 8382 41579 41580
+11 1
+.names 41589 41592 41581
+1- 1
+-1 1
+.names 41581 41582
+1 1
+.names 41616 41618 41583
+11 1
+.names 41583 41584
+1 1
+.names 41584 41585
+0 1
+.names 41614 41615 41586
+11 1
+.names 41586 41587
+1 1
+.names 41587 41588
+0 1
+.names 41585 41588 41589
+1- 1
+-1 1
+.names 41612 41613 41590
+11 1
+.names 41590 41591
+1 1
+.names 41591 41592
+0 1
+.names 41597 41598 41593
+1- 1
+-1 1
+.names 41593 41594
+1 1
+.names 40712 41595
+1 1
+.names 41595 41596
+0 1
+.names 8418 41596 41597
+1- 1
+-1 1
+.names 328 41598
+0 1
+.names 40733 40363 41599
+11 1
+.names 41599 41600
+1 1
+.names 40366 40505 41601
+11 1
+.names 41601 41602
+1 1
+.names 41610 41611 41603
+1- 1
+-1 1
+.names 41603 41604
+1 1
+.names 41604 41605
+0 1
+.names 41594 41606
+0 1
+.names 41582 41609 41607
+1- 1
+-1 1
+.names 41607 41608
+1 1
+.names 41574 41609
+0 1
+.names 41600 41610
+0 1
+.names 41602 41611
+0 1
+.names 27160 40366 41612
+1- 1
+-1 1
+.names 40473 27160 41613
+1- 1
+-1 1
+.names 40733 27147 41614
+1- 1
+-1 1
+.names 40505 28103 41615
+1- 1
+-1 1
+.names 40712 28103 41616
+1- 1
+-1 1
+.names 610 41617
+0 1
+.names 41617 40363 41618
+1- 1
+-1 1
+.names 41621 40334 41619
+1- 1
+-1 1
+.names 41619 41620
+1 1
+.names 196 41621
+0 1
+.names 41626 41629 41622
+1- 1
+-1 1
+.names 41622 41623
+1 1
+.names 41646 41649 41624
+11 1
+.names 41624 41625
+1 1
+.names 41625 41626
+0 1
+.names 41633 41634 41627
+1- 1
+-1 1
+.names 41627 41628
+1 1
+.names 41628 41629
+0 1
+.names 41668 41669 41630
+11 1
+.names 41630 41631
+1 1
+.names 41631 41632
+0 1
+.names 40367 41632 41633
+11 1
+.names 8375 28115 41634
+11 1
+.names 41637 41639 41635
+1- 1
+-1 1
+.names 41635 41636
+1 1
+.names 41620 41637
+0 1
+.names 40355 41638
+1 1
+.names 41638 41639
+0 1
+.names 41637 41643 41640
+1- 1
+-1 1
+.names 41640 41641
+1 1
+.names 28115 41642
+1 1
+.names 41642 41643
+0 1
+.names 41650 41653 41644
+1- 1
+-1 1
+.names 41644 41645
+1 1
+.names 41645 41646
+0 1
+.names 41673 41676 41647
+1- 1
+-1 1
+.names 41647 41648
+1 1
+.names 41648 41649
+0 1
+.names 41636 41641 41650
+11 1
+.names 41671 41672 41651
+11 1
+.names 41651 41652
+1 1
+.names 41652 41653
+0 1
+.names 41658 41659 41654
+1- 1
+-1 1
+.names 41654 41655
+1 1
+.names 40363 41656
+1 1
+.names 41656 41657
+0 1
+.names 8368 41657 41658
+1- 1
+-1 1
+.names 329 41659
+0 1
+.names 41664 41667 41660
+1- 1
+-1 1
+.names 41660 41661
+1 1
+.names 40539 40334 41662
+11 1
+.names 41662 41663
+1 1
+.names 41663 41664
+0 1
+.names 40355 40473 41665
+11 1
+.names 41665 41666
+1 1
+.names 41666 41667
+0 1
+.names 41661 41668
+0 1
+.names 41655 41669
+0 1
+.names 611 41670
+0 1
+.names 40363 41670 41671
+1- 1
+-1 1
+.names 40473 27189 41672
+1- 1
+-1 1
+.names 8415 56452 41673
+11 1
+.names 27207 40443 41674
+1- 1
+-1 1
+.names 41674 41675
+1 1
+.names 41675 41676
+0 1
+.names 41682 41684 41677
+1- 1
+-1 1
+.names 41677 41678
+1 1
+.names 41709 41710 41679
+11 1
+.names 41679 41680
+1 1
+.names 41680 41681
+0 1
+.names 40489 41681 41682
+11 1
+.names 172 41683
+0 1
+.names 8394 41683 41684
+11 1
+.names 41693 41696 41685
+1- 1
+-1 1
+.names 41685 41686
+1 1
+.names 41718 41720 41687
+11 1
+.names 41687 41688
+1 1
+.names 41688 41689
+0 1
+.names 41721 41722 41690
+11 1
+.names 41690 41691
+1 1
+.names 41691 41692
+0 1
+.names 41689 41692 41693
+1- 1
+-1 1
+.names 41714 41715 41694
+11 1
+.names 41694 41695
+1 1
+.names 41695 41696
+0 1
+.names 41701 41702 41697
+1- 1
+-1 1
+.names 41697 41698
+1 1
+.names 40355 41699
+1 1
+.names 41699 41700
+0 1
+.names 8420 41700 41701
+1- 1
+-1 1
+.names 305 41702
+0 1
+.names 40733 40581 41703
+11 1
+.names 41703 41704
+1 1
+.names 40972 40367 41705
+11 1
+.names 41705 41706
+1 1
+.names 41716 41717 41707
+1- 1
+-1 1
+.names 41707 41708
+1 1
+.names 41708 41709
+0 1
+.names 41698 41710
+0 1
+.names 41686 41713 41711
+1- 1
+-1 1
+.names 41711 41712
+1 1
+.names 41678 41713
+0 1
+.names 27262 40972 41714
+1- 1
+-1 1
+.names 40433 27262 41715
+1- 1
+-1 1
+.names 41704 41716
+0 1
+.names 41706 41717
+0 1
+.names 40355 28135 41718
+1- 1
+-1 1
+.names 587 41719
+0 1
+.names 41719 40581 41720
+1- 1
+-1 1
+.names 40733 27251 41721
+1- 1
+-1 1
+.names 40367 28135 41722
+1- 1
+-1 1
+.names 41728 41730 41723
+1- 1
+-1 1
+.names 41723 41724
+1 1
+.names 41755 41756 41725
+11 1
+.names 41725 41726
+1 1
+.names 41726 41727
+0 1
+.names 40489 41727 41728
+11 1
+.names 173 41729
+0 1
+.names 8394 41729 41730
+11 1
+.names 41739 41742 41731
+1- 1
+-1 1
+.names 41731 41732
+1 1
+.names 41764 41766 41733
+11 1
+.names 41733 41734
+1 1
+.names 41734 41735
+0 1
+.names 41767 41768 41736
+11 1
+.names 41736 41737
+1 1
+.names 41737 41738
+0 1
+.names 41735 41738 41739
+1- 1
+-1 1
+.names 41760 41761 41740
+11 1
+.names 41740 41741
+1 1
+.names 41741 41742
+0 1
+.names 41747 41748 41743
+1- 1
+-1 1
+.names 41743 41744
+1 1
+.names 40514 41745
+1 1
+.names 41745 41746
+0 1
+.names 8418 41746 41747
+1- 1
+-1 1
+.names 306 41748
+0 1
+.names 40362 40363 41749
+11 1
+.names 41749 41750
+1 1
+.names 40366 40367 41751
+11 1
+.names 41751 41752
+1 1
+.names 41762 41763 41753
+1- 1
+-1 1
+.names 41753 41754
+1 1
+.names 41754 41755
+0 1
+.names 41744 41756
+0 1
+.names 41732 41759 41757
+1- 1
+-1 1
+.names 41757 41758
+1 1
+.names 41724 41759
+0 1
+.names 2413 40366 41760
+1- 1
+-1 1
+.names 40473 27308 41761
+1- 1
+-1 1
+.names 41750 41762
+0 1
+.names 41752 41763
+0 1
+.names 40514 28151 41764
+1- 1
+-1 1
+.names 588 41765
+0 1
+.names 41765 40363 41766
+1- 1
+-1 1
+.names 40362 27297 41767
+1- 1
+-1 1
+.names 40367 28151 41768
+1- 1
+-1 1
+.names 41775 41777 41769
+1- 1
+-1 1
+.names 41769 41770
+1 1
+.names 8405 41771
+0 1
+.names 41800 41801 41772
+11 1
+.names 41772 41773
+1 1
+.names 41773 41774
+0 1
+.names 41771 41774 41775
+11 1
+.names 599 41776
+0 1
+.names 8405 41776 41777
+11 1
+.names 41786 41789 41778
+1- 1
+-1 1
+.names 41778 41779
+1 1
+.names 41809 41811 41780
+11 1
+.names 41780 41781
+1 1
+.names 41781 41782
+0 1
+.names 41814 41815 41783
+11 1
+.names 41783 41784
+1 1
+.names 41784 41785
+0 1
+.names 41782 41785 41786
+1- 1
+-1 1
+.names 41805 41806 41787
+11 1
+.names 41787 41788
+1 1
+.names 41788 41789
+0 1
+.names 41793 41795 41790
+1- 1
+-1 1
+.names 41790 41791
+1 1
+.names 317 41792
+0 1
+.names 8382 41792 41793
+1- 1
+-1 1
+.names 40362 41794
+1 1
+.names 41794 41795
+0 1
+.names 40378 40444 41796
+11 1
+.names 41796 41797
+1 1
+.names 41812 41813 41798
+1- 1
+-1 1
+.names 41798 41799
+1 1
+.names 41799 41800
+0 1
+.names 41791 41801
+0 1
+.names 41779 41804 41802
+1- 1
+-1 1
+.names 41802 41803
+1 1
+.names 41770 41804
+0 1
+.names 40362 27340 41805
+1- 1
+-1 1
+.names 40444 27354 41806
+1- 1
+-1 1
+.names 40417 40610 41807
+11 1
+.names 41807 41808
+1 1
+.names 40417 28163 41809
+1- 1
+-1 1
+.names 184 41810
+0 1
+.names 40334 41810 41811
+1- 1
+-1 1
+.names 41797 41812
+0 1
+.names 41808 41813
+0 1
+.names 40378 27354 41814
+1- 1
+-1 1
+.names 40610 28163 41815
+1- 1
+-1 1
+.names 41821 41823 41816
+1- 1
+-1 1
+.names 41816 41817
+1 1
+.names 41836 41837 41818
+11 1
+.names 41818 41819
+1 1
+.names 41819 41820
+0 1
+.names 40489 41820 41821
+11 1
+.names 189 41822
+0 1
+.names 8394 41822 41823
+11 1
+.names 41828 41829 41824
+1- 1
+-1 1
+.names 41824 41825
+1 1
+.names 8406 41826
+1 1
+.names 41826 41827
+0 1
+.names 8417 41827 41828
+1- 1
+-1 1
+.names 322 41829
+0 1
+.names 40362 40444 41830
+11 1
+.names 41830 41831
+1 1
+.names 40505 40610 41832
+11 1
+.names 41832 41833
+1 1
+.names 41860 41861 41834
+1- 1
+-1 1
+.names 41834 41835
+1 1
+.names 41835 41836
+0 1
+.names 41825 41837
+0 1
+.names 41841 41842 41838
+1- 1
+-1 1
+.names 41838 41839
+1 1
+.names 41858 41859 41840
+1- 1
+-1 1
+.names 41840 41841
+1 1
+.names 41817 41842
+0 1
+.names 41845 41846 41843
+11 1
+.names 41843 41844
+1 1
+.names 40362 27378 41845
+1- 1
+-1 1
+.names 40610 28183 41846
+1- 1
+-1 1
+.names 41849 41850 41847
+11 1
+.names 41847 41848
+1 1
+.names 40505 28183 41849
+1- 1
+-1 1
+.names 40378 27395 41850
+1- 1
+-1 1
+.names 41854 41855 41851
+11 1
+.names 41851 41852
+1 1
+.names 604 41853
+0 1
+.names 41853 8406 41854
+1- 1
+-1 1
+.names 27395 40444 41855
+1- 1
+-1 1
+.names 41848 41856
+0 1
+.names 41844 41857
+0 1
+.names 41856 41857 41858
+1- 1
+-1 1
+.names 41852 41859
+0 1
+.names 41831 41860
+0 1
+.names 41833 41861
+0 1
+.names 41867 41869 41862
+1- 1
+-1 1
+.names 41862 41863
+1 1
+.names 41894 41895 41864
+11 1
+.names 41864 41865
+1 1
+.names 41865 41866
+0 1
+.names 40458 41866 41867
+11 1
+.names 198 41868
+0 1
+.names 8395 41868 41869
+11 1
+.names 41878 41881 41870
+1- 1
+-1 1
+.names 41870 41871
+1 1
+.names 41899 41901 41872
+11 1
+.names 41872 41873
+1 1
+.names 41873 41874
+0 1
+.names 41904 41905 41875
+11 1
+.names 41875 41876
+1 1
+.names 41876 41877
+0 1
+.names 41874 41877 41878
+1- 1
+-1 1
+.names 41902 41903 41879
+11 1
+.names 41879 41880
+1 1
+.names 41880 41881
+0 1
+.names 41886 41887 41882
+1- 1
+-1 1
+.names 41882 41883
+1 1
+.names 40712 41884
+1 1
+.names 41884 41885
+0 1
+.names 8417 41885 41886
+1- 1
+-1 1
+.names 331 41887
+0 1
+.names 40362 40581 41888
+11 1
+.names 41888 41889
+1 1
+.names 40366 40367 41890
+11 1
+.names 41890 41891
+1 1
+.names 41906 41907 41892
+1- 1
+-1 1
+.names 41892 41893
+1 1
+.names 41893 41894
+0 1
+.names 41883 41895
+0 1
+.names 41871 41898 41896
+1- 1
+-1 1
+.names 41896 41897
+1 1
+.names 41863 41898
+0 1
+.names 40712 28199 41899
+1- 1
+-1 1
+.names 613 41900
+0 1
+.names 41900 40581 41901
+1- 1
+-1 1
+.names 27447 40366 41902
+1- 1
+-1 1
+.names 40378 27447 41903
+1- 1
+-1 1
+.names 40362 27436 41904
+1- 1
+-1 1
+.names 40367 28199 41905
+1- 1
+-1 1
+.names 41889 41906
+0 1
+.names 41891 41907
+0 1
+.names 41914 41915 41908
+1- 1
+-1 1
+.names 41908 41909
+1 1
+.names 8452 41910
+0 1
+.names 41917 41918 41911
+11 1
+.names 41911 41912
+1 1
+.names 41912 41913
+0 1
+.names 41910 41913 41914
+11 1
+.names 8452 2413 41915
+11 1
+.names 8444 41916
+0 1
+.names 5676 41916 41917
+11 1
+.names 8445 41918
+0 1
+.names 41922 41923 41919
+1- 1
+-1 1
+.names 41919 41920
+1 1
+.names 41926 41927 41921
+1- 1
+-1 1
+.names 41921 41922
+1 1
+.names 41909 41923
+0 1
+.names 35420 41918 41924
+1- 1
+-1 1
+.names 41924 41925
+1 1
+.names 38 8444 41926
+11 1
+.names 41925 41927
+0 1
+.names 41934 41935 41928
+1- 1
+-1 1
+.names 41928 41929
+1 1
+.names 8447 41930
+0 1
+.names 41936 41910 41931
+11 1
+.names 41931 41932
+1 1
+.names 41932 41933
+0 1
+.names 41930 41933 41934
+11 1
+.names 8447 35227 41935
+11 1
+.names 5686 41916 41936
+11 1
+.names 41940 41941 41937
+1- 1
+-1 1
+.names 41937 41938
+1 1
+.names 41944 41945 41939
+1- 1
+-1 1
+.names 41939 41940
+1 1
+.names 41929 41941
+0 1
+.names 5685 41916 41942
+1- 1
+-1 1
+.names 41942 41943
+1 1
+.names 8452 1783 41944
+11 1
+.names 41943 41945
+0 1
+.names 41949 41950 41946
+11 1
+.names 41946 41947
+1 1
+.names 8443 41948
+0 1
+.names 5718 41948 41949
+11 1
+.names 8455 41950
+0 1
+.names 41954 41957 41951
+1- 1
+-1 1
+.names 41951 41952
+1 1
+.names 41965 41966 41953
+1- 1
+-1 1
+.names 41953 41954
+1 1
+.names 41960 41962 41955
+1- 1
+-1 1
+.names 41955 41956
+1 1
+.names 41956 41957
+0 1
+.names 8446 41958
+0 1
+.names 41947 41959
+0 1
+.names 41958 41959 41960
+11 1
+.names 39119 41961
+0 1
+.names 8446 41961 41962
+11 1
+.names 5717 41948 41963
+1- 1
+-1 1
+.names 41963 41964
+1 1
+.names 8455 1783 41965
+11 1
+.names 41964 41966
+0 1
+.names 41972 41973 41967
+1- 1
+-1 1
+.names 41967 41968
+1 1
+.names 41975 41918 41969
+11 1
+.names 41969 41970
+1 1
+.names 41970 41971
+0 1
+.names 41950 41971 41972
+11 1
+.names 8455 2413 41973
+11 1
+.names 8442 41974
+0 1
+.names 5690 41974 41975
+11 1
+.names 35662 41918 41976
+1- 1
+-1 1
+.names 41976 41977
+1 1
+.names 41980 41981 41978
+1- 1
+-1 1
+.names 41978 41979
+1 1
+.names 45 8442 41980
+11 1
+.names 41977 41981
+0 1
+.names 41979 41982
+0 1
+.names 41982 41983
+1 1
+.names 41986 41987 41984
+1- 1
+-1 1
+.names 41984 41985
+1 1
+.names 41983 41986
+0 1
+.names 41968 41987
+0 1
+.names 36232 41918 41988
+1- 1
+-1 1
+.names 41988 41989
+1 1
+.names 41992 41993 41990
+1- 1
+-1 1
+.names 41990 41991
+1 1
+.names 47 8444 41992
+11 1
+.names 41989 41993
+0 1
+.names 41996 41918 41994
+11 1
+.names 41994 41995
+1 1
+.names 5694 41916 41996
+11 1
+.names 42001 42002 41997
+1- 1
+-1 1
+.names 41997 41998
+1 1
+.names 8456 41999
+0 1
+.names 41995 42000
+0 1
+.names 41999 42000 42001
+11 1
+.names 8456 2413 42002
+11 1
+.names 41991 42005 42003
+1- 1
+-1 1
+.names 42003 42004
+1 1
+.names 41998 42005
+0 1
+.names 42011 42012 42006
+1- 1
+-1 1
+.names 42006 42007
+1 1
+.names 42013 41918 42008
+11 1
+.names 42008 42009
+1 1
+.names 42009 42010
+0 1
+.names 41950 42010 42011
+11 1
+.names 8455 2413 42012
+11 1
+.names 5708 41974 42013
+11 1
+.names 42016 41918 42014
+1- 1
+-1 1
+.names 42014 42015
+1 1
+.names 39316 42016
+0 1
+.names 42019 42020 42017
+1- 1
+-1 1
+.names 42017 42018
+1 1
+.names 54 8442 42019
+11 1
+.names 42015 42020
+0 1
+.names 42018 42021
+0 1
+.names 42021 42022
+1 1
+.names 42025 42026 42023
+1- 1
+-1 1
+.names 42023 42024
+1 1
+.names 42022 42025
+0 1
+.names 42007 42026
+0 1
+.names 42029 41950 42027
+11 1
+.names 42027 42028
+1 1
+.names 5734 41948 42029
+11 1
+.names 42033 42036 42030
+1- 1
+-1 1
+.names 42030 42031
+1 1
+.names 42043 42044 42032
+1- 1
+-1 1
+.names 42032 42033
+1 1
+.names 42038 42040 42034
+1- 1
+-1 1
+.names 42034 42035
+1 1
+.names 42035 42036
+0 1
+.names 42028 42037
+0 1
+.names 41958 42037 42038
+11 1
+.names 39353 42039
+0 1
+.names 8446 42039 42040
+11 1
+.names 5733 41948 42041
+1- 1
+-1 1
+.names 42041 42042
+1 1
+.names 8455 1783 42043
+11 1
+.names 42042 42044
+0 1
+.names 42050 42051 42045
+1- 1
+-1 1
+.names 42045 42046
+1 1
+.names 42052 41918 42047
+11 1
+.names 42047 42048
+1 1
+.names 42048 42049
+0 1
+.names 41910 42049 42050
+11 1
+.names 8452 2413 42051
+11 1
+.names 5712 41916 42052
+11 1
+.names 42056 42057 42053
+1- 1
+-1 1
+.names 42053 42054
+1 1
+.names 42061 42062 42055
+1- 1
+-1 1
+.names 42055 42056
+1 1
+.names 42046 42057
+0 1
+.names 42060 41918 42058
+1- 1
+-1 1
+.names 42058 42059
+1 1
+.names 39393 42060
+0 1
+.names 56 8444 42061
+11 1
+.names 42059 42062
+0 1
+.names 42065 42066 42063
+11 1
+.names 42063 42064
+1 1
+.names 5692 41948 42065
+11 1
+.names 8454 42066
+0 1
+.names 42070 42073 42067
+1- 1
+-1 1
+.names 42067 42068
+1 1
+.names 42079 42080 42069
+1- 1
+-1 1
+.names 42069 42070
+1 1
+.names 42075 42076 42071
+1- 1
+-1 1
+.names 42071 42072
+1 1
+.names 42072 42073
+0 1
+.names 42064 42074
+0 1
+.names 41958 42074 42075
+11 1
+.names 8446 35583 42076
+11 1
+.names 5691 41948 42077
+1- 1
+-1 1
+.names 42077 42078
+1 1
+.names 8454 1783 42079
+11 1
+.names 42078 42080
+0 1
+.names 42086 42087 42081
+1- 1
+-1 1
+.names 42081 42082
+1 1
+.names 42088 41918 42083
+11 1
+.names 42083 42084
+1 1
+.names 42084 42085
+0 1
+.names 41910 42085 42086
+11 1
+.names 8452 2413 42087
+11 1
+.names 5724 41974 42088
+11 1
+.names 42091 41918 42089
+1- 1
+-1 1
+.names 42089 42090
+1 1
+.names 39470 42091
+0 1
+.names 42094 42095 42092
+1- 1
+-1 1
+.names 42092 42093
+1 1
+.names 62 8442 42094
+11 1
+.names 42090 42095
+0 1
+.names 42093 42096
+0 1
+.names 42096 42097
+1 1
+.names 42100 42101 42098
+1- 1
+-1 1
+.names 42098 42099
+1 1
+.names 42097 42100
+0 1
+.names 42082 42101
+0 1
+.names 42107 42108 42102
+1- 1
+-1 1
+.names 42102 42103
+1 1
+.names 42109 41999 42104
+11 1
+.names 42104 42105
+1 1
+.names 42105 42106
+0 1
+.names 41930 42106 42107
+11 1
+.names 8447 36259 42108
+11 1
+.names 5696 41974 42109
+11 1
+.names 42113 42114 42110
+1- 1
+-1 1
+.names 42110 42111
+1 1
+.names 42117 42118 42112
+1- 1
+-1 1
+.names 42112 42113
+1 1
+.names 42103 42114
+0 1
+.names 5695 41974 42115
+1- 1
+-1 1
+.names 42115 42116
+1 1
+.names 8456 1783 42117
+11 1
+.names 42116 42118
+0 1
+.names 42121 42066 42119
+11 1
+.names 42119 42120
+1 1
+.names 5722 41948 42121
+11 1
+.names 42125 42128 42122
+1- 1
+-1 1
+.names 42122 42123
+1 1
+.names 42133 42136 42124
+1- 1
+-1 1
+.names 42124 42125
+1 1
+.names 42130 42132 42126
+1- 1
+-1 1
+.names 42126 42127
+1 1
+.names 42127 42128
+0 1
+.names 42120 42129
+0 1
+.names 41930 42129 42130
+11 1
+.names 39542 42131
+0 1
+.names 8447 42131 42132
+11 1
+.names 61 8443 42133
+11 1
+.names 2413 42066 42134
+1- 1
+-1 1
+.names 42134 42135
+1 1
+.names 42135 42136
+0 1
+.names 42142 42143 42137
+1- 1
+-1 1
+.names 42137 42138
+1 1
+.names 42145 41950 42139
+11 1
+.names 42139 42140
+1 1
+.names 42140 42141
+0 1
+.names 41930 42141 42142
+11 1
+.names 8447 35891 42143
+11 1
+.names 8453 42144
+0 1
+.names 5674 42144 42145
+11 1
+.names 42151 42152 42146
+1- 1
+-1 1
+.names 42146 42147
+1 1
+.names 42138 42148
+0 1
+.names 5673 42144 42149
+1- 1
+-1 1
+.names 42149 42150
+1 1
+.names 8455 1783 42151
+11 1
+.names 42150 42152
+0 1
+.names 42158 42159 42153
+1- 1
+-1 1
+.names 42153 42154
+1 1
+.names 42160 41950 42155
+11 1
+.names 42155 42156
+1 1
+.names 42156 42157
+0 1
+.names 41930 42157 42158
+11 1
+.names 8447 35723 42159
+11 1
+.names 5678 41974 42160
+11 1
+.names 42164 42165 42161
+1- 1
+-1 1
+.names 42161 42162
+1 1
+.names 42168 42169 42163
+1- 1
+-1 1
+.names 42163 42164
+1 1
+.names 42154 42165
+0 1
+.names 5677 41974 42166
+1- 1
+-1 1
+.names 42166 42167
+1 1
+.names 8455 1783 42168
+11 1
+.names 42167 42169
+0 1
+.names 42175 42176 42170
+1- 1
+-1 1
+.names 42170 42171
+1 1
+.names 42177 41910 42172
+11 1
+.names 42172 42173
+1 1
+.names 42173 42174
+0 1
+.names 42144 42174 42175
+11 1
+.names 8453 5683 42176
+11 1
+.names 5684 41958 42177
+11 1
+.names 42181 42182 42178
+1- 1
+-1 1
+.names 42178 42179
+1 1
+.names 42183 42186 42180
+1- 1
+-1 1
+.names 42180 42181
+1 1
+.names 42171 42182
+0 1
+.names 8452 1783 42183
+11 1
+.names 36025 41958 42184
+1- 1
+-1 1
+.names 42184 42185
+1 1
+.names 42185 42186
+0 1
+.names 42189 42066 42187
+11 1
+.names 42187 42188
+1 1
+.names 5688 41948 42189
+11 1
+.names 42193 42196 42190
+1- 1
+-1 1
+.names 42190 42191
+1 1
+.names 42202 42203 42192
+1- 1
+-1 1
+.names 42192 42193
+1 1
+.names 42198 42199 42194
+1- 1
+-1 1
+.names 42194 42195
+1 1
+.names 42195 42196
+0 1
+.names 42188 42197
+0 1
+.names 41958 42197 42198
+11 1
+.names 8446 35942 42199
+11 1
+.names 5687 41948 42200
+1- 1
+-1 1
+.names 42200 42201
+1 1
+.names 8454 1783 42202
+11 1
+.names 42201 42203
+0 1
+.names 42209 42210 42204
+1- 1
+-1 1
+.names 42204 42205
+1 1
+.names 42211 41918 42206
+11 1
+.names 42206 42207
+1 1
+.names 42207 42208
+0 1
+.names 41999 42208 42209
+11 1
+.names 8456 2413 42210
+11 1
+.names 5710 41974 42211
+11 1
+.names 42214 41918 42212
+1- 1
+-1 1
+.names 42212 42213
+1 1
+.names 39634 42214
+0 1
+.names 42217 42218 42215
+1- 1
+-1 1
+.names 42215 42216
+1 1
+.names 55 8442 42217
+11 1
+.names 42213 42218
+0 1
+.names 42216 42219
+0 1
+.names 42219 42220
+1 1
+.names 42223 42224 42221
+1- 1
+-1 1
+.names 42221 42222
+1 1
+.names 42220 42223
+0 1
+.names 42205 42224
+0 1
+.names 42230 42232 42225
+1- 1
+-1 1
+.names 42225 42226
+1 1
+.names 42233 41910 42227
+11 1
+.names 42227 42228
+1 1
+.names 42228 42229
+0 1
+.names 41930 42229 42230
+11 1
+.names 39801 42231
+0 1
+.names 8447 42231 42232
+11 1
+.names 5706 42144 42233
+11 1
+.names 42237 42238 42234
+1- 1
+-1 1
+.names 42234 42235
+1 1
+.names 42241 42242 42236
+1- 1
+-1 1
+.names 42236 42237
+1 1
+.names 42226 42238
+0 1
+.names 5705 42144 42239
+1- 1
+-1 1
+.names 42239 42240
+1 1
+.names 8452 1783 42241
+11 1
+.names 42240 42242
+0 1
+.names 42248 42249 42243
+1- 1
+-1 1
+.names 42243 42244
+1 1
+.names 42250 42066 42245
+11 1
+.names 42245 42246
+1 1
+.names 42246 42247
+0 1
+.names 42144 42247 42248
+11 1
+.names 8453 5719 42249
+11 1
+.names 5720 41958 42250
+11 1
+.names 42254 42255 42251
+1- 1
+-1 1
+.names 42251 42252
+1 1
+.names 42259 42260 42253
+1- 1
+-1 1
+.names 42253 42254
+1 1
+.names 42244 42255
+0 1
+.names 42258 41958 42256
+1- 1
+-1 1
+.names 42256 42257
+1 1
+.names 39811 42258
+0 1
+.names 1783 8454 42259
+11 1
+.names 42257 42260
+0 1
+.names 42266 42268 42261
+1- 1
+-1 1
+.names 42261 42262
+1 1
+.names 42269 41999 42263
+11 1
+.names 42263 42264
+1 1
+.names 42264 42265
+0 1
+.names 41958 42265 42266
+11 1
+.names 39848 42267
+0 1
+.names 8446 42267 42268
+11 1
+.names 5736 42144 42269
+11 1
+.names 42273 42274 42270
+1- 1
+-1 1
+.names 42270 42271
+1 1
+.names 42275 42278 42272
+1- 1
+-1 1
+.names 42272 42273
+1 1
+.names 42262 42274
+0 1
+.names 8456 1783 42275
+11 1
+.names 5735 42144 42276
+1- 1
+-1 1
+.names 42276 42277
+1 1
+.names 42277 42278
+0 1
+.names 42284 42285 42279
+1- 1
+-1 1
+.names 42279 42280
+1 1
+.names 42286 41910 42281
+11 1
+.names 42281 42282
+1 1
+.names 42282 42283
+0 1
+.names 42144 42283 42284
+11 1
+.names 8453 5715 42285
+11 1
+.names 5716 41918 42286
+11 1
+.names 42290 42291 42287
+1- 1
+-1 1
+.names 42287 42288
+1 1
+.names 42295 42296 42289
+1- 1
+-1 1
+.names 42289 42290
+1 1
+.names 42280 42291
+0 1
+.names 42294 41918 42292
+1- 1
+-1 1
+.names 42292 42293
+1 1
+.names 39881 42294
+0 1
+.names 1783 8452 42295
+11 1
+.names 42293 42296
+0 1
+.names 42302 42303 42297
+1- 1
+-1 1
+.names 42297 42298
+1 1
+.names 42304 41999 42299
+11 1
+.names 42299 42300
+1 1
+.names 42300 42301
+0 1
+.names 41916 42301 42302
+11 1
+.names 8444 5699 42303
+11 1
+.names 5700 41930 42304
+11 1
+.names 42308 42309 42305
+1- 1
+-1 1
+.names 42305 42306
+1 1
+.names 42312 42313 42307
+1- 1
+-1 1
+.names 42307 42308
+1 1
+.names 42298 42309
+0 1
+.names 36465 41930 42310
+1- 1
+-1 1
+.names 42310 42311
+1 1
+.names 8456 1783 42312
+11 1
+.names 42311 42313
+0 1
+.names 42316 41910 42314
+11 1
+.names 42314 42315
+1 1
+.names 5702 41948 42316
+11 1
+.names 42320 42323 42317
+1- 1
+-1 1
+.names 42317 42318
+1 1
+.names 42326 42327 42319
+1- 1
+-1 1
+.names 42319 42320
+1 1
+.names 42329 42330 42321
+1- 1
+-1 1
+.names 42321 42322
+1 1
+.names 42322 42323
+0 1
+.names 5701 41948 42324
+1- 1
+-1 1
+.names 42324 42325
+1 1
+.names 8452 1783 42326
+11 1
+.names 42325 42327
+0 1
+.names 42315 42328
+0 1
+.names 41958 42328 42329
+11 1
+.names 8446 35469 42330
+11 1
+.names 42336 42337 42331
+1- 1
+-1 1
+.names 42331 42332
+1 1
+.names 42338 41910 42333
+11 1
+.names 42333 42334
+1 1
+.names 42334 42335
+0 1
+.names 41916 42335 42336
+11 1
+.names 8444 5729 42337
+11 1
+.names 5730 41958 42338
+11 1
+.names 42342 42343 42339
+1- 1
+-1 1
+.names 42339 42340
+1 1
+.names 42347 42348 42341
+1- 1
+-1 1
+.names 42341 42342
+1 1
+.names 42332 42343
+0 1
+.names 42346 41958 42344
+1- 1
+-1 1
+.names 42344 42345
+1 1
+.names 39992 42346
+0 1
+.names 8452 1783 42347
+11 1
+.names 42345 42348
+0 1
+.names 42354 42355 42349
+1- 1
+-1 1
+.names 42349 42350
+1 1
+.names 42356 42066 42351
+11 1
+.names 42351 42352
+1 1
+.names 42352 42353
+0 1
+.names 42144 42353 42354
+11 1
+.names 8453 5725 42355
+11 1
+.names 5726 41918 42356
+11 1
+.names 42360 42361 42357
+1- 1
+-1 1
+.names 42357 42358
+1 1
+.names 42365 42366 42359
+1- 1
+-1 1
+.names 42359 42360
+1 1
+.names 42350 42361
+0 1
+.names 42364 41918 42362
+1- 1
+-1 1
+.names 42362 42363
+1 1
+.names 40070 42364
+0 1
+.names 8454 1783 42365
+11 1
+.names 42363 42366
+0 1
+.names 42372 42373 42367
+1- 1
+-1 1
+.names 42367 42368
+1 1
+.names 42374 42066 42369
+11 1
+.names 42369 42370
+1 1
+.names 42370 42371
+0 1
+.names 42144 42371 42372
+11 1
+.names 8453 5697 42373
+11 1
+.names 5698 41930 42374
+11 1
+.names 42378 42379 42375
+1- 1
+-1 1
+.names 42375 42376
+1 1
+.names 42382 42383 42377
+1- 1
+-1 1
+.names 42377 42378
+1 1
+.names 42368 42379
+0 1
+.names 36139 41930 42380
+1- 1
+-1 1
+.names 42380 42381
+1 1
+.names 8454 1783 42382
+11 1
+.names 42381 42383
+0 1
+.names 42389 42390 42384
+1- 1
+-1 1
+.names 42384 42385
+1 1
+.names 42391 41950 42386
+11 1
+.names 42386 42387
+1 1
+.names 42387 42388
+0 1
+.names 41974 42388 42389
+11 1
+.names 8442 5727 42390
+11 1
+.names 5728 41958 42391
+11 1
+.names 42395 42396 42392
+1- 1
+-1 1
+.names 42392 42393
+1 1
+.names 42400 42401 42394
+1- 1
+-1 1
+.names 42394 42395
+1 1
+.names 42385 42396
+0 1
+.names 42399 41958 42397
+1- 1
+-1 1
+.names 42397 42398
+1 1
+.names 40112 42399
+0 1
+.names 8455 1783 42400
+11 1
+.names 42398 42401
+0 1
+.names 42407 42408 42402
+1- 1
+-1 1
+.names 42402 42403
+1 1
+.names 42409 41999 42404
+11 1
+.names 42404 42405
+1 1
+.names 42405 42406
+0 1
+.names 41930 42406 42407
+11 1
+.names 8447 35511 42408
+11 1
+.names 5680 41916 42409
+11 1
+.names 42413 42414 42410
+1- 1
+-1 1
+.names 42410 42411
+1 1
+.names 42417 42418 42412
+1- 1
+-1 1
+.names 42412 42413
+1 1
+.names 42403 42414
+0 1
+.names 5679 41916 42415
+1- 1
+-1 1
+.names 42415 42416
+1 1
+.names 8456 1783 42417
+11 1
+.names 42416 42418
+0 1
+.names 42424 42425 42419
+1- 1
+-1 1
+.names 42419 42420
+1 1
+.names 42426 41999 42421
+11 1
+.names 42421 42422
+1 1
+.names 42422 42423
+0 1
+.names 41930 42423 42424
+11 1
+.names 8447 36395 42425
+11 1
+.names 5682 41916 42426
+11 1
+.names 42430 42431 42427
+1- 1
+-1 1
+.names 42427 42428
+1 1
+.names 42432 42435 42429
+1- 1
+-1 1
+.names 42429 42430
+1 1
+.names 42420 42431
+0 1
+.names 41 8444 42432
+11 1
+.names 2413 41999 42433
+1- 1
+-1 1
+.names 42433 42434
+1 1
+.names 42434 42435
+0 1
+.names 42441 42442 42436
+1- 1
+-1 1
+.names 42436 42437
+1 1
+.names 42443 42066 42438
+11 1
+.names 42438 42439
+1 1
+.names 42439 42440
+0 1
+.names 41974 42440 42441
+11 1
+.names 8442 5703 42442
+11 1
+.names 5704 41930 42443
+11 1
+.names 42447 42448 42444
+1- 1
+-1 1
+.names 42444 42445
+1 1
+.names 42449 42452 42446
+1- 1
+-1 1
+.names 42446 42447
+1 1
+.names 42437 42448
+0 1
+.names 8454 1783 42449
+11 1
+.names 35987 41930 42450
+1- 1
+-1 1
+.names 42450 42451
+1 1
+.names 42451 42452
+0 1
+.names 42458 42459 42453
+1- 1
+-1 1
+.names 42453 42454
+1 1
+.names 42460 41950 42455
+11 1
+.names 42455 42456
+1 1
+.names 42456 42457
+0 1
+.names 41916 42457 42458
+11 1
+.names 8444 5713 42459
+11 1
+.names 5714 41958 42460
+11 1
+.names 42464 42465 42461
+1- 1
+-1 1
+.names 42461 42462
+1 1
+.names 42469 42470 42463
+1- 1
+-1 1
+.names 42463 42464
+1 1
+.names 42454 42465
+0 1
+.names 42468 41958 42466
+1- 1
+-1 1
+.names 42466 42467
+1 1
+.names 40291 42468
+0 1
+.names 1783 8455 42469
+11 1
+.names 42467 42470
+0 1
+.names 42473 41999 42471
+11 1
+.names 42471 42472
+1 1
+.names 5732 41948 42473
+11 1
+.names 42477 42480 42474
+1- 1
+-1 1
+.names 42474 42475
+1 1
+.names 42487 42488 42476
+1- 1
+-1 1
+.names 42476 42477
+1 1
+.names 42482 42484 42478
+1- 1
+-1 1
+.names 42478 42479
+1 1
+.names 42479 42480
+0 1
+.names 42472 42481
+0 1
+.names 41958 42481 42482
+11 1
+.names 40295 42483
+0 1
+.names 8446 42483 42484
+11 1
+.names 5731 41948 42485
+1- 1
+-1 1
+.names 42485 42486
+1 1
+.names 8456 1783 42487
+11 1
+.names 42486 42488
+0 1
+.names 41169 42489
+0 1
+.names 42252 42490
+0 1
+.names 42499 42502 42491
+11 1
+.names 42491 42492
+1 1
+.names 43021 43024 42493
+1- 1
+-1 1
+.names 42493 42494
+1 1
+.names 42494 42495
+0 1
+.names 42775 43005 42496
+11 1
+.names 42496 42497
+1 1
+.names 42497 42498
+0 1
+.names 42495 42498 42499
+1- 1
+-1 1
+.names 43009 42781 42500
+1- 1
+-1 1
+.names 42500 42501
+1 1
+.names 42501 42502
+0 1
+.names 42498 42507 42503
+1- 1
+-1 1
+.names 42503 42504
+1 1
+.names 43020 42802 42505
+11 1
+.names 42505 42506
+1 1
+.names 42506 42507
+0 1
+.names 42511 42508
+0 1
+.names 42508 42509
+1 1
+.names 43438 42510
+0 1
+.names 42510 42511
+1 1
+.names 43112 42512
+0 1
+.names 42512 42513
+1 1
+.names 42513 42514
+0 1
+.names 42509 42515
+0 1
+.names 42514 42515 42516
+11 1
+.names 42559 42517
+0 1
+.names 42517 42518
+1 1
+.names 42518 42519
+0 1
+.names 42513 42519 42520
+11 1
+.names 42557 42521
+0 1
+.names 42521 42522
+1 1
+.names 42522 42523
+0 1
+.names 42514 42523 42524
+11 1
+.names 42555 42525
+0 1
+.names 42525 42526
+1 1
+.names 42526 42527
+0 1
+.names 42513 42527 42528
+11 1
+.names 42553 42529
+0 1
+.names 42529 42530
+1 1
+.names 42530 42531
+0 1
+.names 42514 42531 42532
+11 1
+.names 42551 42533
+0 1
+.names 42533 42534
+1 1
+.names 42534 42535
+0 1
+.names 42513 42535 42536
+11 1
+.names 42541 42545 56698
+1- 1
+-1 1
+.names 42549 42538
+0 1
+.names 42538 42539
+1 1
+.names 42539 42540
+0 1
+.names 42514 42540 42541
+11 1
+.names 42547 42542
+0 1
+.names 42542 42543
+1 1
+.names 42543 42544
+0 1
+.names 42513 42544 42545
+11 1
+.names 43485 43457 42546
+01 1
+10 1
+.names 42546 42547
+1 1
+.names 43475 42548
+0 1
+.names 42548 42549
+1 1
+.names 43474 43440 42550
+01 1
+10 1
+.names 42550 42551
+1 1
+.names 43488 42552
+0 1
+.names 42552 42553
+1 1
+.names 43490 43492 42554
+01 1
+10 1
+.names 42554 42555
+1 1
+.names 43493 42556
+0 1
+.names 42556 42557
+1 1
+.names 43494 42558
+0 1
+.names 42558 42559
+1 1
+.names 41185 42560
+0 1
+.names 42271 42561
+0 1
+.names 41129 42562
+0 1
+.names 42235 42563
+0 1
+.names 41758 42564
+0 1
+.names 42428 42565
+0 1
+.names 42568 42569 42566
+1- 1
+-1 1
+.names 42566 42567
+1 1
+.names 14511 42568
+0 1
+.names 14499 42569
+0 1
+.names 42575 42576 42570
+1- 1
+-1 1
+.names 42570 42571
+1 1
+.names 14655 42572
+0 1
+.names 14596 42573
+0 1
+.names 42572 42573 42574
+1- 1
+-1 1
+.names 42574 42569 42575
+1- 1
+-1 1
+.names 14503 42576
+0 1
+.names 42579 42580 42577
+1- 1
+-1 1
+.names 42577 42578
+1 1
+.names 42576 42569 42579
+1- 1
+-1 1
+.names 14515 42580
+0 1
+.names 42576 42580 42581
+1- 1
+-1 1
+.names 42581 42582
+1 1
+.names 14511 42576 42583
+1- 1
+-1 1
+.names 42583 42584
+1 1
+.names 42588 42591 42585
+1- 1
+-1 1
+.names 42585 42586
+1 1
+.names 42582 42587
+0 1
+.names 14511 42587 42588
+1- 1
+-1 1
+.names 42639 42573 42589
+1- 1
+-1 1
+.names 42589 42590
+1 1
+.names 42590 42591
+0 1
+.names 42595 42587 42592
+1- 1
+-1 1
+.names 42592 42593
+1 1
+.names 42568 42594
+1 1
+.names 42594 42595
+0 1
+.names 14507 42569 42596
+1- 1
+-1 1
+.names 42596 42597
+1 1
+.names 42603 42604 42598
+1- 1
+-1 1
+.names 42598 42599
+1 1
+.names 42578 42600
+0 1
+.names 14507 42600 42601
+1- 1
+-1 1
+.names 42571 42602
+0 1
+.names 42601 42602 42603
+1- 1
+-1 1
+.names 42567 42604
+0 1
+.names 14651 42607 42605
+1- 1
+-1 1
+.names 42605 42606
+1 1
+.names 14647 42607
+0 1
+.names 14515 42572 42608
+1- 1
+-1 1
+.names 42608 42609
+1 1
+.names 42613 42586 42610
+01 1
+10 1
+.names 42610 42611
+1 1
+.names 42597 42612
+0 1
+.names 42612 42613
+1 1
+.names 42616 42614
+0 1
+.names 42614 42615
+1 1
+.names 42597 42593 42616
+01 1
+10 1
+.names 42620 42599 42617
+01 1
+10 1
+.names 42617 42618
+1 1
+.names 42606 42619
+0 1
+.names 42619 42620
+1 1
+.names 42620 42624 42621
+01 1
+10 1
+.names 42621 42622
+1 1
+.names 42638 42600 42623
+1- 1
+-1 1
+.names 42623 42624
+1 1
+.names 42627 42580 42625
+11 1
+.names 42625 42626
+1 1
+.names 42572 42573 42627
+1- 1
+-1 1
+.names 42626 42584 42628
+01 1
+10 1
+.names 42628 42629
+1 1
+.names 42632 42630
+0 1
+.names 42630 42631
+1 1
+.names 42584 14515 42632
+01 1
+10 1
+.names 42635 42633
+0 1
+.names 42633 42634
+1 1
+.names 42609 14596 42635
+01 1
+10 1
+.names 42609 42636
+0 1
+.names 42636 42637
+1 1
+.names 14507 42604 42638
+1- 1
+-1 1
+.names 42572 42576 42639
+1- 1
+-1 1
+.names 42642 42643 42640
+1- 1
+-1 1
+.names 42640 42641
+1 1
+.names 14555 42642
+0 1
+.names 14551 42643
+0 1
+.names 42648 42649 42644
+1- 1
+-1 1
+.names 42644 42645
+1 1
+.names 14614 42646
+0 1
+.names 14703 42647
+0 1
+.names 42646 42647 42648
+1- 1
+-1 1
+.names 14610 42649
+0 1
+.names 42652 42647 42650
+1- 1
+-1 1
+.names 42650 42651
+1 1
+.names 14559 42652
+0 1
+.names 42656 42657 42653
+1- 1
+-1 1
+.names 42653 42654
+1 1
+.names 42651 42655
+0 1
+.names 14555 42655 42656
+1- 1
+-1 1
+.names 42645 42657
+0 1
+.names 42661 42655 42658
+1- 1
+-1 1
+.names 42658 42659
+1 1
+.names 42642 42660
+1 1
+.names 42660 42661
+0 1
+.names 14699 42643 42662
+1- 1
+-1 1
+.names 42662 42663
+1 1
+.names 42674 42677 42664
+1- 1
+-1 1
+.names 42664 42665
+1 1
+.names 42641 42666
+0 1
+.names 42715 42652 42667
+1- 1
+-1 1
+.names 42667 42668
+1 1
+.names 42668 42669
+0 1
+.names 42666 42669 42670
+1- 1
+-1 1
+.names 42714 42643 42671
+1- 1
+-1 1
+.names 42671 42672
+1 1
+.names 42672 42673
+0 1
+.names 42670 42673 42674
+1- 1
+-1 1
+.names 14699 42675
+0 1
+.names 42675 42676
+1 1
+.names 42676 42677
+0 1
+.names 14707 42680 42678
+1- 1
+-1 1
+.names 42678 42679
+1 1
+.names 14606 42680
+0 1
+.names 14559 42646 42681
+1- 1
+-1 1
+.names 42681 42682
+1 1
+.names 42685 42682 42683
+01 1
+10 1
+.names 42683 42684
+1 1
+.names 42649 42685
+1 1
+.names 42689 42654 42686
+01 1
+10 1
+.names 42686 42687
+1 1
+.names 42663 42688
+0 1
+.names 42688 42689
+1 1
+.names 42693 42665 42690
+01 1
+10 1
+.names 42690 42691
+1 1
+.names 42679 42692
+0 1
+.names 42692 42693
+1 1
+.names 42693 42697 42694
+01 1
+10 1
+.names 42694 42695
+1 1
+.names 42716 42669 42696
+1- 1
+-1 1
+.names 42696 42697
+1 1
+.names 42700 42652 42698
+11 1
+.names 42698 42699
+1 1
+.names 42646 42649 42700
+1- 1
+-1 1
+.names 42703 42701
+0 1
+.names 42701 42702
+1 1
+.names 42663 42659 42703
+01 1
+10 1
+.names 42699 42707 42704
+01 1
+10 1
+.names 42704 42705
+1 1
+.names 14555 42647 42706
+1- 1
+-1 1
+.names 42706 42707
+1 1
+.names 42710 42708
+0 1
+.names 42708 42709
+1 1
+.names 42707 14559 42710
+01 1
+10 1
+.names 42682 42711
+0 1
+.names 42711 42712
+1 1
+.names 42646 42649 42713
+1- 1
+-1 1
+.names 42713 42647 42714
+1- 1
+-1 1
+.names 42647 42643 42715
+1- 1
+-1 1
+.names 14699 42666 42716
+1- 1
+-1 1
+.names 42720 42717
+0 1
+.names 42717 42718
+1 1
+.names 43544 42719
+0 1
+.names 42719 42720
+1 1
+.names 43237 42721
+1 1
+.names 42721 42722
+1 1
+.names 42722 42723
+0 1
+.names 42718 42724
+0 1
+.names 42723 42724 42725
+11 1
+.names 42767 42726
+0 1
+.names 42726 42727
+1 1
+.names 42727 42728
+0 1
+.names 42722 42728 42729
+11 1
+.names 42765 42730
+0 1
+.names 42730 42731
+1 1
+.names 42731 42732
+0 1
+.names 42723 42732 42733
+11 1
+.names 42763 42734
+0 1
+.names 42734 42735
+1 1
+.names 42735 42736
+0 1
+.names 42722 42736 42737
+11 1
+.names 42761 42738
+0 1
+.names 42738 42739
+1 1
+.names 42739 42740
+0 1
+.names 42723 42740 42741
+11 1
+.names 42759 42742
+0 1
+.names 42742 42743
+1 1
+.names 42743 42744
+0 1
+.names 42722 42744 42745
+11 1
+.names 42757 42746
+0 1
+.names 42746 42747
+1 1
+.names 42747 42748
+0 1
+.names 42723 42748 42749
+11 1
+.names 42755 42750
+0 1
+.names 42750 42751
+1 1
+.names 42751 42752
+0 1
+.names 42722 42752 42753
+11 1
+.names 43567 43542 42754
+01 1
+10 1
+.names 42754 42755
+1 1
+.names 43565 42756
+0 1
+.names 42756 42757
+1 1
+.names 43562 43564 42758
+01 1
+10 1
+.names 42758 42759
+1 1
+.names 43572 42760
+0 1
+.names 42760 42761
+1 1
+.names 43560 43529 42762
+01 1
+10 1
+.names 42762 42763
+1 1
+.names 43573 42764
+0 1
+.names 42764 42765
+1 1
+.names 43558 43544 42766
+01 1
+10 1
+.names 42766 42767
+1 1
+.names 42772 42775 42768
+11 1
+.names 42768 42769
+1 1
+.names 43096 43097 42770
+1- 1
+-1 1
+.names 42770 42771
+1 1
+.names 42771 42772
+0 1
+.names 43649 43652 42773
+1- 1
+-1 1
+.names 42773 42774
+1 1
+.names 42774 42775
+0 1
+.names 42769 42776
+1 1
+.names 42782 42785 42777
+1- 1
+-1 1
+.names 42777 42778
+1 1
+.names 43658 42779
+0 1
+.names 42779 42780
+1 1
+.names 42780 42781
+0 1
+.names 42781 42772 42782
+11 1
+.names 43099 43100 42783
+11 1
+.names 42783 42784
+1 1
+.names 42784 42785
+0 1
+.names 40897 42786
+0 1
+.names 42123 42787
+0 1
+.names 41075 42788
+0 1
+.names 42222 42789
+0 1
+.names 40880 42790
+0 1
+.names 42111 42791
+0 1
+.names 41712 42792
+0 1
+.names 42411 42793
+0 1
+.names 14490 14494 42794
+1- 1
+-1 1
+.names 42794 42795
+1 1
+.names 14674 14670 42796
+11 1
+.names 42796 42797
+1 1
+.names 42801 42798
+0 1
+.names 42798 42799
+1 1
+.names 43250 42800
+0 1
+.names 42800 42801
+1 1
+.names 42805 42802
+0 1
+.names 42802 42803
+1 1
+.names 43245 43246 42804
+1- 1
+-1 1
+.names 42804 42805
+1 1
+.names 42810 42811 42806
+1- 1
+-1 1
+.names 42806 42807
+1 1
+.names 42799 42808
+0 1
+.names 42803 42809
+0 1
+.names 42808 42809 42810
+11 1
+.names 14573 42801 42811
+11 1
+.names 42816 42812
+0 1
+.names 42812 42813
+1 1
+.names 14547 42817 42814
+1- 1
+-1 1
+.names 42814 42815
+1 1
+.names 42815 14719 42816
+01 1
+10 1
+.names 14576 42817
+0 1
+.names 42822 42825 42818
+1- 1
+-1 1
+.names 42818 42819
+1 1
+.names 14547 42820
+0 1
+.names 42820 42821
+1 1
+.names 42821 42822
+0 1
+.names 42872 42817 42823
+1- 1
+-1 1
+.names 42823 42824
+1 1
+.names 42824 42825
+0 1
+.names 14543 42828 42826
+1- 1
+-1 1
+.names 42826 42827
+1 1
+.names 14727 42828
+0 1
+.names 42838 42841 42829
+1- 1
+-1 1
+.names 42829 42830
+1 1
+.names 42876 42817 42831
+1- 1
+-1 1
+.names 42831 42832
+1 1
+.names 42832 42833
+0 1
+.names 14543 42833 42834
+1- 1
+-1 1
+.names 42878 42828 42835
+1- 1
+-1 1
+.names 42835 42836
+1 1
+.names 42836 42837
+0 1
+.names 42834 42837 42838
+1- 1
+-1 1
+.names 42820 42828 42839
+1- 1
+-1 1
+.names 42839 42840
+1 1
+.names 42840 42841
+0 1
+.names 14711 42649 42842
+1- 1
+-1 1
+.names 42842 42843
+1 1
+.names 14719 42846 42844
+1- 1
+-1 1
+.names 42844 42845
+1 1
+.names 14539 42846
+0 1
+.names 42850 42845 42847
+01 1
+10 1
+.names 42847 42848
+1 1
+.names 14618 42849
+0 1
+.names 42849 42850
+1 1
+.names 42854 42815 42851
+01 1
+10 1
+.names 42851 42852
+1 1
+.names 42884 42872 42853
+11 1
+.names 42853 42854
+1 1
+.names 42858 42860 42855
+01 1
+10 1
+.names 42855 42856
+1 1
+.names 42827 42857
+0 1
+.names 42857 42858
+1 1
+.names 42882 42883 42859
+1- 1
+-1 1
+.names 42859 42860
+1 1
+.names 42863 42861
+0 1
+.names 42861 42862
+1 1
+.names 42827 42819 42863
+01 1
+10 1
+.names 42867 42830 42864
+01 1
+10 1
+.names 42864 42865
+1 1
+.names 42843 42866
+0 1
+.names 42866 42867
+1 1
+.names 42867 42871 42868
+01 1
+10 1
+.names 42868 42869
+1 1
+.names 42881 42833 42870
+1- 1
+-1 1
+.names 42870 42871
+1 1
+.names 14719 42872
+0 1
+.names 42875 42849 42873
+1- 1
+-1 1
+.names 42873 42874
+1 1
+.names 42846 42817 42875
+1- 1
+-1 1
+.names 42872 42828 42876
+1- 1
+-1 1
+.names 42846 42849 42877
+1- 1
+-1 1
+.names 42877 42817 42878
+1- 1
+-1 1
+.names 42845 42879
+0 1
+.names 42879 42880
+1 1
+.names 14543 42841 42881
+1- 1
+-1 1
+.names 14547 42825 42882
+1- 1
+-1 1
+.names 42874 42883
+0 1
+.names 42846 42849 42884
+1- 1
+-1 1
+.names 42862 42885
+0 1
+.names 42885 42886
+1 1
+.names 42886 42887
+0 1
+.names 14599 42887 42888
+11 1
+.names 42856 42889
+0 1
+.names 42889 42890
+1 1
+.names 42890 42891
+0 1
+.names 14571 42891 42892
+11 1
+.names 42813 42893
+0 1
+.names 42893 42894
+1 1
+.names 42894 42895
+0 1
+.names 14599 42895 42896
+11 1
+.names 42852 42897
+0 1
+.names 42897 42898
+1 1
+.names 42898 42899
+0 1
+.names 14571 42899 42900
+11 1
+.names 42865 42901
+0 1
+.names 42901 42902
+1 1
+.names 42869 42903
+0 1
+.names 42903 42904
+1 1
+.names 42904 42905
+0 1
+.names 14599 42905 42906
+11 1
+.names 42902 42907
+0 1
+.names 14571 42907 42908
+11 1
+.names 42848 42909
+0 1
+.names 42909 42910
+1 1
+.names 42880 42911
+0 1
+.names 42911 42912
+1 1
+.names 42912 42913
+0 1
+.names 14599 42913 42914
+11 1
+.names 42910 42915
+0 1
+.names 14571 42915 42916
+11 1
+.names 14474 14478 42917
+1- 1
+-1 1
+.names 42917 42918
+1 1
+.names 14694 14605 42919
+11 1
+.names 42919 42920
+1 1
+.names 42925 42928 42921
+1- 1
+-1 1
+.names 42921 42922
+1 1
+.names 14654 14595 42923
+11 1
+.names 42923 42924
+1 1
+.names 42924 42925
+0 1
+.names 14498 14502 42926
+11 1
+.names 42926 42927
+1 1
+.names 42927 42928
+0 1
+.names 42934 42937 42929
+11 1
+.names 42929 42930
+1 1
+.names 14510 14514 42931
+1- 1
+-1 1
+.names 42931 42932
+1 1
+.names 42932 42933
+0 1
+.names 42933 42928 42934
+1- 1
+-1 1
+.names 14650 14506 42935
+1- 1
+-1 1
+.names 42935 42936
+1 1
+.names 42936 42937
+0 1
+.names 40669 42938
+0 1
+.names 42031 42939
+0 1
+.names 40820 42940
+0 1
+.names 42099 42941
+0 1
+.names 40589 42942
+0 1
+.names 42004 42943
+0 1
+.names 42947 42944
+0 1
+.names 42944 42945
+1 1
+.names 43148 42946
+0 1
+.names 42946 42947
+1 1
+.names 14463 42948
+0 1
+.names 42982 42949
+0 1
+.names 42949 42950
+1 1
+.names 42950 42951
+0 1
+.names 42948 42951 42952
+11 1
+.names 42980 42953
+0 1
+.names 42953 42954
+1 1
+.names 42954 42955
+0 1
+.names 14463 42955 42956
+11 1
+.names 42990 42957
+0 1
+.names 42957 42958
+1 1
+.names 42958 42959
+0 1
+.names 42948 42959 42960
+11 1
+.names 42988 42961
+0 1
+.names 42961 42962
+1 1
+.names 42962 42963
+0 1
+.names 14463 42963 42964
+11 1
+.names 42945 42965
+0 1
+.names 42948 42965 42966
+11 1
+.names 42992 42967
+0 1
+.names 42967 42968
+1 1
+.names 42968 42969
+0 1
+.names 14463 42969 42970
+11 1
+.names 42986 42971
+0 1
+.names 42971 42972
+1 1
+.names 42972 42973
+0 1
+.names 42948 42973 42974
+11 1
+.names 42984 42975
+0 1
+.names 42975 42976
+1 1
+.names 42976 42977
+0 1
+.names 14463 42977 42978
+11 1
+.names 43177 43145 42979
+01 1
+10 1
+.names 42979 42980
+1 1
+.names 43169 42981
+0 1
+.names 42981 42982
+1 1
+.names 43166 43168 42983
+01 1
+10 1
+.names 42983 42984
+1 1
+.names 43180 42985
+0 1
+.names 42985 42986
+1 1
+.names 43164 43132 42987
+01 1
+10 1
+.names 42987 42988
+1 1
+.names 43181 42989
+0 1
+.names 42989 42990
+1 1
+.names 43162 43148 42991
+01 1
+10 1
+.names 42991 42992
+1 1
+.names 41306 42993
+0 1
+.names 42162 42994
+0 1
+.names 14710 14542 42995
+1- 1
+-1 1
+.names 42995 42996
+1 1
+.names 14726 14575 42997
+11 1
+.names 42997 42998
+1 1
+.names 40373 42999
+0 1
+.names 41952 43000
+0 1
+.names 40697 43001
+0 1
+.names 42054 43002
+0 1
+.names 43663 43664 43003
+1- 1
+-1 1
+.names 43003 43004
+1 1
+.names 43004 43005
+0 1
+.names 43666 43667 43006
+11 1
+.names 43006 43007
+1 1
+.names 43007 43008
+0 1
+.names 43008 42775 43009
+11 1
+.names 40622 43010
+0 1
+.names 42024 43011
+0 1
+.names 41363 43012
+0 1
+.names 42179 43013
+0 1
+.names 14734 14742 43014
+11 1
+.names 43014 43015
+1 1
+.names 14666 14662 43016
+1- 1
+-1 1
+.names 43016 43017
+1 1
+.names 43227 43228 43018
+1- 1
+-1 1
+.names 43018 43019
+1 1
+.names 43019 43020
+0 1
+.names 42798 43020 43021
+11 1
+.names 43230 43231 43022
+11 1
+.names 43022 43023
+1 1
+.names 43023 43024
+0 1
+.names 43028 43025
+0 1
+.names 43025 43026
+1 1
+.names 43301 43027
+0 1
+.names 43027 43028
+1 1
+.names 14584 43029
+0 1
+.names 43026 43030
+0 1
+.names 43029 43030 43031
+11 1
+.names 43073 43032
+0 1
+.names 43032 43033
+1 1
+.names 43033 43034
+0 1
+.names 14584 43034 43035
+11 1
+.names 43071 43036
+0 1
+.names 43036 43037
+1 1
+.names 43037 43038
+0 1
+.names 43029 43038 43039
+11 1
+.names 43069 43040
+0 1
+.names 43040 43041
+1 1
+.names 43041 43042
+0 1
+.names 14584 43042 43043
+11 1
+.names 43067 43044
+0 1
+.names 43044 43045
+1 1
+.names 43045 43046
+0 1
+.names 43029 43046 43047
+11 1
+.names 43065 43048
+0 1
+.names 43048 43049
+1 1
+.names 43049 43050
+0 1
+.names 14584 43050 43051
+11 1
+.names 43063 43052
+0 1
+.names 43052 43053
+1 1
+.names 43053 43054
+0 1
+.names 43029 43054 43055
+11 1
+.names 43061 43056
+0 1
+.names 43056 43057
+1 1
+.names 43057 43058
+0 1
+.names 14584 43058 43059
+11 1
+.names 43307 43280 43060
+01 1
+10 1
+.names 43060 43061
+1 1
+.names 43308 43062
+0 1
+.names 43062 43063
+1 1
+.names 43304 43266 43064
+01 1
+10 1
+.names 43064 43065
+1 1
+.names 43305 43066
+0 1
+.names 43066 43067
+1 1
+.names 43310 43264 43068
+01 1
+10 1
+.names 43068 43069
+1 1
+.names 43312 43070
+0 1
+.names 43070 43071
+1 1
+.names 43302 43301 43072
+01 1
+10 1
+.names 43072 43073
+1 1
+.names 14702 14550 43074
+11 1
+.names 43074 43075
+1 1
+.names 14706 14698 43076
+1- 1
+-1 1
+.names 43076 43077
+1 1
+.names 43236 14591 43078
+1- 1
+-1 1
+.names 43078 43079
+1 1
+.names 43079 43080
+0 1
+.names 14530 14534 43081
+11 1
+.names 43081 43082
+1 1
+.names 43082 43083
+0 1
+.names 43080 43083 43084
+1- 1
+-1 1
+.names 14722 14714 43085
+1- 1
+-1 1
+.names 43085 43086
+1 1
+.names 43086 43087
+0 1
+.names 41897 43088
+0 1
+.names 42475 43089
+0 1
+.names 41839 43090
+0 1
+.names 42462 43091
+0 1
+.names 14538 14617 43092
+11 1
+.names 43092 43093
+1 1
+.names 14546 14718 43094
+1- 1
+-1 1
+.names 43094 43095
+1 1
+.names 42797 43096
+0 1
+.names 43015 43097
+0 1
+.names 42795 43098
+0 1
+.names 43098 43097 43099
+1- 1
+-1 1
+.names 43017 43100
+0 1
+.names 43106 43110 43101
+11 1
+.names 43101 43102
+1 1
+.names 43671 43674 43103
+11 1
+.names 43103 43104
+1 1
+.names 43104 43105
+0 1
+.names 43105 14583 43106
+1- 1
+-1 1
+.names 42498 43670 43107
+1- 1
+-1 1
+.names 43107 43108
+1 1
+.names 43108 43109
+0 1
+.names 43105 43109 43110
+1- 1
+-1 1
+.names 43102 43111
+0 1
+.names 43111 43112
+1 1
+.names 14554 14558 43113
+1- 1
+-1 1
+.names 43113 43114
+1 1
+.names 14613 14609 43115
+11 1
+.names 43115 43116
+1 1
+.names 43119 43120 43117
+1- 1
+-1 1
+.names 43117 43118
+1 1
+.names 14687 43119
+0 1
+.names 14602 43120
+0 1
+.names 43123 43124 43121
+1- 1
+-1 1
+.names 43121 43122
+1 1
+.names 14487 43123
+0 1
+.names 14588 43124
+0 1
+.names 43129 43130 43125
+1- 1
+-1 1
+.names 43125 43126
+1 1
+.names 14483 43127
+0 1
+.names 43127 43128
+1 1
+.names 43128 43129
+0 1
+.names 43122 43130
+0 1
+.names 14483 43124 43131
+1- 1
+-1 1
+.names 43131 43132
+1 1
+.names 14679 43135 43133
+1- 1
+-1 1
+.names 43133 43134
+1 1
+.names 14731 43135
+0 1
+.names 43140 43143 43136
+1- 1
+-1 1
+.names 43136 43137
+1 1
+.names 43183 43158 43138
+11 1
+.names 43138 43139
+1 1
+.names 43139 43140
+0 1
+.names 43182 43124 43141
+1- 1
+-1 1
+.names 43141 43142
+1 1
+.names 43142 43143
+0 1
+.names 14683 43146 43144
+1- 1
+-1 1
+.names 43144 43145
+1 1
+.names 14671 43146
+0 1
+.names 14487 43119 43147
+1- 1
+-1 1
+.names 43147 43148
+1 1
+.names 43157 43160 43149
+1- 1
+-1 1
+.names 43149 43150
+1 1
+.names 43127 43124 43151
+11 1
+.names 43151 43152
+1 1
+.names 43152 43153
+0 1
+.names 43161 43135 43154
+1- 1
+-1 1
+.names 43154 43155
+1 1
+.names 43155 43156
+0 1
+.names 43153 43156 43157
+11 1
+.names 14679 43158
+0 1
+.names 43158 43159
+1 1
+.names 43159 43160
+0 1
+.names 43127 43123 43161
+11 1
+.names 43120 43162
+1 1
+.names 43189 43123 43163
+11 1
+.names 43163 43164
+1 1
+.names 43134 43165
+0 1
+.names 43165 43166
+1 1
+.names 43187 43188 43167
+1- 1
+-1 1
+.names 43167 43168
+1 1
+.names 43145 43137 43169
+01 1
+10 1
+.names 43174 43175 43170
+11 1
+.names 43170 43171
+1 1
+.names 43124 43135 43172
+1- 1
+-1 1
+.names 43172 43173
+1 1
+.names 43173 43174
+0 1
+.names 43118 43175
+0 1
+.names 43178 43179 43176
+11 1
+.names 43176 43177
+1 1
+.names 43171 43178
+0 1
+.names 43150 43179
+0 1
+.names 43126 43134 43180
+01 1
+10 1
+.names 43132 14487 43181
+01 1
+10 1
+.names 43123 43135 43182
+1- 1
+-1 1
+.names 43127 43135 43183
+1- 1
+-1 1
+.names 43186 43124 43184
+1- 1
+-1 1
+.names 43184 43185
+1 1
+.names 43120 43119 43186
+1- 1
+-1 1
+.names 14483 43130 43187
+1- 1
+-1 1
+.names 43185 43188
+0 1
+.names 43119 43120 43189
+1- 1
+-1 1
+.names 43020 43005 43190
+11 1
+.names 43190 43191
+1 1
+.names 43191 43192
+0 1
+.names 14579 43192 43193
+1- 1
+-1 1
+.names 43683 43194
+0 1
+.names 43194 43195
+1 1
+.names 43195 43196
+0 1
+.names 41803 43197
+0 1
+.names 42445 43198
+0 1
+.names 41024 43199
+0 1
+.names 42191 43200
+0 1
+.names 41561 43201
+0 1
+.names 42376 43202
+0 1
+.names 43205 43192 43203
+1- 1
+-1 1
+.names 43203 43204
+1 1
+.names 42776 43205
+0 1
+.names 43208 43209 43206
+11 1
+.names 43206 43207
+1 1
+.names 43196 43205 43208
+1- 1
+-1 1
+.names 42778 43209
+0 1
+.names 40778 43210
+0 1
+.names 42068 43211
+0 1
+.names 14567 43212
+1 1
+.names 14564 43213
+1 1
+.names 43216 14567 43214
+11 1
+.names 43214 43215
+1 1
+.names 3663 43216
+0 1
+.names 43216 14564 43217
+11 1
+.names 43217 43218
+1 1
+.names 43221 43222 43219
+11 1
+.names 43219 43220
+1 1
+.names 43215 43221
+0 1
+.names 43218 43222
+0 1
+.names 43225 43226 43223
+1- 1
+-1 1
+.names 43223 43224
+1 1
+.names 43212 43213 43225
+11 1
+.names 43220 43226
+0 1
+.names 43116 43227
+0 1
+.names 43075 43228
+0 1
+.names 43114 43229
+0 1
+.names 43229 43228 43230
+1- 1
+-1 1
+.names 43077 43231
+0 1
+.names 14690 14470 43232
+1- 1
+-1 1
+.names 43232 43233
+1 1
+.names 14466 14738 43234
+11 1
+.names 43234 43235
+1 1
+.names 43224 43236
+0 1
+.names 43240 43241 43237
+1- 1
+-1 1
+.names 14600 43238
+0 1
+.names 42504 43239
+0 1
+.names 43238 43239 43240
+11 1
+.names 42492 43241
+0 1
+.names 41623 43242
+0 1
+.names 42393 43243
+0 1
+.names 42998 43244
+0 1
+.names 43244 43245
+1 1
+.names 43093 43246
+0 1
+.names 42996 43247
+0 1
+.names 43247 43248
+1 1
+.names 43251 43252 43249
+1- 1
+-1 1
+.names 43249 43250
+1 1
+.names 43095 42998 43251
+11 1
+.names 43248 43252
+0 1
+.names 43258 43259 43253
+1- 1
+-1 1
+.names 43253 43254
+1 1
+.names 14695 43255
+0 1
+.names 43255 42680 43256
+1- 1
+-1 1
+.names 14739 43257
+0 1
+.names 43256 43257 43258
+1- 1
+-1 1
+.names 14467 43259
+0 1
+.names 43262 43257 43260
+1- 1
+-1 1
+.names 43260 43261
+1 1
+.names 14479 43262
+0 1
+.names 14475 43257 43263
+1- 1
+-1 1
+.names 43263 43264
+1 1
+.names 43268 43271 43265
+1- 1
+-1 1
+.names 43265 43266
+1 1
+.names 43261 43267
+0 1
+.names 14475 43267 43268
+1- 1
+-1 1
+.names 43317 42680 43269
+1- 1
+-1 1
+.names 43269 43270
+1 1
+.names 43270 43271
+0 1
+.names 43276 43267 43272
+1- 1
+-1 1
+.names 43272 43273
+1 1
+.names 14475 43274
+0 1
+.names 43274 43275
+1 1
+.names 43275 43276
+0 1
+.names 14471 43259 43277
+1- 1
+-1 1
+.names 43277 43278
+1 1
+.names 43286 43289 43279
+1- 1
+-1 1
+.names 43279 43280
+1 1
+.names 43254 43281
+0 1
+.names 14471 43281 43282
+1- 1
+-1 1
+.names 43274 43259 43283
+1- 1
+-1 1
+.names 43283 43284
+1 1
+.names 43284 43285
+0 1
+.names 43282 43285 43286
+1- 1
+-1 1
+.names 43316 43262 43287
+1- 1
+-1 1
+.names 43287 43288
+1 1
+.names 43288 43289
+0 1
+.names 43294 43297 43290
+1- 1
+-1 1
+.names 43290 43291
+1 1
+.names 43314 43315 43292
+11 1
+.names 43292 43293
+1 1
+.names 43293 43294
+0 1
+.names 43313 43262 43295
+1- 1
+-1 1
+.names 43295 43296
+1 1
+.names 43296 43297
+0 1
+.names 14691 43120 43298
+1- 1
+-1 1
+.names 43298 43299
+1 1
+.names 14479 43255 43300
+1- 1
+-1 1
+.names 43300 43301
+1 1
+.names 42680 43302
+1 1
+.names 43278 43303
+0 1
+.names 43303 43304
+1 1
+.names 43278 43273 43305
+01 1
+10 1
+.names 43299 43306
+0 1
+.names 43306 43307
+1 1
+.names 43299 43291 43308
+01 1
+10 1
+.names 43311 43262 43309
+11 1
+.names 43309 43310
+1 1
+.names 43255 42680 43311
+1- 1
+-1 1
+.names 43264 14479 43312
+01 1
+10 1
+.names 43257 43259 43313
+1- 1
+-1 1
+.names 43274 43259 43314
+1- 1
+-1 1
+.names 14471 43315
+0 1
+.names 43257 43259 43316
+1- 1
+-1 1
+.names 43255 43257 43317
+1- 1
+-1 1
+.names 41608 43318
+0 1
+.names 42358 43319
+0 1
+.names 41381 43320
+0 1
+.names 42306 43321
+0 1
+.names 43324 43325 43322
+1- 1
+-1 1
+.names 43322 43323
+1 1
+.names 14592 43324
+0 1
+.names 14531 43325
+0 1
+.names 43329 43330 43326
+1- 1
+-1 1
+.names 43326 43327
+1 1
+.names 14535 43328
+0 1
+.names 14886 43328 43329
+1- 1
+-1 1
+.names 14623 43330
+0 1
+.names 14592 43328 43331
+1- 1
+-1 1
+.names 43331 43332
+1 1
+.names 43336 43339 43333
+1- 1
+-1 1
+.names 43333 43334
+1 1
+.names 43324 43335
+1 1
+.names 43335 43336
+0 1
+.names 43384 43328 43337
+1- 1
+-1 1
+.names 43337 43338
+1 1
+.names 43338 43339
+0 1
+.names 14715 43325 43340
+1- 1
+-1 1
+.names 43340 43341
+1 1
+.names 43351 43352 43342
+1- 1
+-1 1
+.names 43342 43343
+1 1
+.names 43394 43325 43344
+1- 1
+-1 1
+.names 43344 43345
+1 1
+.names 43345 43346
+0 1
+.names 14715 43346 43347
+1- 1
+-1 1
+.names 43392 43384 43348
+1- 1
+-1 1
+.names 43348 43349
+1 1
+.names 43349 43350
+0 1
+.names 43347 43350 43351
+1- 1
+-1 1
+.names 43323 43352
+0 1
+.names 43355 43358 43353
+1- 1
+-1 1
+.names 43353 43354
+1 1
+.names 14715 43352 43355
+1- 1
+-1 1
+.names 43395 43384 43356
+1- 1
+-1 1
+.names 43356 43357
+1 1
+.names 43357 43358
+0 1
+.names 14723 42849 43359
+1- 1
+-1 1
+.names 43359 43360
+1 1
+.names 14621 43330 43361
+1- 1
+-1 1
+.names 43361 43362
+1 1
+.names 43365 43362 43363
+01 1
+10 1
+.names 43363 43364
+1 1
+.names 14886 43365
+1 1
+.names 43369 43371 43366
+01 1
+10 1
+.names 43366 43367
+1 1
+.names 43341 43368
+0 1
+.names 43368 43369
+1 1
+.names 43396 43397 43370
+1- 1
+-1 1
+.names 43370 43371
+1 1
+.names 43374 43372
+0 1
+.names 43372 43373
+1 1
+.names 43341 43334 43374
+01 1
+10 1
+.names 43378 43343 43375
+01 1
+10 1
+.names 43375 43376
+1 1
+.names 43360 43377
+0 1
+.names 43377 43378
+1 1
+.names 43378 43354 43379
+01 1
+10 1
+.names 43379 43380
+1 1
+.names 43383 43384 43381
+11 1
+.names 43381 43382
+1 1
+.names 43330 14886 43383
+1- 1
+-1 1
+.names 14621 43384
+0 1
+.names 43382 43332 43385
+01 1
+10 1
+.names 43385 43386
+1 1
+.names 43389 43387
+0 1
+.names 43387 43388
+1 1
+.names 43332 14621 43389
+01 1
+10 1
+.names 43362 43390
+0 1
+.names 43390 43391
+1 1
+.names 43328 43325 43392
+1- 1
+-1 1
+.names 43330 14886 43393
+1- 1
+-1 1
+.names 43393 43328 43394
+1- 1
+-1 1
+.names 43328 43325 43395
+1- 1
+-1 1
+.names 14592 43339 43396
+1- 1
+-1 1
+.names 43327 43397
+0 1
+.names 14574 43398
+0 1
+.names 43398 43399
+1 1
+.names 43399 43400
+0 1
+.names 43400 43376 43401
+11 1
+.names 43399 43380 43402
+11 1
+.names 43367 43403
+0 1
+.names 43403 43404
+1 1
+.names 43373 43405
+0 1
+.names 43405 43406
+1 1
+.names 43406 43407
+0 1
+.names 43398 43407 43408
+11 1
+.names 43404 43409
+0 1
+.names 14574 43409 43410
+11 1
+.names 43386 43411
+0 1
+.names 43411 43412
+1 1
+.names 43388 43413
+0 1
+.names 43413 43414
+1 1
+.names 43414 43415
+0 1
+.names 43398 43415 43416
+11 1
+.names 43412 43417
+0 1
+.names 14574 43417 43418
+11 1
+.names 43364 43419
+0 1
+.names 43419 43420
+1 1
+.names 43391 43421
+0 1
+.names 43421 43422
+1 1
+.names 43422 43423
+0 1
+.names 43398 43423 43424
+11 1
+.names 43420 43425
+0 1
+.names 14574 43425 43426
+11 1
+.names 43429 43430 43427
+1- 1
+-1 1
+.names 43427 43428
+1 1
+.names 14527 43429
+0 1
+.names 14631 43430
+0 1
+.names 43435 43436 43431
+1- 1
+-1 1
+.names 43431 43432
+1 1
+.names 14523 43433
+0 1
+.names 43433 43434
+1 1
+.names 43434 43435
+0 1
+.names 43428 43436
+0 1
+.names 14527 43459 43437
+1- 1
+-1 1
+.names 43437 43438
+1 1
+.names 43441 43444 43439
+1- 1
+-1 1
+.names 43439 43440
+1 1
+.names 14523 43436 43441
+1- 1
+-1 1
+.names 43497 43430 43442
+1- 1
+-1 1
+.names 43442 43443
+1 1
+.names 43443 43444
+0 1
+.names 14639 43447 43445
+1- 1
+-1 1
+.names 43445 43446
+1 1
+.names 14519 43447
+0 1
+.names 43452 43455 43448
+1- 1
+-1 1
+.names 43448 43449
+1 1
+.names 43496 43469 43450
+11 1
+.names 43450 43451
+1 1
+.names 43451 43452
+0 1
+.names 43495 43430 43453
+1- 1
+-1 1
+.names 43453 43454
+1 1
+.names 43454 43455
+0 1
+.names 14635 43458 43456
+1- 1
+-1 1
+.names 43456 43457
+1 1
+.names 14627 43458
+0 1
+.names 14643 43459
+0 1
+.names 43468 43471 43460
+1- 1
+-1 1
+.names 43460 43461
+1 1
+.names 43433 43430 43462
+11 1
+.names 43462 43463
+1 1
+.names 43463 43464
+0 1
+.names 43472 43447 43465
+1- 1
+-1 1
+.names 43465 43466
+1 1
+.names 43466 43467
+0 1
+.names 43464 43467 43468
+11 1
+.names 14639 43469
+0 1
+.names 43469 43470
+1 1
+.names 43470 43471
+0 1
+.names 43433 43429 43472
+11 1
+.names 43446 43473
+0 1
+.names 43473 43474
+1 1
+.names 43457 43449 43475
+01 1
+10 1
+.names 43480 43483 43476
+11 1
+.names 43476 43477
+1 1
+.names 43430 43447 43478
+1- 1
+-1 1
+.names 43478 43479
+1 1
+.names 43479 43480
+0 1
+.names 43459 42607 43481
+1- 1
+-1 1
+.names 43481 43482
+1 1
+.names 43482 43483
+0 1
+.names 43486 43487 43484
+11 1
+.names 43484 43485
+1 1
+.names 43477 43486
+0 1
+.names 43461 43487
+0 1
+.names 43432 43446 43488
+01 1
+10 1
+.names 43498 43429 43489
+11 1
+.names 43489 43490
+1 1
+.names 14523 43430 43491
+1- 1
+-1 1
+.names 43491 43492
+1 1
+.names 43492 14527 43493
+01 1
+10 1
+.names 43438 14647 43494
+01 1
+10 1
+.names 43429 43447 43495
+1- 1
+-1 1
+.names 43433 43447 43496
+1- 1
+-1 1
+.names 42607 43459 43497
+1- 1
+-1 1
+.names 43459 42607 43498
+1- 1
+-1 1
+.names 40423 43499
+0 1
+.names 41920 43500
+0 1
+.names 14599 42507 43501
+1- 1
+-1 1
+.names 41516 43502
+0 1
+.names 42340 43503
+0 1
+.names 42288 43504
+0 1
+.names 41274 43505
+0 1
+.names 40498 43506
+0 1
+.names 41985 43507
+0 1
+.names 43512 43513 43508
+1- 1
+-1 1
+.names 43508 43509
+1 1
+.names 14580 43510
+0 1
+.names 43204 43511
+0 1
+.names 43510 43511 43512
+11 1
+.names 43207 43513
+0 1
+.names 43509 43514
+1 1
+.names 43517 43146 43515
+1- 1
+-1 1
+.names 43515 43516
+1 1
+.names 14675 43517
+0 1
+.names 43520 43521 43518
+1- 1
+-1 1
+.names 43518 43519
+1 1
+.names 14495 43520
+0 1
+.names 14659 43521
+0 1
+.names 43526 43527 43522
+1- 1
+-1 1
+.names 43522 43523
+1 1
+.names 14491 43524
+0 1
+.names 43524 43525
+1 1
+.names 43525 43526
+0 1
+.names 43519 43527
+0 1
+.names 14491 43521 43528
+1- 1
+-1 1
+.names 43528 43529
+1 1
+.names 14663 43532 43530
+1- 1
+-1 1
+.names 43530 43531
+1 1
+.names 14735 43532
+0 1
+.names 43537 43540 43533
+1- 1
+-1 1
+.names 43533 43534
+1 1
+.names 43575 43554 43535
+11 1
+.names 43535 43536
+1 1
+.names 43536 43537
+0 1
+.names 43574 43521 43538
+1- 1
+-1 1
+.names 43538 43539
+1 1
+.names 43539 43540
+0 1
+.names 14667 42573 43541
+1- 1
+-1 1
+.names 43541 43542
+1 1
+.names 14495 43517 43543
+1- 1
+-1 1
+.names 43543 43544
+1 1
+.names 43553 43556 43545
+1- 1
+-1 1
+.names 43545 43546
+1 1
+.names 43521 43524 43547
+11 1
+.names 43547 43548
+1 1
+.names 43548 43549
+0 1
+.names 43557 43532 43550
+1- 1
+-1 1
+.names 43550 43551
+1 1
+.names 43551 43552
+0 1
+.names 43549 43552 43553
+11 1
+.names 14663 43554
+0 1
+.names 43554 43555
+1 1
+.names 43555 43556
+0 1
+.names 43520 43524 43557
+11 1
+.names 43146 43558
+1 1
+.names 43585 43520 43559
+11 1
+.names 43559 43560
+1 1
+.names 43531 43561
+0 1
+.names 43561 43562
+1 1
+.names 43583 43584 43563
+1- 1
+-1 1
+.names 43563 43564
+1 1
+.names 43542 43534 43565
+01 1
+10 1
+.names 43570 43571 43566
+11 1
+.names 43566 43567
+1 1
+.names 43578 43579 43568
+11 1
+.names 43568 43569
+1 1
+.names 43569 43570
+0 1
+.names 43546 43571
+0 1
+.names 43523 43531 43572
+01 1
+10 1
+.names 43529 14495 43573
+01 1
+10 1
+.names 43520 43532 43574
+1- 1
+-1 1
+.names 43524 43532 43575
+1- 1
+-1 1
+.names 43521 43532 43576
+1- 1
+-1 1
+.names 43576 43577
+1 1
+.names 43577 43578
+0 1
+.names 43516 43579
+0 1
+.names 43582 43521 43580
+1- 1
+-1 1
+.names 43580 43581
+1 1
+.names 43146 43517 43582
+1- 1
+-1 1
+.names 14491 43527 43583
+1- 1
+-1 1
+.names 43581 43584
+0 1
+.names 43517 43146 43585
+1- 1
+-1 1
+.names 42637 43586
+0 1
+.names 43586 43587
+1 1
+.names 42622 43588
+0 1
+.names 43588 43589
+1 1
+.names 43514 43590
+0 1
+.names 43587 43591
+0 1
+.names 43590 43591 43592
+11 1
+.names 42634 43593
+0 1
+.names 43593 43594
+1 1
+.names 43594 43595
+0 1
+.names 43514 43595 43596
+11 1
+.names 42631 43597
+0 1
+.names 43597 43598
+1 1
+.names 43598 43599
+0 1
+.names 43590 43599 43600
+11 1
+.names 42629 43601
+0 1
+.names 43601 43602
+1 1
+.names 43602 43603
+0 1
+.names 43514 43603 43604
+11 1
+.names 42615 43605
+0 1
+.names 43605 43606
+1 1
+.names 43606 43607
+0 1
+.names 43590 43607 43608
+11 1
+.names 42611 43609
+0 1
+.names 43609 43610
+1 1
+.names 43610 43611
+0 1
+.names 43514 43611 43612
+11 1
+.names 43589 43613
+0 1
+.names 43590 43613 43614
+11 1
+.names 42618 43615
+0 1
+.names 43615 43616
+1 1
+.names 43616 43617
+0 1
+.names 43514 43617 43618
+11 1
+.names 42687 43619
+0 1
+.names 43619 43620
+1 1
+.names 42702 43621
+0 1
+.names 43621 43622
+1 1
+.names 43622 43623
+0 1
+.names 14579 43623 43624
+11 1
+.names 43620 43625
+0 1
+.names 14582 43625 43626
+11 1
+.names 42709 43627
+0 1
+.names 43627 43628
+1 1
+.names 43628 43629
+0 1
+.names 14579 43629 43630
+11 1
+.names 42705 43631
+0 1
+.names 43631 43632
+1 1
+.names 43632 43633
+0 1
+.names 14582 43633 43634
+11 1
+.names 42712 43635
+0 1
+.names 43635 43636
+1 1
+.names 43636 43637
+0 1
+.names 14579 43637 43638
+11 1
+.names 42684 43639
+0 1
+.names 43639 43640
+1 1
+.names 43640 43641
+0 1
+.names 14582 43641 43642
+11 1
+.names 14579 43643
+1 1
+.names 43643 43644
+0 1
+.names 43644 42691 43645
+11 1
+.names 43643 42695 43646
+11 1
+.names 14730 14587 43647
+11 1
+.names 43647 43648
+1 1
+.names 43648 43649
+0 1
+.names 14686 14601 43650
+11 1
+.names 43650 43651
+1 1
+.names 43651 43652
+0 1
+.names 43656 43653
+0 1
+.names 43653 43654
+1 1
+.names 14682 14678 43655
+1- 1
+-1 1
+.names 43655 43656
+1 1
+.names 43661 43662 43657
+1- 1
+-1 1
+.names 43657 43658
+1 1
+.names 14482 14486 43659
+1- 1
+-1 1
+.names 43659 43660
+1 1
+.names 43660 43648 43661
+11 1
+.names 43654 43662
+0 1
+.names 42920 43663
+0 1
+.names 43235 43664
+0 1
+.names 42918 43665
+0 1
+.names 43665 43664 43666
+1- 1
+-1 1
+.names 43233 43667
+0 1
+.names 42772 43684 43668
+11 1
+.names 43668 43669
+1 1
+.names 43669 43670
+0 1
+.names 42502 43670 43671
+1- 1
+-1 1
+.names 43685 43686 43672
+1- 1
+-1 1
+.names 43672 43673
+1 1
+.names 43673 43674
+0 1
+.names 41470 43675
+0 1
+.names 42318 43676
+0 1
+.names 40452 43677
+0 1
+.names 41938 43678
+0 1
+.names 43681 43008 43679
+1- 1
+-1 1
+.names 43679 43680
+1 1
+.names 43005 43024 43681
+11 1
+.names 43680 43682
+0 1
+.names 43682 43683
+1 1
+.names 42922 43684
+0 1
+.names 43684 42785 43685
+11 1
+.names 42930 43686
+0 1
+.names 43693 43694 43687
+1- 1
+-1 1
+.names 43687 43688
+1 1
+.names 8470 43689
+0 1
+.names 43695 43698 43690
+11 1
+.names 43690 43691
+1 1
+.names 43691 43692
+0 1
+.names 43689 43692 43693
+11 1
+.names 8470 27501 43694
+11 1
+.names 8471 43695
+0 1
+.names 56668 43696
+0 1
+.names 43696 43697
+1 1
+.names 43697 43698
+0 1
+.names 43705 43706 56735
+1- 1
+-1 1
+.names 74 43700
+0 1
+.names 43700 43701
+1 1
+.names 43701 43702
+0 1
+.names 43695 43703
+1 1
+.names 43703 43704
+0 1
+.names 43702 43704 43705
+11 1
+.names 43688 43706
+0 1
+.names 43713 43714 43707
+1- 1
+-1 1
+.names 43707 43708
+1 1
+.names 8458 43709
+0 1
+.names 43695 43717 43710
+11 1
+.names 43710 43711
+1 1
+.names 43711 43712
+0 1
+.names 43709 43712 43713
+11 1
+.names 8458 27461 43714
+11 1
+.names 56673 43715
+0 1
+.names 43715 43716
+1 1
+.names 43716 43717
+0 1
+.names 43724 43725 56740
+1- 1
+-1 1
+.names 79 43719
+0 1
+.names 43719 43720
+1 1
+.names 43720 43721
+0 1
+.names 43695 43722
+1 1
+.names 43722 43723
+0 1
+.names 43721 43723 43724
+11 1
+.names 43708 43725
+0 1
+.names 8465 43726
+0 1
+.names 43726 43727
+1 1
+.names 43726 43732 43728
+11 1
+.names 43728 43729
+1 1
+.names 56689 43730
+0 1
+.names 43730 43731
+1 1
+.names 43731 43732
+0 1
+.names 43736 43737 43733
+1- 1
+-1 1
+.names 43733 43734
+1 1
+.names 43729 43735
+0 1
+.names 43709 43735 43736
+11 1
+.names 8458 27469 43737
+11 1
+.names 95 43738
+0 1
+.names 43738 43739
+1 1
+.names 43743 43744 56756
+1- 1
+-1 1
+.names 43727 43741
+0 1
+.names 43739 43742
+0 1
+.names 43741 43742 43743
+11 1
+.names 43734 43744
+0 1
+.names 43726 43745
+1 1
+.names 43726 43750 43746
+11 1
+.names 43746 43747
+1 1
+.names 56675 43748
+0 1
+.names 43748 43749
+1 1
+.names 43749 43750
+0 1
+.names 43754 43755 43751
+1- 1
+-1 1
+.names 43751 43752
+1 1
+.names 43747 43753
+0 1
+.names 43689 43753 43754
+11 1
+.names 8470 27508 43755
+11 1
+.names 43761 43762 56742
+1- 1
+-1 1
+.names 43745 43757
+0 1
+.names 81 43758
+0 1
+.names 43758 43759
+1 1
+.names 43759 43760
+0 1
+.names 43757 43760 43761
+11 1
+.names 43752 43762
+0 1
+.names 43768 43769 43763
+1- 1
+-1 1
+.names 43763 43764
+1 1
+.names 43770 43773 43765
+11 1
+.names 43765 43766
+1 1
+.names 43766 43767
+0 1
+.names 43689 43767 43768
+11 1
+.names 8470 27515 43769
+11 1
+.names 8463 43770
+0 1
+.names 56677 43771
+0 1
+.names 43771 43772
+1 1
+.names 43772 43773
+0 1
+.names 43780 43781 56744
+1- 1
+-1 1
+.names 83 43775
+0 1
+.names 43775 43776
+1 1
+.names 43776 43777
+0 1
+.names 43770 43778
+1 1
+.names 43778 43779
+0 1
+.names 43777 43779 43780
+11 1
+.names 43764 43781
+0 1
+.names 43787 43788 43782
+1- 1
+-1 1
+.names 43782 43783
+1 1
+.names 43789 43792 43784
+11 1
+.names 43784 43785
+1 1
+.names 43785 43786
+0 1
+.names 43689 43786 43787
+11 1
+.names 8470 27476 43788
+11 1
+.names 8466 43789
+0 1
+.names 56684 43790
+0 1
+.names 43790 43791
+1 1
+.names 43791 43792
+0 1
+.names 43799 43800 56751
+1- 1
+-1 1
+.names 90 43794
+0 1
+.names 43794 43795
+1 1
+.names 43795 43796
+0 1
+.names 43789 43797
+1 1
+.names 43797 43798
+0 1
+.names 43796 43798 43799
+11 1
+.names 43783 43800
+0 1
+.names 43807 43808 43801
+1- 1
+-1 1
+.names 43801 43802
+1 1
+.names 8469 43803
+0 1
+.names 43770 43811 43804
+11 1
+.names 43804 43805
+1 1
+.names 43805 43806
+0 1
+.names 43803 43806 43807
+11 1
+.names 8469 27484 43808
+11 1
+.names 56697 43809
+0 1
+.names 43809 43810
+1 1
+.names 43810 43811
+0 1
+.names 43818 43819 56764
+1- 1
+-1 1
+.names 103 43813
+0 1
+.names 43813 43814
+1 1
+.names 43814 43815
+0 1
+.names 43770 43816
+1 1
+.names 43816 43817
+0 1
+.names 43815 43817 43818
+11 1
+.names 43802 43819
+0 1
+.names 43825 43826 43820
+1- 1
+-1 1
+.names 43820 43821
+1 1
+.names 43789 43829 43822
+11 1
+.names 43822 43823
+1 1
+.names 43823 43824
+0 1
+.names 43709 43824 43825
+11 1
+.names 8458 27522 43826
+11 1
+.names 56686 43827
+0 1
+.names 43827 43828
+1 1
+.names 43828 43829
+0 1
+.names 43836 43837 56753
+1- 1
+-1 1
+.names 92 43831
+0 1
+.names 43831 43832
+1 1
+.names 43832 43833
+0 1
+.names 43789 43834
+1 1
+.names 43834 43835
+0 1
+.names 43833 43835 43836
+11 1
+.names 43821 43837
+0 1
+.names 43843 43844 43838
+1- 1
+-1 1
+.names 43838 43839
+1 1
+.names 43770 43847 43840
+11 1
+.names 43840 43841
+1 1
+.names 43841 43842
+0 1
+.names 43689 43842 43843
+11 1
+.names 8470 27492 43844
+11 1
+.names 56667 43845
+0 1
+.names 43845 43846
+1 1
+.names 43846 43847
+0 1
+.names 43854 43855 56734
+1- 1
+-1 1
+.names 73 43849
+0 1
+.names 43849 43850
+1 1
+.names 43850 43851
+0 1
+.names 43770 43852
+1 1
+.names 43852 43853
+0 1
+.names 43851 43853 43854
+11 1
+.names 43839 43855
+0 1
+.names 43726 43856
+1 1
+.names 43726 43861 43857
+11 1
+.names 43857 43858
+1 1
+.names 56676 43859
+0 1
+.names 43859 43860
+1 1
+.names 43860 43861
+0 1
+.names 43865 43866 43862
+1- 1
+-1 1
+.names 43862 43863
+1 1
+.names 43858 43864
+0 1
+.names 43803 43864 43865
+11 1
+.names 8469 27529 43866
+11 1
+.names 82 43867
+0 1
+.names 43867 43868
+1 1
+.names 43872 43873 56743
+1- 1
+-1 1
+.names 43856 43870
+0 1
+.names 43868 43871
+0 1
+.names 43870 43871 43872
+11 1
+.names 43863 43873
+0 1
+.names 43726 43874
+1 1
+.names 43726 43879 43875
+11 1
+.names 43875 43876
+1 1
+.names 56692 43877
+0 1
+.names 43877 43878
+1 1
+.names 43878 43879
+0 1
+.names 43883 43884 43880
+1- 1
+-1 1
+.names 43880 43881
+1 1
+.names 43876 43882
+0 1
+.names 43709 43882 43883
+11 1
+.names 8458 27537 43884
+11 1
+.names 98 43885
+0 1
+.names 43885 43886
+1 1
+.names 43890 43891 56759
+1- 1
+-1 1
+.names 43874 43888
+0 1
+.names 43886 43889
+0 1
+.names 43888 43889 43890
+11 1
+.names 43881 43891
+0 1
+.names 43897 43898 43892
+1- 1
+-1 1
+.names 43892 43893
+1 1
+.names 43770 43901 43894
+11 1
+.names 43894 43895
+1 1
+.names 43895 43896
+0 1
+.names 43689 43896 43897
+11 1
+.names 8470 27544 43898
+11 1
+.names 56678 43899
+0 1
+.names 43899 43900
+1 1
+.names 43900 43901
+0 1
+.names 43908 43909 56745
+1- 1
+-1 1
+.names 84 43903
+0 1
+.names 43903 43904
+1 1
+.names 43904 43905
+0 1
+.names 43770 43906
+1 1
+.names 43906 43907
+0 1
+.names 43905 43907 43908
+11 1
+.names 43893 43909
+0 1
+.names 43915 43916 43910
+1- 1
+-1 1
+.names 43910 43911
+1 1
+.names 43770 43919 43912
+11 1
+.names 43912 43913
+1 1
+.names 43913 43914
+0 1
+.names 43709 43914 43915
+11 1
+.names 8458 27551 43916
+11 1
+.names 56691 43917
+0 1
+.names 43917 43918
+1 1
+.names 43918 43919
+0 1
+.names 43926 43927 56758
+1- 1
+-1 1
+.names 97 43921
+0 1
+.names 43921 43922
+1 1
+.names 43922 43923
+0 1
+.names 43770 43924
+1 1
+.names 43924 43925
+0 1
+.names 43923 43925 43926
+11 1
+.names 43911 43927
+0 1
+.names 43933 43934 43928
+1- 1
+-1 1
+.names 43928 43929
+1 1
+.names 43770 43937 43930
+11 1
+.names 43930 43931
+1 1
+.names 43931 43932
+0 1
+.names 43709 43932 43933
+11 1
+.names 8458 27572 43934
+11 1
+.names 56674 43935
+0 1
+.names 43935 43936
+1 1
+.names 43936 43937
+0 1
+.names 43944 43945 56741
+1- 1
+-1 1
+.names 80 43939
+0 1
+.names 43939 43940
+1 1
+.names 43940 43941
+0 1
+.names 43770 43942
+1 1
+.names 43942 43943
+0 1
+.names 43941 43943 43944
+11 1
+.names 43929 43945
+0 1
+.names 43951 43952 43946
+1- 1
+-1 1
+.names 43946 43947
+1 1
+.names 43789 43955 43948
+11 1
+.names 43948 43949
+1 1
+.names 43949 43950
+0 1
+.names 43709 43950 43951
+11 1
+.names 8458 27579 43952
+11 1
+.names 56685 43953
+0 1
+.names 43953 43954
+1 1
+.names 43954 43955
+0 1
+.names 43962 43963 56752
+1- 1
+-1 1
+.names 91 43957
+0 1
+.names 43957 43958
+1 1
+.names 43958 43959
+0 1
+.names 43789 43960
+1 1
+.names 43960 43961
+0 1
+.names 43959 43961 43962
+11 1
+.names 43947 43963
+0 1
+.names 43969 43970 43964
+1- 1
+-1 1
+.names 43964 43965
+1 1
+.names 43695 43973 43966
+11 1
+.names 43966 43967
+1 1
+.names 43967 43968
+0 1
+.names 43803 43968 43969
+11 1
+.names 8469 27586 43970
+11 1
+.names 56683 43971
+0 1
+.names 43971 43972
+1 1
+.names 43972 43973
+0 1
+.names 43980 43981 56750
+1- 1
+-1 1
+.names 89 43975
+0 1
+.names 43975 43976
+1 1
+.names 43976 43977
+0 1
+.names 43695 43978
+1 1
+.names 43978 43979
+0 1
+.names 43977 43979 43980
+11 1
+.names 43965 43981
+0 1
+.names 43987 43988 43982
+1- 1
+-1 1
+.names 43982 43983
+1 1
+.names 43770 43991 43984
+11 1
+.names 43984 43985
+1 1
+.names 43985 43986
+0 1
+.names 43803 43986 43987
+11 1
+.names 8469 27593 43988
+11 1
+.names 56690 43989
+0 1
+.names 43989 43990
+1 1
+.names 43990 43991
+0 1
+.names 43998 43999 56757
+1- 1
+-1 1
+.names 43770 43993
+1 1
+.names 43993 43994
+0 1
+.names 96 43995
+0 1
+.names 43995 43996
+1 1
+.names 43996 43997
+0 1
+.names 43994 43997 43998
+11 1
+.names 43983 43999
+0 1
+.names 43726 44000
+1 1
+.names 43726 44005 44001
+11 1
+.names 44001 44002
+1 1
+.names 56698 44003
+0 1
+.names 44003 44004
+1 1
+.names 44004 44005
+0 1
+.names 44009 44010 44006
+1- 1
+-1 1
+.names 44006 44007
+1 1
+.names 44002 44008
+0 1
+.names 43689 44008 44009
+11 1
+.names 8470 27600 44010
+11 1
+.names 104 44011
+0 1
+.names 44011 44012
+1 1
+.names 44016 44017 56765
+1- 1
+-1 1
+.names 44000 44014
+0 1
+.names 44012 44015
+0 1
+.names 44014 44015 44016
+11 1
+.names 44007 44017
+0 1
+.names 44023 44024 44018
+1- 1
+-1 1
+.names 44018 44019
+1 1
+.names 43726 44027 44020
+11 1
+.names 44020 44021
+1 1
+.names 44021 44022
+0 1
+.names 43689 44022 44023
+11 1
+.names 8470 27558 44024
+11 1
+.names 56669 44025
+0 1
+.names 44025 44026
+1 1
+.names 44026 44027
+0 1
+.names 44034 44035 56736
+1- 1
+-1 1
+.names 75 44029
+0 1
+.names 44029 44030
+1 1
+.names 44030 44031
+0 1
+.names 43726 44032
+1 1
+.names 44032 44033
+0 1
+.names 44031 44033 44034
+11 1
+.names 44019 44035
+0 1
+.names 44041 44042 44036
+1- 1
+-1 1
+.names 44036 44037
+1 1
+.names 43789 44045 44038
+11 1
+.names 44038 44039
+1 1
+.names 44039 44040
+0 1
+.names 43803 44040 44041
+11 1
+.names 8469 27565 44042
+11 1
+.names 56672 44043
+0 1
+.names 44043 44044
+1 1
+.names 44044 44045
+0 1
+.names 44052 44053 56739
+1- 1
+-1 1
+.names 78 44047
+0 1
+.names 44047 44048
+1 1
+.names 44048 44049
+0 1
+.names 43789 44050
+1 1
+.names 44050 44051
+0 1
+.names 44049 44051 44052
+11 1
+.names 44037 44053
+0 1
+.names 44059 44060 44054
+1- 1
+-1 1
+.names 44054 44055
+1 1
+.names 43789 44063 44056
+11 1
+.names 44056 44057
+1 1
+.names 44057 44058
+0 1
+.names 43803 44058 44059
+11 1
+.names 8469 27608 44060
+11 1
+.names 56688 44061
+0 1
+.names 44061 44062
+1 1
+.names 44062 44063
+0 1
+.names 44070 44071 56755
+1- 1
+-1 1
+.names 94 44065
+0 1
+.names 44065 44066
+1 1
+.names 44066 44067
+0 1
+.names 43789 44068
+1 1
+.names 44068 44069
+0 1
+.names 44067 44069 44070
+11 1
+.names 44055 44071
+0 1
+.names 44077 44078 44072
+1- 1
+-1 1
+.names 44072 44073
+1 1
+.names 43789 44081 44074
+11 1
+.names 44074 44075
+1 1
+.names 44075 44076
+0 1
+.names 43689 44076 44077
+11 1
+.names 8470 27615 44078
+11 1
+.names 56680 44079
+0 1
+.names 44079 44080
+1 1
+.names 44080 44081
+0 1
+.names 44088 44089 56747
+1- 1
+-1 1
+.names 86 44083
+0 1
+.names 44083 44084
+1 1
+.names 44084 44085
+0 1
+.names 43789 44086
+1 1
+.names 44086 44087
+0 1
+.names 44085 44087 44088
+11 1
+.names 44073 44089
+0 1
+.names 44095 44096 44090
+1- 1
+-1 1
+.names 44090 44091
+1 1
+.names 43726 44099 44092
+11 1
+.names 44092 44093
+1 1
+.names 44093 44094
+0 1
+.names 43709 44094 44095
+11 1
+.names 8458 27622 44096
+11 1
+.names 56681 44097
+0 1
+.names 44097 44098
+1 1
+.names 44098 44099
+0 1
+.names 44106 44107 56748
+1- 1
+-1 1
+.names 43726 44101
+1 1
+.names 44101 44102
+0 1
+.names 87 44103
+0 1
+.names 44103 44104
+1 1
+.names 44104 44105
+0 1
+.names 44102 44105 44106
+11 1
+.names 44091 44107
+0 1
+.names 43726 44108
+1 1
+.names 43726 44113 44109
+11 1
+.names 44109 44110
+1 1
+.names 56695 44111
+0 1
+.names 44111 44112
+1 1
+.names 44112 44113
+0 1
+.names 44117 44118 44114
+1- 1
+-1 1
+.names 44114 44115
+1 1
+.names 44110 44116
+0 1
+.names 43803 44116 44117
+11 1
+.names 8469 27629 44118
+11 1
+.names 101 44119
+0 1
+.names 44119 44120
+1 1
+.names 44124 44125 56762
+1- 1
+-1 1
+.names 44108 44122
+0 1
+.names 44120 44123
+0 1
+.names 44122 44123 44124
+11 1
+.names 44115 44125
+0 1
+.names 44131 44132 44126
+1- 1
+-1 1
+.names 44126 44127
+1 1
+.names 43770 44135 44128
+11 1
+.names 44128 44129
+1 1
+.names 44129 44130
+0 1
+.names 43803 44130 44131
+11 1
+.names 8469 27636 44132
+11 1
+.names 56679 44133
+0 1
+.names 44133 44134
+1 1
+.names 44134 44135
+0 1
+.names 44142 44143 56746
+1- 1
+-1 1
+.names 85 44137
+0 1
+.names 44137 44138
+1 1
+.names 44138 44139
+0 1
+.names 43770 44140
+1 1
+.names 44140 44141
+0 1
+.names 44139 44141 44142
+11 1
+.names 44127 44143
+0 1
+.names 44149 44150 44144
+1- 1
+-1 1
+.names 44144 44145
+1 1
+.names 43789 44153 44146
+11 1
+.names 44146 44147
+1 1
+.names 44147 44148
+0 1
+.names 43803 44148 44149
+11 1
+.names 8469 27643 44150
+11 1
+.names 56693 44151
+0 1
+.names 44151 44152
+1 1
+.names 44152 44153
+0 1
+.names 44160 44161 56760
+1- 1
+-1 1
+.names 99 44155
+0 1
+.names 44155 44156
+1 1
+.names 44156 44157
+0 1
+.names 43789 44158
+1 1
+.names 44158 44159
+0 1
+.names 44157 44159 44160
+11 1
+.names 44145 44161
+0 1
+.names 43726 44162
+1 1
+.names 43726 44167 44163
+11 1
+.names 44163 44164
+1 1
+.names 56694 44165
+0 1
+.names 44165 44166
+1 1
+.names 44166 44167
+0 1
+.names 44171 44172 44168
+1- 1
+-1 1
+.names 44168 44169
+1 1
+.names 44164 44170
+0 1
+.names 43689 44170 44171
+11 1
+.names 8470 27650 44172
+11 1
+.names 100 44173
+0 1
+.names 44173 44174
+1 1
+.names 44178 44179 56761
+1- 1
+-1 1
+.names 44162 44176
+0 1
+.names 44174 44177
+0 1
+.names 44176 44177 44178
+11 1
+.names 44169 44179
+0 1
+.names 44185 44186 44180
+1- 1
+-1 1
+.names 44180 44181
+1 1
+.names 43789 44189 44182
+11 1
+.names 44182 44183
+1 1
+.names 44183 44184
+0 1
+.names 43803 44184 44185
+11 1
+.names 8469 27657 44186
+11 1
+.names 56670 44187
+0 1
+.names 44187 44188
+1 1
+.names 44188 44189
+0 1
+.names 44196 44197 56737
+1- 1
+-1 1
+.names 76 44191
+0 1
+.names 44191 44192
+1 1
+.names 44192 44193
+0 1
+.names 43789 44194
+1 1
+.names 44194 44195
+0 1
+.names 44193 44195 44196
+11 1
+.names 44181 44197
+0 1
+.names 44203 44204 44198
+1- 1
+-1 1
+.names 44198 44199
+1 1
+.names 43789 44207 44200
+11 1
+.names 44200 44201
+1 1
+.names 44201 44202
+0 1
+.names 43709 44202 44203
+11 1
+.names 8458 27664 44204
+11 1
+.names 56671 44205
+0 1
+.names 44205 44206
+1 1
+.names 44206 44207
+0 1
+.names 44214 44215 56738
+1- 1
+-1 1
+.names 77 44209
+0 1
+.names 44209 44210
+1 1
+.names 44210 44211
+0 1
+.names 43789 44212
+1 1
+.names 44212 44213
+0 1
+.names 44211 44213 44214
+11 1
+.names 44199 44215
+0 1
+.names 43726 44216
+1 1
+.names 43726 44220 44217
+11 1
+.names 44217 44218
+1 1
+.names 19922 44219
+1 1
+.names 44219 44220
+0 1
+.names 44224 44225 44221
+1- 1
+-1 1
+.names 44221 44222
+1 1
+.names 44218 44223
+0 1
+.names 43803 44223 44224
+11 1
+.names 8469 27671 44225
+11 1
+.names 44231 44232 56749
+1- 1
+-1 1
+.names 44216 44227
+0 1
+.names 88 44228
+0 1
+.names 44228 44229
+1 1
+.names 44229 44230
+0 1
+.names 44227 44230 44231
+11 1
+.names 44222 44232
+0 1
+.names 44238 44239 44233
+1- 1
+-1 1
+.names 44233 44234
+1 1
+.names 43695 44242 44235
+11 1
+.names 44235 44236
+1 1
+.names 44236 44237
+0 1
+.names 43709 44237 44238
+11 1
+.names 8458 27678 44239
+11 1
+.names 56687 44240
+0 1
+.names 44240 44241
+1 1
+.names 44241 44242
+0 1
+.names 44249 44250 56754
+1- 1
+-1 1
+.names 93 44244
+0 1
+.names 44244 44245
+1 1
+.names 44245 44246
+0 1
+.names 43695 44247
+1 1
+.names 44247 44248
+0 1
+.names 44246 44248 44249
+11 1
+.names 44234 44250
+0 1
+.names 44256 44257 44251
+1- 1
+-1 1
+.names 44251 44252
+1 1
+.names 43770 44260 44253
+11 1
+.names 44253 44254
+1 1
+.names 44254 44255
+0 1
+.names 43689 44255 44256
+11 1
+.names 8470 27685 44257
+11 1
+.names 56696 44258
+0 1
+.names 44258 44259
+1 1
+.names 44259 44260
+0 1
+.names 44267 44268 56763
+1- 1
+-1 1
+.names 102 44262
+0 1
+.names 44262 44263
+1 1
+.names 44263 44264
+0 1
+.names 43770 44265
+1 1
+.names 44265 44266
+0 1
+.names 44264 44266 44267
+11 1
+.names 44252 44268
+0 1
+.names 44273 44276 56531
+1- 1
+-1 1
+.names 8483 44270
+0 1
+.names 25985 44271
+1 1
+.names 44271 44272
+0 1
+.names 44270 44272 44273
+11 1
+.names 37491 44274
+1 1
+.names 44274 44275
+0 1
+.names 8483 44275 44276
+11 1
+.names 44281 44284 56547
+1- 1
+-1 1
+.names 8477 44278
+0 1
+.names 25904 44279
+1 1
+.names 44279 44280
+0 1
+.names 44278 44280 44281
+11 1
+.names 37398 44282
+1 1
+.names 44282 44283
+0 1
+.names 8477 44283 44284
+11 1
+.names 44288 44291 56542
+1- 1
+-1 1
+.names 26159 44286
+1 1
+.names 44286 44287
+0 1
+.names 44270 44287 44288
+11 1
+.names 37673 44289
+1 1
+.names 44289 44290
+0 1
+.names 8483 44290 44291
+11 1
+.names 44295 44298 56555
+1- 1
+-1 1
+.names 26205 44293
+1 1
+.names 44293 44294
+0 1
+.names 44278 44294 44295
+11 1
+.names 37731 44296
+1 1
+.names 44296 44297
+0 1
+.names 8477 44297 44298
+11 1
+.names 44303 44306 56525
+1- 1
+-1 1
+.names 8478 44300
+0 1
+.names 26522 44301
+1 1
+.names 44301 44302
+0 1
+.names 44300 44302 44303
+11 1
+.names 38071 44304
+1 1
+.names 44304 44305
+0 1
+.names 8478 44305 44306
+11 1
+.names 44311 44314 56526
+1- 1
+-1 1
+.names 8480 44308
+0 1
+.names 25956 44309
+1 1
+.names 44309 44310
+0 1
+.names 44308 44310 44311
+11 1
+.names 37447 44312
+1 1
+.names 44312 44313
+0 1
+.names 8480 44313 44314
+11 1
+.names 44318 44321 56533
+1- 1
+-1 1
+.names 26089 44316
+1 1
+.names 44316 44317
+0 1
+.names 44308 44317 44318
+11 1
+.names 37527 44319
+1 1
+.names 44319 44320
+0 1
+.names 8480 44320 44321
+11 1
+.names 44325 44328 56535
+1- 1
+-1 1
+.names 26123 44323
+1 1
+.names 44323 44324
+0 1
+.names 44278 44324 44325
+11 1
+.names 37630 44326
+1 1
+.names 44326 44327
+0 1
+.names 8477 44327 44328
+11 1
+.names 44332 44335 56544
+1- 1
+-1 1
+.names 26276 44330
+1 1
+.names 44330 44331
+0 1
+.names 44308 44331 44332
+11 1
+.names 37805 44333
+1 1
+.names 44333 44334
+0 1
+.names 8480 44334 44335
+11 1
+.names 44339 44342 56534
+1- 1
+-1 1
+.names 26310 44337
+1 1
+.names 44337 44338
+0 1
+.names 44270 44338 44339
+11 1
+.names 37844 44340
+1 1
+.names 44340 44341
+0 1
+.names 8483 44341 44342
+11 1
+.names 44347 44350 56550
+1- 1
+-1 1
+.names 8482 44344
+0 1
+.names 26358 44345
+1 1
+.names 44345 44346
+0 1
+.names 44344 44346 44347
+11 1
+.names 37894 44348
+1 1
+.names 44348 44349
+0 1
+.names 8482 44349 44350
+11 1
+.names 44354 44357 56536
+1- 1
+-1 1
+.names 26416 44352
+1 1
+.names 44352 44353
+0 1
+.names 44300 44353 44354
+11 1
+.names 37957 44355
+1 1
+.names 44355 44356
+0 1
+.names 8478 44356 44357
+11 1
+.names 44361 44364 56549
+1- 1
+-1 1
+.names 26477 44359
+1 1
+.names 44359 44360
+0 1
+.names 44300 44360 44361
+11 1
+.names 38023 44362
+1 1
+.names 44362 44363
+0 1
+.names 8478 44363 44364
+11 1
+.names 44368 44371 56527
+1- 1
+-1 1
+.names 26847 44366
+1 1
+.names 44366 44367
+0 1
+.names 44300 44367 44368
+11 1
+.names 38442 44369
+1 1
+.names 44369 44370
+0 1
+.names 8478 44370 44371
+11 1
+.names 44375 44378 56530
+1- 1
+-1 1
+.names 26905 44373
+1 1
+.names 44373 44374
+0 1
+.names 44344 44374 44375
+11 1
+.names 38500 44376
+1 1
+.names 44376 44377
+0 1
+.names 8482 44377 44378
+11 1
+.names 44382 44385 56532
+1- 1
+-1 1
+.names 26560 44380
+1 1
+.names 44380 44381
+0 1
+.names 44278 44381 44382
+11 1
+.names 38117 44383
+1 1
+.names 44383 44384
+0 1
+.names 8477 44384 44385
+11 1
+.names 44389 44392 56543
+1- 1
+-1 1
+.names 26602 44387
+1 1
+.names 44387 44388
+0 1
+.names 44344 44388 44389
+11 1
+.names 38164 44390
+1 1
+.names 44390 44391
+0 1
+.names 8482 44391 44392
+11 1
+.names 44396 44399 56541
+1- 1
+-1 1
+.names 26669 44394
+1 1
+.names 44394 44395
+0 1
+.names 44308 44395 44396
+11 1
+.names 38203 44397
+1 1
+.names 44397 44398
+0 1
+.names 8480 44398 44399
+11 1
+.names 44403 44406 56548
+1- 1
+-1 1
+.names 26708 44401
+1 1
+.names 44401 44402
+0 1
+.names 44308 44402 44403
+11 1
+.names 38279 44404
+1 1
+.names 44404 44405
+0 1
+.names 8480 44405 44406
+11 1
+.names 44410 44413 56556
+1- 1
+-1 1
+.names 26769 44408
+1 1
+.names 44408 44409
+0 1
+.names 44270 44409 44410
+11 1
+.names 38349 44411
+1 1
+.names 44411 44412
+0 1
+.names 8483 44412 44413
+11 1
+.names 44418 44421 56546
+1- 1
+-1 1
+.names 8481 44415
+0 1
+.names 26813 44416
+1 1
+.names 44416 44417
+0 1
+.names 44415 44417 44418
+11 1
+.names 38402 44419
+1 1
+.names 44419 44420
+0 1
+.names 8481 44420 44421
+11 1
+.names 44425 44428 56538
+1- 1
+-1 1
+.names 26977 44423
+1 1
+.names 44423 44424
+0 1
+.names 44415 44424 44425
+11 1
+.names 38559 44426
+1 1
+.names 44426 44427
+0 1
+.names 8481 44427 44428
+11 1
+.names 44432 44435 56539
+1- 1
+-1 1
+.names 27009 44430
+1 1
+.names 44430 44431
+0 1
+.names 44344 44431 44432
+11 1
+.names 38617 44433
+1 1
+.names 44433 44434
+0 1
+.names 8482 44434 44435
+11 1
+.names 44439 44442 56553
+1- 1
+-1 1
+.names 27055 44437
+1 1
+.names 44437 44438
+0 1
+.names 44278 44438 44439
+11 1
+.names 38675 44440
+1 1
+.names 44440 44441
+0 1
+.names 8477 44441 44442
+11 1
+.names 44446 44449 56537
+1- 1
+-1 1
+.names 27101 44444
+1 1
+.names 44444 44445
+0 1
+.names 44300 44445 44446
+11 1
+.names 38717 44447
+1 1
+.names 44447 44448
+0 1
+.names 8478 44448 44449
+11 1
+.names 44453 44456 56551
+1- 1
+-1 1
+.names 27147 44451
+1 1
+.names 44451 44452
+0 1
+.names 44270 44452 44453
+11 1
+.names 38784 44454
+1 1
+.names 44454 44455
+0 1
+.names 8483 44455 44456
+11 1
+.names 44460 44463 56552
+1- 1
+-1 1
+.names 27207 44458
+1 1
+.names 44458 44459
+0 1
+.names 44270 44459 44460
+11 1
+.names 38838 44461
+1 1
+.names 44461 44462
+0 1
+.names 8483 44462 44463
+11 1
+.names 44467 44470 56528
+1- 1
+-1 1
+.names 27251 44465
+1 1
+.names 44465 44466
+0 1
+.names 44415 44466 44467
+11 1
+.names 38895 44468
+1 1
+.names 44468 44469
+0 1
+.names 8481 44469 44470
+11 1
+.names 44474 44477 56529
+1- 1
+-1 1
+.names 27297 44472
+1 1
+.names 44472 44473
+0 1
+.names 44415 44473 44474
+11 1
+.names 38947 44475
+1 1
+.names 44475 44476
+0 1
+.names 8481 44476 44477
+11 1
+.names 44481 44484 56540
+1- 1
+-1 1
+.names 27340 44479
+1 1
+.names 44479 44480
+0 1
+.names 44415 44480 44481
+11 1
+.names 38960 44482
+1 1
+.names 44482 44483
+0 1
+.names 8481 44483 44484
+11 1
+.names 44488 44491 56545
+1- 1
+-1 1
+.names 27378 44486
+1 1
+.names 44486 44487
+0 1
+.names 44344 44487 44488
+11 1
+.names 39048 44489
+1 1
+.names 44489 44490
+0 1
+.names 8482 44490 44491
+11 1
+.names 44495 44498 56554
+1- 1
+-1 1
+.names 27436 44493
+1 1
+.names 44493 44494
+0 1
+.names 44344 44494 44495
+11 1
+.names 39099 44496
+1 1
+.names 44496 44497
+0 1
+.names 8482 44497 44498
+11 1
+.names 44503 44507 56499
+1- 1
+-1 1
+.names 8495 44500
+0 1
+.names 14872 44501
+1 1
+.names 44501 44502
+0 1
+.names 44500 44502 44503
+11 1
+.names 1412 44504
+0 1
+.names 44504 44505
+1 1
+.names 44505 44506
+0 1
+.names 8495 44506 44507
+11 1
+.names 44512 44516 56515
+1- 1
+-1 1
+.names 8489 44509
+0 1
+.names 14782 44510
+1 1
+.names 44510 44511
+0 1
+.names 44509 44511 44512
+11 1
+.names 1413 44513
+0 1
+.names 44513 44514
+1 1
+.names 44514 44515
+0 1
+.names 8489 44515 44516
+11 1
+.names 44521 44525 56510
+1- 1
+-1 1
+.names 56716 44518
+0 1
+.names 44518 44519
+1 1
+.names 44519 44520
+0 1
+.names 44500 44520 44521
+11 1
+.names 1414 44522
+0 1
+.names 44522 44523
+1 1
+.names 44523 44524
+0 1
+.names 8495 44524 44525
+11 1
+.names 44530 44534 56523
+1- 1
+-1 1
+.names 56729 44527
+0 1
+.names 44527 44528
+1 1
+.names 44528 44529
+0 1
+.names 44509 44529 44530
+11 1
+.names 1415 44531
+0 1
+.names 44531 44532
+1 1
+.names 44532 44533
+0 1
+.names 8489 44533 44534
+11 1
+.names 44539 44542 56493
+1- 1
+-1 1
+.names 8490 44536
+0 1
+.names 14937 44537
+1 1
+.names 44537 44538
+0 1
+.names 44536 44538 44539
+11 1
+.names 2413 44540
+1 1
+.names 44540 44541
+0 1
+.names 8490 44541 44542
+11 1
+.names 44548 44551 56494
+1- 1
+-1 1
+.names 8492 44544
+0 1
+.names 56700 44545
+0 1
+.names 44545 44546
+1 1
+.names 44546 44547
+0 1
+.names 44544 44547 44548
+11 1
+.names 2413 44549
+1 1
+.names 44549 44550
+0 1
+.names 8492 44550 44551
+11 1
+.names 44555 44559 56501
+1- 1
+-1 1
+.names 14852 44553
+1 1
+.names 44553 44554
+0 1
+.names 44544 44554 44555
+11 1
+.names 1416 44556
+0 1
+.names 44556 44557
+1 1
+.names 44557 44558
+0 1
+.names 8492 44558 44559
+11 1
+.names 44563 44567 56503
+1- 1
+-1 1
+.names 14832 44561
+1 1
+.names 44561 44562
+0 1
+.names 44509 44562 44563
+11 1
+.names 1417 44564
+0 1
+.names 44564 44565
+1 1
+.names 44565 44566
+0 1
+.names 8489 44566 44567
+11 1
+.names 44572 44576 56512
+1- 1
+-1 1
+.names 56718 44569
+0 1
+.names 44569 44570
+1 1
+.names 44570 44571
+0 1
+.names 44544 44571 44572
+11 1
+.names 1418 44573
+0 1
+.names 44573 44574
+1 1
+.names 44574 44575
+0 1
+.names 8492 44575 44576
+11 1
+.names 44580 44584 56502
+1- 1
+-1 1
+.names 14842 44578
+1 1
+.names 44578 44579
+0 1
+.names 44500 44579 44580
+11 1
+.names 1419 44581
+0 1
+.names 44581 44582
+1 1
+.names 44582 44583
+0 1
+.names 8495 44583 44584
+11 1
+.names 44590 44594 56518
+1- 1
+-1 1
+.names 8494 44586
+0 1
+.names 56724 44587
+0 1
+.names 44587 44588
+1 1
+.names 44588 44589
+0 1
+.names 44586 44589 44590
+11 1
+.names 1420 44591
+0 1
+.names 44591 44592
+1 1
+.names 44592 44593
+0 1
+.names 8494 44593 44594
+11 1
+.names 44598 44602 56504
+1- 1
+-1 1
+.names 14822 44596
+1 1
+.names 44596 44597
+0 1
+.names 44536 44597 44598
+11 1
+.names 1421 44599
+0 1
+.names 44599 44600
+1 1
+.names 44600 44601
+0 1
+.names 8490 44601 44602
+11 1
+.names 44607 44611 56517
+1- 1
+-1 1
+.names 56723 44604
+0 1
+.names 44604 44605
+1 1
+.names 44605 44606
+0 1
+.names 44536 44606 44607
+11 1
+.names 1422 44608
+0 1
+.names 44608 44609
+1 1
+.names 44609 44610
+0 1
+.names 8490 44610 44611
+11 1
+.names 44616 44620 56495
+1- 1
+-1 1
+.names 56701 44613
+0 1
+.names 44613 44614
+1 1
+.names 44614 44615
+0 1
+.names 44536 44615 44616
+11 1
+.names 1423 44617
+0 1
+.names 44617 44618
+1 1
+.names 44618 44619
+0 1
+.names 8490 44619 44620
+11 1
+.names 44624 44628 56498
+1- 1
+-1 1
+.names 14882 44622
+1 1
+.names 44622 44623
+0 1
+.names 44586 44623 44624
+11 1
+.names 1424 44625
+0 1
+.names 44625 44626
+1 1
+.names 44626 44627
+0 1
+.names 8494 44627 44628
+11 1
+.names 44632 44636 56500
+1- 1
+-1 1
+.names 14862 44630
+1 1
+.names 44630 44631
+0 1
+.names 44509 44631 44632
+11 1
+.names 1425 44633
+0 1
+.names 44633 44634
+1 1
+.names 44634 44635
+0 1
+.names 8489 44635 44636
+11 1
+.names 44641 44645 56511
+1- 1
+-1 1
+.names 56717 44638
+0 1
+.names 44638 44639
+1 1
+.names 44639 44640
+0 1
+.names 44586 44640 44641
+11 1
+.names 1426 44642
+0 1
+.names 44642 44643
+1 1
+.names 44643 44644
+0 1
+.names 8494 44644 44645
+11 1
+.names 44650 44654 56509
+1- 1
+-1 1
+.names 56715 44647
+0 1
+.names 44647 44648
+1 1
+.names 44648 44649
+0 1
+.names 44544 44649 44650
+11 1
+.names 1427 44651
+0 1
+.names 44651 44652
+1 1
+.names 44652 44653
+0 1
+.names 8492 44653 44654
+11 1
+.names 44659 44663 56516
+1- 1
+-1 1
+.names 56722 44656
+0 1
+.names 44656 44657
+1 1
+.names 44657 44658
+0 1
+.names 44544 44658 44659
+11 1
+.names 1428 44660
+0 1
+.names 44660 44661
+1 1
+.names 44661 44662
+0 1
+.names 8492 44662 44663
+11 1
+.names 44667 44671 56524
+1- 1
+-1 1
+.names 14760 44665
+1 1
+.names 44665 44666
+0 1
+.names 44500 44666 44667
+11 1
+.names 1429 44668
+0 1
+.names 44668 44669
+1 1
+.names 44669 44670
+0 1
+.names 8495 44670 44671
+11 1
+.names 44677 44681 56514
+1- 1
+-1 1
+.names 8493 44673
+0 1
+.names 56720 44674
+0 1
+.names 44674 44675
+1 1
+.names 44675 44676
+0 1
+.names 44673 44676 44677
+11 1
+.names 1430 44678
+0 1
+.names 44678 44679
+1 1
+.names 44679 44680
+0 1
+.names 8493 44680 44681
+11 1
+.names 44685 44689 56506
+1- 1
+-1 1
+.names 14802 44683
+1 1
+.names 44683 44684
+0 1
+.names 44673 44684 44685
+11 1
+.names 1431 44686
+0 1
+.names 44686 44687
+1 1
+.names 44687 44688
+0 1
+.names 8493 44688 44689
+11 1
+.names 44693 44697 56507
+1- 1
+-1 1
+.names 57098 44691
+1 1
+.names 44691 44692
+0 1
+.names 44586 44692 44693
+11 1
+.names 1432 44694
+0 1
+.names 44694 44695
+1 1
+.names 44695 44696
+0 1
+.names 8494 44696 44697
+11 1
+.names 44702 44706 56521
+1- 1
+-1 1
+.names 56727 44699
+0 1
+.names 44699 44700
+1 1
+.names 44700 44701
+0 1
+.names 44509 44701 44702
+11 1
+.names 1433 44703
+0 1
+.names 44703 44704
+1 1
+.names 44704 44705
+0 1
+.names 8489 44705 44706
+11 1
+.names 44710 44714 56505
+1- 1
+-1 1
+.names 14812 44708
+1 1
+.names 44708 44709
+0 1
+.names 44536 44709 44710
+11 1
+.names 1434 44711
+0 1
+.names 44711 44712
+1 1
+.names 44712 44713
+0 1
+.names 8490 44713 44714
+11 1
+.names 44719 44723 56519
+1- 1
+-1 1
+.names 56725 44716
+0 1
+.names 44716 44717
+1 1
+.names 44717 44718
+0 1
+.names 44500 44718 44719
+11 1
+.names 1435 44720
+0 1
+.names 44720 44721
+1 1
+.names 44721 44722
+0 1
+.names 8495 44722 44723
+11 1
+.names 44728 44732 56520
+1- 1
+-1 1
+.names 56726 44725
+0 1
+.names 44725 44726
+1 1
+.names 44726 44727
+0 1
+.names 44500 44727 44728
+11 1
+.names 1436 44729
+0 1
+.names 44729 44730
+1 1
+.names 44730 44731
+0 1
+.names 8495 44731 44732
+11 1
+.names 44736 44740 56496
+1- 1
+-1 1
+.names 14927 44734
+1 1
+.names 44734 44735
+0 1
+.names 44673 44735 44736
+11 1
+.names 1437 44737
+0 1
+.names 44737 44738
+1 1
+.names 44738 44739
+0 1
+.names 8493 44739 44740
+11 1
+.names 44744 44748 56497
+1- 1
+-1 1
+.names 14893 44742
+1 1
+.names 44742 44743
+0 1
+.names 44673 44743 44744
+11 1
+.names 1438 44745
+0 1
+.names 44745 44746
+1 1
+.names 44746 44747
+0 1
+.names 8493 44747 44748
+11 1
+.names 44752 44756 56508
+1- 1
+-1 1
+.names 14792 44750
+1 1
+.names 44750 44751
+0 1
+.names 44673 44751 44752
+11 1
+.names 1439 44753
+0 1
+.names 44753 44754
+1 1
+.names 44754 44755
+0 1
+.names 8493 44755 44756
+11 1
+.names 44761 44765 56513
+1- 1
+-1 1
+.names 56719 44758
+0 1
+.names 44758 44759
+1 1
+.names 44759 44760
+0 1
+.names 44586 44760 44761
+11 1
+.names 1440 44762
+0 1
+.names 44762 44763
+1 1
+.names 44763 44764
+0 1
+.names 8494 44764 44765
+11 1
+.names 44770 44774 56522
+1- 1
+-1 1
+.names 56728 44767
+0 1
+.names 44767 44768
+1 1
+.names 44768 44769
+0 1
+.names 44586 44769 44770
+11 1
+.names 1441 44771
+0 1
+.names 44771 44772
+1 1
+.names 44772 44773
+0 1
+.names 8494 44773 44774
+11 1
+.names 44780 44784 57157
+1- 1
+-1 1
+.names 8507 44776
+0 1
+.names 37476 44777
+0 1
+.names 44777 44778
+1 1
+.names 44778 44779
+0 1
+.names 44776 44779 44780
+11 1
+.names 515 44781
+0 1
+.names 44781 44782
+1 1
+.names 44782 44783
+0 1
+.names 8507 44783 44784
+11 1
+.names 44790 44794 57158
+1- 1
+-1 1
+.names 8501 44786
+0 1
+.names 37385 44787
+0 1
+.names 44787 44788
+1 1
+.names 44788 44789
+0 1
+.names 44786 44789 44790
+11 1
+.names 531 44791
+0 1
+.names 44791 44792
+1 1
+.names 44792 44793
+0 1
+.names 8501 44793 44794
+11 1
+.names 44799 44803 57159
+1- 1
+-1 1
+.names 37663 44796
+0 1
+.names 44796 44797
+1 1
+.names 44797 44798
+0 1
+.names 44776 44798 44799
+11 1
+.names 526 44800
+0 1
+.names 44800 44801
+1 1
+.names 44801 44802
+0 1
+.names 8507 44802 44803
+11 1
+.names 44808 44812 57160
+1- 1
+-1 1
+.names 37717 44805
+0 1
+.names 44805 44806
+1 1
+.names 44806 44807
+0 1
+.names 44786 44807 44808
+11 1
+.names 539 44809
+0 1
+.names 44809 44810
+1 1
+.names 44810 44811
+0 1
+.names 8501 44811 44812
+11 1
+.names 44818 44822 57161
+1- 1
+-1 1
+.names 8502 44814
+0 1
+.names 38069 44815
+0 1
+.names 44815 44816
+1 1
+.names 44816 44817
+0 1
+.names 44814 44817 44818
+11 1
+.names 509 44819
+0 1
+.names 44819 44820
+1 1
+.names 44820 44821
+0 1
+.names 8502 44821 44822
+11 1
+.names 44828 44832 57162
+1- 1
+-1 1
+.names 8504 44824
+0 1
+.names 37441 44825
+0 1
+.names 44825 44826
+1 1
+.names 44826 44827
+0 1
+.names 44824 44827 44828
+11 1
+.names 510 44829
+0 1
+.names 44829 44830
+1 1
+.names 44830 44831
+0 1
+.names 8504 44831 44832
+11 1
+.names 44837 44841 57163
+1- 1
+-1 1
+.names 37529 44834
+0 1
+.names 44834 44835
+1 1
+.names 44835 44836
+0 1
+.names 44824 44836 44837
+11 1
+.names 517 44838
+0 1
+.names 44838 44839
+1 1
+.names 44839 44840
+0 1
+.names 8504 44840 44841
+11 1
+.names 44846 44850 57164
+1- 1
+-1 1
+.names 37624 44843
+0 1
+.names 44843 44844
+1 1
+.names 44844 44845
+0 1
+.names 44786 44845 44846
+11 1
+.names 519 44847
+0 1
+.names 44847 44848
+1 1
+.names 44848 44849
+0 1
+.names 8501 44849 44850
+11 1
+.names 44855 44859 57165
+1- 1
+-1 1
+.names 37751 44852
+0 1
+.names 44852 44853
+1 1
+.names 44853 44854
+0 1
+.names 44824 44854 44855
+11 1
+.names 528 44856
+0 1
+.names 44856 44857
+1 1
+.names 44857 44858
+0 1
+.names 8504 44858 44859
+11 1
+.names 44864 44868 57166
+1- 1
+-1 1
+.names 37838 44861
+0 1
+.names 44861 44862
+1 1
+.names 44862 44863
+0 1
+.names 44776 44863 44864
+11 1
+.names 518 44865
+0 1
+.names 44865 44866
+1 1
+.names 44866 44867
+0 1
+.names 8507 44867 44868
+11 1
+.names 44874 44878 57167
+1- 1
+-1 1
+.names 8506 44870
+0 1
+.names 37884 44871
+0 1
+.names 44871 44872
+1 1
+.names 44872 44873
+0 1
+.names 44870 44873 44874
+11 1
+.names 534 44875
+0 1
+.names 44875 44876
+1 1
+.names 44876 44877
+0 1
+.names 8506 44877 44878
+11 1
+.names 44883 44887 57168
+1- 1
+-1 1
+.names 37951 44880
+0 1
+.names 44880 44881
+1 1
+.names 44881 44882
+0 1
+.names 44814 44882 44883
+11 1
+.names 520 44884
+0 1
+.names 44884 44885
+1 1
+.names 44885 44886
+0 1
+.names 8502 44886 44887
+11 1
+.names 44892 44896 57169
+1- 1
+-1 1
+.names 37974 44889
+0 1
+.names 44889 44890
+1 1
+.names 44890 44891
+0 1
+.names 44814 44891 44892
+11 1
+.names 533 44893
+0 1
+.names 44893 44894
+1 1
+.names 44894 44895
+0 1
+.names 8502 44895 44896
+11 1
+.names 44901 44905 57170
+1- 1
+-1 1
+.names 38430 44898
+0 1
+.names 44898 44899
+1 1
+.names 44899 44900
+0 1
+.names 44814 44900 44901
+11 1
+.names 511 44902
+0 1
+.names 44902 44903
+1 1
+.names 44903 44904
+0 1
+.names 8502 44904 44905
+11 1
+.names 44910 44914 57171
+1- 1
+-1 1
+.names 38494 44907
+0 1
+.names 44907 44908
+1 1
+.names 44908 44909
+0 1
+.names 44870 44909 44910
+11 1
+.names 514 44911
+0 1
+.names 44911 44912
+1 1
+.names 44912 44913
+0 1
+.names 8506 44913 44914
+11 1
+.names 44919 44923 57172
+1- 1
+-1 1
+.names 38113 44916
+0 1
+.names 44916 44917
+1 1
+.names 44917 44918
+0 1
+.names 44786 44918 44919
+11 1
+.names 516 44920
+0 1
+.names 44920 44921
+1 1
+.names 44921 44922
+0 1
+.names 8501 44922 44923
+11 1
+.names 44928 44932 57173
+1- 1
+-1 1
+.names 38175 44925
+0 1
+.names 44925 44926
+1 1
+.names 44926 44927
+0 1
+.names 44870 44927 44928
+11 1
+.names 527 44929
+0 1
+.names 44929 44930
+1 1
+.names 44930 44931
+0 1
+.names 8506 44931 44932
+11 1
+.names 44937 44941 57174
+1- 1
+-1 1
+.names 38234 44934
+0 1
+.names 44934 44935
+1 1
+.names 44935 44936
+0 1
+.names 44824 44936 44937
+11 1
+.names 525 44938
+0 1
+.names 44938 44939
+1 1
+.names 44939 44940
+0 1
+.names 8504 44940 44941
+11 1
+.names 44946 44950 57175
+1- 1
+-1 1
+.names 38277 44943
+0 1
+.names 44943 44944
+1 1
+.names 44944 44945
+0 1
+.names 44824 44945 44946
+11 1
+.names 532 44947
+0 1
+.names 44947 44948
+1 1
+.names 44948 44949
+0 1
+.names 8504 44949 44950
+11 1
+.names 44955 44959 57176
+1- 1
+-1 1
+.names 38299 44952
+0 1
+.names 44952 44953
+1 1
+.names 44953 44954
+0 1
+.names 44776 44954 44955
+11 1
+.names 540 44956
+0 1
+.names 44956 44957
+1 1
+.names 44957 44958
+0 1
+.names 8507 44958 44959
+11 1
+.names 44965 44969 57177
+1- 1
+-1 1
+.names 8505 44961
+0 1
+.names 38392 44962
+0 1
+.names 44962 44963
+1 1
+.names 44963 44964
+0 1
+.names 44961 44964 44965
+11 1
+.names 530 44966
+0 1
+.names 44966 44967
+1 1
+.names 44967 44968
+0 1
+.names 8505 44968 44969
+11 1
+.names 44974 44978 57178
+1- 1
+-1 1
+.names 38518 44971
+0 1
+.names 44971 44972
+1 1
+.names 44972 44973
+0 1
+.names 44961 44973 44974
+11 1
+.names 522 44975
+0 1
+.names 44975 44976
+1 1
+.names 44976 44977
+0 1
+.names 8505 44977 44978
+11 1
+.names 44983 44987 57179
+1- 1
+-1 1
+.names 38611 44980
+0 1
+.names 44980 44981
+1 1
+.names 44981 44982
+0 1
+.names 44870 44982 44983
+11 1
+.names 523 44984
+0 1
+.names 44984 44985
+1 1
+.names 44985 44986
+0 1
+.names 8506 44986 44987
+11 1
+.names 44992 44996 57180
+1- 1
+-1 1
+.names 38663 44989
+0 1
+.names 44989 44990
+1 1
+.names 44990 44991
+0 1
+.names 44786 44991 44992
+11 1
+.names 537 44993
+0 1
+.names 44993 44994
+1 1
+.names 44994 44995
+0 1
+.names 8501 44995 44996
+11 1
+.names 45001 45005 57181
+1- 1
+-1 1
+.names 38715 44998
+0 1
+.names 44998 44999
+1 1
+.names 44999 45000
+0 1
+.names 44814 45000 45001
+11 1
+.names 521 45002
+0 1
+.names 45002 45003
+1 1
+.names 45003 45004
+0 1
+.names 8502 45004 45005
+11 1
+.names 45010 45014 57182
+1- 1
+-1 1
+.names 38768 45007
+0 1
+.names 45007 45008
+1 1
+.names 45008 45009
+0 1
+.names 44776 45009 45010
+11 1
+.names 535 45011
+0 1
+.names 45011 45012
+1 1
+.names 45012 45013
+0 1
+.names 8507 45013 45014
+11 1
+.names 45019 45023 57183
+1- 1
+-1 1
+.names 38790 45016
+0 1
+.names 45016 45017
+1 1
+.names 45017 45018
+0 1
+.names 44776 45018 45019
+11 1
+.names 536 45020
+0 1
+.names 45020 45021
+1 1
+.names 45021 45022
+0 1
+.names 8507 45022 45023
+11 1
+.names 45028 45032 57184
+1- 1
+-1 1
+.names 38883 45025
+0 1
+.names 45025 45026
+1 1
+.names 45026 45027
+0 1
+.names 44961 45027 45028
+11 1
+.names 512 45029
+0 1
+.names 45029 45030
+1 1
+.names 45030 45031
+0 1
+.names 8505 45031 45032
+11 1
+.names 45037 45041 57185
+1- 1
+-1 1
+.names 38935 45034
+0 1
+.names 45034 45035
+1 1
+.names 45035 45036
+0 1
+.names 44961 45036 45037
+11 1
+.names 513 45038
+0 1
+.names 45038 45039
+1 1
+.names 45039 45040
+0 1
+.names 8505 45040 45041
+11 1
+.names 45046 45050 57186
+1- 1
+-1 1
+.names 38986 45043
+0 1
+.names 45043 45044
+1 1
+.names 45044 45045
+0 1
+.names 44961 45045 45046
+11 1
+.names 524 45047
+0 1
+.names 45047 45048
+1 1
+.names 45048 45049
+0 1
+.names 8505 45049 45050
+11 1
+.names 45055 45059 57187
+1- 1
+-1 1
+.names 39028 45052
+0 1
+.names 45052 45053
+1 1
+.names 45053 45054
+0 1
+.names 44870 45054 45055
+11 1
+.names 529 45056
+0 1
+.names 45056 45057
+1 1
+.names 45057 45058
+0 1
+.names 8506 45058 45059
+11 1
+.names 45064 45068 57188
+1- 1
+-1 1
+.names 39093 45061
+0 1
+.names 45061 45062
+1 1
+.names 45062 45063
+0 1
+.names 44870 45063 45064
+11 1
+.names 538 45065
+0 1
+.names 45065 45066
+1 1
+.names 45066 45067
+0 1
+.names 8506 45067 45068
+11 1
+.names 8519 45069
+0 1
+.names 1442 45070
+0 1
+.names 45070 45071
+1 1
+.names 45071 45072
+0 1
+.names 45069 45072 45073
+11 1
+.names 210 45074
+0 1
+.names 45074 45075
+1 1
+.names 45075 45076
+0 1
+.names 8519 45076 45077
+11 1
+.names 8513 45078
+0 1
+.names 1443 45079
+0 1
+.names 45079 45080
+1 1
+.names 45080 45081
+0 1
+.names 45078 45081 45082
+11 1
+.names 226 45083
+0 1
+.names 45083 45084
+1 1
+.names 45084 45085
+0 1
+.names 8513 45085 45086
+11 1
+.names 1444 45087
+0 1
+.names 45087 45088
+1 1
+.names 45088 45089
+0 1
+.names 45069 45089 45090
+11 1
+.names 221 45091
+0 1
+.names 45091 45092
+1 1
+.names 45092 45093
+0 1
+.names 8519 45093 45094
+11 1
+.names 1445 45095
+0 1
+.names 45095 45096
+1 1
+.names 45096 45097
+0 1
+.names 45078 45097 45098
+11 1
+.names 234 45099
+0 1
+.names 45099 45100
+1 1
+.names 45100 45101
+0 1
+.names 8513 45101 45102
+11 1
+.names 8514 45103
+0 1
+.names 1446 45104
+0 1
+.names 45104 45105
+1 1
+.names 45105 45106
+0 1
+.names 45103 45106 45107
+11 1
+.names 3116 45108
+1 1
+.names 45108 45109
+0 1
+.names 8514 45109 45110
+11 1
+.names 8516 45111
+0 1
+.names 1447 45112
+0 1
+.names 45112 45113
+1 1
+.names 45113 45114
+0 1
+.names 45111 45114 45115
+11 1
+.names 205 45116
+0 1
+.names 45116 45117
+1 1
+.names 45117 45118
+0 1
+.names 8516 45118 45119
+11 1
+.names 1448 45120
+0 1
+.names 45120 45121
+1 1
+.names 45121 45122
+0 1
+.names 45111 45122 45123
+11 1
+.names 212 45124
+0 1
+.names 45124 45125
+1 1
+.names 45125 45126
+0 1
+.names 8516 45126 45127
+11 1
+.names 1449 45128
+0 1
+.names 45128 45129
+1 1
+.names 45129 45130
+0 1
+.names 45078 45130 45131
+11 1
+.names 214 45132
+0 1
+.names 45132 45133
+1 1
+.names 45133 45134
+0 1
+.names 8513 45134 45135
+11 1
+.names 1450 45136
+0 1
+.names 45136 45137
+1 1
+.names 45137 45138
+0 1
+.names 45111 45138 45139
+11 1
+.names 223 45140
+0 1
+.names 45140 45141
+1 1
+.names 45141 45142
+0 1
+.names 8516 45142 45143
+11 1
+.names 1451 45144
+0 1
+.names 45144 45145
+1 1
+.names 45145 45146
+0 1
+.names 45069 45146 45147
+11 1
+.names 213 45148
+0 1
+.names 45148 45149
+1 1
+.names 45149 45150
+0 1
+.names 8519 45150 45151
+11 1
+.names 8518 45152
+0 1
+.names 1452 45153
+0 1
+.names 45153 45154
+1 1
+.names 45154 45155
+0 1
+.names 45152 45155 45156
+11 1
+.names 229 45157
+0 1
+.names 45157 45158
+1 1
+.names 45158 45159
+0 1
+.names 8518 45159 45160
+11 1
+.names 1453 45161
+0 1
+.names 45161 45162
+1 1
+.names 45162 45163
+0 1
+.names 45103 45163 45164
+11 1
+.names 215 45165
+0 1
+.names 45165 45166
+1 1
+.names 45166 45167
+0 1
+.names 8514 45167 45168
+11 1
+.names 1454 45169
+0 1
+.names 45169 45170
+1 1
+.names 45170 45171
+0 1
+.names 45103 45171 45172
+11 1
+.names 228 45173
+0 1
+.names 45173 45174
+1 1
+.names 45174 45175
+0 1
+.names 8514 45175 45176
+11 1
+.names 1455 45177
+0 1
+.names 45177 45178
+1 1
+.names 45178 45179
+0 1
+.names 45103 45179 45180
+11 1
+.names 206 45181
+0 1
+.names 45181 45182
+1 1
+.names 45182 45183
+0 1
+.names 8514 45183 45184
+11 1
+.names 1456 45185
+0 1
+.names 45185 45186
+1 1
+.names 45186 45187
+0 1
+.names 45152 45187 45188
+11 1
+.names 209 45189
+0 1
+.names 45189 45190
+1 1
+.names 45190 45191
+0 1
+.names 8518 45191 45192
+11 1
+.names 1457 45193
+0 1
+.names 45193 45194
+1 1
+.names 45194 45195
+0 1
+.names 45078 45195 45196
+11 1
+.names 211 45197
+0 1
+.names 45197 45198
+1 1
+.names 45198 45199
+0 1
+.names 8513 45199 45200
+11 1
+.names 1458 45201
+0 1
+.names 45201 45202
+1 1
+.names 45202 45203
+0 1
+.names 45152 45203 45204
+11 1
+.names 222 45205
+0 1
+.names 45205 45206
+1 1
+.names 45206 45207
+0 1
+.names 8518 45207 45208
+11 1
+.names 1459 45209
+0 1
+.names 45209 45210
+1 1
+.names 45210 45211
+0 1
+.names 45111 45211 45212
+11 1
+.names 220 45213
+0 1
+.names 45213 45214
+1 1
+.names 45214 45215
+0 1
+.names 8516 45215 45216
+11 1
+.names 1460 45217
+0 1
+.names 45217 45218
+1 1
+.names 45218 45219
+0 1
+.names 45111 45219 45220
+11 1
+.names 227 45221
+0 1
+.names 45221 45222
+1 1
+.names 45222 45223
+0 1
+.names 8516 45223 45224
+11 1
+.names 1461 45225
+0 1
+.names 45225 45226
+1 1
+.names 45226 45227
+0 1
+.names 45069 45227 45228
+11 1
+.names 235 45229
+0 1
+.names 45229 45230
+1 1
+.names 45230 45231
+0 1
+.names 8519 45231 45232
+11 1
+.names 8517 45233
+0 1
+.names 1462 45234
+0 1
+.names 45234 45235
+1 1
+.names 45235 45236
+0 1
+.names 45233 45236 45237
+11 1
+.names 225 45238
+0 1
+.names 45238 45239
+1 1
+.names 45239 45240
+0 1
+.names 8517 45240 45241
+11 1
+.names 1463 45242
+0 1
+.names 45242 45243
+1 1
+.names 45243 45244
+0 1
+.names 45233 45244 45245
+11 1
+.names 217 45246
+0 1
+.names 45246 45247
+1 1
+.names 45247 45248
+0 1
+.names 8517 45248 45249
+11 1
+.names 1464 45250
+0 1
+.names 45250 45251
+1 1
+.names 45251 45252
+0 1
+.names 45152 45252 45253
+11 1
+.names 218 45254
+0 1
+.names 45254 45255
+1 1
+.names 45255 45256
+0 1
+.names 8518 45256 45257
+11 1
+.names 1465 45258
+0 1
+.names 45258 45259
+1 1
+.names 45259 45260
+0 1
+.names 45078 45260 45261
+11 1
+.names 232 45262
+0 1
+.names 45262 45263
+1 1
+.names 45263 45264
+0 1
+.names 8513 45264 45265
+11 1
+.names 1466 45266
+0 1
+.names 45266 45267
+1 1
+.names 45267 45268
+0 1
+.names 45103 45268 45269
+11 1
+.names 216 45270
+0 1
+.names 45270 45271
+1 1
+.names 45271 45272
+0 1
+.names 8514 45272 45273
+11 1
+.names 1467 45274
+0 1
+.names 45274 45275
+1 1
+.names 45275 45276
+0 1
+.names 45069 45276 45277
+11 1
+.names 230 45278
+0 1
+.names 45278 45279
+1 1
+.names 45279 45280
+0 1
+.names 8519 45280 45281
+11 1
+.names 1468 45282
+0 1
+.names 45282 45283
+1 1
+.names 45283 45284
+0 1
+.names 45069 45284 45285
+11 1
+.names 231 45286
+0 1
+.names 45286 45287
+1 1
+.names 45287 45288
+0 1
+.names 8519 45288 45289
+11 1
+.names 1469 45290
+0 1
+.names 45290 45291
+1 1
+.names 45291 45292
+0 1
+.names 45233 45292 45293
+11 1
+.names 207 45294
+0 1
+.names 45294 45295
+1 1
+.names 45295 45296
+0 1
+.names 8517 45296 45297
+11 1
+.names 1470 45298
+0 1
+.names 45298 45299
+1 1
+.names 45299 45300
+0 1
+.names 45233 45300 45301
+11 1
+.names 208 45302
+0 1
+.names 45302 45303
+1 1
+.names 45303 45304
+0 1
+.names 8517 45304 45305
+11 1
+.names 1471 45306
+0 1
+.names 45306 45307
+1 1
+.names 45307 45308
+0 1
+.names 45233 45308 45309
+11 1
+.names 219 45310
+0 1
+.names 45310 45311
+1 1
+.names 45311 45312
+0 1
+.names 8517 45312 45313
+11 1
+.names 1472 45314
+0 1
+.names 45314 45315
+1 1
+.names 45315 45316
+0 1
+.names 45152 45316 45317
+11 1
+.names 224 45318
+0 1
+.names 45318 45319
+1 1
+.names 45319 45320
+0 1
+.names 8518 45320 45321
+11 1
+.names 1473 45322
+0 1
+.names 45322 45323
+1 1
+.names 45323 45324
+0 1
+.names 45152 45324 45325
+11 1
+.names 233 45326
+0 1
+.names 45326 45327
+1 1
+.names 45327 45328
+0 1
+.names 8518 45328 45329
+11 1
+.names 45336 45338 45330
+1- 1
+-1 1
+.names 45330 45331
+1 1
+.names 8533 45332
+0 1
+.names 45339 45342 45333
+11 1
+.names 45333 45334
+1 1
+.names 45334 45335
+0 1
+.names 45332 45335 45336
+11 1
+.names 106 45337
+0 1
+.names 8533 45337 45338
+11 1
+.names 8534 45339
+0 1
+.names 542 45340
+0 1
+.names 45340 45341
+1 1
+.names 45341 45342
+0 1
+.names 45349 45350 57189
+1- 1
+-1 1
+.names 441 45344
+0 1
+.names 45344 45345
+1 1
+.names 45345 45346
+0 1
+.names 45339 45347
+1 1
+.names 45347 45348
+0 1
+.names 45346 45348 45349
+11 1
+.names 45331 45350
+0 1
+.names 45357 45359 45351
+1- 1
+-1 1
+.names 45351 45352
+1 1
+.names 8521 45353
+0 1
+.names 45339 45362 45354
+11 1
+.names 45354 45355
+1 1
+.names 45355 45356
+0 1
+.names 45353 45356 45357
+11 1
+.names 111 45358
+0 1
+.names 8521 45358 45359
+11 1
+.names 547 45360
+0 1
+.names 45360 45361
+1 1
+.names 45361 45362
+0 1
+.names 45369 45370 57190
+1- 1
+-1 1
+.names 446 45364
+0 1
+.names 45364 45365
+1 1
+.names 45365 45366
+0 1
+.names 45339 45367
+1 1
+.names 45367 45368
+0 1
+.names 45366 45368 45369
+11 1
+.names 45352 45370
+0 1
+.names 8528 45371
+0 1
+.names 45371 45372
+1 1
+.names 45371 45377 45373
+11 1
+.names 45373 45374
+1 1
+.names 563 45375
+0 1
+.names 45375 45376
+1 1
+.names 45376 45377
+0 1
+.names 45381 45383 45378
+1- 1
+-1 1
+.names 45378 45379
+1 1
+.names 45374 45380
+0 1
+.names 45353 45380 45381
+11 1
+.names 127 45382
+0 1
+.names 8521 45382 45383
+11 1
+.names 462 45384
+0 1
+.names 45384 45385
+1 1
+.names 45389 45390 57191
+1- 1
+-1 1
+.names 45372 45387
+0 1
+.names 45385 45388
+0 1
+.names 45387 45388 45389
+11 1
+.names 45379 45390
+0 1
+.names 45371 45391
+1 1
+.names 45371 45396 45392
+11 1
+.names 45392 45393
+1 1
+.names 549 45394
+0 1
+.names 45394 45395
+1 1
+.names 45395 45396
+0 1
+.names 45400 45402 45397
+1- 1
+-1 1
+.names 45397 45398
+1 1
+.names 45393 45399
+0 1
+.names 45332 45399 45400
+11 1
+.names 113 45401
+0 1
+.names 8533 45401 45402
+11 1
+.names 45408 45409 57192
+1- 1
+-1 1
+.names 45391 45404
+0 1
+.names 448 45405
+0 1
+.names 45405 45406
+1 1
+.names 45406 45407
+0 1
+.names 45404 45407 45408
+11 1
+.names 45398 45409
+0 1
+.names 45415 45417 45410
+1- 1
+-1 1
+.names 45410 45411
+1 1
+.names 45418 45421 45412
+11 1
+.names 45412 45413
+1 1
+.names 45413 45414
+0 1
+.names 45332 45414 45415
+11 1
+.names 115 45416
+0 1
+.names 8533 45416 45417
+11 1
+.names 8526 45418
+0 1
+.names 551 45419
+0 1
+.names 45419 45420
+1 1
+.names 45420 45421
+0 1
+.names 45428 45429 57193
+1- 1
+-1 1
+.names 450 45423
+0 1
+.names 45423 45424
+1 1
+.names 45424 45425
+0 1
+.names 45418 45426
+1 1
+.names 45426 45427
+0 1
+.names 45425 45427 45428
+11 1
+.names 45411 45429
+0 1
+.names 45435 45437 45430
+1- 1
+-1 1
+.names 45430 45431
+1 1
+.names 45438 45441 45432
+11 1
+.names 45432 45433
+1 1
+.names 45433 45434
+0 1
+.names 45332 45434 45435
+11 1
+.names 122 45436
+0 1
+.names 8533 45436 45437
+11 1
+.names 8529 45438
+0 1
+.names 558 45439
+0 1
+.names 45439 45440
+1 1
+.names 45440 45441
+0 1
+.names 45448 45449 57194
+1- 1
+-1 1
+.names 457 45443
+0 1
+.names 45443 45444
+1 1
+.names 45444 45445
+0 1
+.names 45438 45446
+1 1
+.names 45446 45447
+0 1
+.names 45445 45447 45448
+11 1
+.names 45431 45449
+0 1
+.names 45456 45458 45450
+1- 1
+-1 1
+.names 45450 45451
+1 1
+.names 8532 45452
+0 1
+.names 45418 45461 45453
+11 1
+.names 45453 45454
+1 1
+.names 45454 45455
+0 1
+.names 45452 45455 45456
+11 1
+.names 135 45457
+0 1
+.names 8532 45457 45458
+11 1
+.names 571 45459
+0 1
+.names 45459 45460
+1 1
+.names 45460 45461
+0 1
+.names 45468 45469 57195
+1- 1
+-1 1
+.names 470 45463
+0 1
+.names 45463 45464
+1 1
+.names 45464 45465
+0 1
+.names 45418 45466
+1 1
+.names 45466 45467
+0 1
+.names 45465 45467 45468
+11 1
+.names 45451 45469
+0 1
+.names 45475 45477 45470
+1- 1
+-1 1
+.names 45470 45471
+1 1
+.names 45438 45480 45472
+11 1
+.names 45472 45473
+1 1
+.names 45473 45474
+0 1
+.names 45353 45474 45475
+11 1
+.names 124 45476
+0 1
+.names 8521 45476 45477
+11 1
+.names 560 45478
+0 1
+.names 45478 45479
+1 1
+.names 45479 45480
+0 1
+.names 45487 45488 57196
+1- 1
+-1 1
+.names 459 45482
+0 1
+.names 45482 45483
+1 1
+.names 45483 45484
+0 1
+.names 45438 45485
+1 1
+.names 45485 45486
+0 1
+.names 45484 45486 45487
+11 1
+.names 45471 45488
+0 1
+.names 45494 45496 45489
+1- 1
+-1 1
+.names 45489 45490
+1 1
+.names 45418 45499 45491
+11 1
+.names 45491 45492
+1 1
+.names 45492 45493
+0 1
+.names 45332 45493 45494
+11 1
+.names 105 45495
+0 1
+.names 8533 45495 45496
+11 1
+.names 541 45497
+0 1
+.names 45497 45498
+1 1
+.names 45498 45499
+0 1
+.names 45506 45507 57197
+1- 1
+-1 1
+.names 440 45501
+0 1
+.names 45501 45502
+1 1
+.names 45502 45503
+0 1
+.names 45418 45504
+1 1
+.names 45504 45505
+0 1
+.names 45503 45505 45506
+11 1
+.names 45490 45507
+0 1
+.names 45371 45508
+1 1
+.names 45371 45513 45509
+11 1
+.names 45509 45510
+1 1
+.names 550 45511
+0 1
+.names 45511 45512
+1 1
+.names 45512 45513
+0 1
+.names 45517 45519 45514
+1- 1
+-1 1
+.names 45514 45515
+1 1
+.names 45510 45516
+0 1
+.names 45452 45516 45517
+11 1
+.names 114 45518
+0 1
+.names 8532 45518 45519
+11 1
+.names 449 45520
+0 1
+.names 45520 45521
+1 1
+.names 45525 45526 57198
+1- 1
+-1 1
+.names 45508 45523
+0 1
+.names 45521 45524
+0 1
+.names 45523 45524 45525
+11 1
+.names 45515 45526
+0 1
+.names 45371 45527
+1 1
+.names 45371 45532 45528
+11 1
+.names 45528 45529
+1 1
+.names 566 45530
+0 1
+.names 45530 45531
+1 1
+.names 45531 45532
+0 1
+.names 45536 45538 45533
+1- 1
+-1 1
+.names 45533 45534
+1 1
+.names 45529 45535
+0 1
+.names 45353 45535 45536
+11 1
+.names 130 45537
+0 1
+.names 8521 45537 45538
+11 1
+.names 465 45539
+0 1
+.names 45539 45540
+1 1
+.names 45544 45545 57199
+1- 1
+-1 1
+.names 45527 45542
+0 1
+.names 45540 45543
+0 1
+.names 45542 45543 45544
+11 1
+.names 45534 45545
+0 1
+.names 45551 45553 45546
+1- 1
+-1 1
+.names 45546 45547
+1 1
+.names 45418 45556 45548
+11 1
+.names 45548 45549
+1 1
+.names 45549 45550
+0 1
+.names 45332 45550 45551
+11 1
+.names 116 45552
+0 1
+.names 8533 45552 45553
+11 1
+.names 552 45554
+0 1
+.names 45554 45555
+1 1
+.names 45555 45556
+0 1
+.names 45563 45564 57200
+1- 1
+-1 1
+.names 451 45558
+0 1
+.names 45558 45559
+1 1
+.names 45559 45560
+0 1
+.names 45418 45561
+1 1
+.names 45561 45562
+0 1
+.names 45560 45562 45563
+11 1
+.names 45547 45564
+0 1
+.names 45570 45572 45565
+1- 1
+-1 1
+.names 45565 45566
+1 1
+.names 45418 45575 45567
+11 1
+.names 45567 45568
+1 1
+.names 45568 45569
+0 1
+.names 45353 45569 45570
+11 1
+.names 129 45571
+0 1
+.names 8521 45571 45572
+11 1
+.names 565 45573
+0 1
+.names 45573 45574
+1 1
+.names 45574 45575
+0 1
+.names 45582 45583 57201
+1- 1
+-1 1
+.names 464 45577
+0 1
+.names 45577 45578
+1 1
+.names 45578 45579
+0 1
+.names 45418 45580
+1 1
+.names 45580 45581
+0 1
+.names 45579 45581 45582
+11 1
+.names 45566 45583
+0 1
+.names 45589 45591 45584
+1- 1
+-1 1
+.names 45584 45585
+1 1
+.names 45418 45594 45586
+11 1
+.names 45586 45587
+1 1
+.names 45587 45588
+0 1
+.names 45353 45588 45589
+11 1
+.names 112 45590
+0 1
+.names 8521 45590 45591
+11 1
+.names 548 45592
+0 1
+.names 45592 45593
+1 1
+.names 45593 45594
+0 1
+.names 45601 45602 57202
+1- 1
+-1 1
+.names 447 45596
+0 1
+.names 45596 45597
+1 1
+.names 45597 45598
+0 1
+.names 45418 45599
+1 1
+.names 45599 45600
+0 1
+.names 45598 45600 45601
+11 1
+.names 45585 45602
+0 1
+.names 45608 45610 45603
+1- 1
+-1 1
+.names 45603 45604
+1 1
+.names 45438 45613 45605
+11 1
+.names 45605 45606
+1 1
+.names 45606 45607
+0 1
+.names 45353 45607 45608
+11 1
+.names 123 45609
+0 1
+.names 8521 45609 45610
+11 1
+.names 559 45611
+0 1
+.names 45611 45612
+1 1
+.names 45612 45613
+0 1
+.names 45620 45621 57203
+1- 1
+-1 1
+.names 458 45615
+0 1
+.names 45615 45616
+1 1
+.names 45616 45617
+0 1
+.names 45438 45618
+1 1
+.names 45618 45619
+0 1
+.names 45617 45619 45620
+11 1
+.names 45604 45621
+0 1
+.names 45627 45629 45622
+1- 1
+-1 1
+.names 45622 45623
+1 1
+.names 45339 45632 45624
+11 1
+.names 45624 45625
+1 1
+.names 45625 45626
+0 1
+.names 45452 45626 45627
+11 1
+.names 121 45628
+0 1
+.names 8532 45628 45629
+11 1
+.names 557 45630
+0 1
+.names 45630 45631
+1 1
+.names 45631 45632
+0 1
+.names 45639 45640 57204
+1- 1
+-1 1
+.names 456 45634
+0 1
+.names 45634 45635
+1 1
+.names 45635 45636
+0 1
+.names 45339 45637
+1 1
+.names 45637 45638
+0 1
+.names 45636 45638 45639
+11 1
+.names 45623 45640
+0 1
+.names 45646 45648 45641
+1- 1
+-1 1
+.names 45641 45642
+1 1
+.names 45418 45651 45643
+11 1
+.names 45643 45644
+1 1
+.names 45644 45645
+0 1
+.names 45452 45645 45646
+11 1
+.names 128 45647
+0 1
+.names 8532 45647 45648
+11 1
+.names 564 45649
+0 1
+.names 45649 45650
+1 1
+.names 45650 45651
+0 1
+.names 45658 45659 57205
+1- 1
+-1 1
+.names 45418 45653
+1 1
+.names 45653 45654
+0 1
+.names 463 45655
+0 1
+.names 45655 45656
+1 1
+.names 45656 45657
+0 1
+.names 45654 45657 45658
+11 1
+.names 45642 45659
+0 1
+.names 45371 45660
+1 1
+.names 45371 45665 45661
+11 1
+.names 45661 45662
+1 1
+.names 572 45663
+0 1
+.names 45663 45664
+1 1
+.names 45664 45665
+0 1
+.names 45669 45671 45666
+1- 1
+-1 1
+.names 45666 45667
+1 1
+.names 45662 45668
+0 1
+.names 45332 45668 45669
+11 1
+.names 136 45670
+0 1
+.names 8533 45670 45671
+11 1
+.names 471 45672
+0 1
+.names 45672 45673
+1 1
+.names 45677 45678 57206
+1- 1
+-1 1
+.names 45660 45675
+0 1
+.names 45673 45676
+0 1
+.names 45675 45676 45677
+11 1
+.names 45667 45678
+0 1
+.names 45684 45686 45679
+1- 1
+-1 1
+.names 45679 45680
+1 1
+.names 45371 45689 45681
+11 1
+.names 45681 45682
+1 1
+.names 45682 45683
+0 1
+.names 45332 45683 45684
+11 1
+.names 107 45685
+0 1
+.names 8533 45685 45686
+11 1
+.names 543 45687
+0 1
+.names 45687 45688
+1 1
+.names 45688 45689
+0 1
+.names 45696 45697 57207
+1- 1
+-1 1
+.names 442 45691
+0 1
+.names 45691 45692
+1 1
+.names 45692 45693
+0 1
+.names 45371 45694
+1 1
+.names 45694 45695
+0 1
+.names 45693 45695 45696
+11 1
+.names 45680 45697
+0 1
+.names 45703 45705 45698
+1- 1
+-1 1
+.names 45698 45699
+1 1
+.names 45438 45708 45700
+11 1
+.names 45700 45701
+1 1
+.names 45701 45702
+0 1
+.names 45452 45702 45703
+11 1
+.names 110 45704
+0 1
+.names 8532 45704 45705
+11 1
+.names 546 45706
+0 1
+.names 45706 45707
+1 1
+.names 45707 45708
+0 1
+.names 45715 45716 57208
+1- 1
+-1 1
+.names 445 45710
+0 1
+.names 45710 45711
+1 1
+.names 45711 45712
+0 1
+.names 45438 45713
+1 1
+.names 45713 45714
+0 1
+.names 45712 45714 45715
+11 1
+.names 45699 45716
+0 1
+.names 45722 45724 45717
+1- 1
+-1 1
+.names 45717 45718
+1 1
+.names 45438 45727 45719
+11 1
+.names 45719 45720
+1 1
+.names 45720 45721
+0 1
+.names 45452 45721 45722
+11 1
+.names 126 45723
+0 1
+.names 8532 45723 45724
+11 1
+.names 562 45725
+0 1
+.names 45725 45726
+1 1
+.names 45726 45727
+0 1
+.names 45734 45735 57209
+1- 1
+-1 1
+.names 461 45729
+0 1
+.names 45729 45730
+1 1
+.names 45730 45731
+0 1
+.names 45438 45732
+1 1
+.names 45732 45733
+0 1
+.names 45731 45733 45734
+11 1
+.names 45718 45735
+0 1
+.names 45741 45743 45736
+1- 1
+-1 1
+.names 45736 45737
+1 1
+.names 45438 45746 45738
+11 1
+.names 45738 45739
+1 1
+.names 45739 45740
+0 1
+.names 45332 45740 45741
+11 1
+.names 118 45742
+0 1
+.names 8533 45742 45743
+11 1
+.names 554 45744
+0 1
+.names 45744 45745
+1 1
+.names 45745 45746
+0 1
+.names 45753 45754 57210
+1- 1
+-1 1
+.names 453 45748
+0 1
+.names 45748 45749
+1 1
+.names 45749 45750
+0 1
+.names 45438 45751
+1 1
+.names 45751 45752
+0 1
+.names 45750 45752 45753
+11 1
+.names 45737 45754
+0 1
+.names 45760 45762 45755
+1- 1
+-1 1
+.names 45755 45756
+1 1
+.names 45371 45765 45757
+11 1
+.names 45757 45758
+1 1
+.names 45758 45759
+0 1
+.names 45353 45759 45760
+11 1
+.names 119 45761
+0 1
+.names 8521 45761 45762
+11 1
+.names 555 45763
+0 1
+.names 45763 45764
+1 1
+.names 45764 45765
+0 1
+.names 45772 45773 57211
+1- 1
+-1 1
+.names 45371 45767
+1 1
+.names 45767 45768
+0 1
+.names 454 45769
+0 1
+.names 45769 45770
+1 1
+.names 45770 45771
+0 1
+.names 45768 45771 45772
+11 1
+.names 45756 45773
+0 1
+.names 45371 45774
+1 1
+.names 45371 45779 45775
+11 1
+.names 45775 45776
+1 1
+.names 569 45777
+0 1
+.names 45777 45778
+1 1
+.names 45778 45779
+0 1
+.names 45783 45785 45780
+1- 1
+-1 1
+.names 45780 45781
+1 1
+.names 45776 45782
+0 1
+.names 45452 45782 45783
+11 1
+.names 133 45784
+0 1
+.names 8532 45784 45785
+11 1
+.names 468 45786
+0 1
+.names 45786 45787
+1 1
+.names 45791 45792 57212
+1- 1
+-1 1
+.names 45774 45789
+0 1
+.names 45787 45790
+0 1
+.names 45789 45790 45791
+11 1
+.names 45781 45792
+0 1
+.names 45798 45800 45793
+1- 1
+-1 1
+.names 45793 45794
+1 1
+.names 45418 45803 45795
+11 1
+.names 45795 45796
+1 1
+.names 45796 45797
+0 1
+.names 45452 45797 45798
+11 1
+.names 117 45799
+0 1
+.names 8532 45799 45800
+11 1
+.names 553 45801
+0 1
+.names 45801 45802
+1 1
+.names 45802 45803
+0 1
+.names 45810 45811 57213
+1- 1
+-1 1
+.names 452 45805
+0 1
+.names 45805 45806
+1 1
+.names 45806 45807
+0 1
+.names 45418 45808
+1 1
+.names 45808 45809
+0 1
+.names 45807 45809 45810
+11 1
+.names 45794 45811
+0 1
+.names 45817 45819 45812
+1- 1
+-1 1
+.names 45812 45813
+1 1
+.names 45438 45822 45814
+11 1
+.names 45814 45815
+1 1
+.names 45815 45816
+0 1
+.names 45452 45816 45817
+11 1
+.names 131 45818
+0 1
+.names 8532 45818 45819
+11 1
+.names 567 45820
+0 1
+.names 45820 45821
+1 1
+.names 45821 45822
+0 1
+.names 45829 45830 57214
+1- 1
+-1 1
+.names 466 45824
+0 1
+.names 45824 45825
+1 1
+.names 45825 45826
+0 1
+.names 45438 45827
+1 1
+.names 45827 45828
+0 1
+.names 45826 45828 45829
+11 1
+.names 45813 45830
+0 1
+.names 45371 45831
+1 1
+.names 45371 45836 45832
+11 1
+.names 45832 45833
+1 1
+.names 568 45834
+0 1
+.names 45834 45835
+1 1
+.names 45835 45836
+0 1
+.names 45840 45842 45837
+1- 1
+-1 1
+.names 45837 45838
+1 1
+.names 45833 45839
+0 1
+.names 45332 45839 45840
+11 1
+.names 132 45841
+0 1
+.names 8533 45841 45842
+11 1
+.names 467 45843
+0 1
+.names 45843 45844
+1 1
+.names 45848 45849 57215
+1- 1
+-1 1
+.names 45831 45846
+0 1
+.names 45844 45847
+0 1
+.names 45846 45847 45848
+11 1
+.names 45838 45849
+0 1
+.names 45855 45857 45850
+1- 1
+-1 1
+.names 45850 45851
+1 1
+.names 45438 45860 45852
+11 1
+.names 45852 45853
+1 1
+.names 45853 45854
+0 1
+.names 45452 45854 45855
+11 1
+.names 108 45856
+0 1
+.names 8532 45856 45857
+11 1
+.names 544 45858
+0 1
+.names 45858 45859
+1 1
+.names 45859 45860
+0 1
+.names 45867 45868 57216
+1- 1
+-1 1
+.names 443 45862
+0 1
+.names 45862 45863
+1 1
+.names 45863 45864
+0 1
+.names 45438 45865
+1 1
+.names 45865 45866
+0 1
+.names 45864 45866 45867
+11 1
+.names 45851 45868
+0 1
+.names 45874 45876 45869
+1- 1
+-1 1
+.names 45869 45870
+1 1
+.names 45438 45879 45871
+11 1
+.names 45871 45872
+1 1
+.names 45872 45873
+0 1
+.names 45353 45873 45874
+11 1
+.names 109 45875
+0 1
+.names 8521 45875 45876
+11 1
+.names 545 45877
+0 1
+.names 45877 45878
+1 1
+.names 45878 45879
+0 1
+.names 45886 45887 57217
+1- 1
+-1 1
+.names 444 45881
+0 1
+.names 45881 45882
+1 1
+.names 45882 45883
+0 1
+.names 45438 45884
+1 1
+.names 45884 45885
+0 1
+.names 45883 45885 45886
+11 1
+.names 45870 45887
+0 1
+.names 45371 45888
+1 1
+.names 45371 45893 45889
+11 1
+.names 45889 45890
+1 1
+.names 556 45891
+0 1
+.names 45891 45892
+1 1
+.names 45892 45893
+0 1
+.names 45897 45899 45894
+1- 1
+-1 1
+.names 45894 45895
+1 1
+.names 45890 45896
+0 1
+.names 45452 45896 45897
+11 1
+.names 120 45898
+0 1
+.names 8532 45898 45899
+11 1
+.names 45905 45906 57218
+1- 1
+-1 1
+.names 45888 45901
+0 1
+.names 455 45902
+0 1
+.names 45902 45903
+1 1
+.names 45903 45904
+0 1
+.names 45901 45904 45905
+11 1
+.names 45895 45906
+0 1
+.names 45912 45914 45907
+1- 1
+-1 1
+.names 45907 45908
+1 1
+.names 45339 45917 45909
+11 1
+.names 45909 45910
+1 1
+.names 45910 45911
+0 1
+.names 45353 45911 45912
+11 1
+.names 125 45913
+0 1
+.names 8521 45913 45914
+11 1
+.names 561 45915
+0 1
+.names 45915 45916
+1 1
+.names 45916 45917
+0 1
+.names 45924 45925 57219
+1- 1
+-1 1
+.names 460 45919
+0 1
+.names 45919 45920
+1 1
+.names 45920 45921
+0 1
+.names 45339 45922
+1 1
+.names 45922 45923
+0 1
+.names 45921 45923 45924
+11 1
+.names 45908 45925
+0 1
+.names 45931 45933 45926
+1- 1
+-1 1
+.names 45926 45927
+1 1
+.names 45418 45936 45928
+11 1
+.names 45928 45929
+1 1
+.names 45929 45930
+0 1
+.names 45332 45930 45931
+11 1
+.names 134 45932
+0 1
+.names 8533 45932 45933
+11 1
+.names 570 45934
+0 1
+.names 45934 45935
+1 1
+.names 45935 45936
+0 1
+.names 45943 45944 57220
+1- 1
+-1 1
+.names 469 45938
+0 1
+.names 45938 45939
+1 1
+.names 45939 45940
+0 1
+.names 45418 45941
+1 1
+.names 45941 45942
+0 1
+.names 45940 45942 45943
+11 1
+.names 45927 45944
+0 1
+.names 45950 45954 57221
+1- 1
+-1 1
+.names 8545 45946
+0 1
+.names 57190 45947
+0 1
+.names 45947 45948
+1 1
+.names 45948 45949
+0 1
+.names 45946 45949 45950
+11 1
+.names 1474 45951
+0 1
+.names 45951 45952
+1 1
+.names 45952 45953
+0 1
+.names 8545 45953 45954
+11 1
+.names 45960 45964 57222
+1- 1
+-1 1
+.names 8539 45956
+0 1
+.names 57191 45957
+0 1
+.names 45957 45958
+1 1
+.names 45958 45959
+0 1
+.names 45956 45959 45960
+11 1
+.names 1475 45961
+0 1
+.names 45961 45962
+1 1
+.names 45962 45963
+0 1
+.names 8539 45963 45964
+11 1
+.names 45969 45973 57223
+1- 1
+-1 1
+.names 57194 45966
+0 1
+.names 45966 45967
+1 1
+.names 45967 45968
+0 1
+.names 45946 45968 45969
+11 1
+.names 1476 45970
+0 1
+.names 45970 45971
+1 1
+.names 45971 45972
+0 1
+.names 8545 45972 45973
+11 1
+.names 45978 45982 57224
+1- 1
+-1 1
+.names 57195 45975
+0 1
+.names 45975 45976
+1 1
+.names 45976 45977
+0 1
+.names 45956 45977 45978
+11 1
+.names 1477 45979
+0 1
+.names 45979 45980
+1 1
+.names 45980 45981
+0 1
+.names 8539 45981 45982
+11 1
+.names 45988 45992 57225
+1- 1
+-1 1
+.names 8540 45984
+0 1
+.names 57197 45985
+0 1
+.names 45985 45986
+1 1
+.names 45986 45987
+0 1
+.names 45984 45987 45988
+11 1
+.names 1478 45989
+0 1
+.names 45989 45990
+1 1
+.names 45990 45991
+0 1
+.names 8540 45991 45992
+11 1
+.names 45998 46002 57226
+1- 1
+-1 1
+.names 8542 45994
+0 1
+.names 57189 45995
+0 1
+.names 45995 45996
+1 1
+.names 45996 45997
+0 1
+.names 45994 45997 45998
+11 1
+.names 1479 45999
+0 1
+.names 45999 46000
+1 1
+.names 46000 46001
+0 1
+.names 8542 46001 46002
+11 1
+.names 46007 46011 57227
+1- 1
+-1 1
+.names 57192 46004
+0 1
+.names 46004 46005
+1 1
+.names 46005 46006
+0 1
+.names 45994 46006 46007
+11 1
+.names 1480 46008
+0 1
+.names 46008 46009
+1 1
+.names 46009 46010
+0 1
+.names 8542 46010 46011
+11 1
+.names 46016 46020 57228
+1- 1
+-1 1
+.names 57193 46013
+0 1
+.names 46013 46014
+1 1
+.names 46014 46015
+0 1
+.names 45956 46015 46016
+11 1
+.names 1481 46017
+0 1
+.names 46017 46018
+1 1
+.names 46018 46019
+0 1
+.names 8539 46019 46020
+11 1
+.names 46025 46029 57229
+1- 1
+-1 1
+.names 57196 46022
+0 1
+.names 46022 46023
+1 1
+.names 46023 46024
+0 1
+.names 45994 46024 46025
+11 1
+.names 1482 46026
+0 1
+.names 46026 46027
+1 1
+.names 46027 46028
+0 1
+.names 8542 46028 46029
+11 1
+.names 46034 46038 57230
+1- 1
+-1 1
+.names 57198 46031
+0 1
+.names 46031 46032
+1 1
+.names 46032 46033
+0 1
+.names 45946 46033 46034
+11 1
+.names 1483 46035
+0 1
+.names 46035 46036
+1 1
+.names 46036 46037
+0 1
+.names 8545 46037 46038
+11 1
+.names 46044 46048 57231
+1- 1
+-1 1
+.names 8544 46040
+0 1
+.names 57199 46041
+0 1
+.names 46041 46042
+1 1
+.names 46042 46043
+0 1
+.names 46040 46043 46044
+11 1
+.names 1484 46045
+0 1
+.names 46045 46046
+1 1
+.names 46046 46047
+0 1
+.names 8544 46047 46048
+11 1
+.names 46053 46057 57232
+1- 1
+-1 1
+.names 57200 46050
+0 1
+.names 46050 46051
+1 1
+.names 46051 46052
+0 1
+.names 45984 46052 46053
+11 1
+.names 1485 46054
+0 1
+.names 46054 46055
+1 1
+.names 46055 46056
+0 1
+.names 8540 46056 46057
+11 1
+.names 46062 46066 57233
+1- 1
+-1 1
+.names 57201 46059
+0 1
+.names 46059 46060
+1 1
+.names 46060 46061
+0 1
+.names 45984 46061 46062
+11 1
+.names 1486 46063
+0 1
+.names 46063 46064
+1 1
+.names 46064 46065
+0 1
+.names 8540 46065 46066
+11 1
+.names 46071 46075 57234
+1- 1
+-1 1
+.names 57207 46068
+0 1
+.names 46068 46069
+1 1
+.names 46069 46070
+0 1
+.names 45984 46070 46071
+11 1
+.names 1487 46072
+0 1
+.names 46072 46073
+1 1
+.names 46073 46074
+0 1
+.names 8540 46074 46075
+11 1
+.names 46080 46084 57235
+1- 1
+-1 1
+.names 57208 46077
+0 1
+.names 46077 46078
+1 1
+.names 46078 46079
+0 1
+.names 46040 46079 46080
+11 1
+.names 1488 46081
+0 1
+.names 46081 46082
+1 1
+.names 46082 46083
+0 1
+.names 8544 46083 46084
+11 1
+.names 46089 46093 57236
+1- 1
+-1 1
+.names 57202 46086
+0 1
+.names 46086 46087
+1 1
+.names 46087 46088
+0 1
+.names 45956 46088 46089
+11 1
+.names 1489 46090
+0 1
+.names 46090 46091
+1 1
+.names 46091 46092
+0 1
+.names 8539 46092 46093
+11 1
+.names 46098 46102 57237
+1- 1
+-1 1
+.names 57203 46095
+0 1
+.names 46095 46096
+1 1
+.names 46096 46097
+0 1
+.names 46040 46097 46098
+11 1
+.names 1490 46099
+0 1
+.names 46099 46100
+1 1
+.names 46100 46101
+0 1
+.names 8544 46101 46102
+11 1
+.names 46107 46111 57238
+1- 1
+-1 1
+.names 57204 46104
+0 1
+.names 46104 46105
+1 1
+.names 46105 46106
+0 1
+.names 45994 46106 46107
+11 1
+.names 1491 46108
+0 1
+.names 46108 46109
+1 1
+.names 46109 46110
+0 1
+.names 8542 46110 46111
+11 1
+.names 46116 46120 57239
+1- 1
+-1 1
+.names 57205 46113
+0 1
+.names 46113 46114
+1 1
+.names 46114 46115
+0 1
+.names 45994 46115 46116
+11 1
+.names 1492 46117
+0 1
+.names 46117 46118
+1 1
+.names 46118 46119
+0 1
+.names 8542 46119 46120
+11 1
+.names 46125 46129 57240
+1- 1
+-1 1
+.names 57206 46122
+0 1
+.names 46122 46123
+1 1
+.names 46123 46124
+0 1
+.names 45946 46124 46125
+11 1
+.names 1493 46126
+0 1
+.names 46126 46127
+1 1
+.names 46127 46128
+0 1
+.names 8545 46128 46129
+11 1
+.names 46135 46139 57241
+1- 1
+-1 1
+.names 8543 46131
+0 1
+.names 57209 46132
+0 1
+.names 46132 46133
+1 1
+.names 46133 46134
+0 1
+.names 46131 46134 46135
+11 1
+.names 1494 46136
+0 1
+.names 46136 46137
+1 1
+.names 46137 46138
+0 1
+.names 8543 46138 46139
+11 1
+.names 46144 46148 57242
+1- 1
+-1 1
+.names 57210 46141
+0 1
+.names 46141 46142
+1 1
+.names 46142 46143
+0 1
+.names 46131 46143 46144
+11 1
+.names 1495 46145
+0 1
+.names 46145 46146
+1 1
+.names 46146 46147
+0 1
+.names 8543 46147 46148
+11 1
+.names 46153 46157 57243
+1- 1
+-1 1
+.names 57211 46150
+0 1
+.names 46150 46151
+1 1
+.names 46151 46152
+0 1
+.names 46040 46152 46153
+11 1
+.names 1496 46154
+0 1
+.names 46154 46155
+1 1
+.names 46155 46156
+0 1
+.names 8544 46156 46157
+11 1
+.names 46162 46166 57244
+1- 1
+-1 1
+.names 57212 46159
+0 1
+.names 46159 46160
+1 1
+.names 46160 46161
+0 1
+.names 45956 46161 46162
+11 1
+.names 1497 46163
+0 1
+.names 46163 46164
+1 1
+.names 46164 46165
+0 1
+.names 8539 46165 46166
+11 1
+.names 46171 46175 57245
+1- 1
+-1 1
+.names 57213 46168
+0 1
+.names 46168 46169
+1 1
+.names 46169 46170
+0 1
+.names 45984 46170 46171
+11 1
+.names 1498 46172
+0 1
+.names 46172 46173
+1 1
+.names 46173 46174
+0 1
+.names 8540 46174 46175
+11 1
+.names 46180 46184 57246
+1- 1
+-1 1
+.names 57214 46177
+0 1
+.names 46177 46178
+1 1
+.names 46178 46179
+0 1
+.names 45946 46179 46180
+11 1
+.names 1499 46181
+0 1
+.names 46181 46182
+1 1
+.names 46182 46183
+0 1
+.names 8545 46183 46184
+11 1
+.names 46189 46193 57247
+1- 1
+-1 1
+.names 57215 46186
+0 1
+.names 46186 46187
+1 1
+.names 46187 46188
+0 1
+.names 45946 46188 46189
+11 1
+.names 1500 46190
+0 1
+.names 46190 46191
+1 1
+.names 46191 46192
+0 1
+.names 8545 46192 46193
+11 1
+.names 46198 46202 57248
+1- 1
+-1 1
+.names 57216 46195
+0 1
+.names 46195 46196
+1 1
+.names 46196 46197
+0 1
+.names 46131 46197 46198
+11 1
+.names 1501 46199
+0 1
+.names 46199 46200
+1 1
+.names 46200 46201
+0 1
+.names 8543 46201 46202
+11 1
+.names 46207 46211 57249
+1- 1
+-1 1
+.names 57217 46204
+0 1
+.names 46204 46205
+1 1
+.names 46205 46206
+0 1
+.names 46131 46206 46207
+11 1
+.names 1502 46208
+0 1
+.names 46208 46209
+1 1
+.names 46209 46210
+0 1
+.names 8543 46210 46211
+11 1
+.names 46216 46220 57250
+1- 1
+-1 1
+.names 57218 46213
+0 1
+.names 46213 46214
+1 1
+.names 46214 46215
+0 1
+.names 46131 46215 46216
+11 1
+.names 1503 46217
+0 1
+.names 46217 46218
+1 1
+.names 46218 46219
+0 1
+.names 8543 46219 46220
+11 1
+.names 46225 46229 57251
+1- 1
+-1 1
+.names 57219 46222
+0 1
+.names 46222 46223
+1 1
+.names 46223 46224
+0 1
+.names 46040 46224 46225
+11 1
+.names 1504 46226
+0 1
+.names 46226 46227
+1 1
+.names 46227 46228
+0 1
+.names 8544 46228 46229
+11 1
+.names 46234 46238 57252
+1- 1
+-1 1
+.names 57220 46231
+0 1
+.names 46231 46232
+1 1
+.names 46232 46233
+0 1
+.names 46040 46233 46234
+11 1
+.names 1505 46235
+0 1
+.names 46235 46236
+1 1
+.names 46236 46237
+0 1
+.names 8544 46237 46238
+11 1
+.names 8557 46239
+0 1
+.names 1506 46240
+0 1
+.names 46240 46241
+1 1
+.names 46241 46242
+0 1
+.names 46239 46242 46243
+11 1
+.names 45074 46244
+1 1
+.names 46244 46245
+0 1
+.names 8557 46245 46246
+11 1
+.names 8551 46247
+0 1
+.names 1507 46248
+0 1
+.names 46248 46249
+1 1
+.names 46249 46250
+0 1
+.names 46247 46250 46251
+11 1
+.names 45083 46252
+1 1
+.names 46252 46253
+0 1
+.names 8551 46253 46254
+11 1
+.names 1508 46255
+0 1
+.names 46255 46256
+1 1
+.names 46256 46257
+0 1
+.names 46239 46257 46258
+11 1
+.names 45091 46259
+1 1
+.names 46259 46260
+0 1
+.names 8557 46260 46261
+11 1
+.names 1509 46262
+0 1
+.names 46262 46263
+1 1
+.names 46263 46264
+0 1
+.names 46247 46264 46265
+11 1
+.names 45099 46266
+1 1
+.names 46266 46267
+0 1
+.names 8551 46267 46268
+11 1
+.names 8552 46269
+0 1
+.names 1510 46270
+0 1
+.names 46270 46271
+1 1
+.names 46271 46272
+0 1
+.names 46269 46272 46273
+11 1
+.names 3116 46274
+1 1
+.names 46274 46275
+0 1
+.names 8552 46275 46276
+11 1
+.names 8554 46277
+0 1
+.names 1511 46278
+0 1
+.names 46278 46279
+1 1
+.names 46279 46280
+0 1
+.names 46277 46280 46281
+11 1
+.names 45116 46282
+1 1
+.names 46282 46283
+0 1
+.names 8554 46283 46284
+11 1
+.names 1512 46285
+0 1
+.names 46285 46286
+1 1
+.names 46286 46287
+0 1
+.names 46277 46287 46288
+11 1
+.names 45124 46289
+1 1
+.names 46289 46290
+0 1
+.names 8554 46290 46291
+11 1
+.names 1513 46292
+0 1
+.names 46292 46293
+1 1
+.names 46293 46294
+0 1
+.names 46247 46294 46295
+11 1
+.names 45132 46296
+1 1
+.names 46296 46297
+0 1
+.names 8551 46297 46298
+11 1
+.names 1514 46299
+0 1
+.names 46299 46300
+1 1
+.names 46300 46301
+0 1
+.names 46277 46301 46302
+11 1
+.names 45140 46303
+1 1
+.names 46303 46304
+0 1
+.names 8554 46304 46305
+11 1
+.names 1515 46306
+0 1
+.names 46306 46307
+1 1
+.names 46307 46308
+0 1
+.names 46239 46308 46309
+11 1
+.names 45148 46310
+1 1
+.names 46310 46311
+0 1
+.names 8557 46311 46312
+11 1
+.names 8556 46313
+0 1
+.names 1516 46314
+0 1
+.names 46314 46315
+1 1
+.names 46315 46316
+0 1
+.names 46313 46316 46317
+11 1
+.names 45157 46318
+1 1
+.names 46318 46319
+0 1
+.names 8556 46319 46320
+11 1
+.names 1517 46321
+0 1
+.names 46321 46322
+1 1
+.names 46322 46323
+0 1
+.names 46269 46323 46324
+11 1
+.names 45165 46325
+1 1
+.names 46325 46326
+0 1
+.names 8552 46326 46327
+11 1
+.names 1518 46328
+0 1
+.names 46328 46329
+1 1
+.names 46329 46330
+0 1
+.names 46269 46330 46331
+11 1
+.names 45173 46332
+1 1
+.names 46332 46333
+0 1
+.names 8552 46333 46334
+11 1
+.names 1519 46335
+0 1
+.names 46335 46336
+1 1
+.names 46336 46337
+0 1
+.names 46269 46337 46338
+11 1
+.names 45181 46339
+1 1
+.names 46339 46340
+0 1
+.names 8552 46340 46341
+11 1
+.names 1520 46342
+0 1
+.names 46342 46343
+1 1
+.names 46343 46344
+0 1
+.names 46313 46344 46345
+11 1
+.names 45189 46346
+1 1
+.names 46346 46347
+0 1
+.names 8556 46347 46348
+11 1
+.names 1521 46349
+0 1
+.names 46349 46350
+1 1
+.names 46350 46351
+0 1
+.names 46247 46351 46352
+11 1
+.names 45197 46353
+1 1
+.names 46353 46354
+0 1
+.names 8551 46354 46355
+11 1
+.names 1522 46356
+0 1
+.names 46356 46357
+1 1
+.names 46357 46358
+0 1
+.names 46313 46358 46359
+11 1
+.names 45205 46360
+1 1
+.names 46360 46361
+0 1
+.names 8556 46361 46362
+11 1
+.names 1523 46363
+0 1
+.names 46363 46364
+1 1
+.names 46364 46365
+0 1
+.names 46277 46365 46366
+11 1
+.names 45213 46367
+1 1
+.names 46367 46368
+0 1
+.names 8554 46368 46369
+11 1
+.names 1524 46370
+0 1
+.names 46370 46371
+1 1
+.names 46371 46372
+0 1
+.names 46277 46372 46373
+11 1
+.names 45221 46374
+1 1
+.names 46374 46375
+0 1
+.names 8554 46375 46376
+11 1
+.names 1525 46377
+0 1
+.names 46377 46378
+1 1
+.names 46378 46379
+0 1
+.names 46239 46379 46380
+11 1
+.names 45229 46381
+1 1
+.names 46381 46382
+0 1
+.names 8557 46382 46383
+11 1
+.names 8555 46384
+0 1
+.names 1526 46385
+0 1
+.names 46385 46386
+1 1
+.names 46386 46387
+0 1
+.names 46384 46387 46388
+11 1
+.names 45238 46389
+1 1
+.names 46389 46390
+0 1
+.names 8555 46390 46391
+11 1
+.names 1527 46392
+0 1
+.names 46392 46393
+1 1
+.names 46393 46394
+0 1
+.names 46384 46394 46395
+11 1
+.names 45246 46396
+1 1
+.names 46396 46397
+0 1
+.names 8555 46397 46398
+11 1
+.names 1528 46399
+0 1
+.names 46399 46400
+1 1
+.names 46400 46401
+0 1
+.names 46313 46401 46402
+11 1
+.names 45254 46403
+1 1
+.names 46403 46404
+0 1
+.names 8556 46404 46405
+11 1
+.names 1529 46406
+0 1
+.names 46406 46407
+1 1
+.names 46407 46408
+0 1
+.names 46247 46408 46409
+11 1
+.names 45262 46410
+1 1
+.names 46410 46411
+0 1
+.names 8551 46411 46412
+11 1
+.names 1530 46413
+0 1
+.names 46413 46414
+1 1
+.names 46414 46415
+0 1
+.names 46269 46415 46416
+11 1
+.names 45270 46417
+1 1
+.names 46417 46418
+0 1
+.names 8552 46418 46419
+11 1
+.names 1531 46420
+0 1
+.names 46420 46421
+1 1
+.names 46421 46422
+0 1
+.names 46239 46422 46423
+11 1
+.names 45278 46424
+1 1
+.names 46424 46425
+0 1
+.names 8557 46425 46426
+11 1
+.names 1532 46427
+0 1
+.names 46427 46428
+1 1
+.names 46428 46429
+0 1
+.names 46239 46429 46430
+11 1
+.names 45286 46431
+1 1
+.names 46431 46432
+0 1
+.names 8557 46432 46433
+11 1
+.names 1533 46434
+0 1
+.names 46434 46435
+1 1
+.names 46435 46436
+0 1
+.names 46384 46436 46437
+11 1
+.names 45294 46438
+1 1
+.names 46438 46439
+0 1
+.names 8555 46439 46440
+11 1
+.names 1534 46441
+0 1
+.names 46441 46442
+1 1
+.names 46442 46443
+0 1
+.names 46384 46443 46444
+11 1
+.names 45302 46445
+1 1
+.names 46445 46446
+0 1
+.names 8555 46446 46447
+11 1
+.names 1535 46448
+0 1
+.names 46448 46449
+1 1
+.names 46449 46450
+0 1
+.names 46384 46450 46451
+11 1
+.names 45310 46452
+1 1
+.names 46452 46453
+0 1
+.names 8555 46453 46454
+11 1
+.names 1536 46455
+0 1
+.names 46455 46456
+1 1
+.names 46456 46457
+0 1
+.names 46313 46457 46458
+11 1
+.names 45318 46459
+1 1
+.names 46459 46460
+0 1
+.names 8556 46460 46461
+11 1
+.names 1537 46462
+0 1
+.names 46462 46463
+1 1
+.names 46463 46464
+0 1
+.names 46313 46464 46465
+11 1
+.names 45326 46466
+1 1
+.names 46466 46467
+0 1
+.names 8556 46467 46468
+11 1
+.names 46473 46476 57253
+1- 1
+-1 1
+.names 9440 46470
+0 1
+.names 14852 46471
+1 1
+.names 46471 46472
+0 1
+.names 46470 46472 46473
+11 1
+.names 14886 46474
+1 1
+.names 46474 46475
+0 1
+.names 9440 46475 46476
+11 1
+.names 46481 46484 57254
+1- 1
+-1 1
+.names 9434 46478
+0 1
+.names 44604 46479
+1 1
+.names 46479 46480
+0 1
+.names 46478 46480 46481
+11 1
+.names 2413 46482
+1 1
+.names 46482 46483
+0 1
+.names 9434 46483 46484
+11 1
+.names 46488 46491 57255
+1- 1
+-1 1
+.names 44569 46486
+1 1
+.names 46486 46487
+0 1
+.names 46470 46487 46488
+11 1
+.names 14886 46489
+1 1
+.names 46489 46490
+0 1
+.names 9440 46490 46491
+11 1
+.names 46496 46499 57256
+1- 1
+-1 1
+.names 9439 46493
+0 1
+.names 44613 46494
+1 1
+.names 46494 46495
+0 1
+.names 46493 46495 46496
+11 1
+.names 14886 46497
+1 1
+.names 46497 46498
+0 1
+.names 9439 46498 46499
+11 1
+.names 46503 46506 57257
+1- 1
+-1 1
+.names 14927 46501
+1 1
+.names 46501 46502
+0 1
+.names 46478 46502 46503
+11 1
+.names 14886 46504
+1 1
+.names 46504 46505
+0 1
+.names 9434 46505 46506
+11 1
+.names 46510 46513 57258
+1- 1
+-1 1
+.names 14832 46508
+1 1
+.names 46508 46509
+0 1
+.names 46478 46509 46510
+11 1
+.names 14886 46511
+1 1
+.names 46511 46512
+0 1
+.names 9434 46512 46513
+11 1
+.names 46517 46520 57259
+1- 1
+-1 1
+.names 14812 46515
+1 1
+.names 46515 46516
+0 1
+.names 46470 46516 46517
+11 1
+.names 14886 46518
+1 1
+.names 46518 46519
+0 1
+.names 9440 46519 46520
+11 1
+.names 46524 46527 57260
+1- 1
+-1 1
+.names 44674 46522
+1 1
+.names 46522 46523
+0 1
+.names 46493 46523 46524
+11 1
+.names 14886 46525
+1 1
+.names 46525 46526
+0 1
+.names 9439 46526 46527
+11 1
+.names 46531 46534 57261
+1- 1
+-1 1
+.names 14822 46529
+1 1
+.names 46529 46530
+0 1
+.names 46493 46530 46531
+11 1
+.names 14886 46532
+1 1
+.names 46532 46533
+0 1
+.names 9439 46533 46534
+11 1
+.names 46538 46541 57262
+1- 1
+-1 1
+.names 44725 46536
+1 1
+.names 46536 46537
+0 1
+.names 46470 46537 46538
+11 1
+.names 2413 46539
+1 1
+.names 46539 46540
+0 1
+.names 9440 46540 46541
+11 1
+.names 46545 46548 57263
+1- 1
+-1 1
+.names 14802 46543
+1 1
+.names 46543 46544
+0 1
+.names 46478 46544 46545
+11 1
+.names 14886 46546
+1 1
+.names 46546 46547
+0 1
+.names 9434 46547 46548
+11 1
+.names 46552 46555 57264
+1- 1
+-1 1
+.names 44716 46550
+1 1
+.names 46550 46551
+0 1
+.names 46493 46551 46552
+11 1
+.names 2413 46553
+1 1
+.names 46553 46554
+0 1
+.names 9439 46554 46555
+11 1
+.names 46559 46562 57265
+1- 1
+-1 1
+.names 14893 46557
+1 1
+.names 46557 46558
+0 1
+.names 46470 46558 46559
+11 1
+.names 14886 46560
+1 1
+.names 46560 46561
+0 1
+.names 9440 46561 46562
+11 1
+.names 46566 46569 57266
+1- 1
+-1 1
+.names 14862 46564
+1 1
+.names 46564 46565
+0 1
+.names 46493 46565 46566
+11 1
+.names 14886 46567
+1 1
+.names 46567 46568
+0 1
+.names 9439 46568 46569
+11 1
+.names 46573 46576 57267
+1- 1
+-1 1
+.names 14842 46571
+1 1
+.names 46571 46572
+0 1
+.names 46470 46572 46573
+11 1
+.names 14886 46574
+1 1
+.names 46574 46575
+0 1
+.names 9440 46575 46576
+11 1
+.names 46580 46583 57268
+1- 1
+-1 1
+.names 44758 46578
+1 1
+.names 46578 46579
+0 1
+.names 46478 46579 46580
+11 1
+.names 14886 46581
+1 1
+.names 46581 46582
+0 1
+.names 9434 46582 46583
+11 1
+.names 46587 46590 57269
+1- 1
+-1 1
+.names 44638 46585
+1 1
+.names 46585 46586
+0 1
+.names 46478 46586 46587
+11 1
+.names 14886 46588
+1 1
+.names 46588 46589
+0 1
+.names 9434 46589 46590
+11 1
+.names 46594 46597 57270
+1- 1
+-1 1
+.names 44587 46592
+1 1
+.names 46592 46593
+0 1
+.names 46493 46593 46594
+11 1
+.names 2413 46595
+1 1
+.names 46595 46596
+0 1
+.names 9439 46596 46597
+11 1
+.names 46601 46604 57271
+1- 1
+-1 1
+.names 44656 46599
+1 1
+.names 46599 46600
+0 1
+.names 46478 46600 46601
+11 1
+.names 2413 46602
+1 1
+.names 46602 46603
+0 1
+.names 9434 46603 46604
+11 1
+.names 46608 46611 57272
+1- 1
+-1 1
+.names 14792 46606
+1 1
+.names 46606 46607
+0 1
+.names 46470 46607 46608
+11 1
+.names 14886 46609
+1 1
+.names 46609 46610
+0 1
+.names 9440 46610 46611
+11 1
+.names 46615 46618 57273
+1- 1
+-1 1
+.names 44647 46613
+1 1
+.names 46613 46614
+0 1
+.names 46470 46614 46615
+11 1
+.names 14886 46616
+1 1
+.names 46616 46617
+0 1
+.names 9440 46617 46618
+11 1
+.names 46622 46625 57274
+1- 1
+-1 1
+.names 44527 46620
+1 1
+.names 46620 46621
+0 1
+.names 46493 46621 46622
+11 1
+.names 2413 46623
+1 1
+.names 46623 46624
+0 1
+.names 9439 46624 46625
+11 1
+.names 46629 46632 57275
+1- 1
+-1 1
+.names 57098 46627
+1 1
+.names 46627 46628
+0 1
+.names 46493 46628 46629
+11 1
+.names 14886 46630
+1 1
+.names 46630 46631
+0 1
+.names 9439 46631 46632
+11 1
+.names 46636 46639 57276
+1- 1
+-1 1
+.names 44699 46634
+1 1
+.names 46634 46635
+0 1
+.names 46478 46635 46636
+11 1
+.names 2413 46637
+1 1
+.names 46637 46638
+0 1
+.names 9434 46638 46639
+11 1
+.names 46643 46646 57277
+1- 1
+-1 1
+.names 44767 46641
+1 1
+.names 46641 46642
+0 1
+.names 46493 46642 46643
+11 1
+.names 2413 46644
+1 1
+.names 46644 46645
+0 1
+.names 9439 46645 46646
+11 1
+.names 46650 46653 57278
+1- 1
+-1 1
+.names 14882 46648
+1 1
+.names 46648 46649
+0 1
+.names 46478 46649 46650
+11 1
+.names 14886 46651
+1 1
+.names 46651 46652
+0 1
+.names 9434 46652 46653
+11 1
+.names 46657 46660 57279
+1- 1
+-1 1
+.names 14872 46655
+1 1
+.names 46655 46656
+0 1
+.names 46470 46656 46657
+11 1
+.names 14886 46658
+1 1
+.names 46658 46659
+0 1
+.names 9440 46659 46660
+11 1
+.names 46664 46667 57280
+1- 1
+-1 1
+.names 44518 46662
+1 1
+.names 46662 46663
+0 1
+.names 46470 46663 46664
+11 1
+.names 14886 46665
+1 1
+.names 46665 46666
+0 1
+.names 9440 46666 46667
+11 1
+.names 46671 46674 57281
+1- 1
+-1 1
+.names 14782 46669
+1 1
+.names 46669 46670
+0 1
+.names 46493 46670 46671
+11 1
+.names 2413 46672
+1 1
+.names 46672 46673
+0 1
+.names 9439 46673 46674
+11 1
+.names 46678 46681 57282
+1- 1
+-1 1
+.names 14760 46676
+1 1
+.names 46676 46677
+0 1
+.names 46478 46677 46678
+11 1
+.names 2413 46679
+1 1
+.names 46679 46680
+0 1
+.names 9434 46680 46681
+11 1
+.names 46686 46689 57283
+1- 1
+-1 1
+.names 9454 46683
+0 1
+.names 14852 46684
+1 1
+.names 46684 46685
+0 1
+.names 46683 46685 46686
+11 1
+.names 2413 46687
+1 1
+.names 46687 46688
+0 1
+.names 9454 46688 46689
+11 1
+.names 46694 46697 57284
+1- 1
+-1 1
+.names 9450 46691
+0 1
+.names 44604 46692
+1 1
+.names 46692 46693
+0 1
+.names 46691 46693 46694
+11 1
+.names 2413 46695
+1 1
+.names 46695 46696
+0 1
+.names 9450 46696 46697
+11 1
+.names 46701 46704 57285
+1- 1
+-1 1
+.names 44569 46699
+1 1
+.names 46699 46700
+0 1
+.names 46683 46700 46701
+11 1
+.names 2413 46702
+1 1
+.names 46702 46703
+0 1
+.names 9454 46703 46704
+11 1
+.names 46709 46712 57286
+1- 1
+-1 1
+.names 9453 46706
+0 1
+.names 44613 46707
+1 1
+.names 46707 46708
+0 1
+.names 46706 46708 46709
+11 1
+.names 2413 46710
+1 1
+.names 46710 46711
+0 1
+.names 9453 46711 46712
+11 1
+.names 46716 46719 57287
+1- 1
+-1 1
+.names 14927 46714
+1 1
+.names 46714 46715
+0 1
+.names 46706 46715 46716
+11 1
+.names 2413 46717
+1 1
+.names 46717 46718
+0 1
+.names 9453 46718 46719
+11 1
+.names 46723 46726 57288
+1- 1
+-1 1
+.names 14832 46721
+1 1
+.names 46721 46722
+0 1
+.names 46691 46722 46723
+11 1
+.names 2413 46724
+1 1
+.names 46724 46725
+0 1
+.names 9450 46725 46726
+11 1
+.names 46730 46733 57289
+1- 1
+-1 1
+.names 14812 46728
+1 1
+.names 46728 46729
+0 1
+.names 46706 46729 46730
+11 1
+.names 2413 46731
+1 1
+.names 46731 46732
+0 1
+.names 9453 46732 46733
+11 1
+.names 46737 46740 57290
+1- 1
+-1 1
+.names 44674 46735
+1 1
+.names 46735 46736
+0 1
+.names 46706 46736 46737
+11 1
+.names 2413 46738
+1 1
+.names 46738 46739
+0 1
+.names 9453 46739 46740
+11 1
+.names 46744 46747 57291
+1- 1
+-1 1
+.names 14822 46742
+1 1
+.names 46742 46743
+0 1
+.names 46691 46743 46744
+11 1
+.names 2413 46745
+1 1
+.names 46745 46746
+0 1
+.names 9450 46746 46747
+11 1
+.names 46751 46754 57292
+1- 1
+-1 1
+.names 44725 46749
+1 1
+.names 46749 46750
+0 1
+.names 46691 46750 46751
+11 1
+.names 2413 46752
+1 1
+.names 46752 46753
+0 1
+.names 9450 46753 46754
+11 1
+.names 46758 46761 57293
+1- 1
+-1 1
+.names 14802 46756
+1 1
+.names 46756 46757
+0 1
+.names 46683 46757 46758
+11 1
+.names 2413 46759
+1 1
+.names 46759 46760
+0 1
+.names 9454 46760 46761
+11 1
+.names 46765 46768 57294
+1- 1
+-1 1
+.names 44716 46763
+1 1
+.names 46763 46764
+0 1
+.names 46683 46764 46765
+11 1
+.names 2413 46766
+1 1
+.names 46766 46767
+0 1
+.names 9454 46767 46768
+11 1
+.names 46772 46775 57295
+1- 1
+-1 1
+.names 14893 46770
+1 1
+.names 46770 46771
+0 1
+.names 46683 46771 46772
+11 1
+.names 2413 46773
+1 1
+.names 46773 46774
+0 1
+.names 9454 46774 46775
+11 1
+.names 46779 46782 57296
+1- 1
+-1 1
+.names 14862 46777
+1 1
+.names 46777 46778
+0 1
+.names 46706 46778 46779
+11 1
+.names 2413 46780
+1 1
+.names 46780 46781
+0 1
+.names 9453 46781 46782
+11 1
+.names 46786 46789 57297
+1- 1
+-1 1
+.names 14842 46784
+1 1
+.names 46784 46785
+0 1
+.names 46683 46785 46786
+11 1
+.names 2413 46787
+1 1
+.names 46787 46788
+0 1
+.names 9454 46788 46789
+11 1
+.names 46793 46796 57298
+1- 1
+-1 1
+.names 44758 46791
+1 1
+.names 46791 46792
+0 1
+.names 46706 46792 46793
+11 1
+.names 2413 46794
+1 1
+.names 46794 46795
+0 1
+.names 9453 46795 46796
+11 1
+.names 46800 46803 57299
+1- 1
+-1 1
+.names 44638 46798
+1 1
+.names 46798 46799
+0 1
+.names 46683 46799 46800
+11 1
+.names 2413 46801
+1 1
+.names 46801 46802
+0 1
+.names 9454 46802 46803
+11 1
+.names 46807 46810 57300
+1- 1
+-1 1
+.names 44587 46805
+1 1
+.names 46805 46806
+0 1
+.names 46691 46806 46807
+11 1
+.names 2413 46808
+1 1
+.names 46808 46809
+0 1
+.names 9450 46809 46810
+11 1
+.names 46814 46817 57301
+1- 1
+-1 1
+.names 44656 46812
+1 1
+.names 46812 46813
+0 1
+.names 46691 46813 46814
+11 1
+.names 2413 46815
+1 1
+.names 46815 46816
+0 1
+.names 9450 46816 46817
+11 1
+.names 46821 46824 57302
+1- 1
+-1 1
+.names 14792 46819
+1 1
+.names 46819 46820
+0 1
+.names 46706 46820 46821
+11 1
+.names 2413 46822
+1 1
+.names 46822 46823
+0 1
+.names 9453 46823 46824
+11 1
+.names 46828 46831 57303
+1- 1
+-1 1
+.names 44647 46826
+1 1
+.names 46826 46827
+0 1
+.names 46683 46827 46828
+11 1
+.names 2413 46829
+1 1
+.names 46829 46830
+0 1
+.names 9454 46830 46831
+11 1
+.names 46835 46838 57304
+1- 1
+-1 1
+.names 44527 46833
+1 1
+.names 46833 46834
+0 1
+.names 46706 46834 46835
+11 1
+.names 2413 46836
+1 1
+.names 46836 46837
+0 1
+.names 9453 46837 46838
+11 1
+.names 46842 46845 57305
+1- 1
+-1 1
+.names 57098 46840
+1 1
+.names 46840 46841
+0 1
+.names 46691 46841 46842
+11 1
+.names 2413 46843
+1 1
+.names 46843 46844
+0 1
+.names 9450 46844 46845
+11 1
+.names 46849 46852 57306
+1- 1
+-1 1
+.names 44699 46847
+1 1
+.names 46847 46848
+0 1
+.names 46683 46848 46849
+11 1
+.names 2413 46850
+1 1
+.names 46850 46851
+0 1
+.names 9454 46851 46852
+11 1
+.names 46856 46859 57307
+1- 1
+-1 1
+.names 44767 46854
+1 1
+.names 46854 46855
+0 1
+.names 46706 46855 46856
+11 1
+.names 2413 46857
+1 1
+.names 46857 46858
+0 1
+.names 9453 46858 46859
+11 1
+.names 46863 46866 57308
+1- 1
+-1 1
+.names 14882 46861
+1 1
+.names 46861 46862
+0 1
+.names 46691 46862 46863
+11 1
+.names 2413 46864
+1 1
+.names 46864 46865
+0 1
+.names 9450 46865 46866
+11 1
+.names 46870 46873 57309
+1- 1
+-1 1
+.names 14872 46868
+1 1
+.names 46868 46869
+0 1
+.names 46691 46869 46870
+11 1
+.names 2413 46871
+1 1
+.names 46871 46872
+0 1
+.names 9450 46872 46873
+11 1
+.names 46877 46880 57310
+1- 1
+-1 1
+.names 44518 46875
+1 1
+.names 46875 46876
+0 1
+.names 46706 46876 46877
+11 1
+.names 2413 46878
+1 1
+.names 46878 46879
+0 1
+.names 9453 46879 46880
+11 1
+.names 46884 46887 57311
+1- 1
+-1 1
+.names 14782 46882
+1 1
+.names 46882 46883
+0 1
+.names 46691 46883 46884
+11 1
+.names 2413 46885
+1 1
+.names 46885 46886
+0 1
+.names 9450 46886 46887
+11 1
+.names 46892 46895 57312
+1- 1
+-1 1
+.names 9516 46889
+0 1
+.names 14872 46890
+1 1
+.names 46890 46891
+0 1
+.names 46889 46891 46892
+11 1
+.names 2413 46893
+1 1
+.names 46893 46894
+0 1
+.names 9516 46894 46895
+11 1
+.names 46900 46903 57313
+1- 1
+-1 1
+.names 9510 46897
+0 1
+.names 14782 46898
+1 1
+.names 46898 46899
+0 1
+.names 46897 46899 46900
+11 1
+.names 2413 46901
+1 1
+.names 46901 46902
+0 1
+.names 9510 46902 46903
+11 1
+.names 46907 46910 57314
+1- 1
+-1 1
+.names 44518 46905
+1 1
+.names 46905 46906
+0 1
+.names 46889 46906 46907
+11 1
+.names 2413 46908
+1 1
+.names 46908 46909
+0 1
+.names 9516 46909 46910
+11 1
+.names 46914 46917 57315
+1- 1
+-1 1
+.names 44527 46912
+1 1
+.names 46912 46913
+0 1
+.names 46897 46913 46914
+11 1
+.names 2413 46915
+1 1
+.names 46915 46916
+0 1
+.names 9510 46916 46917
+11 1
+.names 46922 46925 57316
+1- 1
+-1 1
+.names 9511 46919
+0 1
+.names 14937 46920
+1 1
+.names 46920 46921
+0 1
+.names 46919 46921 46922
+11 1
+.names 2413 46923
+1 1
+.names 46923 46924
+0 1
+.names 9511 46924 46925
+11 1
+.names 46930 46933 57317
+1- 1
+-1 1
+.names 9513 46927
+0 1
+.names 44545 46928
+1 1
+.names 46928 46929
+0 1
+.names 46927 46929 46930
+11 1
+.names 2413 46931
+1 1
+.names 46931 46932
+0 1
+.names 9513 46932 46933
+11 1
+.names 46937 46940 57318
+1- 1
+-1 1
+.names 14852 46935
+1 1
+.names 46935 46936
+0 1
+.names 46927 46936 46937
+11 1
+.names 2413 46938
+1 1
+.names 46938 46939
+0 1
+.names 9513 46939 46940
+11 1
+.names 46944 46947 57319
+1- 1
+-1 1
+.names 14832 46942
+1 1
+.names 46942 46943
+0 1
+.names 46897 46943 46944
+11 1
+.names 2413 46945
+1 1
+.names 46945 46946
+0 1
+.names 9510 46946 46947
+11 1
+.names 46951 46954 57320
+1- 1
+-1 1
+.names 44569 46949
+1 1
+.names 46949 46950
+0 1
+.names 46927 46950 46951
+11 1
+.names 2413 46952
+1 1
+.names 46952 46953
+0 1
+.names 9513 46953 46954
+11 1
+.names 46958 46961 57321
+1- 1
+-1 1
+.names 14842 46956
+1 1
+.names 46956 46957
+0 1
+.names 46889 46957 46958
+11 1
+.names 2413 46959
+1 1
+.names 46959 46960
+0 1
+.names 9516 46960 46961
+11 1
+.names 46966 46969 57322
+1- 1
+-1 1
+.names 9515 46963
+0 1
+.names 44587 46964
+1 1
+.names 46964 46965
+0 1
+.names 46963 46965 46966
+11 1
+.names 2413 46967
+1 1
+.names 46967 46968
+0 1
+.names 9515 46968 46969
+11 1
+.names 46973 46976 57323
+1- 1
+-1 1
+.names 14822 46971
+1 1
+.names 46971 46972
+0 1
+.names 46919 46972 46973
+11 1
+.names 2413 46974
+1 1
+.names 46974 46975
+0 1
+.names 9511 46975 46976
+11 1
+.names 46980 46983 57324
+1- 1
+-1 1
+.names 44604 46978
+1 1
+.names 46978 46979
+0 1
+.names 46919 46979 46980
+11 1
+.names 2413 46981
+1 1
+.names 46981 46982
+0 1
+.names 9511 46982 46983
+11 1
+.names 46987 46990 57325
+1- 1
+-1 1
+.names 44613 46985
+1 1
+.names 46985 46986
+0 1
+.names 46919 46986 46987
+11 1
+.names 2413 46988
+1 1
+.names 46988 46989
+0 1
+.names 9511 46989 46990
+11 1
+.names 46994 46997 57326
+1- 1
+-1 1
+.names 14882 46992
+1 1
+.names 46992 46993
+0 1
+.names 46963 46993 46994
+11 1
+.names 2413 46995
+1 1
+.names 46995 46996
+0 1
+.names 9515 46996 46997
+11 1
+.names 47001 47004 57327
+1- 1
+-1 1
+.names 14862 46999
+1 1
+.names 46999 47000
+0 1
+.names 46897 47000 47001
+11 1
+.names 2413 47002
+1 1
+.names 47002 47003
+0 1
+.names 9510 47003 47004
+11 1
+.names 47008 47011 57328
+1- 1
+-1 1
+.names 44638 47006
+1 1
+.names 47006 47007
+0 1
+.names 46963 47007 47008
+11 1
+.names 2413 47009
+1 1
+.names 47009 47010
+0 1
+.names 9515 47010 47011
+11 1
+.names 47015 47018 57329
+1- 1
+-1 1
+.names 44647 47013
+1 1
+.names 47013 47014
+0 1
+.names 46927 47014 47015
+11 1
+.names 2413 47016
+1 1
+.names 47016 47017
+0 1
+.names 9513 47017 47018
+11 1
+.names 47022 47025 57330
+1- 1
+-1 1
+.names 44656 47020
+1 1
+.names 47020 47021
+0 1
+.names 46927 47021 47022
+11 1
+.names 2413 47023
+1 1
+.names 47023 47024
+0 1
+.names 9513 47024 47025
+11 1
+.names 47029 47032 57331
+1- 1
+-1 1
+.names 14760 47027
+1 1
+.names 47027 47028
+0 1
+.names 46889 47028 47029
+11 1
+.names 2413 47030
+1 1
+.names 47030 47031
+0 1
+.names 9516 47031 47032
+11 1
+.names 47037 47040 57332
+1- 1
+-1 1
+.names 9514 47034
+0 1
+.names 44674 47035
+1 1
+.names 47035 47036
+0 1
+.names 47034 47036 47037
+11 1
+.names 2413 47038
+1 1
+.names 47038 47039
+0 1
+.names 9514 47039 47040
+11 1
+.names 47044 47047 57333
+1- 1
+-1 1
+.names 14802 47042
+1 1
+.names 47042 47043
+0 1
+.names 47034 47043 47044
+11 1
+.names 2413 47045
+1 1
+.names 47045 47046
+0 1
+.names 9514 47046 47047
+11 1
+.names 47051 47054 57334
+1- 1
+-1 1
+.names 57098 47049
+1 1
+.names 47049 47050
+0 1
+.names 46963 47050 47051
+11 1
+.names 2413 47052
+1 1
+.names 47052 47053
+0 1
+.names 9515 47053 47054
+11 1
+.names 47058 47061 57335
+1- 1
+-1 1
+.names 44699 47056
+1 1
+.names 47056 47057
+0 1
+.names 46897 47057 47058
+11 1
+.names 2413 47059
+1 1
+.names 47059 47060
+0 1
+.names 9510 47060 47061
+11 1
+.names 47065 47068 57336
+1- 1
+-1 1
+.names 14812 47063
+1 1
+.names 47063 47064
+0 1
+.names 46919 47064 47065
+11 1
+.names 2413 47066
+1 1
+.names 47066 47067
+0 1
+.names 9511 47067 47068
+11 1
+.names 47072 47075 57337
+1- 1
+-1 1
+.names 44716 47070
+1 1
+.names 47070 47071
+0 1
+.names 46889 47071 47072
+11 1
+.names 2413 47073
+1 1
+.names 47073 47074
+0 1
+.names 9516 47074 47075
+11 1
+.names 47079 47082 57338
+1- 1
+-1 1
+.names 44725 47077
+1 1
+.names 47077 47078
+0 1
+.names 46889 47078 47079
+11 1
+.names 2413 47080
+1 1
+.names 47080 47081
+0 1
+.names 9516 47081 47082
+11 1
+.names 47086 47089 57339
+1- 1
+-1 1
+.names 14927 47084
+1 1
+.names 47084 47085
+0 1
+.names 47034 47085 47086
+11 1
+.names 2413 47087
+1 1
+.names 47087 47088
+0 1
+.names 9514 47088 47089
+11 1
+.names 47093 47096 57340
+1- 1
+-1 1
+.names 14893 47091
+1 1
+.names 47091 47092
+0 1
+.names 47034 47092 47093
+11 1
+.names 2413 47094
+1 1
+.names 47094 47095
+0 1
+.names 9514 47095 47096
+11 1
+.names 47100 47103 57341
+1- 1
+-1 1
+.names 14792 47098
+1 1
+.names 47098 47099
+0 1
+.names 47034 47099 47100
+11 1
+.names 2413 47101
+1 1
+.names 47101 47102
+0 1
+.names 9514 47102 47103
+11 1
+.names 47107 47110 57342
+1- 1
+-1 1
+.names 44758 47105
+1 1
+.names 47105 47106
+0 1
+.names 46963 47106 47107
+11 1
+.names 2413 47108
+1 1
+.names 47108 47109
+0 1
+.names 9515 47109 47110
+11 1
+.names 47114 47117 57343
+1- 1
+-1 1
+.names 44767 47112
+1 1
+.names 47112 47113
+0 1
+.names 46963 47113 47114
+11 1
+.names 2413 47115
+1 1
+.names 47115 47116
+0 1
+.names 9515 47116 47117
+11 1
+.names 47122 47125 57344
+1- 1
+-1 1
+.names 9522 47119
+0 1
+.names 44527 47120
+1 1
+.names 47120 47121
+0 1
+.names 47119 47121 47122
+11 1
+.names 2413 47123
+1 1
+.names 47123 47124
+0 1
+.names 9522 47124 47125
+11 1
+.names 47129 47132 57345
+1- 1
+-1 1
+.names 44604 47127
+1 1
+.names 47127 47128
+0 1
+.names 47119 47128 47129
+11 1
+.names 2413 47130
+1 1
+.names 47130 47131
+0 1
+.names 9522 47131 47132
+11 1
+.names 47137 47140 57346
+1- 1
+-1 1
+.names 9525 47134
+0 1
+.names 44587 47135
+1 1
+.names 47135 47136
+0 1
+.names 47134 47136 47137
+11 1
+.names 2413 47138
+1 1
+.names 47138 47139
+0 1
+.names 9525 47139 47140
+11 1
+.names 47145 47148 57347
+1- 1
+-1 1
+.names 9526 47142
+0 1
+.names 44716 47143
+1 1
+.names 47143 47144
+0 1
+.names 47142 47144 47145
+11 1
+.names 2413 47146
+1 1
+.names 47146 47147
+0 1
+.names 9526 47147 47148
+11 1
+.names 47152 47155 57348
+1- 1
+-1 1
+.names 44767 47150
+1 1
+.names 47150 47151
+0 1
+.names 47142 47151 47152
+11 1
+.names 2413 47153
+1 1
+.names 47153 47154
+0 1
+.names 9526 47154 47155
+11 1
+.names 47159 47162 57349
+1- 1
+-1 1
+.names 44725 47157
+1 1
+.names 47157 47158
+0 1
+.names 47134 47158 47159
+11 1
+.names 2413 47160
+1 1
+.names 47160 47161
+0 1
+.names 9525 47161 47162
+11 1
+.names 47166 47169 57350
+1- 1
+-1 1
+.names 44699 47164
+1 1
+.names 47164 47165
+0 1
+.names 47142 47165 47166
+11 1
+.names 2413 47167
+1 1
+.names 47167 47168
+0 1
+.names 9526 47168 47169
+11 1
+.names 47174 47177 57351
+1- 1
+-1 1
+.names 9528 47171
+0 1
+.names 14782 47172
+1 1
+.names 47172 47173
+0 1
+.names 47171 47173 47174
+11 1
+.names 2413 47175
+1 1
+.names 47175 47176
+0 1
+.names 9528 47176 47177
+11 1
+.names 47181 47184 57352
+1- 1
+-1 1
+.names 44647 47179
+1 1
+.names 47179 47180
+0 1
+.names 47171 47180 47181
+11 1
+.names 2413 47182
+1 1
+.names 47182 47183
+0 1
+.names 9528 47183 47184
+11 1
+.names 47189 47192 57353
+1- 1
+-1 1
+.names 9531 47186
+0 1
+.names 44518 47187
+1 1
+.names 47187 47188
+0 1
+.names 47186 47188 47189
+11 1
+.names 2413 47190
+1 1
+.names 47190 47191
+0 1
+.names 9531 47191 47192
+11 1
+.names 47197 47200 57354
+1- 1
+-1 1
+.names 9532 47194
+0 1
+.names 44638 47195
+1 1
+.names 47195 47196
+0 1
+.names 47194 47196 47197
+11 1
+.names 2413 47198
+1 1
+.names 47198 47199
+0 1
+.names 9532 47199 47200
+11 1
+.names 47204 47207 57355
+1- 1
+-1 1
+.names 44674 47202
+1 1
+.names 47202 47203
+0 1
+.names 47194 47203 47204
+11 1
+.names 2413 47205
+1 1
+.names 47205 47206
+0 1
+.names 9532 47206 47207
+11 1
+.names 47211 47214 57356
+1- 1
+-1 1
+.names 44569 47209
+1 1
+.names 47209 47210
+0 1
+.names 47186 47210 47211
+11 1
+.names 2413 47212
+1 1
+.names 47212 47213
+0 1
+.names 9531 47213 47214
+11 1
+.names 47218 47221 57357
+1- 1
+-1 1
+.names 44758 47216
+1 1
+.names 47216 47217
+0 1
+.names 47194 47217 47218
+11 1
+.names 2413 47219
+1 1
+.names 47219 47220
+0 1
+.names 9532 47220 47221
+11 1
+.names 47226 47229 57358
+1- 1
+-1 1
+.names 9541 47223
+0 1
+.names 14842 47224
+1 1
+.names 47224 47225
+0 1
+.names 47223 47225 47226
+11 1
+.names 2413 47227
+1 1
+.names 47227 47228
+0 1
+.names 9541 47228 47229
+11 1
+.names 47234 47237 57359
+1- 1
+-1 1
+.names 9538 47231
+0 1
+.names 14832 47232
+1 1
+.names 47232 47233
+0 1
+.names 47231 47233 47234
+11 1
+.names 2413 47235
+1 1
+.names 47235 47236
+0 1
+.names 9538 47236 47237
+11 1
+.names 47242 47245 57360
+1- 1
+-1 1
+.names 9554 47239
+0 1
+.names 44545 47240
+1 1
+.names 47240 47241
+0 1
+.names 47239 47241 47242
+11 1
+.names 2413 47243
+1 1
+.names 47243 47244
+0 1
+.names 9554 47244 47245
+11 1
+.names 47250 47253 57361
+1- 1
+-1 1
+.names 9551 47247
+0 1
+.names 44613 47248
+1 1
+.names 47248 47249
+0 1
+.names 47247 47249 47250
+11 1
+.names 2413 47251
+1 1
+.names 47251 47252
+0 1
+.names 9551 47252 47253
+11 1
+.names 47260 47262 47254
+1- 1
+-1 1
+.names 47254 47255
+1 1
+.names 9565 47256
+0 1
+.names 47263 47265 47257
+11 1
+.names 47257 47258
+1 1
+.names 47258 47259
+0 1
+.names 47256 47259 47260
+11 1
+.names 335 47261
+0 1
+.names 9565 47261 47262
+11 1
+.names 9574 47263
+0 1
+.names 14927 47264
+1 1
+.names 47264 47265
+0 1
+.names 47271 47272 57362
+1- 1
+-1 1
+.names 47263 47267
+1 1
+.names 47267 47268
+0 1
+.names 14886 47269
+1 1
+.names 47269 47270
+0 1
+.names 47268 47270 47271
+11 1
+.names 47255 47272
+0 1
+.names 47279 47280 47273
+1- 1
+-1 1
+.names 47273 47274
+1 1
+.names 9575 47275
+0 1
+.names 47281 47283 47276
+11 1
+.names 47276 47277
+1 1
+.names 47277 47278
+0 1
+.names 47275 47278 47279
+11 1
+.names 9575 14886 47280
+11 1
+.names 9572 47281
+0 1
+.names 14852 47282
+1 1
+.names 47282 47283
+0 1
+.names 47290 47291 57363
+1- 1
+-1 1
+.names 47281 47285
+1 1
+.names 47285 47286
+0 1
+.names 340 47287
+0 1
+.names 47287 47288
+1 1
+.names 47288 47289
+0 1
+.names 47286 47289 47290
+11 1
+.names 47274 47291
+0 1
+.names 47298 47300 47292
+1- 1
+-1 1
+.names 47292 47293
+1 1
+.names 9567 47294
+0 1
+.names 47263 47302 47295
+11 1
+.names 47295 47296
+1 1
+.names 47296 47297
+0 1
+.names 47294 47297 47298
+11 1
+.names 356 47299
+0 1
+.names 9567 47299 47300
+11 1
+.names 44604 47301
+1 1
+.names 47301 47302
+0 1
+.names 47308 47309 57364
+1- 1
+-1 1
+.names 47263 47304
+1 1
+.names 47304 47305
+0 1
+.names 2413 47306
+1 1
+.names 47306 47307
+0 1
+.names 47305 47307 47308
+11 1
+.names 47293 47309
+0 1
+.names 47316 47317 47310
+1- 1
+-1 1
+.names 47310 47311
+1 1
+.names 9570 47312
+0 1
+.names 47294 47319 47313
+11 1
+.names 47313 47314
+1 1
+.names 47314 47315
+0 1
+.names 47312 47315 47316
+11 1
+.names 9570 14886 47317
+11 1
+.names 14832 47318
+1 1
+.names 47318 47319
+0 1
+.names 47326 47327 57365
+1- 1
+-1 1
+.names 47294 47321
+1 1
+.names 47321 47322
+0 1
+.names 342 47323
+0 1
+.names 47323 47324
+1 1
+.names 47324 47325
+0 1
+.names 47322 47325 47326
+11 1
+.names 47311 47327
+0 1
+.names 47256 47328
+1 1
+.names 47256 47332 47329
+11 1
+.names 47329 47330
+1 1
+.names 14812 47331
+1 1
+.names 47331 47332
+0 1
+.names 47336 47337 47333
+1- 1
+-1 1
+.names 47333 47334
+1 1
+.names 47330 47335
+0 1
+.names 47275 47335 47336
+11 1
+.names 9575 14886 47337
+11 1
+.names 344 47338
+0 1
+.names 47338 47339
+1 1
+.names 47343 47344 57366
+1- 1
+-1 1
+.names 47328 47341
+0 1
+.names 47339 47342
+0 1
+.names 47341 47342 47343
+11 1
+.names 47334 47344
+0 1
+.names 47351 47353 47345
+1- 1
+-1 1
+.names 47345 47346
+1 1
+.names 9573 47347
+0 1
+.names 47263 47355 47348
+11 1
+.names 47348 47349
+1 1
+.names 47349 47350
+0 1
+.names 47347 47350 47351
+11 1
+.names 351 47352
+0 1
+.names 9573 47352 47353
+11 1
+.names 44569 47354
+1 1
+.names 47354 47355
+0 1
+.names 47361 47362 57367
+1- 1
+-1 1
+.names 47263 47357
+1 1
+.names 47357 47358
+0 1
+.names 14886 47359
+1 1
+.names 47359 47360
+0 1
+.names 47358 47360 47361
+11 1
+.names 47346 47362
+0 1
+.names 47369 47370 47363
+1- 1
+-1 1
+.names 47363 47364
+1 1
+.names 9560 47365
+0 1
+.names 47281 47372 47366
+11 1
+.names 47366 47367
+1 1
+.names 47367 47368
+0 1
+.names 47365 47368 47369
+11 1
+.names 9560 14886 47370
+11 1
+.names 44674 47371
+1 1
+.names 47371 47372
+0 1
+.names 47379 47380 57368
+1- 1
+-1 1
+.names 47281 47374
+1 1
+.names 47374 47375
+0 1
+.names 353 47376
+0 1
+.names 47376 47377
+1 1
+.names 47377 47378
+0 1
+.names 47375 47378 47379
+11 1
+.names 47364 47380
+0 1
+.names 47386 47387 47381
+1- 1
+-1 1
+.names 47381 47382
+1 1
+.names 47347 47389 47383
+11 1
+.names 47383 47384
+1 1
+.names 47384 47385
+0 1
+.names 47365 47385 47386
+11 1
+.names 9560 14886 47387
+11 1
+.names 44613 47388
+1 1
+.names 47388 47389
+0 1
+.names 47396 47397 57369
+1- 1
+-1 1
+.names 334 47391
+0 1
+.names 47391 47392
+1 1
+.names 47392 47393
+0 1
+.names 47347 47394
+1 1
+.names 47394 47395
+0 1
+.names 47393 47395 47396
+11 1
+.names 47382 47397
+0 1
+.names 47294 47398
+1 1
+.names 47404 47405 47399
+1- 1
+-1 1
+.names 47399 47400
+1 1
+.names 47294 47407 47401
+11 1
+.names 47401 47402
+1 1
+.names 47402 47403
+0 1
+.names 47275 47403 47404
+11 1
+.names 9575 14886 47405
+11 1
+.names 14822 47406
+1 1
+.names 47406 47407
+0 1
+.names 343 47408
+0 1
+.names 47408 47409
+1 1
+.names 47413 47414 57370
+1- 1
+-1 1
+.names 47398 47411
+0 1
+.names 47409 47412
+0 1
+.names 47411 47412 47413
+11 1
+.names 47400 47414
+0 1
+.names 47420 47422 47415
+1- 1
+-1 1
+.names 47415 47416
+1 1
+.names 47263 47424 47417
+11 1
+.names 47417 47418
+1 1
+.names 47418 47419
+0 1
+.names 47256 47419 47420
+11 1
+.names 359 47421
+0 1
+.names 9565 47421 47422
+11 1
+.names 44725 47423
+1 1
+.names 47423 47424
+0 1
+.names 47430 47431 57371
+1- 1
+-1 1
+.names 2413 47426
+1 1
+.names 47426 47427
+0 1
+.names 47263 47428
+1 1
+.names 47428 47429
+0 1
+.names 47427 47429 47430
+11 1
+.names 47416 47431
+0 1
+.names 47437 47438 47432
+1- 1
+-1 1
+.names 47432 47433
+1 1
+.names 47281 47440 47434
+11 1
+.names 47434 47435
+1 1
+.names 47435 47436
+0 1
+.names 47312 47436 47437
+11 1
+.names 9570 14886 47438
+11 1
+.names 14802 47439
+1 1
+.names 47439 47440
+0 1
+.names 47447 47448 57372
+1- 1
+-1 1
+.names 47281 47442
+1 1
+.names 47442 47443
+0 1
+.names 345 47444
+0 1
+.names 47444 47445
+1 1
+.names 47445 47446
+0 1
+.names 47443 47446 47447
+11 1
+.names 47433 47448
+0 1
+.names 47454 47456 47449
+1- 1
+-1 1
+.names 47449 47450
+1 1
+.names 47263 47458 47451
+11 1
+.names 47451 47452
+1 1
+.names 47452 47453
+0 1
+.names 47294 47453 47454
+11 1
+.names 358 47455
+0 1
+.names 9567 47455 47456
+11 1
+.names 44716 47457
+1 1
+.names 47457 47458
+0 1
+.names 47464 47465 57373
+1- 1
+-1 1
+.names 47263 47460
+1 1
+.names 47460 47461
+0 1
+.names 2413 47462
+1 1
+.names 47462 47463
+0 1
+.names 47461 47463 47464
+11 1
+.names 47450 47465
+0 1
+.names 47471 47472 47466
+1- 1
+-1 1
+.names 47466 47467
+1 1
+.names 47294 47474 47468
+11 1
+.names 47468 47469
+1 1
+.names 47469 47470
+0 1
+.names 47312 47470 47471
+11 1
+.names 9570 14886 47472
+11 1
+.names 14842 47473
+1 1
+.names 47473 47474
+0 1
+.names 47481 47482 57374
+1- 1
+-1 1
+.names 47294 47476
+1 1
+.names 47476 47477
+0 1
+.names 341 47478
+0 1
+.names 47478 47479
+1 1
+.names 47479 47480
+0 1
+.names 47477 47480 47481
+11 1
+.names 47467 47482
+0 1
+.names 47488 47489 47483
+1- 1
+-1 1
+.names 47483 47484
+1 1
+.names 47281 47491 47485
+11 1
+.names 47485 47486
+1 1
+.names 47486 47487
+0 1
+.names 47275 47487 47488
+11 1
+.names 9575 14886 47489
+11 1
+.names 44758 47490
+1 1
+.names 47490 47491
+0 1
+.names 47498 47499 57375
+1- 1
+-1 1
+.names 47281 47493
+1 1
+.names 47493 47494
+0 1
+.names 352 47495
+0 1
+.names 47495 47496
+1 1
+.names 47496 47497
+0 1
+.names 47494 47497 47498
+11 1
+.names 47484 47499
+0 1
+.names 47505 47506 47500
+1- 1
+-1 1
+.names 47500 47501
+1 1
+.names 47347 47508 47502
+11 1
+.names 47502 47503
+1 1
+.names 47503 47504
+0 1
+.names 47365 47504 47505
+11 1
+.names 9560 14886 47506
+11 1
+.names 44638 47507
+1 1
+.names 47507 47508
+0 1
+.names 47515 47516 57376
+1- 1
+-1 1
+.names 350 47510
+0 1
+.names 47510 47511
+1 1
+.names 47511 47512
+0 1
+.names 47347 47513
+1 1
+.names 47513 47514
+0 1
+.names 47512 47514 47515
+11 1
+.names 47501 47516
+0 1
+.names 47347 47517
+1 1
+.names 47523 47524 47518
+1- 1
+-1 1
+.names 47518 47519
+1 1
+.names 47347 47526 47520
+11 1
+.names 47520 47521
+1 1
+.names 47521 47522
+0 1
+.names 47275 47522 47523
+11 1
+.names 9575 2413 47524
+11 1
+.names 44587 47525
+1 1
+.names 47525 47526
+0 1
+.names 357 47527
+0 1
+.names 47527 47528
+1 1
+.names 47532 47533 57377
+1- 1
+-1 1
+.names 47517 47530
+0 1
+.names 47528 47531
+0 1
+.names 47530 47531 47532
+11 1
+.names 47519 47533
+0 1
+.names 47539 47540 47534
+1- 1
+-1 1
+.names 47534 47535
+1 1
+.names 47294 47542 47536
+11 1
+.names 47536 47537
+1 1
+.names 47537 47538
+0 1
+.names 47312 47538 47539
+11 1
+.names 9570 14886 47540
+11 1
+.names 14893 47541
+1 1
+.names 47541 47542
+0 1
+.names 47549 47550 57378
+1- 1
+-1 1
+.names 47294 47544
+1 1
+.names 47544 47545
+0 1
+.names 336 47546
+0 1
+.names 47546 47547
+1 1
+.names 47547 47548
+0 1
+.names 47545 47548 47549
+11 1
+.names 47535 47550
+0 1
+.names 47556 47557 47551
+1- 1
+-1 1
+.names 47551 47552
+1 1
+.names 47294 47559 47553
+11 1
+.names 47553 47554
+1 1
+.names 47554 47555
+0 1
+.names 47312 47555 47556
+11 1
+.names 9570 14886 47557
+11 1
+.names 14862 47558
+1 1
+.names 47558 47559
+0 1
+.names 47566 47567 57379
+1- 1
+-1 1
+.names 47294 47561
+1 1
+.names 47561 47562
+0 1
+.names 339 47563
+0 1
+.names 47563 47564
+1 1
+.names 47564 47565
+0 1
+.names 47562 47565 47566
+11 1
+.names 47552 47567
+0 1
+.names 47256 47568
+1 1
+.names 47574 47575 47569
+1- 1
+-1 1
+.names 47569 47570
+1 1
+.names 47256 47577 47571
+11 1
+.names 47571 47572
+1 1
+.names 47572 47573
+0 1
+.names 47275 47573 47574
+11 1
+.names 9575 2413 47575
+11 1
+.names 44656 47576
+1 1
+.names 47576 47577
+0 1
+.names 355 47578
+0 1
+.names 47578 47579
+1 1
+.names 47583 47584 57380
+1- 1
+-1 1
+.names 47568 47581
+0 1
+.names 47579 47582
+0 1
+.names 47581 47582 47583
+11 1
+.names 47570 47584
+0 1
+.names 47590 47591 47585
+1- 1
+-1 1
+.names 47585 47586
+1 1
+.names 47347 47593 47587
+11 1
+.names 47587 47588
+1 1
+.names 47588 47589
+0 1
+.names 47365 47589 47590
+11 1
+.names 9560 14886 47591
+11 1
+.names 14792 47592
+1 1
+.names 47592 47593
+0 1
+.names 47600 47601 57381
+1- 1
+-1 1
+.names 47347 47595
+1 1
+.names 47595 47596
+0 1
+.names 347 47597
+0 1
+.names 47597 47598
+1 1
+.names 47598 47599
+0 1
+.names 47596 47599 47600
+11 1
+.names 47586 47601
+0 1
+.names 47607 47608 47602
+1- 1
+-1 1
+.names 47602 47603
+1 1
+.names 47256 47610 47604
+11 1
+.names 47604 47605
+1 1
+.names 47605 47606
+0 1
+.names 47365 47606 47607
+11 1
+.names 9560 14886 47608
+11 1
+.names 44647 47609
+1 1
+.names 47609 47610
+0 1
+.names 47617 47618 57382
+1- 1
+-1 1
+.names 47256 47612
+1 1
+.names 47612 47613
+0 1
+.names 348 47614
+0 1
+.names 47614 47615
+1 1
+.names 47615 47616
+0 1
+.names 47613 47616 47617
+11 1
+.names 47603 47618
+0 1
+.names 47624 47625 47619
+1- 1
+-1 1
+.names 47619 47620
+1 1
+.names 47256 47627 47621
+11 1
+.names 47621 47622
+1 1
+.names 47622 47623
+0 1
+.names 47312 47623 47624
+11 1
+.names 9570 2413 47625
+11 1
+.names 44527 47626
+1 1
+.names 47626 47627
+0 1
+.names 47634 47635 57383
+1- 1
+-1 1
+.names 47256 47629
+1 1
+.names 47629 47630
+0 1
+.names 362 47631
+0 1
+.names 47631 47632
+1 1
+.names 47632 47633
+0 1
+.names 47630 47633 47634
+11 1
+.names 47620 47635
+0 1
+.names 47641 47642 47636
+1- 1
+-1 1
+.names 47636 47637
+1 1
+.names 47281 47644 47638
+11 1
+.names 47638 47639
+1 1
+.names 47639 47640
+0 1
+.names 47312 47640 47641
+11 1
+.names 9570 14886 47642
+11 1
+.names 57098 47643
+1 1
+.names 47643 47644
+0 1
+.names 47651 47652 57384
+1- 1
+-1 1
+.names 47281 47646
+1 1
+.names 47646 47647
+0 1
+.names 346 47648
+0 1
+.names 47648 47649
+1 1
+.names 47649 47650
+0 1
+.names 47647 47650 47651
+11 1
+.names 47637 47652
+0 1
+.names 47658 47659 47653
+1- 1
+-1 1
+.names 47653 47654
+1 1
+.names 47281 47661 47655
+11 1
+.names 47655 47656
+1 1
+.names 47656 47657
+0 1
+.names 47275 47657 47658
+11 1
+.names 9575 2413 47659
+11 1
+.names 44699 47660
+1 1
+.names 47660 47661
+0 1
+.names 47668 47669 57385
+1- 1
+-1 1
+.names 47281 47663
+1 1
+.names 47663 47664
+0 1
+.names 360 47665
+0 1
+.names 47665 47666
+1 1
+.names 47666 47667
+0 1
+.names 47664 47667 47668
+11 1
+.names 47654 47669
+0 1
+.names 47675 47676 47670
+1- 1
+-1 1
+.names 47670 47671
+1 1
+.names 47347 47678 47672
+11 1
+.names 47672 47673
+1 1
+.names 47673 47674
+0 1
+.names 47365 47674 47675
+11 1
+.names 9560 2413 47676
+11 1
+.names 44767 47677
+1 1
+.names 47677 47678
+0 1
+.names 47685 47686 57386
+1- 1
+-1 1
+.names 47347 47680
+1 1
+.names 47680 47681
+0 1
+.names 361 47682
+0 1
+.names 47682 47683
+1 1
+.names 47683 47684
+0 1
+.names 47681 47684 47685
+11 1
+.names 47671 47686
+0 1
+.names 47692 47693 47687
+1- 1
+-1 1
+.names 47687 47688
+1 1
+.names 47281 47695 47689
+11 1
+.names 47689 47690
+1 1
+.names 47690 47691
+0 1
+.names 47275 47691 47692
+11 1
+.names 9575 14886 47693
+11 1
+.names 14882 47694
+1 1
+.names 47694 47695
+0 1
+.names 47702 47703 57387
+1- 1
+-1 1
+.names 337 47697
+0 1
+.names 47697 47698
+1 1
+.names 47698 47699
+0 1
+.names 47281 47700
+1 1
+.names 47700 47701
+0 1
+.names 47699 47701 47702
+11 1
+.names 47688 47703
+0 1
+.names 47709 47710 47704
+1- 1
+-1 1
+.names 47704 47705
+1 1
+.names 47281 47712 47706
+11 1
+.names 47706 47707
+1 1
+.names 47707 47708
+0 1
+.names 47365 47708 47709
+11 1
+.names 9560 14886 47710
+11 1
+.names 14872 47711
+1 1
+.names 47711 47712
+0 1
+.names 47719 47720 57388
+1- 1
+-1 1
+.names 47281 47714
+1 1
+.names 47714 47715
+0 1
+.names 338 47716
+0 1
+.names 47716 47717
+1 1
+.names 47717 47718
+0 1
+.names 47715 47718 47719
+11 1
+.names 47705 47720
+0 1
+.names 47726 47728 47721
+1- 1
+-1 1
+.names 47721 47722
+1 1
+.names 47263 47730 47723
+11 1
+.names 47723 47724
+1 1
+.names 47724 47725
+0 1
+.names 47347 47725 47726
+11 1
+.names 349 47727
+0 1
+.names 9573 47727 47728
+11 1
+.names 44518 47729
+1 1
+.names 47729 47730
+0 1
+.names 47736 47737 57389
+1- 1
+-1 1
+.names 47263 47732
+1 1
+.names 47732 47733
+0 1
+.names 14886 47734
+1 1
+.names 47734 47735
+0 1
+.names 47733 47735 47736
+11 1
+.names 47722 47737
+0 1
+.names 47743 47744 47738
+1- 1
+-1 1
+.names 47738 47739
+1 1
+.names 47281 47746 47740
+11 1
+.names 47740 47741
+1 1
+.names 47741 47742
+0 1
+.names 47312 47742 47743
+11 1
+.names 9570 2413 47744
+11 1
+.names 14782 47745
+1 1
+.names 47745 47746
+0 1
+.names 47753 47754 57390
+1- 1
+-1 1
+.names 47281 47748
+1 1
+.names 47748 47749
+0 1
+.names 354 47750
+0 1
+.names 47750 47751
+1 1
+.names 47751 47752
+0 1
+.names 47749 47752 47753
+11 1
+.names 47739 47754
+0 1
+.names 47760 47761 47755
+1- 1
+-1 1
+.names 47755 47756
+1 1
+.names 47256 47763 47757
+11 1
+.names 47757 47758
+1 1
+.names 47758 47759
+0 1
+.names 47365 47759 47760
+11 1
+.names 9560 2413 47761
+11 1
+.names 14760 47762
+1 1
+.names 47762 47763
+0 1
+.names 47770 47771 57391
+1- 1
+-1 1
+.names 47256 47765
+1 1
+.names 47765 47766
+0 1
+.names 363 47767
+0 1
+.names 47767 47768
+1 1
+.names 47768 47769
+0 1
+.names 47766 47769 47770
+11 1
+.names 47756 47771
+0 1
+.names 2878 47772
+0 1
+.names 47772 14964 47773
+11 1
+.names 47773 47774
+1 1
+.names 47774 47775
+0 1
+.names 2874 47776
+0 1
+.names 2886 47777
+0 1
+.names 47777 14987 47778
+11 1
+.names 47778 47779
+1 1
+.names 47779 47780
+0 1
+.names 2882 47781
+0 1
+.names 952 47782
+0 1
+.names 47782 15010 47783
+11 1
+.names 47783 47784
+1 1
+.names 47784 47785
+0 1
+.names 953 47786
+0 1
+.names 948 47787
+0 1
+.names 47787 15033 47788
+11 1
+.names 47788 47789
+1 1
+.names 47789 47790
+0 1
+.names 949 47791
+0 1
+.names 2413 47798 47792
+11 1
+.names 47792 47793
+1 1
+.names 47793 47794
+0 1
+.names 47818 47795
+0 1
+.names 47795 47796
+1 1
+.names 47796 47797
+0 1
+.names 15080 47798
+0 1
+.names 47798 47799
+1 1
+.names 47799 47800
+0 1
+.names 47809 47810 47801
+11 1
+.names 47801 47802
+1 1
+.names 47802 47803
+0 1
+.names 47800 47803 47804
+1- 1
+-1 1
+.names 2413 47805
+1 1
+.names 47805 47806
+0 1
+.names 47804 47806 47807
+1- 1
+-1 1
+.names 945 47808
+0 1
+.names 943 47809
+0 1
+.names 944 47810
+0 1
+.names 47810 47811
+1 1
+.names 47811 47812
+0 1
+.names 47812 47813
+1 1
+.names 47798 47814
+1 1
+.names 47814 47815
+0 1
+.names 47813 47816
+0 1
+.names 47815 47816 47817
+1- 1
+-1 1
+.names 47809 47818
+1 1
+.names 47817 47795 47819
+1- 1
+-1 1
+.names 2413 47826 47820
+11 1
+.names 47820 47821
+1 1
+.names 47821 47822
+0 1
+.names 47846 47823
+0 1
+.names 47823 47824
+1 1
+.names 47824 47825
+0 1
+.names 15124 47826
+0 1
+.names 47826 47827
+1 1
+.names 47827 47828
+0 1
+.names 47837 47838 47829
+11 1
+.names 47829 47830
+1 1
+.names 47830 47831
+0 1
+.names 47828 47831 47832
+1- 1
+-1 1
+.names 2413 47833
+1 1
+.names 47833 47834
+0 1
+.names 47832 47834 47835
+1- 1
+-1 1
+.names 9601 47836
+0 1
+.names 9589 47837
+0 1
+.names 9595 47838
+0 1
+.names 47838 47839
+1 1
+.names 47839 47840
+0 1
+.names 47840 47841
+1 1
+.names 47826 47842
+1 1
+.names 47842 47843
+0 1
+.names 47841 47844
+0 1
+.names 47843 47844 47845
+1- 1
+-1 1
+.names 47837 47846
+1 1
+.names 47845 47823 47847
+1- 1
+-1 1
+.names 47854 47855 47848
+1- 1
+-1 1
+.names 47848 47849
+1 1
+.names 9627 47850
+0 1
+.names 47891 47851
+0 1
+.names 47851 47852
+1 1
+.names 47852 47853
+0 1
+.names 47850 47853 47854
+11 1
+.names 9627 44513 47855
+11 1
+.names 2413 47859 47856
+11 1
+.names 47856 47857
+1 1
+.names 40359 47858
+1 1
+.names 47858 47859
+0 1
+.names 47862 47863 47860
+11 1
+.names 47860 47861
+1 1
+.names 9619 47862
+0 1
+.names 9635 47863
+0 1
+.names 47866 47867 47864
+11 1
+.names 47864 47865
+1 1
+.names 9651 47866
+0 1
+.names 9611 47867
+0 1
+.names 47883 47884 47868
+1- 1
+-1 1
+.names 47868 47869
+1 1
+.names 47849 47870
+0 1
+.names 47874 47876 47871
+11 1
+.names 47871 47872
+1 1
+.names 797 47873
+0 1
+.names 47866 47873 47874
+1- 1
+-1 1
+.names 1539 47875
+0 1
+.names 47863 47875 47876
+1- 1
+-1 1
+.names 47885 47888 47877
+11 1
+.names 47877 47878
+1 1
+.names 47878 47879
+0 1
+.names 47899 47901 47880
+11 1
+.names 47880 47881
+1 1
+.names 47881 47882
+0 1
+.names 47879 47882 47883
+1- 1
+-1 1
+.names 47872 47884
+0 1
+.names 2413 47885
+1 1
+.names 9643 47886
+0 1
+.names 1540 47887
+0 1
+.names 47886 47887 47888
+1- 1
+-1 1
+.names 47886 47889
+1 1
+.names 47896 47897 47890
+1- 1
+-1 1
+.names 47890 47891
+1 1
+.names 47857 47892
+0 1
+.names 47889 47893
+0 1
+.names 47892 47893 47894
+1- 1
+-1 1
+.names 47861 47895
+0 1
+.names 47894 47895 47896
+1- 1
+-1 1
+.names 47865 47897
+0 1
+.names 1541 47898
+0 1
+.names 47898 47862 47899
+1- 1
+-1 1
+.names 1542 47900
+0 1
+.names 47867 47900 47901
+1- 1
+-1 1
+.names 9621 47902
+0 1
+.names 47902 47903
+1 1
+.names 47909 47911 47904
+1- 1
+-1 1
+.names 47904 47905
+1 1
+.names 47925 47926 47906
+11 1
+.names 47906 47907
+1 1
+.names 47907 47908
+0 1
+.names 47863 47908 47909
+11 1
+.names 1543 47910
+0 1
+.names 9635 47910 47911
+11 1
+.names 47914 47915 47912
+1- 1
+-1 1
+.names 47912 47913
+1 1
+.names 9619 40440 47914
+1- 1
+-1 1
+.names 47903 47915
+0 1
+.names 47920 47923 47916
+1- 1
+-1 1
+.names 47916 47917
+1 1
+.names 47924 47867 47918
+11 1
+.names 47918 47919
+1 1
+.names 47919 47920
+0 1
+.names 47948 2413 47921
+11 1
+.names 47921 47922
+1 1
+.names 47922 47923
+0 1
+.names 9606 47924
+0 1
+.names 47917 47925
+0 1
+.names 47913 47926
+0 1
+.names 47930 47931 47927
+11 1
+.names 47927 47928
+1 1
+.names 1544 47929
+0 1
+.names 47867 47929 47930
+1- 1
+-1 1
+.names 47924 3253 47931
+1- 1
+-1 1
+.names 47941 47943 47932
+11 1
+.names 47932 47933
+1 1
+.names 44504 47902 47934
+1- 1
+-1 1
+.names 47934 47935
+1 1
+.names 47950 47862 47936
+1- 1
+-1 1
+.names 47936 47937
+1 1
+.names 47935 47937 47938
+11 1
+.names 47948 47949 47939
+1- 1
+-1 1
+.names 47939 47940
+1 1
+.names 47938 47940 47941
+11 1
+.names 2413 47942
+1 1
+.names 47942 47943
+1 1
+.names 47905 47944
+0 1
+.names 47933 47945
+0 1
+.names 47944 47945 47946
+1- 1
+-1 1
+.names 47928 47947
+0 1
+.names 9650 47948
+0 1
+.names 1545 47949
+0 1
+.names 1546 47950
+0 1
+.names 47956 47957 47951
+1- 1
+-1 1
+.names 47951 47952
+1 1
+.names 47973 47974 47953
+11 1
+.names 47953 47954
+1 1
+.names 47954 47955
+0 1
+.names 2413 47955 47956
+11 1
+.names 1783 2413 47957
+11 1
+.names 47961 47963 47958
+11 1
+.names 47958 47959
+1 1
+.names 9613 47960
+0 1
+.names 47960 2413 47961
+1- 1
+-1 1
+.names 9617 47962
+0 1
+.names 2413 47962 47963
+1- 1
+-1 1
+.names 47966 47968 47964
+1- 1
+-1 1
+.names 47964 47965
+1 1
+.names 9625 40411 47966
+1- 1
+-1 1
+.names 47924 47967
+1 1
+.names 47967 47968
+0 1
+.names 47962 47960 47969
+11 1
+.names 47969 47970
+1 1
+.names 47986 47987 47971
+1- 1
+-1 1
+.names 47971 47972
+1 1
+.names 47972 47973
+0 1
+.names 47965 47974
+0 1
+.names 47996 47997 47975
+1- 1
+-1 1
+.names 47975 47976
+1 1
+.names 47952 47977
+0 1
+.names 47981 47983 47978
+11 1
+.names 47978 47979
+1 1
+.names 9625 47980
+0 1
+.names 47980 2413 47981
+1- 1
+-1 1
+.names 1547 47982
+0 1
+.names 47924 47982 47983
+1- 1
+-1 1
+.names 47992 47948 47984
+11 1
+.names 47984 47985
+1 1
+.names 47985 47986
+0 1
+.names 47970 47987
+0 1
+.names 47991 47993 47988
+11 1
+.names 47988 47989
+1 1
+.names 1548 47990
+0 1
+.names 47948 47990 47991
+1- 1
+-1 1
+.names 9640 47992
+0 1
+.names 47992 2413 47993
+1- 1
+-1 1
+.names 47989 47994
+0 1
+.names 47959 47995
+0 1
+.names 47994 47995 47996
+1- 1
+-1 1
+.names 47979 47997
+0 1
+.names 47962 47998
+1 1
+.names 48004 48005 47999
+1- 1
+-1 1
+.names 47999 48000
+1 1
+.names 48018 48019 48001
+11 1
+.names 48001 48002
+1 1
+.names 48002 48003
+0 1
+.names 2413 48003 48004
+11 1
+.names 1783 2413 48005
+11 1
+.names 48009 40544 48006
+1- 1
+-1 1
+.names 48006 48007
+1 1
+.names 47998 48008
+0 1
+.names 9603 48008 48009
+1- 1
+-1 1
+.names 48014 48017 48010
+1- 1
+-1 1
+.names 48010 48011
+1 1
+.names 48043 47980 48012
+11 1
+.names 48012 48013
+1 1
+.names 48013 48014
+0 1
+.names 47960 47866 48015
+11 1
+.names 48015 48016
+1 1
+.names 48016 48017
+0 1
+.names 48011 48018
+0 1
+.names 48007 48019
+0 1
+.names 48039 48040 48020
+1- 1
+-1 1
+.names 48020 48021
+1 1
+.names 48000 48022
+0 1
+.names 48025 48027 48023
+11 1
+.names 48023 48024
+1 1
+.names 47980 44556 48025
+1- 1
+-1 1
+.names 1549 48026
+0 1
+.names 47866 48026 48027
+1- 1
+-1 1
+.names 48031 48034 48028
+11 1
+.names 48028 48029
+1 1
+.names 1550 48030
+0 1
+.names 47960 48030 48031
+1- 1
+-1 1
+.names 9603 48032
+0 1
+.names 1551 48033
+0 1
+.names 48032 48033 48034
+1- 1
+-1 1
+.names 48029 48035
+0 1
+.names 48042 48045 48036
+11 1
+.names 48036 48037
+1 1
+.names 48037 48038
+0 1
+.names 48035 48038 48039
+1- 1
+-1 1
+.names 48024 48040
+0 1
+.names 1552 48041
+0 1
+.names 47962 48041 48042
+1- 1
+-1 1
+.names 9636 48043
+0 1
+.names 1553 48044
+0 1
+.names 48043 48044 48045
+1- 1
+-1 1
+.names 48050 48053 48046
+1- 1
+-1 1
+.names 48046 48047
+1 1
+.names 48068 47867 48048
+11 1
+.names 48048 48049
+1 1
+.names 48049 48050
+0 1
+.names 47924 47992 48051
+11 1
+.names 48051 48052
+1 1
+.names 48052 48053
+0 1
+.names 48056 48059 48054
+11 1
+.names 48054 48055
+1 1
+.names 48047 48056
+0 1
+.names 48072 48073 48057
+1- 1
+-1 1
+.names 48057 48058
+1 1
+.names 48058 48059
+0 1
+.names 48087 48090 48060
+1- 1
+-1 1
+.names 48060 48061
+1 1
+.names 48076 48078 48062
+1- 1
+-1 1
+.names 48062 48063
+1 1
+.names 48063 48064
+0 1
+.names 48069 48070 48065
+11 1
+.names 48065 48066
+1 1
+.names 1554 48067
+0 1
+.names 9638 48068
+0 1
+.names 48067 48068 48069
+1- 1
+-1 1
+.names 47850 44564 48070
+1- 1
+-1 1
+.names 47886 48071
+1 1
+.names 9627 40578 48072
+1- 1
+-1 1
+.names 48071 48073
+0 1
+.names 2413 48074
+1 1
+.names 48074 48075
+0 1
+.names 48075 2413 48076
+11 1
+.names 48055 48077
+0 1
+.names 48074 48077 48078
+11 1
+.names 48082 48084 48079
+11 1
+.names 48079 48080
+1 1
+.names 1555 48081
+0 1
+.names 47886 48081 48082
+1- 1
+-1 1
+.names 1556 48083
+0 1
+.names 47992 48083 48084
+1- 1
+-1 1
+.names 48066 48085
+0 1
+.names 48080 48086
+0 1
+.names 48085 48086 48087
+1- 1
+-1 1
+.names 48091 48093 48088
+11 1
+.names 48088 48089
+1 1
+.names 48089 48090
+0 1
+.names 47924 5304 48091
+1- 1
+-1 1
+.names 1557 48092
+0 1
+.names 47867 48092 48093
+1- 1
+-1 1
+.names 48102 48105 48094
+1- 1
+-1 1
+.names 48094 48095
+1 1
+.names 48140 48142 48096
+11 1
+.names 48096 48097
+1 1
+.names 48097 48098
+0 1
+.names 48133 48135 48099
+11 1
+.names 48099 48100
+1 1
+.names 48100 48101
+0 1
+.names 48098 48101 48102
+1- 1
+-1 1
+.names 48136 48138 48103
+11 1
+.names 48103 48104
+1 1
+.names 48104 48105
+0 1
+.names 48108 47850 48106
+11 1
+.names 48106 48107
+1 1
+.names 9630 48108
+0 1
+.names 47960 48043 48109
+11 1
+.names 48109 48110
+1 1
+.names 48113 48114 48111
+1- 1
+-1 1
+.names 48111 48112
+1 1
+.names 48107 48113
+0 1
+.names 48110 48114
+0 1
+.names 48068 48115
+1 1
+.names 48119 40614 48116
+1- 1
+-1 1
+.names 48116 48117
+1 1
+.names 48115 48118
+0 1
+.names 9650 48118 48119
+1- 1
+-1 1
+.names 48122 48123 48120
+11 1
+.names 48120 48121
+1 1
+.names 48112 48122
+0 1
+.names 48117 48123
+0 1
+.names 2413 48124
+1 1
+.names 48129 48131 48125
+1- 1
+-1 1
+.names 48125 48126
+1 1
+.names 48126 48127
+0 1
+.names 48124 48128
+0 1
+.names 48128 2413 48129
+11 1
+.names 48121 48130
+0 1
+.names 48124 48130 48131
+11 1
+.names 1558 48132
+0 1
+.names 47960 48132 48133
+1- 1
+-1 1
+.names 1559 48134
+0 1
+.names 47948 48134 48135
+1- 1
+-1 1
+.names 47850 44522 48136
+1- 1
+-1 1
+.names 1560 48137
+0 1
+.names 48108 48137 48138
+1- 1
+-1 1
+.names 1561 48139
+0 1
+.names 48139 48068 48140
+1- 1
+-1 1
+.names 1562 48141
+0 1
+.names 48043 48141 48142
+1- 1
+-1 1
+.names 48068 48143
+1 1
+.names 48149 48150 48144
+1- 1
+-1 1
+.names 48144 48145
+1 1
+.names 48175 48176 48146
+11 1
+.names 48146 48147
+1 1
+.names 48147 48148
+0 1
+.names 2413 48148 48149
+11 1
+.names 1783 2413 48150
+11 1
+.names 48159 48162 48151
+1- 1
+-1 1
+.names 48151 48152
+1 1
+.names 48179 48181 48153
+11 1
+.names 48153 48154
+1 1
+.names 48154 48155
+0 1
+.names 48185 48187 48156
+11 1
+.names 48156 48157
+1 1
+.names 48157 48158
+0 1
+.names 48155 48158 48159
+1- 1
+-1 1
+.names 48188 48189 48160
+11 1
+.names 48160 48161
+1 1
+.names 48161 48162
+0 1
+.names 48166 40659 48163
+1- 1
+-1 1
+.names 48163 48164
+1 1
+.names 48143 48165
+0 1
+.names 9643 48165 48166
+1- 1
+-1 1
+.names 48171 48174 48167
+1- 1
+-1 1
+.names 48167 48168
+1 1
+.names 48182 48183 48169
+11 1
+.names 48169 48170
+1 1
+.names 48170 48171
+0 1
+.names 47960 47924 48172
+11 1
+.names 48172 48173
+1 1
+.names 48173 48174
+0 1
+.names 48168 48175
+0 1
+.names 48164 48176
+0 1
+.names 48145 48177
+0 1
+.names 1563 48178
+0 1
+.names 47960 48178 48179
+1- 1
+-1 1
+.names 1564 48180
+0 1
+.names 48180 47886 48181
+1- 1
+-1 1
+.names 9644 48182
+0 1
+.names 9649 48183
+0 1
+.names 1565 48184
+0 1
+.names 48068 48184 48185
+1- 1
+-1 1
+.names 1566 48186
+0 1
+.names 48182 48186 48187
+1- 1
+-1 1
+.names 48183 44531 48188
+1- 1
+-1 1
+.names 47924 2413 48189
+1- 1
+-1 1
+.names 48198 48201 48190
+1- 1
+-1 1
+.names 48190 48191
+1 1
+.names 48237 48238 48192
+11 1
+.names 48192 48193
+1 1
+.names 48193 48194
+0 1
+.names 48229 48231 48195
+11 1
+.names 48195 48196
+1 1
+.names 48196 48197
+0 1
+.names 48194 48197 48198
+1- 1
+-1 1
+.names 48240 48242 48199
+11 1
+.names 48199 48200
+1 1
+.names 48200 48201
+0 1
+.names 48204 48206 48202
+1- 1
+-1 1
+.names 48202 48203
+1 1
+.names 9621 40731 48204
+1- 1
+-1 1
+.names 47886 48205
+1 1
+.names 48205 48206
+0 1
+.names 48211 48214 48207
+1- 1
+-1 1
+.names 48207 48208
+1 1
+.names 48222 48182 48209
+11 1
+.names 48209 48210
+1 1
+.names 48210 48211
+0 1
+.names 47862 47867 48212
+11 1
+.names 48212 48213
+1 1
+.names 48213 48214
+0 1
+.names 48217 48218 48215
+11 1
+.names 48215 48216
+1 1
+.names 48208 48217
+0 1
+.names 48203 48218
+0 1
+.names 48225 48227 48219
+1- 1
+-1 1
+.names 48219 48220
+1 1
+.names 48220 48221
+0 1
+.names 9629 48222
+0 1
+.names 2413 48223
+1 1
+.names 48223 48224
+0 1
+.names 48224 2413 48225
+11 1
+.names 48216 48226
+0 1
+.names 48223 48226 48227
+11 1
+.names 1567 48228
+0 1
+.names 48228 47886 48229
+1- 1
+-1 1
+.names 1568 48230
+0 1
+.names 48182 48230 48231
+1- 1
+-1 1
+.names 48234 48232
+0 1
+.names 48232 48233
+1 1
+.names 47862 48234
+1 1
+.names 1569 48235
+0 1
+.names 48233 48236
+0 1
+.names 48235 48236 48237
+1- 1
+-1 1
+.names 47902 44573 48238
+1- 1
+-1 1
+.names 1570 48239
+0 1
+.names 48222 48239 48240
+1- 1
+-1 1
+.names 1571 48241
+0 1
+.names 47867 48241 48242
+1- 1
+-1 1
+.names 48248 48249 48243
+1- 1
+-1 1
+.names 48243 48244
+1 1
+.names 48274 48275 48245
+11 1
+.names 48245 48246
+1 1
+.names 48246 48247
+0 1
+.names 2413 48247 48248
+11 1
+.names 1783 2413 48249
+11 1
+.names 48258 48261 48250
+1- 1
+-1 1
+.names 48250 48251
+1 1
+.names 48285 48287 48252
+11 1
+.names 48252 48253
+1 1
+.names 48253 48254
+0 1
+.names 48278 48280 48255
+11 1
+.names 48255 48256
+1 1
+.names 48256 48257
+0 1
+.names 48254 48257 48258
+1- 1
+-1 1
+.names 48281 48283 48259
+11 1
+.names 48259 48260
+1 1
+.names 48260 48261
+0 1
+.names 48264 48266 48262
+1- 1
+-1 1
+.names 48262 48263
+1 1
+.names 9621 40764 48264
+1- 1
+-1 1
+.names 47924 48265
+1 1
+.names 48265 48266
+0 1
+.names 47863 48032 48267
+11 1
+.names 48267 48268
+1 1
+.names 47962 48271 48269
+11 1
+.names 48269 48270
+1 1
+.names 9637 48271
+0 1
+.names 48288 48289 48272
+1- 1
+-1 1
+.names 48272 48273
+1 1
+.names 48273 48274
+0 1
+.names 48263 48275
+0 1
+.names 48244 48276
+0 1
+.names 1572 48277
+0 1
+.names 48271 48277 48278
+1- 1
+-1 1
+.names 1573 48279
+0 1
+.names 48279 47962 48280
+1- 1
+-1 1
+.names 47902 44581 48281
+1- 1
+-1 1
+.names 848 48282
+0 1
+.names 47924 48282 48283
+1- 1
+-1 1
+.names 1574 48284
+0 1
+.names 48032 48284 48285
+1- 1
+-1 1
+.names 1575 48286
+0 1
+.names 47863 48286 48287
+1- 1
+-1 1
+.names 48268 48288
+0 1
+.names 48270 48289
+0 1
+.names 48300 48303 48290
+11 1
+.names 48290 48291
+1 1
+.names 48291 48292
+0 1
+.names 48306 48309 48293
+11 1
+.names 48293 48294
+1 1
+.names 48294 48295
+0 1
+.names 1576 48296
+0 1
+.names 48296 48297
+1 1
+.names 48334 48336 48298
+1- 1
+-1 1
+.names 48298 48299
+1 1
+.names 48299 48300
+0 1
+.names 48345 48346 48301
+1- 1
+-1 1
+.names 48301 48302
+1 1
+.names 48302 48303
+0 1
+.names 48343 48344 48304
+1- 1
+-1 1
+.names 48304 48305
+1 1
+.names 48305 48306
+0 1
+.names 48310 48313 48307
+1- 1
+-1 1
+.names 48307 48308
+1 1
+.names 48308 48309
+0 1
+.names 9617 1577 48310
+11 1
+.names 47960 48342 48311
+1- 1
+-1 1
+.names 48311 48312
+1 1
+.names 48312 48313
+0 1
+.names 2413 48317 48314
+11 1
+.names 48314 48315
+1 1
+.names 40812 48316
+1 1
+.names 48316 48317
+0 1
+.names 47992 47850 48318
+11 1
+.names 48318 48319
+1 1
+.names 47960 48222 48320
+11 1
+.names 48320 48321
+1 1
+.names 48329 48330 48322
+1- 1
+-1 1
+.names 48322 48323
+1 1
+.names 48321 48324
+0 1
+.names 47962 48325
+1 1
+.names 48325 48326
+0 1
+.names 48324 48326 48327
+1- 1
+-1 1
+.names 48319 48328
+0 1
+.names 48327 48328 48329
+1- 1
+-1 1
+.names 48315 48330
+0 1
+.names 48032 48331
+1 1
+.names 48331 48332
+0 1
+.names 48297 48333
+0 1
+.names 48332 48333 48334
+11 1
+.names 48323 48335
+0 1
+.names 48331 48335 48336
+11 1
+.names 47992 48339 48337
+1- 1
+-1 1
+.names 48337 48338
+1 1
+.names 1578 48339
+0 1
+.names 47850 44591 48340
+1- 1
+-1 1
+.names 48340 48341
+1 1
+.names 1579 48342
+0 1
+.names 9629 1783 48343
+11 1
+.names 48341 48344
+0 1
+.names 1783 48345
+1 1
+.names 48338 48346
+0 1
+.names 48357 48360 48347
+11 1
+.names 48347 48348
+1 1
+.names 48348 48349
+0 1
+.names 48363 48366 48350
+11 1
+.names 48350 48351
+1 1
+.names 48351 48352
+0 1
+.names 1580 48353
+0 1
+.names 48353 48354
+1 1
+.names 48382 48384 48355
+1- 1
+-1 1
+.names 48355 48356
+1 1
+.names 48356 48357
+0 1
+.names 48398 48399 48358
+1- 1
+-1 1
+.names 48358 48359
+1 1
+.names 48359 48360
+0 1
+.names 48367 48370 48361
+1- 1
+-1 1
+.names 48361 48362
+1 1
+.names 48362 48363
+0 1
+.names 48400 48401 48364
+1- 1
+-1 1
+.names 48364 48365
+1 1
+.names 48365 48366
+0 1
+.names 9630 1581 48367
+11 1
+.names 47850 44599 48368
+1- 1
+-1 1
+.names 48368 48369
+1 1
+.names 48369 48370
+0 1
+.names 2413 48374 48371
+11 1
+.names 48371 48372
+1 1
+.names 40869 48373
+1 1
+.names 48373 48374
+0 1
+.names 47850 47992 48375
+11 1
+.names 48375 48376
+1 1
+.names 47867 48108 48377
+11 1
+.names 48377 48378
+1 1
+.names 48390 48391 48379
+1- 1
+-1 1
+.names 48379 48380
+1 1
+.names 48380 48381
+0 1
+.names 47886 48381 48382
+11 1
+.names 48354 48383
+0 1
+.names 9643 48383 48384
+11 1
+.names 48378 48385
+0 1
+.names 48068 48386
+1 1
+.names 48386 48387
+0 1
+.names 48385 48387 48388
+1- 1
+-1 1
+.names 48376 48389
+0 1
+.names 48388 48389 48390
+1- 1
+-1 1
+.names 48372 48391
+0 1
+.names 47867 48394 48392
+1- 1
+-1 1
+.names 48392 48393
+1 1
+.names 1582 48394
+0 1
+.names 47992 48397 48395
+1- 1
+-1 1
+.names 48395 48396
+1 1
+.names 1583 48397
+0 1
+.names 1783 48398
+1 1
+.names 48396 48399
+0 1
+.names 9638 1584 48400
+11 1
+.names 48393 48401
+0 1
+.names 48405 48406 48402
+11 1
+.names 48402 48403
+1 1
+.names 1585 48404
+0 1
+.names 48404 47962 48405
+1- 1
+-1 1
+.names 47902 44608 48406
+1- 1
+-1 1
+.names 48409 48411 48407
+1- 1
+-1 1
+.names 48407 48408
+1 1
+.names 9621 40932 48409
+1- 1
+-1 1
+.names 48032 48410
+1 1
+.names 48410 48411
+0 1
+.names 48416 48419 48412
+1- 1
+-1 1
+.names 48412 48413
+1 1
+.names 47962 47960 48414
+11 1
+.names 48414 48415
+1 1
+.names 48415 48416
+0 1
+.names 48222 48182 48417
+11 1
+.names 48417 48418
+1 1
+.names 48418 48419
+0 1
+.names 48422 48423 48420
+11 1
+.names 48420 48421
+1 1
+.names 48413 48422
+0 1
+.names 48408 48423
+0 1
+.names 48443 48444 48424
+1- 1
+-1 1
+.names 48424 48425
+1 1
+.names 48436 48438 48426
+1- 1
+-1 1
+.names 48426 48427
+1 1
+.names 48427 48428
+0 1
+.names 48431 48433 48429
+11 1
+.names 48429 48430
+1 1
+.names 48222 2413 48431
+1- 1
+-1 1
+.names 1586 48432
+0 1
+.names 47960 48432 48433
+1- 1
+-1 1
+.names 2413 48434
+1 1
+.names 48434 48435
+0 1
+.names 48435 2413 48436
+11 1
+.names 48421 48437
+0 1
+.names 48434 48437 48438
+11 1
+.names 48403 48439
+0 1
+.names 48446 48448 48440
+11 1
+.names 48440 48441
+1 1
+.names 48441 48442
+0 1
+.names 48439 48442 48443
+1- 1
+-1 1
+.names 48430 48444
+0 1
+.names 1587 48445
+0 1
+.names 48032 48445 48446
+1- 1
+-1 1
+.names 1588 48447
+0 1
+.names 48182 48447 48448
+1- 1
+-1 1
+.names 48454 48455 48449
+1- 1
+-1 1
+.names 48449 48450
+1 1
+.names 48469 48470 48451
+11 1
+.names 48451 48452
+1 1
+.names 48452 48453
+0 1
+.names 48043 48453 48454
+11 1
+.names 9636 2413 48455
+11 1
+.names 48458 48460 48456
+1- 1
+-1 1
+.names 48456 48457
+1 1
+.names 9627 40976 48458
+1- 1
+-1 1
+.names 48032 48459
+1 1
+.names 48459 48460
+0 1
+.names 48465 48468 48461
+1- 1
+-1 1
+.names 48461 48462
+1 1
+.names 2413 47862 48463
+11 1
+.names 48463 48464
+1 1
+.names 48464 48465
+0 1
+.names 48271 48108 48466
+11 1
+.names 48466 48467
+1 1
+.names 48467 48468
+0 1
+.names 48462 48469
+0 1
+.names 48457 48470
+0 1
+.names 48492 48493 48471
+1- 1
+-1 1
+.names 48471 48472
+1 1
+.names 48450 48473
+0 1
+.names 2413 48474
+1 1
+.names 2413 48475
+1 1
+.names 48478 48479 48476
+11 1
+.names 48476 48477
+1 1
+.names 48271 2413 48478
+1- 1
+-1 1
+.names 47850 2413 48479
+1- 1
+-1 1
+.names 48483 48484 48480
+11 1
+.names 48480 48481
+1 1
+.names 1589 48482
+0 1
+.names 48032 48482 48483
+1- 1
+-1 1
+.names 2413 47862 48484
+1- 1
+-1 1
+.names 48488 48489 48485
+11 1
+.names 48485 48486
+1 1
+.names 1590 48487
+0 1
+.names 48108 48487 48488
+1- 1
+-1 1
+.names 48474 48475 48489
+1- 1
+-1 1
+.names 48477 48490
+0 1
+.names 48481 48491
+0 1
+.names 48490 48491 48492
+1- 1
+-1 1
+.names 48486 48493
+0 1
+.names 48499 48500 48494
+1- 1
+-1 1
+.names 48494 48495
+1 1
+.names 48526 48527 48496
+11 1
+.names 48496 48497
+1 1
+.names 48497 48498
+0 1
+.names 2413 48498 48499
+11 1
+.names 1783 2413 48500
+11 1
+.names 48509 48512 48501
+1- 1
+-1 1
+.names 48501 48502
+1 1
+.names 48538 48539 48503
+11 1
+.names 48503 48504
+1 1
+.names 48504 48505
+0 1
+.names 48534 48536 48506
+11 1
+.names 48506 48507
+1 1
+.names 48507 48508
+0 1
+.names 48505 48508 48509
+1- 1
+-1 1
+.names 48530 48532 48510
+11 1
+.names 48510 48511
+1 1
+.names 48511 48512
+0 1
+.names 48515 48517 48513
+1- 1
+-1 1
+.names 48513 48514
+1 1
+.names 9649 41012 48515
+1- 1
+-1 1
+.names 48068 48516
+1 1
+.names 48516 48517
+0 1
+.names 48522 48525 48518
+1- 1
+-1 1
+.names 48518 48519
+1 1
+.names 47886 47863 48520
+11 1
+.names 48520 48521
+1 1
+.names 48521 48522
+0 1
+.names 48271 47924 48523
+11 1
+.names 48523 48524
+1 1
+.names 48524 48525
+0 1
+.names 48519 48526
+0 1
+.names 48514 48527
+0 1
+.names 48495 48528
+0 1
+.names 1591 48529
+0 1
+.names 47886 48529 48530
+1- 1
+-1 1
+.names 1592 48531
+0 1
+.names 47924 48531 48532
+1- 1
+-1 1
+.names 1593 48533
+0 1
+.names 48068 48533 48534
+1- 1
+-1 1
+.names 1594 48535
+0 1
+.names 47863 48535 48536
+1- 1
+-1 1
+.names 1595 48537
+0 1
+.names 48271 48537 48538
+1- 1
+-1 1
+.names 48183 44633 48539
+1- 1
+-1 1
+.names 48545 48546 48540
+1- 1
+-1 1
+.names 48540 48541
+1 1
+.names 48573 48574 48542
+11 1
+.names 48542 48543
+1 1
+.names 48543 48544
+0 1
+.names 2413 48544 48545
+11 1
+.names 1783 2413 48546
+11 1
+.names 48555 48558 48547
+1- 1
+-1 1
+.names 48547 48548
+1 1
+.names 48580 48582 48549
+11 1
+.names 48549 48550
+1 1
+.names 48550 48551
+0 1
+.names 48584 48586 48552
+11 1
+.names 48552 48553
+1 1
+.names 48553 48554
+0 1
+.names 48551 48554 48555
+1- 1
+-1 1
+.names 48576 48578 48556
+11 1
+.names 48556 48557
+1 1
+.names 48557 48558
+0 1
+.names 48561 48564 48559
+1- 1
+-1 1
+.names 48559 48560
+1 1
+.names 9625 41054 48561
+1- 1
+-1 1
+.names 9645 48562
+0 1
+.names 48562 48563
+1 1
+.names 48563 48564
+0 1
+.names 48569 48572 48565
+1- 1
+-1 1
+.names 48565 48566
+1 1
+.names 47862 48271 48567
+11 1
+.names 48567 48568
+1 1
+.names 48568 48569
+0 1
+.names 48182 47948 48570
+11 1
+.names 48570 48571
+1 1
+.names 48571 48572
+0 1
+.names 48566 48573
+0 1
+.names 48560 48574
+0 1
+.names 48541 48575
+0 1
+.names 47980 44642 48576
+1- 1
+-1 1
+.names 1596 48577
+0 1
+.names 48562 48577 48578
+1- 1
+-1 1
+.names 1597 48579
+0 1
+.names 48271 48579 48580
+1- 1
+-1 1
+.names 1598 48581
+0 1
+.names 48581 47862 48582
+1- 1
+-1 1
+.names 1599 48583
+0 1
+.names 47948 48583 48584
+1- 1
+-1 1
+.names 1600 48585
+0 1
+.names 48182 48585 48586
+1- 1
+-1 1
+.names 48592 48593 48587
+1- 1
+-1 1
+.names 48587 48588
+1 1
+.names 48617 48618 48589
+11 1
+.names 48589 48590
+1 1
+.names 48590 48591
+0 1
+.names 2413 48591 48592
+11 1
+.names 1783 2413 48593
+11 1
+.names 48602 48605 48594
+1- 1
+-1 1
+.names 48594 48595
+1 1
+.names 48625 48626 48596
+11 1
+.names 48596 48597
+1 1
+.names 48597 48598
+0 1
+.names 48628 48630 48599
+11 1
+.names 48599 48600
+1 1
+.names 48600 48601
+0 1
+.names 48598 48601 48602
+1- 1
+-1 1
+.names 48621 48623 48603
+11 1
+.names 48603 48604
+1 1
+.names 48604 48605
+0 1
+.names 48608 48610 48606
+1- 1
+-1 1
+.names 48606 48607
+1 1
+.names 9625 41119 48608
+1- 1
+-1 1
+.names 47886 48609
+1 1
+.names 48609 48610
+0 1
+.names 47866 47863 48611
+11 1
+.names 48611 48612
+1 1
+.names 48068 48271 48613
+11 1
+.names 48613 48614
+1 1
+.names 48631 48632 48615
+1- 1
+-1 1
+.names 48615 48616
+1 1
+.names 48616 48617
+0 1
+.names 48607 48618
+0 1
+.names 48588 48619
+0 1
+.names 1601 48620
+0 1
+.names 47866 48620 48621
+1- 1
+-1 1
+.names 1602 48622
+0 1
+.names 48271 48622 48623
+1- 1
+-1 1
+.names 1603 48624
+0 1
+.names 48624 48068 48625
+1- 1
+-1 1
+.names 47980 44651 48626
+1- 1
+-1 1
+.names 1604 48627
+0 1
+.names 47886 48627 48628
+1- 1
+-1 1
+.names 1605 48629
+0 1
+.names 47863 48629 48630
+1- 1
+-1 1
+.names 48612 48631
+0 1
+.names 48614 48632
+0 1
+.names 48641 48644 48633
+1- 1
+-1 1
+.names 48633 48634
+1 1
+.names 48675 48676 48635
+11 1
+.names 48635 48636
+1 1
+.names 48636 48637
+0 1
+.names 48671 48673 48638
+11 1
+.names 48638 48639
+1 1
+.names 48639 48640
+0 1
+.names 48637 48640 48641
+1- 1
+-1 1
+.names 48677 48679 48642
+11 1
+.names 48642 48643
+1 1
+.names 48643 48644
+0 1
+.names 48647 48649 48645
+1- 1
+-1 1
+.names 48645 48646
+1 1
+.names 9625 41159 48647
+1- 1
+-1 1
+.names 48032 48648
+1 1
+.names 48648 48649
+0 1
+.names 48654 48657 48650
+1- 1
+-1 1
+.names 48650 48651
+1 1
+.names 48222 47992 48652
+11 1
+.names 48652 48653
+1 1
+.names 48653 48654
+0 1
+.names 48068 47867 48655
+11 1
+.names 48655 48656
+1 1
+.names 48656 48657
+0 1
+.names 48660 48661 48658
+11 1
+.names 48658 48659
+1 1
+.names 48651 48660
+0 1
+.names 48646 48661
+0 1
+.names 48667 48669 48662
+1- 1
+-1 1
+.names 48662 48663
+1 1
+.names 48663 48664
+0 1
+.names 2413 48665
+1 1
+.names 48665 48666
+0 1
+.names 48666 2413 48667
+11 1
+.names 48659 48668
+0 1
+.names 48665 48668 48669
+11 1
+.names 1606 48670
+0 1
+.names 48670 48032 48671
+1- 1
+-1 1
+.names 1607 48672
+0 1
+.names 47992 48672 48673
+1- 1
+-1 1
+.names 1608 48674
+0 1
+.names 48674 48068 48675
+1- 1
+-1 1
+.names 47980 44660 48676
+1- 1
+-1 1
+.names 48222 2413 48677
+1- 1
+-1 1
+.names 1609 48678
+0 1
+.names 47867 48678 48679
+1- 1
+-1 1
+.names 48688 48691 48680
+1- 1
+-1 1
+.names 48680 48681
+1 1
+.names 48710 48711 48682
+11 1
+.names 48682 48683
+1 1
+.names 48683 48684
+0 1
+.names 48704 48706 48685
+11 1
+.names 48685 48686
+1 1
+.names 48686 48687
+0 1
+.names 48684 48687 48688
+1- 1
+-1 1
+.names 48707 48708 48689
+11 1
+.names 48689 48690
+1 1
+.names 48690 48691
+0 1
+.names 48695 2413 48692
+11 1
+.names 48692 48693
+1 1
+.names 41221 48694
+1 1
+.names 48694 48695
+0 1
+.names 47948 48183 48696
+11 1
+.names 48696 48697
+1 1
+.names 47867 48562 48698
+11 1
+.names 48698 48699
+1 1
+.names 48714 48717 48700
+1- 1
+-1 1
+.names 48700 48701
+1 1
+.names 48701 48702
+0 1
+.names 1610 48703
+0 1
+.names 48703 47863 48704
+1- 1
+-1 1
+.names 1611 48705
+0 1
+.names 47948 48705 48706
+1- 1
+-1 1
+.names 48183 44668 48707
+1- 1
+-1 1
+.names 48562 2413 48708
+1- 1
+-1 1
+.names 1612 48709
+0 1
+.names 47867 48709 48710
+1- 1
+-1 1
+.names 2413 48711
+1 1
+.names 48723 48724 48712
+1- 1
+-1 1
+.names 48712 48713
+1 1
+.names 48068 48713 48714
+11 1
+.names 1613 48715
+0 1
+.names 48715 48716
+1 1
+.names 9638 48716 48717
+11 1
+.names 47863 48718
+1 1
+.names 48693 48719
+0 1
+.names 48718 48720
+0 1
+.names 48719 48720 48721
+1- 1
+-1 1
+.names 48697 48722
+0 1
+.names 48721 48722 48723
+1- 1
+-1 1
+.names 48699 48724
+0 1
+.names 48727 48729 48725
+1- 1
+-1 1
+.names 48725 48726
+1 1
+.names 9621 41264 48727
+1- 1
+-1 1
+.names 48032 48728
+1 1
+.names 48728 48729
+0 1
+.names 48734 48737 48730
+1- 1
+-1 1
+.names 48730 48731
+1 1
+.names 48068 47960 48732
+11 1
+.names 48732 48733
+1 1
+.names 48733 48734
+0 1
+.names 48108 47992 48735
+11 1
+.names 48735 48736
+1 1
+.names 48736 48737
+0 1
+.names 48740 48741 48738
+11 1
+.names 48738 48739
+1 1
+.names 48731 48740
+0 1
+.names 48726 48741
+0 1
+.names 48759 48760 48742
+1- 1
+-1 1
+.names 48742 48743
+1 1
+.names 48766 48767 48744
+1- 1
+-1 1
+.names 48744 48745
+1 1
+.names 48745 48746
+0 1
+.names 48750 48752 48747
+11 1
+.names 48747 48748
+1 1
+.names 1614 48749
+0 1
+.names 48108 48749 48750
+1- 1
+-1 1
+.names 1615 48751
+0 1
+.names 47960 48751 48752
+1- 1
+-1 1
+.names 48769 48770 48753
+11 1
+.names 48753 48754
+1 1
+.names 48754 48755
+0 1
+.names 48762 48764 48756
+11 1
+.names 48756 48757
+1 1
+.names 48757 48758
+0 1
+.names 48755 48758 48759
+1- 1
+-1 1
+.names 48748 48760
+0 1
+.names 1616 48761
+0 1
+.names 48761 48032 48762
+1- 1
+-1 1
+.names 1617 48763
+0 1
+.names 47992 48763 48764
+1- 1
+-1 1
+.names 48739 48765
+0 1
+.names 2413 48765 48766
+11 1
+.names 1783 2413 48767
+11 1
+.names 1618 48768
+0 1
+.names 48768 48068 48769
+1- 1
+-1 1
+.names 47902 44678 48770
+1- 1
+-1 1
+.names 48775 41298 48771
+1- 1
+-1 1
+.names 48771 48772
+1 1
+.names 47902 48773
+1 1
+.names 48773 48774
+0 1
+.names 9650 48774 48775
+1- 1
+-1 1
+.names 48043 48271 48776
+11 1
+.names 48776 48777
+1 1
+.names 48782 48783 48778
+11 1
+.names 48778 48779
+1 1
+.names 48805 48806 48780
+1- 1
+-1 1
+.names 48780 48781
+1 1
+.names 48781 48782
+0 1
+.names 48772 48783
+0 1
+.names 48814 48815 48784
+1- 1
+-1 1
+.names 48784 48785
+1 1
+.names 48803 48804 48786
+1- 1
+-1 1
+.names 48786 48787
+1 1
+.names 48787 48788
+0 1
+.names 47962 48562 48789
+11 1
+.names 48789 48790
+1 1
+.names 48793 48795 48791
+11 1
+.names 48791 48792
+1 1
+.names 47902 44617 48793
+1- 1
+-1 1
+.names 1619 48794
+0 1
+.names 48562 48794 48795
+1- 1
+-1 1
+.names 48799 48801 48796
+11 1
+.names 48796 48797
+1 1
+.names 1620 48798
+0 1
+.names 48271 48798 48799
+1- 1
+-1 1
+.names 1621 48800
+0 1
+.names 47948 48800 48801
+1- 1
+-1 1
+.names 48779 48802
+0 1
+.names 2413 48802 48803
+11 1
+.names 1783 2413 48804
+11 1
+.names 48790 48805
+0 1
+.names 48777 48806
+0 1
+.names 48810 48811 48807
+11 1
+.names 48807 48808
+1 1
+.names 1622 48809
+0 1
+.names 47962 48809 48810
+1- 1
+-1 1
+.names 48043 2413 48811
+1- 1
+-1 1
+.names 48797 48812
+0 1
+.names 48808 48813
+0 1
+.names 48812 48813 48814
+1- 1
+-1 1
+.names 48792 48815
+0 1
+.names 48821 48822 48816
+1- 1
+-1 1
+.names 48816 48817
+1 1
+.names 48848 48849 48818
+11 1
+.names 48818 48819
+1 1
+.names 48819 48820
+0 1
+.names 2413 48820 48821
+11 1
+.names 1783 2413 48822
+11 1
+.names 48831 48834 48823
+1- 1
+-1 1
+.names 48823 48824
+1 1
+.names 48858 48860 48825
+11 1
+.names 48825 48826
+1 1
+.names 48826 48827
+0 1
+.names 48854 48856 48828
+11 1
+.names 48828 48829
+1 1
+.names 48829 48830
+0 1
+.names 48827 48830 48831
+1- 1
+-1 1
+.names 48851 48852 48832
+11 1
+.names 48832 48833
+1 1
+.names 48833 48834
+0 1
+.names 48837 48839 48835
+1- 1
+-1 1
+.names 48835 48836
+1 1
+.names 9621 41355 48837
+1- 1
+-1 1
+.names 48562 48838
+1 1
+.names 48838 48839
+0 1
+.names 48844 48847 48840
+1- 1
+-1 1
+.names 48840 48841
+1 1
+.names 47862 48271 48842
+11 1
+.names 48842 48843
+1 1
+.names 48843 48844
+0 1
+.names 48182 47948 48845
+11 1
+.names 48845 48846
+1 1
+.names 48846 48847
+0 1
+.names 48841 48848
+0 1
+.names 48836 48849
+0 1
+.names 48817 48850
+0 1
+.names 47902 44625 48851
+1- 1
+-1 1
+.names 48562 4848 48852
+1- 1
+-1 1
+.names 1623 48853
+0 1
+.names 48271 48853 48854
+1- 1
+-1 1
+.names 1624 48855
+0 1
+.names 48855 47862 48856
+1- 1
+-1 1
+.names 1625 48857
+0 1
+.names 47948 48857 48858
+1- 1
+-1 1
+.names 1626 48859
+0 1
+.names 48182 48859 48860
+1- 1
+-1 1
+.names 47962 48861
+1 1
+.names 48867 48869 48862
+1- 1
+-1 1
+.names 48862 48863
+1 1
+.names 48899 48900 48864
+11 1
+.names 48864 48865
+1 1
+.names 48865 48866
+0 1
+.names 47992 48866 48867
+11 1
+.names 1627 48868
+0 1
+.names 9640 48868 48869
+11 1
+.names 48878 48881 48870
+1- 1
+-1 1
+.names 48870 48871
+1 1
+.names 48904 48906 48872
+11 1
+.names 48872 48873
+1 1
+.names 48873 48874
+0 1
+.names 48891 48893 48875
+11 1
+.names 48875 48876
+1 1
+.names 48876 48877
+0 1
+.names 48874 48877 48878
+1- 1
+-1 1
+.names 48894 48896 48879
+11 1
+.names 48879 48880
+1 1
+.names 48880 48881
+0 1
+.names 48885 41428 48882
+1- 1
+-1 1
+.names 48882 48883
+1 1
+.names 48861 48884
+0 1
+.names 9603 48884 48885
+1- 1
+-1 1
+.names 48562 2413 48886
+11 1
+.names 48886 48887
+1 1
+.names 48271 48183 48888
+11 1
+.names 48888 48889
+1 1
+.names 48863 48890
+0 1
+.names 2413 48891
+1 1
+.names 1628 48892
+0 1
+.names 48271 48892 48893
+1- 1
+-1 1
+.names 48183 44686 48894
+1- 1
+-1 1
+.names 795 48895
+0 1
+.names 48562 48895 48896
+1- 1
+-1 1
+.names 48901 48902 48897
+1- 1
+-1 1
+.names 48897 48898
+1 1
+.names 48898 48899
+0 1
+.names 48883 48900
+0 1
+.names 48887 48901
+0 1
+.names 48889 48902
+0 1
+.names 1629 48903
+0 1
+.names 48032 48903 48904
+1- 1
+-1 1
+.names 1630 48905
+0 1
+.names 48905 47962 48906
+1- 1
+-1 1
+.names 47962 48907
+1 1
+.names 48913 48914 48908
+1- 1
+-1 1
+.names 48908 48909
+1 1
+.names 48927 48928 48910
+11 1
+.names 48910 48911
+1 1
+.names 48911 48912
+0 1
+.names 2413 48912 48913
+11 1
+.names 1783 2413 48914
+11 1
+.names 48917 48918 48915
+1- 1
+-1 1
+.names 48915 48916
+1 1
+.names 9649 41460 48917
+1- 1
+-1 1
+.names 48907 48918
+0 1
+.names 48923 48926 48919
+1- 1
+-1 1
+.names 48919 48920
+1 1
+.names 47948 47992 48921
+11 1
+.names 48921 48922
+1 1
+.names 48922 48923
+0 1
+.names 47867 47924 48924
+11 1
+.names 48924 48925
+1 1
+.names 48925 48926
+0 1
+.names 48920 48927
+0 1
+.names 48916 48928
+0 1
+.names 48945 48948 48929
+1- 1
+-1 1
+.names 48929 48930
+1 1
+.names 48909 48931
+0 1
+.names 48935 48937 48932
+11 1
+.names 48932 48933
+1 1
+.names 1631 48934
+0 1
+.names 48934 47962 48935
+1- 1
+-1 1
+.names 1632 48936
+0 1
+.names 47992 48936 48937
+1- 1
+-1 1
+.names 48941 48942 48938
+11 1
+.names 48938 48939
+1 1
+.names 1633 48940
+0 1
+.names 47867 48940 48941
+1- 1
+-1 1
+.names 48183 44694 48942
+1- 1
+-1 1
+.names 48939 48943
+0 1
+.names 48933 48944
+0 1
+.names 48943 48944 48945
+1- 1
+-1 1
+.names 48950 48952 48946
+11 1
+.names 48946 48947
+1 1
+.names 48947 48948
+0 1
+.names 1634 48949
+0 1
+.names 48949 47948 48950
+1- 1
+-1 1
+.names 57097 48951
+0 1
+.names 47924 48951 48952
+1- 1
+-1 1
+.names 47960 47866 48953
+11 1
+.names 48953 48954
+1 1
+.names 47962 48955
+1 1
+.names 48043 47850 48956
+11 1
+.names 48956 48957
+1 1
+.names 2413 48961 48958
+11 1
+.names 48958 48959
+1 1
+.names 41506 48960
+1 1
+.names 48960 48961
+0 1
+.names 48968 48969 48962
+1- 1
+-1 1
+.names 48962 48963
+1 1
+.names 48954 48964
+0 1
+.names 48955 48965
+0 1
+.names 48964 48965 48966
+1- 1
+-1 1
+.names 48957 48967
+0 1
+.names 48966 48967 48968
+1- 1
+-1 1
+.names 48959 48969
+0 1
+.names 1635 48970
+0 1
+.names 48970 48971
+1 1
+.names 48975 48977 48972
+1- 1
+-1 1
+.names 48972 48973
+1 1
+.names 48963 48974
+0 1
+.names 48032 48974 48975
+11 1
+.names 48971 48976
+0 1
+.names 9603 48976 48977
+11 1
+.names 48043 48980 48978
+1- 1
+-1 1
+.names 48978 48979
+1 1
+.names 1636 48980
+0 1
+.names 48983 48986 48981
+11 1
+.names 48981 48982
+1 1
+.names 48973 48983
+0 1
+.names 49008 49009 48984
+1- 1
+-1 1
+.names 48984 48985
+1 1
+.names 48985 48986
+0 1
+.names 47850 44703 48987
+1- 1
+-1 1
+.names 48987 48988
+1 1
+.names 47960 48989
+1 1
+.names 48989 48990
+0 1
+.names 48990 48991
+1 1
+.names 48994 48995 48992
+1- 1
+-1 1
+.names 48992 48993
+1 1
+.names 48991 48994
+0 1
+.names 1637 48995
+0 1
+.names 48998 48999 48996
+1- 1
+-1 1
+.names 48996 48997
+1 1
+.names 9617 1638 48998
+11 1
+.names 48993 48999
+0 1
+.names 49004 49005 49000
+11 1
+.names 49000 49001
+1 1
+.names 49010 49011 49002
+1- 1
+-1 1
+.names 49002 49003
+1 1
+.names 49003 49004
+0 1
+.names 48997 49005
+0 1
+.names 48982 49006
+0 1
+.names 49001 49007
+0 1
+.names 1783 49008
+1 1
+.names 48979 49009
+0 1
+.names 9651 1783 49010
+11 1
+.names 48988 49011
+0 1
+.names 49017 49018 49012
+1- 1
+-1 1
+.names 49012 49013
+1 1
+.names 49030 49031 49014
+11 1
+.names 49014 49015
+1 1
+.names 49015 49016
+0 1
+.names 2413 49016 49017
+11 1
+.names 1783 2413 49018
+11 1
+.names 49021 49023 49019
+1- 1
+-1 1
+.names 49019 49020
+1 1
+.names 9625 41551 49021
+1- 1
+-1 1
+.names 47866 49022
+1 1
+.names 49022 49023
+0 1
+.names 47863 48032 49024
+11 1
+.names 49024 49025
+1 1
+.names 47962 48271 49026
+11 1
+.names 49026 49027
+1 1
+.names 49056 49057 49028
+1- 1
+-1 1
+.names 49028 49029
+1 1
+.names 49029 49030
+0 1
+.names 49020 49031
+0 1
+.names 49045 49048 49032
+1- 1
+-1 1
+.names 49032 49033
+1 1
+.names 49013 49034
+0 1
+.names 49038 49040 49035
+11 1
+.names 49035 49036
+1 1
+.names 1639 49037
+0 1
+.names 48271 49037 49038
+1- 1
+-1 1
+.names 1640 49039
+0 1
+.names 49039 47962 49040
+1- 1
+-1 1
+.names 49053 49055 49041
+11 1
+.names 49041 49042
+1 1
+.names 49042 49043
+0 1
+.names 49036 49044
+0 1
+.names 49043 49044 49045
+1- 1
+-1 1
+.names 49049 49051 49046
+11 1
+.names 49046 49047
+1 1
+.names 49047 49048
+0 1
+.names 47980 44711 49049
+1- 1
+-1 1
+.names 1641 49050
+0 1
+.names 47866 49050 49051
+1- 1
+-1 1
+.names 1642 49052
+0 1
+.names 48032 49052 49053
+1- 1
+-1 1
+.names 1643 49054
+0 1
+.names 47863 49054 49055
+1- 1
+-1 1
+.names 49025 49056
+0 1
+.names 49027 49057
+0 1
+.names 49066 49069 49058
+1- 1
+-1 1
+.names 49058 49059
+1 1
+.names 49102 49104 49060
+11 1
+.names 49060 49061
+1 1
+.names 49061 49062
+0 1
+.names 49099 49101 49063
+11 1
+.names 49063 49064
+1 1
+.names 49064 49065
+0 1
+.names 49062 49065 49066
+1- 1
+-1 1
+.names 49091 49092 49067
+11 1
+.names 49067 49068
+1 1
+.names 49068 49069
+0 1
+.names 49074 41598 49070
+1- 1
+-1 1
+.names 49070 49071
+1 1
+.names 47980 49072
+1 1
+.names 49072 49073
+0 1
+.names 9629 49073 49074
+1- 1
+-1 1
+.names 49079 49082 49075
+1- 1
+-1 1
+.names 49075 49076
+1 1
+.names 2413 47886 49077
+11 1
+.names 49077 49078
+1 1
+.names 49078 49079
+0 1
+.names 47862 47867 49080
+11 1
+.names 49080 49081
+1 1
+.names 49081 49082
+0 1
+.names 49085 49086 49083
+11 1
+.names 49083 49084
+1 1
+.names 49076 49085
+0 1
+.names 49071 49086
+0 1
+.names 49096 49098 49087
+1- 1
+-1 1
+.names 49087 49088
+1 1
+.names 49088 49089
+0 1
+.names 1644 49090
+0 1
+.names 49090 47862 49091
+1- 1
+-1 1
+.names 2413 48222 49092
+1- 1
+-1 1
+.names 48182 49093
+1 1
+.names 49093 49094
+0 1
+.names 1645 49095
+0 1
+.names 49094 49095 49096
+11 1
+.names 49084 49097
+0 1
+.names 49093 49097 49098
+11 1
+.names 2413 49099
+1 1
+.names 1646 49100
+0 1
+.names 47867 49100 49101
+1- 1
+-1 1
+.names 47980 44720 49102
+1- 1
+-1 1
+.names 1647 49103
+0 1
+.names 47886 49103 49104
+1- 1
+-1 1
+.names 49110 49111 49105
+1- 1
+-1 1
+.names 49105 49106
+1 1
+.names 49137 49138 49107
+11 1
+.names 49107 49108
+1 1
+.names 49108 49109
+0 1
+.names 2413 49109 49110
+11 1
+.names 1783 2413 49111
+11 1
+.names 49120 49123 49112
+1- 1
+-1 1
+.names 49112 49113
+1 1
+.names 49147 49149 49114
+11 1
+.names 49114 49115
+1 1
+.names 49115 49116
+0 1
+.names 49143 49145 49117
+11 1
+.names 49117 49118
+1 1
+.names 49118 49119
+0 1
+.names 49116 49119 49120
+1- 1
+-1 1
+.names 49140 49141 49121
+11 1
+.names 49121 49122
+1 1
+.names 49122 49123
+0 1
+.names 49126 49128 49124
+1- 1
+-1 1
+.names 49124 49125
+1 1
+.names 9621 41659 49126
+1- 1
+-1 1
+.names 48108 49127
+1 1
+.names 49127 49128
+0 1
+.names 49133 49136 49129
+1- 1
+-1 1
+.names 49129 49130
+1 1
+.names 47862 48271 49131
+11 1
+.names 49131 49132
+1 1
+.names 49132 49133
+0 1
+.names 48182 47948 49134
+11 1
+.names 49134 49135
+1 1
+.names 49135 49136
+0 1
+.names 49130 49137
+0 1
+.names 49125 49138
+0 1
+.names 49106 49139
+0 1
+.names 47902 44729 49140
+1- 1
+-1 1
+.names 48108 2413 49141
+1- 1
+-1 1
+.names 1648 49142
+0 1
+.names 48271 49142 49143
+1- 1
+-1 1
+.names 1649 49144
+0 1
+.names 47862 49144 49145
+1- 1
+-1 1
+.names 1650 49146
+0 1
+.names 47948 49146 49147
+1- 1
+-1 1
+.names 1651 49148
+0 1
+.names 48182 49148 49149
+1- 1
+-1 1
+.names 49152 49154 49150
+1- 1
+-1 1
+.names 49150 49151
+1 1
+.names 9649 41702 49152
+1- 1
+-1 1
+.names 47886 49153
+1 1
+.names 49153 49154
+0 1
+.names 49159 49162 49155
+1- 1
+-1 1
+.names 49155 49156
+1 1
+.names 2413 47862 49157
+11 1
+.names 49157 49158
+1 1
+.names 49158 49159
+0 1
+.names 48271 47924 49160
+11 1
+.names 49160 49161
+1 1
+.names 49161 49162
+0 1
+.names 49165 49166 49163
+11 1
+.names 49163 49164
+1 1
+.names 49156 49165
+0 1
+.names 49151 49166
+0 1
+.names 49190 49191 49167
+1- 1
+-1 1
+.names 49167 49168
+1 1
+.names 49193 49195 49169
+1- 1
+-1 1
+.names 49169 49170
+1 1
+.names 49170 49171
+0 1
+.names 49174 49176 49172
+11 1
+.names 49172 49173
+1 1
+.names 2413 49174
+1 1
+.names 1652 49175
+0 1
+.names 47924 49175 49176
+1- 1
+-1 1
+.names 49180 49181 49177
+11 1
+.names 49177 49178
+1 1
+.names 1653 49179
+0 1
+.names 48271 49179 49180
+1- 1
+-1 1
+.names 48183 44737 49181
+1- 1
+-1 1
+.names 49185 49187 49182
+11 1
+.names 49182 49183
+1 1
+.names 1654 49184
+0 1
+.names 47886 49184 49185
+1- 1
+-1 1
+.names 1655 49186
+0 1
+.names 49186 47862 49187
+1- 1
+-1 1
+.names 49178 49188
+0 1
+.names 49183 49189
+0 1
+.names 49188 49189 49190
+1- 1
+-1 1
+.names 49173 49191
+0 1
+.names 49164 49192
+0 1
+.names 48043 49192 49193
+11 1
+.names 1656 49194
+0 1
+.names 9636 49194 49195
+11 1
+.names 49198 49200 49196
+1- 1
+-1 1
+.names 49196 49197
+1 1
+.names 9627 41748 49198
+1- 1
+-1 1
+.names 48068 49199
+1 1
+.names 49199 49200
+0 1
+.names 49205 49208 49201
+1- 1
+-1 1
+.names 49201 49202
+1 1
+.names 48032 2413 49203
+11 1
+.names 49203 49204
+1 1
+.names 49204 49205
+0 1
+.names 47960 48108 49206
+11 1
+.names 49206 49207
+1 1
+.names 49207 49208
+0 1
+.names 49211 49212 49209
+11 1
+.names 49209 49210
+1 1
+.names 49202 49211
+0 1
+.names 49197 49212
+0 1
+.names 49233 49236 49213
+1- 1
+-1 1
+.names 49213 49214
+1 1
+.names 49226 49228 49215
+1- 1
+-1 1
+.names 49215 49216
+1 1
+.names 49216 49217
+0 1
+.names 49220 49222 49218
+11 1
+.names 49218 49219
+1 1
+.names 2413 49220
+1 1
+.names 1657 49221
+0 1
+.names 48108 49221 49222
+1- 1
+-1 1
+.names 48043 49223
+1 1
+.names 49223 49224
+0 1
+.names 1658 49225
+0 1
+.names 49224 49225 49226
+11 1
+.names 49210 49227
+0 1
+.names 49223 49227 49228
+11 1
+.names 49219 49229
+0 1
+.names 49241 49243 49230
+11 1
+.names 49230 49231
+1 1
+.names 49231 49232
+0 1
+.names 49229 49232 49233
+1- 1
+-1 1
+.names 49238 49239 49234
+11 1
+.names 49234 49235
+1 1
+.names 49235 49236
+0 1
+.names 1659 49237
+0 1
+.names 47960 49237 49238
+1- 1
+-1 1
+.names 47850 44745 49239
+1- 1
+-1 1
+.names 1660 49240
+0 1
+.names 48032 49240 49241
+1- 1
+-1 1
+.names 1661 49242
+0 1
+.names 48068 49242 49243
+1- 1
+-1 1
+.names 49246 49248 49244
+1- 1
+-1 1
+.names 49244 49245
+1 1
+.names 9627 41792 49246
+1- 1
+-1 1
+.names 48032 49247
+1 1
+.names 49247 49248
+0 1
+.names 49253 49256 49249
+1- 1
+-1 1
+.names 49249 49250
+1 1
+.names 2413 47862 49251
+11 1
+.names 49251 49252
+1 1
+.names 49252 49253
+0 1
+.names 47960 48562 49254
+11 1
+.names 49254 49255
+1 1
+.names 49255 49256
+0 1
+.names 49259 49260 49257
+11 1
+.names 49257 49258
+1 1
+.names 49250 49259
+0 1
+.names 49245 49260
+0 1
+.names 49280 49281 49261
+1- 1
+-1 1
+.names 49261 49262
+1 1
+.names 49287 49289 49263
+1- 1
+-1 1
+.names 49263 49264
+1 1
+.names 49264 49265
+0 1
+.names 49268 49270 49266
+11 1
+.names 49266 49267
+1 1
+.names 2413 49268
+1 1
+.names 832 49269
+0 1
+.names 48562 49269 49270
+1- 1
+-1 1
+.names 49274 49275 49271
+11 1
+.names 49271 49272
+1 1
+.names 1662 49273
+0 1
+.names 47960 49273 49274
+1- 1
+-1 1
+.names 47850 44753 49275
+1- 1
+-1 1
+.names 49267 49276
+0 1
+.names 49283 49285 49277
+11 1
+.names 49277 49278
+1 1
+.names 49278 49279
+0 1
+.names 49276 49279 49280
+1- 1
+-1 1
+.names 49272 49281
+0 1
+.names 1663 49282
+0 1
+.names 48032 49282 49283
+1- 1
+-1 1
+.names 1664 49284
+0 1
+.names 49284 47862 49285
+1- 1
+-1 1
+.names 49258 49286
+0 1
+.names 47863 49286 49287
+11 1
+.names 1665 49288
+0 1
+.names 9635 49288 49289
+11 1
+.names 49295 49296 49290
+1- 1
+-1 1
+.names 49290 49291
+1 1
+.names 49320 49321 49292
+11 1
+.names 49292 49293
+1 1
+.names 49293 49294
+0 1
+.names 2413 49294 49295
+11 1
+.names 1783 2413 49296
+11 1
+.names 49305 49308 49297
+1- 1
+-1 1
+.names 49297 49298
+1 1
+.names 49327 49329 49299
+11 1
+.names 49299 49300
+1 1
+.names 49300 49301
+0 1
+.names 49333 49335 49302
+11 1
+.names 49302 49303
+1 1
+.names 49303 49304
+0 1
+.names 49301 49304 49305
+1- 1
+-1 1
+.names 49323 49325 49306
+11 1
+.names 49306 49307
+1 1
+.names 49307 49308
+0 1
+.names 49311 49313 49309
+1- 1
+-1 1
+.names 49309 49310
+1 1
+.names 9625 41829 49311
+1- 1
+-1 1
+.names 48562 49312
+1 1
+.names 49312 49313
+0 1
+.names 48043 47886 49314
+11 1
+.names 49314 49315
+1 1
+.names 47862 48271 49316
+11 1
+.names 49316 49317
+1 1
+.names 49330 49331 49318
+1- 1
+-1 1
+.names 49318 49319
+1 1
+.names 49319 49320
+0 1
+.names 49310 49321
+0 1
+.names 49291 49322
+0 1
+.names 47980 44762 49323
+1- 1
+-1 1
+.names 1666 49324
+0 1
+.names 48562 49324 49325
+1- 1
+-1 1
+.names 1667 49326
+0 1
+.names 48271 49326 49327
+1- 1
+-1 1
+.names 1668 49328
+0 1
+.names 49328 47862 49329
+1- 1
+-1 1
+.names 49315 49330
+0 1
+.names 49317 49331
+0 1
+.names 1669 49332
+0 1
+.names 47886 49332 49333
+1- 1
+-1 1
+.names 1670 49334
+0 1
+.names 48043 49334 49335
+1- 1
+-1 1
+.names 48068 49336
+1 1
+.names 49340 41887 49337
+1- 1
+-1 1
+.names 49337 49338
+1 1
+.names 49336 49339
+0 1
+.names 9643 49339 49340
+1- 1
+-1 1
+.names 49345 49348 49341
+1- 1
+-1 1
+.names 49341 49342
+1 1
+.names 48183 2413 49343
+11 1
+.names 49343 49344
+1 1
+.names 49344 49345
+0 1
+.names 47960 48562 49346
+11 1
+.names 49346 49347
+1 1
+.names 49347 49348
+0 1
+.names 49351 49352 49349
+11 1
+.names 49349 49350
+1 1
+.names 49342 49351
+0 1
+.names 49338 49352
+0 1
+.names 49378 49379 49353
+1- 1
+-1 1
+.names 49353 49354
+1 1
+.names 49361 49363 49355
+1- 1
+-1 1
+.names 49355 49356
+1 1
+.names 49356 49357
+0 1
+.names 48182 49358
+1 1
+.names 49358 49359
+0 1
+.names 1671 49360
+0 1
+.names 49359 49360 49361
+11 1
+.names 49350 49362
+0 1
+.names 49358 49362 49363
+11 1
+.names 49367 49369 49364
+11 1
+.names 49364 49365
+1 1
+.names 1672 49366
+0 1
+.names 47960 49366 49367
+1- 1
+-1 1
+.names 1673 49368
+0 1
+.names 48068 49368 49369
+1- 1
+-1 1
+.names 49372 49373 49370
+11 1
+.names 49370 49371
+1 1
+.names 48183 44771 49372
+1- 1
+-1 1
+.names 48562 2413 49373
+1- 1
+-1 1
+.names 49365 49374
+0 1
+.names 49381 49382 49375
+11 1
+.names 49375 49376
+1 1
+.names 49376 49377
+0 1
+.names 49374 49377 49378
+1- 1
+-1 1
+.names 49371 49379
+0 1
+.names 1674 49380
+0 1
+.names 47886 49380 49381
+1- 1
+-1 1
+.names 2413 49382
+1 1
+.names 49387 49390 49383
+1- 1
+-1 1
+.names 49383 49384
+1 1
+.names 479 49691 49385
+01 1
+10 1
+.names 49385 49386
+1 1
+.names 49386 49387
+0 1
+.names 49442 49444 49388
+11 1
+.names 49388 49389
+1 1
+.names 49389 49390
+0 1
+.names 49399 49401 49391
+1- 1
+-1 1
+.names 49391 49392
+1 1
+.names 49416 49393
+0 1
+.names 49393 49394
+1 1
+.names 49394 49395
+0 1
+.names 49610 49612 49396
+11 1
+.names 49396 49397
+1 1
+.names 49397 49398
+0 1
+.names 49395 49398 49399
+11 1
+.names 1675 49400
+0 1
+.names 49394 49400 49401
+11 1
+.names 49410 49412 49402
+1- 1
+-1 1
+.names 49402 49403
+1 1
+.names 49599 49404
+0 1
+.names 49404 49405
+1 1
+.names 49405 49406
+0 1
+.names 49604 49607 49407
+1- 1
+-1 1
+.names 49407 49408
+1 1
+.names 49408 49409
+0 1
+.names 49406 49409 49410
+11 1
+.names 1676 49411
+0 1
+.names 49405 49411 49412
+11 1
+.names 49419 49421 49413
+11 1
+.names 49413 49414
+1 1
+.names 488 49608 49415
+01 1
+10 1
+.names 49415 49416
+1 1
+.names 49612 49417
+0 1
+.names 49417 49418
+1 1
+.names 49416 49418 49419
+11 1
+.names 49648 49420
+0 1
+.names 49420 49421
+1 1
+.names 49425 49414 49422
+11 1
+.names 49422 49423
+1 1
+.names 1683 49725 49424
+01 1
+10 1
+.names 49424 49425
+1 1
+.names 49435 49437 49426
+11 1
+.names 49426 49427
+1 1
+.names 505 49674 49428
+01 1
+10 1
+.names 49428 49429
+1 1
+.names 507 49672 49430
+01 1
+10 1
+.names 49430 49431
+1 1
+.names 49429 49431 49432
+11 1
+.names 506 49670 49433
+01 1
+10 1
+.names 49433 49434
+1 1
+.names 49432 49434 49435
+11 1
+.names 508 49613 49436
+01 1
+10 1
+.names 49436 49437
+1 1
+.names 480 49559 49438
+01 1
+10 1
+.names 49438 49439
+1 1
+.names 49452 49454 49440
+11 1
+.names 49440 49441
+1 1
+.names 49439 49441 49442
+11 1
+.names 49548 49443
+0 1
+.names 49443 49444
+1 1
+.names 1686 49695 49445
+01 1
+10 1
+.names 49445 49446
+1 1
+.names 493 49697 49447
+01 1
+10 1
+.names 49447 49448
+1 1
+.names 49446 49448 49449
+11 1
+.names 49557 49450
+0 1
+.names 49450 49451
+1 1
+.names 49449 49451 49452
+11 1
+.names 49460 49462 49453
+11 1
+.names 49453 49454
+1 1
+.names 49702 49704 49455
+11 1
+.names 49455 49456
+1 1
+.names 49571 49457
+0 1
+.names 49457 49458
+1 1
+.names 49456 49458 49459
+11 1
+.names 49459 49427 49460
+11 1
+.names 497 49699 49461
+01 1
+10 1
+.names 49461 49462
+1 1
+.names 49469 49471 49463
+11 1
+.names 49463 49464
+1 1
+.names 483 49723 49465
+01 1
+10 1
+.names 49465 49466
+1 1
+.names 482 49718 49467
+01 1
+10 1
+.names 49467 49468
+1 1
+.names 49466 49468 49469
+11 1
+.names 49551 49470
+0 1
+.names 49470 49471
+1 1
+.names 49475 49472
+0 1
+.names 49472 49473
+1 1
+.names 49574 49575 49474
+1- 1
+-1 1
+.names 49474 49475
+1 1
+.names 49384 49476
+0 1
+.names 49476 49477
+1 1
+.names 49486 49487 49478
+11 1
+.names 49478 49479
+1 1
+.names 49427 49480
+0 1
+.names 49689 49576 49481
+1- 1
+-1 1
+.names 49481 49482
+1 1
+.names 49482 49483
+0 1
+.names 49480 49483 49484
+1- 1
+-1 1
+.names 49477 49485
+0 1
+.names 49484 49485 49486
+11 1
+.names 49473 49487
+0 1
+.names 49497 49500 49488
+11 1
+.names 49488 49489
+1 1
+.names 49441 49490
+0 1
+.names 49663 49664 49491
+1- 1
+-1 1
+.names 49491 49492
+1 1
+.names 49492 49493
+0 1
+.names 49490 49493 49494
+1- 1
+-1 1
+.names 1677 49495
+0 1
+.names 49390 49495 49496
+1- 1
+-1 1
+.names 49494 49496 49497
+11 1
+.names 49627 49628 49498
+1- 1
+-1 1
+.names 49498 49499
+1 1
+.names 49499 49500
+0 1
+.names 49504 49501
+0 1
+.names 49501 49502
+1 1
+.names 49645 49646 49503
+1- 1
+-1 1
+.names 49503 49504
+1 1
+.names 49500 49505
+1 1
+.names 49509 49502 49506
+11 1
+.names 49506 49507
+1 1
+.names 49403 49505 49508
+11 1
+.names 49508 49392 49509
+11 1
+.names 49522 49525 49510
+11 1
+.names 49510 49511
+1 1
+.names 49563 49564 49512
+1- 1
+-1 1
+.names 49512 49513
+1 1
+.names 49513 49514
+0 1
+.names 49543 49546 49515
+1- 1
+-1 1
+.names 49515 49516
+1 1
+.names 49516 49517
+0 1
+.names 49514 49517 49518
+1- 1
+-1 1
+.names 49472 49576 49519
+1- 1
+-1 1
+.names 49519 49520
+1 1
+.names 49520 49521
+0 1
+.names 49518 49521 49522
+11 1
+.names 49594 49595 49523
+1- 1
+-1 1
+.names 49523 49524
+1 1
+.names 49524 49525
+0 1
+.names 49390 49530 49526
+1- 1
+-1 1
+.names 49526 49527
+1 1
+.names 1677 479 49528
+01 1
+10 1
+.names 49528 49529
+1 1
+.names 49529 49530
+0 1
+.names 49537 49540 49531
+11 1
+.names 49531 49532
+1 1
+.names 49527 49533
+0 1
+.names 49511 49534
+0 1
+.names 49533 49534 49535
+1- 1
+-1 1
+.names 49507 49536
+0 1
+.names 49535 49536 49537
+1- 1
+-1 1
+.names 49489 49538
+0 1
+.names 49479 49539
+0 1
+.names 49538 49539 49540
+1- 1
+-1 1
+.names 477 49690 49541
+01 1
+10 1
+.names 49541 49542
+1 1
+.names 49542 49543
+0 1
+.names 478 49560 49544
+01 1
+10 1
+.names 49544 49545
+1 1
+.names 49545 49546
+0 1
+.names 49554 49555 49547
+1- 1
+-1 1
+.names 49547 49548
+1 1
+.names 49468 49549
+0 1
+.names 481 49720 49550
+01 1
+10 1
+.names 49550 49551
+1 1
+.names 49549 49470 49552
+1- 1
+-1 1
+.names 49466 49553
+0 1
+.names 49552 49553 49554
+1- 1
+-1 1
+.names 49423 49555
+0 1
+.names 49715 49705 49556
+1- 1
+-1 1
+.names 49556 49557
+1 1
+.names 1678 49558
+0 1
+.names 49558 49559
+1 1
+.names 2413 49560
+1 1
+.names 49546 49561
+1 1
+.names 49561 49562
+0 1
+.names 49562 1783 49563
+11 1
+.names 49561 1783 49564
+11 1
+.names 49569 49457 49565
+1- 1
+-1 1
+.names 49565 49566
+1 1
+.names 49750 49751 49567
+1- 1
+-1 1
+.names 49567 49568
+1 1
+.names 49568 49569
+0 1
+.names 49685 49688 49570
+1- 1
+-1 1
+.names 49570 49571
+1 1
+.names 49736 49737 49572
+1- 1
+-1 1
+.names 49572 49573
+1 1
+.names 49573 49574
+0 1
+.names 49454 49575
+0 1
+.names 49566 49576
+0 1
+.names 1679 49577
+0 1
+.names 49577 49578
+1 1
+.names 500 49578 49579
+01 1
+10 1
+.names 49579 49580
+1 1
+.names 49580 49581
+0 1
+.names 49581 49582
+1 1
+.names 49586 49583
+0 1
+.names 49583 49584
+1 1
+.names 49771 49756 49585
+1- 1
+-1 1
+.names 49585 49586
+1 1
+.names 49589 49592 49587
+1- 1
+-1 1
+.names 49587 49588
+1 1
+.names 49584 1680 49589
+11 1
+.names 49763 49764 49590
+1- 1
+-1 1
+.names 49590 49591
+1 1
+.names 49591 49592
+0 1
+.names 49582 49593
+0 1
+.names 49593 49588 49594
+11 1
+.names 49582 1679 49595
+11 1
+.names 492 49596
+0 1
+.names 49596 49597
+1 1
+.names 1676 49597 49598
+01 1
+10 1
+.names 49598 49599
+1 1
+.names 49603 49600
+0 1
+.names 49600 49601
+1 1
+.names 49791 49655 49602
+1- 1
+-1 1
+.names 49602 49603
+1 1
+.names 49601 1681 49604
+11 1
+.names 49781 49782 49605
+1- 1
+-1 1
+.names 49605 49606
+1 1
+.names 49606 49607
+0 1
+.names 49400 49608
+1 1
+.names 49808 49809 49609
+1- 1
+-1 1
+.names 49609 49610
+1 1
+.names 49816 49817 49611
+1- 1
+-1 1
+.names 49611 49612
+1 1
+.names 2413 49613
+1 1
+.names 49437 49614
+0 1
+.names 49614 49615
+1 1
+.names 49619 49616
+0 1
+.names 49616 49617
+1 1
+.names 49829 49667 49618
+1- 1
+-1 1
+.names 49618 49619
+1 1
+.names 49622 49625 49620
+1- 1
+-1 1
+.names 49620 49621
+1 1
+.names 49617 1682 49622
+11 1
+.names 49822 49823 49623
+1- 1
+-1 1
+.names 49623 49624
+1 1
+.names 49624 49625
+0 1
+.names 49615 49626
+0 1
+.names 49626 49621 49627
+11 1
+.names 49615 1783 49628
+11 1
+.names 49425 49629
+0 1
+.names 49629 49630
+1 1
+.names 49637 49641 49631
+11 1
+.names 49631 49632
+1 1
+.names 1683 49633
+0 1
+.names 49630 49634
+0 1
+.names 49633 49634 49635
+1- 1
+-1 1
+.names 49414 49636
+0 1
+.names 49635 49636 49637
+1- 1
+-1 1
+.names 49837 49838 49638
+1- 1
+-1 1
+.names 49638 49639
+1 1
+.names 49639 49640
+0 1
+.names 49555 49640 49641
+1- 1
+-1 1
+.names 49439 49642
+0 1
+.names 49443 49642 49643
+11 1
+.names 49559 49644
+0 1
+.names 49643 49644 49645
+11 1
+.names 49632 49646
+0 1
+.names 49656 49659 49647
+1- 1
+-1 1
+.names 49647 49648
+1 1
+.names 1681 49785 49649
+01 1
+10 1
+.names 49649 49650
+1 1
+.names 49650 49651
+0 1
+.names 49651 49404 49652
+1- 1
+-1 1
+.names 490 49783 49653
+01 1
+10 1
+.names 49653 49654
+1 1
+.names 49654 49655
+0 1
+.names 49652 49655 49656
+1- 1
+-1 1
+.names 491 49773 49657
+01 1
+10 1
+.names 49657 49658
+1 1
+.names 49658 49659
+0 1
+.names 49392 49660
+0 1
+.names 49420 49660 49661
+11 1
+.names 49403 49662
+0 1
+.names 49661 49662 49663
+1- 1
+-1 1
+.names 49502 49664
+0 1
+.names 49434 49665
+0 1
+.names 49665 49666
+1 1
+.names 49431 49667
+0 1
+.names 49667 49668
+1 1
+.names 1684 49669
+0 1
+.names 49669 49670
+1 1
+.names 1685 49671
+0 1
+.names 49671 49672
+1 1
+.names 1682 49673
+0 1
+.names 49673 49674
+1 1
+.names 501 49840 49675
+01 1
+10 1
+.names 49675 49676
+1 1
+.names 49676 49677
+0 1
+.names 503 49842 49678
+01 1
+10 1
+.names 49678 49679
+1 1
+.names 49679 49680
+0 1
+.names 49677 49680 49681
+1- 1
+-1 1
+.names 1693 49739 49682
+01 1
+10 1
+.names 49682 49683
+1 1
+.names 49683 49684
+0 1
+.names 49681 49684 49685
+1- 1
+-1 1
+.names 502 49845 49686
+01 1
+10 1
+.names 49686 49687
+1 1
+.names 49687 49688
+0 1
+.names 49524 49458 49689
+11 1
+.names 2413 49690
+1 1
+.names 49495 49691
+1 1
+.names 49446 49692
+0 1
+.names 49692 49693
+1 1
+.names 496 49694
+0 1
+.names 49694 49695
+1 1
+.names 1687 49696
+0 1
+.names 49696 49697
+1 1
+.names 1680 49698
+0 1
+.names 49698 49699
+1 1
+.names 498 49765 49700
+01 1
+10 1
+.names 49700 49701
+1 1
+.names 49701 49580 49702
+11 1
+.names 499 49753 49703
+01 1
+10 1
+.names 49703 49704
+1 1
+.names 49708 49705
+0 1
+.names 49705 49706
+1 1
+.names 495 49710 49707
+01 1
+10 1
+.names 49707 49708
+1 1
+.names 1688 49709
+0 1
+.names 49709 49710
+1 1
+.names 1689 49711
+0 1
+.names 49711 49712
+1 1
+.names 494 49712 49713
+01 1
+10 1
+.names 49713 49714
+1 1
+.names 49714 49715
+0 1
+.names 49549 49716
+1 1
+.names 1690 49717
+0 1
+.names 49717 49718
+1 1
+.names 1691 49719
+0 1
+.names 49719 49720
+1 1
+.names 49553 49721
+1 1
+.names 1692 49722
+0 1
+.names 49722 49723
+1 1
+.names 484 49724
+0 1
+.names 49724 49725
+1 1
+.names 49731 49734 49726
+1- 1
+-1 1
+.names 49726 49727
+1 1
+.names 49448 49728
+0 1
+.names 49450 49728 49729
+11 1
+.names 49697 49730
+0 1
+.names 49729 49730 49731
+11 1
+.names 49852 49853 49732
+1- 1
+-1 1
+.names 49732 49733
+1 1
+.names 49733 49734
+0 1
+.names 49693 49735
+0 1
+.names 49735 49727 49736
+11 1
+.names 49693 1686 49737
+11 1
+.names 504 49738
+0 1
+.names 49738 49739
+1 1
+.names 49684 49740
+1 1
+.names 49680 49741
+1 1
+.names 49747 49748 49742
+1- 1
+-1 1
+.names 49742 49743
+1 1
+.names 49741 49744
+0 1
+.names 49855 49856 49745
+1- 1
+-1 1
+.names 49745 49746
+1 1
+.names 49744 49746 49747
+11 1
+.names 49741 1694 49748
+11 1
+.names 49740 49749
+0 1
+.names 49749 49743 49750
+11 1
+.names 49740 1693 49751
+11 1
+.names 1695 49752
+0 1
+.names 49752 49753
+1 1
+.names 49704 49754
+0 1
+.names 49754 49755
+1 1
+.names 49701 49756
+0 1
+.names 49756 49757
+1 1
+.names 49760 49761 49758
+1- 1
+-1 1
+.names 49758 49759
+1 1
+.names 1696 49760
+0 1
+.names 49757 49761
+0 1
+.names 49755 49762
+0 1
+.names 49762 49759 49763
+11 1
+.names 49755 49753 49764
+11 1
+.names 49760 49765
+1 1
+.names 497 49766
+0 1
+.names 49766 49767
+1 1
+.names 49767 49768
+0 1
+.names 49699 49769
+0 1
+.names 49768 49769 49770
+11 1
+.names 49770 49754 49771
+1- 1
+-1 1
+.names 1697 49772
+0 1
+.names 49772 49773
+1 1
+.names 49659 49774
+1 1
+.names 49655 49775
+1 1
+.names 49778 49779 49776
+1- 1
+-1 1
+.names 49776 49777
+1 1
+.names 1698 49778
+0 1
+.names 49775 49779
+0 1
+.names 49774 49780
+0 1
+.names 49780 49777 49781
+11 1
+.names 49774 49773 49782
+11 1
+.names 49778 49783
+1 1
+.names 489 49784
+0 1
+.names 49784 49785
+1 1
+.names 1681 49786
+0 1
+.names 49786 49787
+1 1
+.names 49787 49788
+0 1
+.names 49785 49789
+0 1
+.names 49788 49789 49790
+11 1
+.names 49790 49659 49791
+1- 1
+-1 1
+.names 1699 49792
+0 1
+.names 49792 49793
+1 1
+.names 487 49793 49794
+01 1
+10 1
+.names 49794 49795
+1 1
+.names 49795 49796
+0 1
+.names 49796 49797
+1 1
+.names 49801 49798
+0 1
+.names 49798 49799
+1 1
+.names 1700 49815 49800
+01 1
+10 1
+.names 49800 49801
+1 1
+.names 49805 49806 49802
+1- 1
+-1 1
+.names 49802 49803
+1 1
+.names 49799 49804
+0 1
+.names 49804 1701 49805
+11 1
+.names 49799 1700 49806
+11 1
+.names 49797 49807
+0 1
+.names 49807 49803 49808
+11 1
+.names 49797 1699 49809
+11 1
+.names 1701 49810
+0 1
+.names 49810 49811
+1 1
+.names 485 49811 49812
+01 1
+10 1
+.names 49812 49813
+1 1
+.names 486 49814
+0 1
+.names 49814 49815
+1 1
+.names 49796 49798 49816
+1- 1
+-1 1
+.names 49813 49817
+0 1
+.names 49669 49820 49818
+1- 1
+-1 1
+.names 49818 49819
+1 1
+.names 49666 49820
+0 1
+.names 49668 49821
+0 1
+.names 49821 49819 49822
+11 1
+.names 49668 49672 49823
+11 1
+.names 505 49824
+0 1
+.names 49824 49825
+1 1
+.names 49825 49826
+0 1
+.names 49674 49827
+0 1
+.names 49826 49827 49828
+11 1
+.names 49828 49665 49829
+1- 1
+-1 1
+.names 49835 49836 49830
+1- 1
+-1 1
+.names 49830 49831
+1 1
+.names 49721 49832
+0 1
+.names 49717 49857 49833
+1- 1
+-1 1
+.names 49833 49834
+1 1
+.names 49832 49834 49835
+11 1
+.names 49721 49723 49836
+11 1
+.names 49464 1691 49837
+11 1
+.names 49831 49838
+0 1
+.names 1702 49839
+0 1
+.names 49839 49840
+1 1
+.names 1694 49841
+0 1
+.names 49841 49842
+1 1
+.names 49688 49843
+1 1
+.names 1703 49844
+0 1
+.names 49844 49845
+1 1
+.names 494 49846
+0 1
+.names 49846 49847
+1 1
+.names 49711 49850 49848
+1- 1
+-1 1
+.names 49848 49849
+1 1
+.names 49847 49850
+0 1
+.names 49706 49851
+0 1
+.names 49851 49849 49852
+11 1
+.names 49706 49710 49853
+11 1
+.names 49843 49854
+0 1
+.names 49854 1702 49855
+11 1
+.names 49843 1703 49856
+11 1
+.names 49716 49857
+0 1
+.names 20595 49858
+1 1
+.names 49863 49867 57392
+1- 1
+-1 1
+.names 9659 49860
+0 1
+.names 14852 49861
+1 1
+.names 49861 49862
+0 1
+.names 49860 49862 49863
+11 1
+.names 1704 49864
+0 1
+.names 49864 49865
+1 1
+.names 49865 49866
+0 1
+.names 9659 49866 49867
+11 1
+.names 49872 49876 57393
+1- 1
+-1 1
+.names 9653 49869
+0 1
+.names 44604 49870
+1 1
+.names 49870 49871
+0 1
+.names 49869 49871 49872
+11 1
+.names 1705 49873
+0 1
+.names 49873 49874
+1 1
+.names 49874 49875
+0 1
+.names 9653 49875 49876
+11 1
+.names 49880 49884 57394
+1- 1
+-1 1
+.names 44569 49878
+1 1
+.names 49878 49879
+0 1
+.names 49860 49879 49880
+11 1
+.names 1706 49881
+0 1
+.names 49881 49882
+1 1
+.names 49882 49883
+0 1
+.names 9659 49883 49884
+11 1
+.names 49889 49893 57395
+1- 1
+-1 1
+.names 9658 49886
+0 1
+.names 44613 49887
+1 1
+.names 49887 49888
+0 1
+.names 49886 49888 49889
+11 1
+.names 1707 49890
+0 1
+.names 49890 49891
+1 1
+.names 49891 49892
+0 1
+.names 9658 49892 49893
+11 1
+.names 49897 49901 57396
+1- 1
+-1 1
+.names 14927 49895
+1 1
+.names 49895 49896
+0 1
+.names 49869 49896 49897
+11 1
+.names 1708 49898
+0 1
+.names 49898 49899
+1 1
+.names 49899 49900
+0 1
+.names 9653 49900 49901
+11 1
+.names 49905 49909 57397
+1- 1
+-1 1
+.names 14832 49903
+1 1
+.names 49903 49904
+0 1
+.names 49869 49904 49905
+11 1
+.names 1709 49906
+0 1
+.names 49906 49907
+1 1
+.names 49907 49908
+0 1
+.names 9653 49908 49909
+11 1
+.names 49913 49917 57398
+1- 1
+-1 1
+.names 14812 49911
+1 1
+.names 49911 49912
+0 1
+.names 49860 49912 49913
+11 1
+.names 1710 49914
+0 1
+.names 49914 49915
+1 1
+.names 49915 49916
+0 1
+.names 9659 49916 49917
+11 1
+.names 49921 49925 57399
+1- 1
+-1 1
+.names 44674 49919
+1 1
+.names 49919 49920
+0 1
+.names 49886 49920 49921
+11 1
+.names 1711 49922
+0 1
+.names 49922 49923
+1 1
+.names 49923 49924
+0 1
+.names 9658 49924 49925
+11 1
+.names 49929 49933 57400
+1- 1
+-1 1
+.names 14822 49927
+1 1
+.names 49927 49928
+0 1
+.names 49886 49928 49929
+11 1
+.names 1712 49930
+0 1
+.names 49930 49931
+1 1
+.names 49931 49932
+0 1
+.names 9658 49932 49933
+11 1
+.names 49937 49941 57401
+1- 1
+-1 1
+.names 44725 49935
+1 1
+.names 49935 49936
+0 1
+.names 49860 49936 49937
+11 1
+.names 1713 49938
+0 1
+.names 49938 49939
+1 1
+.names 49939 49940
+0 1
+.names 9659 49940 49941
+11 1
+.names 49945 49949 57402
+1- 1
+-1 1
+.names 14802 49943
+1 1
+.names 49943 49944
+0 1
+.names 49869 49944 49945
+11 1
+.names 1714 49946
+0 1
+.names 49946 49947
+1 1
+.names 49947 49948
+0 1
+.names 9653 49948 49949
+11 1
+.names 49953 49957 57403
+1- 1
+-1 1
+.names 44716 49951
+1 1
+.names 49951 49952
+0 1
+.names 49886 49952 49953
+11 1
+.names 1715 49954
+0 1
+.names 49954 49955
+1 1
+.names 49955 49956
+0 1
+.names 9658 49956 49957
+11 1
+.names 49961 49965 57404
+1- 1
+-1 1
+.names 14893 49959
+1 1
+.names 49959 49960
+0 1
+.names 49860 49960 49961
+11 1
+.names 1716 49962
+0 1
+.names 49962 49963
+1 1
+.names 49963 49964
+0 1
+.names 9659 49964 49965
+11 1
+.names 49969 49973 57405
+1- 1
+-1 1
+.names 14862 49967
+1 1
+.names 49967 49968
+0 1
+.names 49886 49968 49969
+11 1
+.names 1717 49970
+0 1
+.names 49970 49971
+1 1
+.names 49971 49972
+0 1
+.names 9658 49972 49973
+11 1
+.names 49977 49981 57406
+1- 1
+-1 1
+.names 14842 49975
+1 1
+.names 49975 49976
+0 1
+.names 49860 49976 49977
+11 1
+.names 1718 49978
+0 1
+.names 49978 49979
+1 1
+.names 49979 49980
+0 1
+.names 9659 49980 49981
+11 1
+.names 49985 49989 57407
+1- 1
+-1 1
+.names 44758 49983
+1 1
+.names 49983 49984
+0 1
+.names 49869 49984 49985
+11 1
+.names 1719 49986
+0 1
+.names 49986 49987
+1 1
+.names 49987 49988
+0 1
+.names 9653 49988 49989
+11 1
+.names 49993 49997 57408
+1- 1
+-1 1
+.names 44638 49991
+1 1
+.names 49991 49992
+0 1
+.names 49869 49992 49993
+11 1
+.names 1720 49994
+0 1
+.names 49994 49995
+1 1
+.names 49995 49996
+0 1
+.names 9653 49996 49997
+11 1
+.names 50001 50005 57409
+1- 1
+-1 1
+.names 44587 49999
+1 1
+.names 49999 50000
+0 1
+.names 49886 50000 50001
+11 1
+.names 1721 50002
+0 1
+.names 50002 50003
+1 1
+.names 50003 50004
+0 1
+.names 9658 50004 50005
+11 1
+.names 50009 50013 57410
+1- 1
+-1 1
+.names 44656 50007
+1 1
+.names 50007 50008
+0 1
+.names 49869 50008 50009
+11 1
+.names 1722 50010
+0 1
+.names 50010 50011
+1 1
+.names 50011 50012
+0 1
+.names 9653 50012 50013
+11 1
+.names 50017 50021 57411
+1- 1
+-1 1
+.names 14792 50015
+1 1
+.names 50015 50016
+0 1
+.names 49860 50016 50017
+11 1
+.names 1723 50018
+0 1
+.names 50018 50019
+1 1
+.names 50019 50020
+0 1
+.names 9659 50020 50021
+11 1
+.names 50025 50029 57412
+1- 1
+-1 1
+.names 44647 50023
+1 1
+.names 50023 50024
+0 1
+.names 49860 50024 50025
+11 1
+.names 1724 50026
+0 1
+.names 50026 50027
+1 1
+.names 50027 50028
+0 1
+.names 9659 50028 50029
+11 1
+.names 50033 50037 57413
+1- 1
+-1 1
+.names 44527 50031
+1 1
+.names 50031 50032
+0 1
+.names 49886 50032 50033
+11 1
+.names 1725 50034
+0 1
+.names 50034 50035
+1 1
+.names 50035 50036
+0 1
+.names 9658 50036 50037
+11 1
+.names 50041 50045 57414
+1- 1
+-1 1
+.names 57098 50039
+1 1
+.names 50039 50040
+0 1
+.names 49886 50040 50041
+11 1
+.names 1726 50042
+0 1
+.names 50042 50043
+1 1
+.names 50043 50044
+0 1
+.names 9658 50044 50045
+11 1
+.names 50049 50053 57415
+1- 1
+-1 1
+.names 44699 50047
+1 1
+.names 50047 50048
+0 1
+.names 49869 50048 50049
+11 1
+.names 1727 50050
+0 1
+.names 50050 50051
+1 1
+.names 50051 50052
+0 1
+.names 9653 50052 50053
+11 1
+.names 50057 50061 57416
+1- 1
+-1 1
+.names 44767 50055
+1 1
+.names 50055 50056
+0 1
+.names 49886 50056 50057
+11 1
+.names 1728 50058
+0 1
+.names 50058 50059
+1 1
+.names 50059 50060
+0 1
+.names 9658 50060 50061
+11 1
+.names 50065 50069 57417
+1- 1
+-1 1
+.names 14882 50063
+1 1
+.names 50063 50064
+0 1
+.names 49869 50064 50065
+11 1
+.names 1729 50066
+0 1
+.names 50066 50067
+1 1
+.names 50067 50068
+0 1
+.names 9653 50068 50069
+11 1
+.names 50073 50077 57418
+1- 1
+-1 1
+.names 14872 50071
+1 1
+.names 50071 50072
+0 1
+.names 49860 50072 50073
+11 1
+.names 1730 50074
+0 1
+.names 50074 50075
+1 1
+.names 50075 50076
+0 1
+.names 9659 50076 50077
+11 1
+.names 50081 50085 57419
+1- 1
+-1 1
+.names 44518 50079
+1 1
+.names 50079 50080
+0 1
+.names 49860 50080 50081
+11 1
+.names 1731 50082
+0 1
+.names 50082 50083
+1 1
+.names 50083 50084
+0 1
+.names 9659 50084 50085
+11 1
+.names 50089 50093 57420
+1- 1
+-1 1
+.names 14782 50087
+1 1
+.names 50087 50088
+0 1
+.names 49886 50088 50089
+11 1
+.names 1732 50090
+0 1
+.names 50090 50091
+1 1
+.names 50091 50092
+0 1
+.names 9658 50092 50093
+11 1
+.names 50097 50101 57421
+1- 1
+-1 1
+.names 14760 50095
+1 1
+.names 50095 50096
+0 1
+.names 49869 50096 50097
+11 1
+.names 1733 50098
+0 1
+.names 50098 50099
+1 1
+.names 50099 50100
+0 1
+.names 9653 50100 50101
+11 1
+.names 50106 50109 57422
+1- 1
+-1 1
+.names 9667 50103
+0 1
+.names 14852 50104
+1 1
+.names 50104 50105
+0 1
+.names 50103 50105 50106
+11 1
+.names 49864 50107
+1 1
+.names 50107 50108
+0 1
+.names 9667 50108 50109
+11 1
+.names 50114 50117 57423
+1- 1
+-1 1
+.names 9661 50111
+0 1
+.names 44604 50112
+1 1
+.names 50112 50113
+0 1
+.names 50111 50113 50114
+11 1
+.names 49873 50115
+1 1
+.names 50115 50116
+0 1
+.names 9661 50116 50117
+11 1
+.names 50121 50124 57424
+1- 1
+-1 1
+.names 44569 50119
+1 1
+.names 50119 50120
+0 1
+.names 50103 50120 50121
+11 1
+.names 49881 50122
+1 1
+.names 50122 50123
+0 1
+.names 9667 50123 50124
+11 1
+.names 50129 50132 57425
+1- 1
+-1 1
+.names 9666 50126
+0 1
+.names 44613 50127
+1 1
+.names 50127 50128
+0 1
+.names 50126 50128 50129
+11 1
+.names 49890 50130
+1 1
+.names 50130 50131
+0 1
+.names 9666 50131 50132
+11 1
+.names 50136 50139 57426
+1- 1
+-1 1
+.names 14927 50134
+1 1
+.names 50134 50135
+0 1
+.names 50111 50135 50136
+11 1
+.names 49898 50137
+1 1
+.names 50137 50138
+0 1
+.names 9661 50138 50139
+11 1
+.names 50143 50146 57427
+1- 1
+-1 1
+.names 14832 50141
+1 1
+.names 50141 50142
+0 1
+.names 50111 50142 50143
+11 1
+.names 49906 50144
+1 1
+.names 50144 50145
+0 1
+.names 9661 50145 50146
+11 1
+.names 50150 50153 57428
+1- 1
+-1 1
+.names 14812 50148
+1 1
+.names 50148 50149
+0 1
+.names 50103 50149 50150
+11 1
+.names 49914 50151
+1 1
+.names 50151 50152
+0 1
+.names 9667 50152 50153
+11 1
+.names 50157 50160 57429
+1- 1
+-1 1
+.names 44674 50155
+1 1
+.names 50155 50156
+0 1
+.names 50126 50156 50157
+11 1
+.names 49922 50158
+1 1
+.names 50158 50159
+0 1
+.names 9666 50159 50160
+11 1
+.names 50164 50167 57430
+1- 1
+-1 1
+.names 14822 50162
+1 1
+.names 50162 50163
+0 1
+.names 50126 50163 50164
+11 1
+.names 49930 50165
+1 1
+.names 50165 50166
+0 1
+.names 9666 50166 50167
+11 1
+.names 50171 50174 57431
+1- 1
+-1 1
+.names 44725 50169
+1 1
+.names 50169 50170
+0 1
+.names 50103 50170 50171
+11 1
+.names 49938 50172
+1 1
+.names 50172 50173
+0 1
+.names 9667 50173 50174
+11 1
+.names 50178 50181 57432
+1- 1
+-1 1
+.names 14802 50176
+1 1
+.names 50176 50177
+0 1
+.names 50111 50177 50178
+11 1
+.names 49946 50179
+1 1
+.names 50179 50180
+0 1
+.names 9661 50180 50181
+11 1
+.names 50185 50188 57433
+1- 1
+-1 1
+.names 44716 50183
+1 1
+.names 50183 50184
+0 1
+.names 50126 50184 50185
+11 1
+.names 49954 50186
+1 1
+.names 50186 50187
+0 1
+.names 9666 50187 50188
+11 1
+.names 50192 50195 57434
+1- 1
+-1 1
+.names 14893 50190
+1 1
+.names 50190 50191
+0 1
+.names 50103 50191 50192
+11 1
+.names 49962 50193
+1 1
+.names 50193 50194
+0 1
+.names 9667 50194 50195
+11 1
+.names 50199 50202 57435
+1- 1
+-1 1
+.names 14862 50197
+1 1
+.names 50197 50198
+0 1
+.names 50126 50198 50199
+11 1
+.names 49970 50200
+1 1
+.names 50200 50201
+0 1
+.names 9666 50201 50202
+11 1
+.names 50206 50209 57436
+1- 1
+-1 1
+.names 14842 50204
+1 1
+.names 50204 50205
+0 1
+.names 50103 50205 50206
+11 1
+.names 49978 50207
+1 1
+.names 50207 50208
+0 1
+.names 9667 50208 50209
+11 1
+.names 50213 50216 57437
+1- 1
+-1 1
+.names 44758 50211
+1 1
+.names 50211 50212
+0 1
+.names 50111 50212 50213
+11 1
+.names 49986 50214
+1 1
+.names 50214 50215
+0 1
+.names 9661 50215 50216
+11 1
+.names 50220 50223 57438
+1- 1
+-1 1
+.names 44638 50218
+1 1
+.names 50218 50219
+0 1
+.names 50111 50219 50220
+11 1
+.names 49994 50221
+1 1
+.names 50221 50222
+0 1
+.names 9661 50222 50223
+11 1
+.names 50227 50230 57439
+1- 1
+-1 1
+.names 44587 50225
+1 1
+.names 50225 50226
+0 1
+.names 50126 50226 50227
+11 1
+.names 50002 50228
+1 1
+.names 50228 50229
+0 1
+.names 9666 50229 50230
+11 1
+.names 50234 50237 57440
+1- 1
+-1 1
+.names 44656 50232
+1 1
+.names 50232 50233
+0 1
+.names 50111 50233 50234
+11 1
+.names 50010 50235
+1 1
+.names 50235 50236
+0 1
+.names 9661 50236 50237
+11 1
+.names 50241 50244 57441
+1- 1
+-1 1
+.names 14792 50239
+1 1
+.names 50239 50240
+0 1
+.names 50103 50240 50241
+11 1
+.names 50018 50242
+1 1
+.names 50242 50243
+0 1
+.names 9667 50243 50244
+11 1
+.names 50248 50251 57442
+1- 1
+-1 1
+.names 44647 50246
+1 1
+.names 50246 50247
+0 1
+.names 50103 50247 50248
+11 1
+.names 50026 50249
+1 1
+.names 50249 50250
+0 1
+.names 9667 50250 50251
+11 1
+.names 50255 50258 57443
+1- 1
+-1 1
+.names 44527 50253
+1 1
+.names 50253 50254
+0 1
+.names 50126 50254 50255
+11 1
+.names 50034 50256
+1 1
+.names 50256 50257
+0 1
+.names 9666 50257 50258
+11 1
+.names 50262 50265 57444
+1- 1
+-1 1
+.names 57098 50260
+1 1
+.names 50260 50261
+0 1
+.names 50126 50261 50262
+11 1
+.names 50042 50263
+1 1
+.names 50263 50264
+0 1
+.names 9666 50264 50265
+11 1
+.names 50269 50272 57445
+1- 1
+-1 1
+.names 44699 50267
+1 1
+.names 50267 50268
+0 1
+.names 50111 50268 50269
+11 1
+.names 50050 50270
+1 1
+.names 50270 50271
+0 1
+.names 9661 50271 50272
+11 1
+.names 50276 50279 57446
+1- 1
+-1 1
+.names 44767 50274
+1 1
+.names 50274 50275
+0 1
+.names 50126 50275 50276
+11 1
+.names 50058 50277
+1 1
+.names 50277 50278
+0 1
+.names 9666 50278 50279
+11 1
+.names 50283 50286 57447
+1- 1
+-1 1
+.names 14882 50281
+1 1
+.names 50281 50282
+0 1
+.names 50111 50282 50283
+11 1
+.names 50066 50284
+1 1
+.names 50284 50285
+0 1
+.names 9661 50285 50286
+11 1
+.names 50290 50293 57448
+1- 1
+-1 1
+.names 14872 50288
+1 1
+.names 50288 50289
+0 1
+.names 50103 50289 50290
+11 1
+.names 50074 50291
+1 1
+.names 50291 50292
+0 1
+.names 9667 50292 50293
+11 1
+.names 50297 50300 57449
+1- 1
+-1 1
+.names 44518 50295
+1 1
+.names 50295 50296
+0 1
+.names 50103 50296 50297
+11 1
+.names 50082 50298
+1 1
+.names 50298 50299
+0 1
+.names 9667 50299 50300
+11 1
+.names 50304 50307 57450
+1- 1
+-1 1
+.names 14782 50302
+1 1
+.names 50302 50303
+0 1
+.names 50126 50303 50304
+11 1
+.names 50090 50305
+1 1
+.names 50305 50306
+0 1
+.names 9666 50306 50307
+11 1
+.names 50311 50314 57451
+1- 1
+-1 1
+.names 14760 50309
+1 1
+.names 50309 50310
+0 1
+.names 50111 50310 50311
+11 1
+.names 50098 50312
+1 1
+.names 50312 50313
+0 1
+.names 9661 50313 50314
+11 1
+.names 1204 50315
+0 1
+.names 21452 21465 50316
+1- 1
+-1 1
+.names 50316 50317
+1 1
+.names 21426 21440 50318
+11 1
+.names 50318 50319
+1 1
+.names 21418 50320
+0 1
+.names 50324 50321
+0 1
+.names 50321 50322
+1 1
+.names 50319 50323
+0 1
+.names 50323 50324
+1 1
+.names 50327 50330 50325
+1- 1
+-1 1
+.names 50325 50326
+1 1
+.names 50322 50327
+0 1
+.names 21383 21456 50328
+11 1
+.names 50328 50329
+1 1
+.names 50329 50330
+0 1
+.names 50336 50337 50331
+11 1
+.names 50331 50332
+1 1
+.names 21399 21407 50333
+1- 1
+-1 1
+.names 50333 50334
+1 1
+.names 50334 50335
+0 1
+.names 50335 50323 50336
+1- 1
+-1 1
+.names 50317 50337
+0 1
+.names 50342 50338
+0 1
+.names 50338 50339
+1 1
+.names 21462 50343 50340
+1- 1
+-1 1
+.names 50340 50341
+1 1
+.names 50341 21449 50342
+01 1
+10 1
+.names 21460 50343
+0 1
+.names 21449 50346 50344
+1- 1
+-1 1
+.names 50344 50345
+1 1
+.names 21445 50346
+0 1
+.names 50350 50345 50347
+01 1
+10 1
+.names 50347 50348
+1 1
+.names 21404 50349
+0 1
+.names 50349 50350
+1 1
+.names 50354 50341 50351
+01 1
+10 1
+.names 50351 50352
+1 1
+.names 50355 50356 50353
+11 1
+.names 50353 50354
+1 1
+.names 50346 50349 50355
+1- 1
+-1 1
+.names 21449 50356
+0 1
+.names 50345 50357
+0 1
+.names 50357 50358
+1 1
+.names 1207 50359
+0 1
+.names 50358 50360
+0 1
+.names 50360 50361
+1 1
+.names 50368 50372 50362
+1- 1
+-1 1
+.names 50362 50363
+1 1
+.names 50489 50364
+1 1
+.names 50364 50365
+1 1
+.names 50365 50366
+0 1
+.names 50361 50367
+0 1
+.names 50366 50367 50368
+11 1
+.names 50348 50369
+0 1
+.names 50369 50370
+1 1
+.names 50370 50371
+0 1
+.names 50365 50371 50372
+11 1
+.names 50352 50373
+0 1
+.names 50373 50374
+1 1
+.names 50380 50382 50375
+1- 1
+-1 1
+.names 50375 50376
+1 1
+.names 50339 50377
+0 1
+.names 50377 50378
+1 1
+.names 50378 50379
+0 1
+.names 50366 50379 50380
+11 1
+.names 50374 50381
+0 1
+.names 50365 50381 50382
+11 1
+.names 1209 50383
+0 1
+.names 21403 21444 50384
+11 1
+.names 50384 50385
+1 1
+.names 21448 21461 50386
+1- 1
+-1 1
+.names 50386 50387
+1 1
+.names 50392 50388
+0 1
+.names 50388 50389
+1 1
+.names 21466 50349 50390
+1- 1
+-1 1
+.names 50390 50391
+1 1
+.names 50391 21453 50392
+01 1
+10 1
+.names 21453 50395 50393
+1- 1
+-1 1
+.names 50393 50394
+1 1
+.names 21427 50395
+0 1
+.names 50399 50394 50396
+01 1
+10 1
+.names 50396 50397
+1 1
+.names 21441 50398
+0 1
+.names 50398 50399
+1 1
+.names 50403 50391 50400
+01 1
+10 1
+.names 50400 50401
+1 1
+.names 50404 50405 50402
+11 1
+.names 50402 50403
+1 1
+.names 50395 50398 50404
+1- 1
+-1 1
+.names 21453 50405
+0 1
+.names 50394 50406
+0 1
+.names 50406 50407
+1 1
+.names 1202 50408
+0 1
+.names 50412 50413 50409
+11 1
+.names 50409 50410
+1 1
+.names 50385 50411
+0 1
+.names 50337 50411 50412
+1- 1
+-1 1
+.names 50387 50413
+0 1
+.names 50416 50414
+1 1
+.names 50414 50415
+1 1
+.names 50411 50323 50416
+1- 1
+-1 1
+.names 50425 50420 50417
+1- 1
+-1 1
+.names 50417 50418
+1 1
+.names 14886 50419
+1 1
+.names 50419 50420
+0 1
+.names 50420 50421
+1 1
+.names 1205 50422
+0 1
+.names 50422 50423
+1 1
+.names 50421 50424
+0 1
+.names 50423 50425
+0 1
+.names 50407 50426
+0 1
+.names 50426 50427
+1 1
+.names 50432 50436 50428
+1- 1
+-1 1
+.names 50428 50429
+1 1
+.names 21380 50430
+0 1
+.names 50427 50431
+0 1
+.names 50430 50431 50432
+11 1
+.names 50397 50433
+0 1
+.names 50433 50434
+1 1
+.names 50434 50435
+0 1
+.names 21380 50435 50436
+11 1
+.names 50442 50446 50437
+1- 1
+-1 1
+.names 50437 50438
+1 1
+.names 50389 50439
+0 1
+.names 50439 50440
+1 1
+.names 50440 50441
+0 1
+.names 50430 50441 50442
+11 1
+.names 50401 50443
+0 1
+.names 50443 50444
+1 1
+.names 50444 50445
+0 1
+.names 21380 50445 50446
+11 1
+.names 21430 50330 50447
+1- 1
+-1 1
+.names 21470 50450 50448
+1- 1
+-1 1
+.names 50448 50449
+1 1
+.names 21384 50450
+0 1
+.names 50453 50455 50451
+01 1
+10 1
+.names 50451 50452
+1 1
+.names 14886 50453
+1 1
+.names 21388 50460 50454
+1- 1
+-1 1
+.names 50454 50455
+1 1
+.names 50459 50449 50456
+01 1
+10 1
+.names 50456 50457
+1 1
+.names 50461 50462 50458
+11 1
+.names 50458 50459
+1 1
+.names 21437 50460
+0 1
+.names 50460 14886 50461
+1- 1
+-1 1
+.names 21388 50462
+0 1
+.names 50466 50463
+0 1
+.names 50463 50464
+1 1
+.names 21388 50460 50465
+1- 1
+-1 1
+.names 50465 50466
+1 1
+.names 50469 50467
+0 1
+.names 50467 50468
+1 1
+.names 50449 21388 50469
+01 1
+10 1
+.names 1208 50470
+0 1
+.names 1206 50471
+0 1
+.names 50477 50478 50472
+1- 1
+-1 1
+.names 50472 50473
+1 1
+.names 21413 50474
+0 1
+.names 50474 50475
+1 1
+.names 50475 50476
+0 1
+.names 50476 50452 50477
+11 1
+.names 50475 50464 50478
+11 1
+.names 50468 50479
+0 1
+.names 50479 50480
+1 1
+.names 50457 50481
+0 1
+.names 50481 50482
+1 1
+.names 50486 50488 50483
+1- 1
+-1 1
+.names 50483 50484
+1 1
+.names 50482 50485
+0 1
+.names 50476 50485 50486
+11 1
+.names 50480 50487
+0 1
+.names 50475 50487 50488
+11 1
+.names 50492 50493 50489
+1- 1
+-1 1
+.names 21431 50490
+0 1
+.names 50326 50491
+0 1
+.names 50490 50491 50492
+11 1
+.names 50332 50493
+0 1
+.names 50410 50494
+0 1
+.names 21379 50494 50495
+1- 1
+-1 1
+.names 50415 50496
+0 1
+.names 50496 50494 50497
+1- 1
+-1 1
+.names 1203 50498
+0 1
+.names 50498 2413 50499
+11 1
+.names 50504 50500
+0 1
+.names 50500 50501
+1 1
+.names 21408 50398 50502
+1- 1
+-1 1
+.names 50502 50503
+1 1
+.names 50503 21400 50504
+01 1
+10 1
+.names 50508 50505
+0 1
+.names 50505 50506
+1 1
+.names 21400 50509 50507
+1- 1
+-1 1
+.names 50507 50508
+1 1
+.names 21457 50509
+0 1
+.names 50512 50508 50510
+01 1
+10 1
+.names 50510 50511
+1 1
+.names 50450 50512
+1 1
+.names 50503 50516 50513
+01 1
+10 1
+.names 50513 50514
+1 1
+.names 50517 50518 50515
+11 1
+.names 50515 50516
+1 1
+.names 50509 50450 50517
+1- 1
+-1 1
+.names 21400 50518
+0 1
+.names 50523 50524 50519
+1- 1
+-1 1
+.names 50519 50520
+1 1
+.names 21430 50521
+1 1
+.names 50521 50522
+0 1
+.names 50522 50511 50523
+11 1
+.names 50521 50506 50524
+11 1
+.names 50501 50525
+0 1
+.names 50525 50526
+1 1
+.names 50534 50536 50527
+1- 1
+-1 1
+.names 50527 50528
+1 1
+.names 50521 50529
+1 1
+.names 50529 50530
+0 1
+.names 50514 50531
+0 1
+.names 50531 50532
+1 1
+.names 50532 50533
+0 1
+.names 50530 50533 50534
+11 1
+.names 50526 50535
+0 1
+.names 50529 50535 50536
+11 1
+.names 21538 21554 50537
+1- 1
+-1 1
+.names 50537 50538
+1 1
+.names 21512 21526 50539
+11 1
+.names 50539 50540
+1 1
+.names 21504 50541
+0 1
+.names 50545 50542
+0 1
+.names 50542 50543
+1 1
+.names 50540 50544
+0 1
+.names 50544 50545
+1 1
+.names 50548 50551 50546
+1- 1
+-1 1
+.names 50546 50547
+1 1
+.names 50543 50548
+0 1
+.names 21477 21542 50549
+11 1
+.names 50549 50550
+1 1
+.names 50550 50551
+0 1
+.names 50557 50558 50552
+11 1
+.names 50552 50553
+1 1
+.names 21485 21493 50554
+1- 1
+-1 1
+.names 50554 50555
+1 1
+.names 50555 50556
+0 1
+.names 50556 50544 50557
+1- 1
+-1 1
+.names 50538 50558
+0 1
+.names 50563 50559
+0 1
+.names 50559 50560
+1 1
+.names 21551 50564 50561
+1- 1
+-1 1
+.names 50561 50562
+1 1
+.names 50562 21535 50563
+01 1
+10 1
+.names 21547 50564
+0 1
+.names 21535 50567 50565
+1- 1
+-1 1
+.names 50565 50566
+1 1
+.names 21531 50567
+0 1
+.names 50571 50566 50568
+01 1
+10 1
+.names 50568 50569
+1 1
+.names 21490 50570
+0 1
+.names 50570 50571
+1 1
+.names 50575 50562 50572
+01 1
+10 1
+.names 50572 50573
+1 1
+.names 50576 50577 50574
+11 1
+.names 50574 50575
+1 1
+.names 50567 50570 50576
+1- 1
+-1 1
+.names 21535 50577
+0 1
+.names 50566 50578
+0 1
+.names 50578 50579
+1 1
+.names 50579 50580
+0 1
+.names 50580 50581
+1 1
+.names 50680 50582
+1 1
+.names 50582 50583
+1 1
+.names 50583 50584
+0 1
+.names 50581 50585
+0 1
+.names 50584 50585 50586
+11 1
+.names 50569 50587
+0 1
+.names 50587 50588
+1 1
+.names 50588 50589
+0 1
+.names 50583 50589 50590
+11 1
+.names 50573 50591
+0 1
+.names 50591 50592
+1 1
+.names 50560 50593
+0 1
+.names 50593 50594
+1 1
+.names 50594 50595
+0 1
+.names 50584 50595 50596
+11 1
+.names 50592 50597
+0 1
+.names 50583 50597 50598
+11 1
+.names 50603 50599
+0 1
+.names 50599 50600
+1 1
+.names 21555 50570 50601
+1- 1
+-1 1
+.names 50601 50602
+1 1
+.names 50602 21539 50603
+01 1
+10 1
+.names 21539 50606 50604
+1- 1
+-1 1
+.names 50604 50605
+1 1
+.names 21513 50606
+0 1
+.names 50610 50605 50607
+01 1
+10 1
+.names 50607 50608
+1 1
+.names 21527 50609
+0 1
+.names 50609 50610
+1 1
+.names 50614 50602 50611
+01 1
+10 1
+.names 50611 50612
+1 1
+.names 50615 50616 50613
+11 1
+.names 50613 50614
+1 1
+.names 50606 50609 50615
+1- 1
+-1 1
+.names 21539 50616
+0 1
+.names 50605 50617
+0 1
+.names 50617 50618
+1 1
+.names 50626 50622 50619
+1- 1
+-1 1
+.names 50619 50620
+1 1
+.names 14886 50621
+1 1
+.names 50621 50622
+0 1
+.names 50622 50623
+1 1
+.names 50422 50624
+1 1
+.names 50623 50625
+0 1
+.names 50624 50626
+0 1
+.names 50618 50627
+0 1
+.names 50627 50628
+1 1
+.names 21474 50629
+0 1
+.names 50628 50630
+0 1
+.names 50629 50630 50631
+11 1
+.names 50608 50632
+0 1
+.names 50632 50633
+1 1
+.names 50633 50634
+0 1
+.names 21474 50634 50635
+11 1
+.names 50600 50636
+0 1
+.names 50636 50637
+1 1
+.names 50637 50638
+0 1
+.names 50629 50638 50639
+11 1
+.names 50612 50640
+0 1
+.names 50640 50641
+1 1
+.names 50641 50642
+0 1
+.names 21474 50642 50643
+11 1
+.names 21516 50551 50644
+1- 1
+-1 1
+.names 21559 50647 50645
+1- 1
+-1 1
+.names 50645 50646
+1 1
+.names 21478 50647
+0 1
+.names 50650 50652 50648
+01 1
+10 1
+.names 50648 50649
+1 1
+.names 14886 50650
+1 1
+.names 21482 50657 50651
+1- 1
+-1 1
+.names 50651 50652
+1 1
+.names 50656 50646 50653
+01 1
+10 1
+.names 50653 50654
+1 1
+.names 50658 50659 50655
+11 1
+.names 50655 50656
+1 1
+.names 21523 50657
+0 1
+.names 50657 14886 50658
+1- 1
+-1 1
+.names 21482 50659
+0 1
+.names 50663 50660
+0 1
+.names 50660 50661
+1 1
+.names 21482 50657 50662
+1- 1
+-1 1
+.names 50662 50663
+1 1
+.names 50666 50664
+0 1
+.names 50664 50665
+1 1
+.names 50646 21482 50666
+01 1
+10 1
+.names 21499 50667
+0 1
+.names 50667 50668
+1 1
+.names 50668 50669
+0 1
+.names 50669 50649 50670
+11 1
+.names 50668 50661 50671
+11 1
+.names 50665 50672
+0 1
+.names 50672 50673
+1 1
+.names 50654 50674
+0 1
+.names 50674 50675
+1 1
+.names 50675 50676
+0 1
+.names 50669 50676 50677
+11 1
+.names 50673 50678
+0 1
+.names 50668 50678 50679
+11 1
+.names 50683 50684 50680
+1- 1
+-1 1
+.names 21517 50681
+0 1
+.names 50547 50682
+0 1
+.names 50681 50682 50683
+11 1
+.names 50553 50684
+0 1
+.names 50498 14886 50685
+11 1
+.names 50690 50686
+0 1
+.names 50686 50687
+1 1
+.names 21494 50609 50688
+1- 1
+-1 1
+.names 50688 50689
+1 1
+.names 50689 21486 50690
+01 1
+10 1
+.names 50694 50691
+0 1
+.names 50691 50692
+1 1
+.names 21486 50695 50693
+1- 1
+-1 1
+.names 50693 50694
+1 1
+.names 21543 50695
+0 1
+.names 50698 50694 50696
+01 1
+10 1
+.names 50696 50697
+1 1
+.names 50647 50698
+1 1
+.names 50689 50702 50699
+01 1
+10 1
+.names 50699 50700
+1 1
+.names 50703 50704 50701
+11 1
+.names 50701 50702
+1 1
+.names 50695 50647 50703
+1- 1
+-1 1
+.names 21486 50704
+0 1
+.names 21516 50705
+1 1
+.names 50705 50706
+0 1
+.names 50706 50697 50707
+11 1
+.names 50705 50692 50708
+11 1
+.names 50687 50709
+0 1
+.names 50709 50710
+1 1
+.names 50705 50711
+1 1
+.names 50711 50712
+0 1
+.names 50700 50713
+0 1
+.names 50713 50714
+1 1
+.names 50714 50715
+0 1
+.names 50712 50715 50716
+11 1
+.names 50710 50717
+0 1
+.names 50711 50717 50718
+11 1
+.names 1212 50719
+0 1
+.names 21635 21648 50720
+1- 1
+-1 1
+.names 50720 50721
+1 1
+.names 21609 21623 50722
+11 1
+.names 50722 50723
+1 1
+.names 21601 50724
+0 1
+.names 50728 50725
+0 1
+.names 50725 50726
+1 1
+.names 50723 50727
+0 1
+.names 50727 50728
+1 1
+.names 50731 50734 50729
+1- 1
+-1 1
+.names 50729 50730
+1 1
+.names 50726 50731
+0 1
+.names 21566 21639 50732
+11 1
+.names 50732 50733
+1 1
+.names 50733 50734
+0 1
+.names 50740 50741 50735
+11 1
+.names 50735 50736
+1 1
+.names 21582 21590 50737
+1- 1
+-1 1
+.names 50737 50738
+1 1
+.names 50738 50739
+0 1
+.names 50739 50727 50740
+1- 1
+-1 1
+.names 50721 50741
+0 1
+.names 50746 50742
+0 1
+.names 50742 50743
+1 1
+.names 21645 50747 50744
+1- 1
+-1 1
+.names 50744 50745
+1 1
+.names 50745 21632 50746
+01 1
+10 1
+.names 21643 50747
+0 1
+.names 21632 50750 50748
+1- 1
+-1 1
+.names 50748 50749
+1 1
+.names 21628 50750
+0 1
+.names 50754 50749 50751
+01 1
+10 1
+.names 50751 50752
+1 1
+.names 21587 50753
+0 1
+.names 50753 50754
+1 1
+.names 50758 50745 50755
+01 1
+10 1
+.names 50755 50756
+1 1
+.names 50759 50760 50757
+11 1
+.names 50757 50758
+1 1
+.names 50750 50753 50759
+1- 1
+-1 1
+.names 21632 50760
+0 1
+.names 50749 50761
+0 1
+.names 50761 50762
+1 1
+.names 1215 50763
+0 1
+.names 50762 50764
+0 1
+.names 50764 50765
+1 1
+.names 50772 50776 50766
+1- 1
+-1 1
+.names 50766 50767
+1 1
+.names 50893 50768
+1 1
+.names 50768 50769
+1 1
+.names 50769 50770
+0 1
+.names 50765 50771
+0 1
+.names 50770 50771 50772
+11 1
+.names 50752 50773
+0 1
+.names 50773 50774
+1 1
+.names 50774 50775
+0 1
+.names 50769 50775 50776
+11 1
+.names 50756 50777
+0 1
+.names 50777 50778
+1 1
+.names 50784 50786 50779
+1- 1
+-1 1
+.names 50779 50780
+1 1
+.names 50743 50781
+0 1
+.names 50781 50782
+1 1
+.names 50782 50783
+0 1
+.names 50770 50783 50784
+11 1
+.names 50778 50785
+0 1
+.names 50769 50785 50786
+11 1
+.names 1217 50787
+0 1
+.names 21586 21627 50788
+11 1
+.names 50788 50789
+1 1
+.names 21631 21644 50790
+1- 1
+-1 1
+.names 50790 50791
+1 1
+.names 50796 50792
+0 1
+.names 50792 50793
+1 1
+.names 21649 50753 50794
+1- 1
+-1 1
+.names 50794 50795
+1 1
+.names 50795 21636 50796
+01 1
+10 1
+.names 21636 50799 50797
+1- 1
+-1 1
+.names 50797 50798
+1 1
+.names 21610 50799
+0 1
+.names 50803 50798 50800
+01 1
+10 1
+.names 50800 50801
+1 1
+.names 21624 50802
+0 1
+.names 50802 50803
+1 1
+.names 50807 50795 50804
+01 1
+10 1
+.names 50804 50805
+1 1
+.names 50808 50809 50806
+11 1
+.names 50806 50807
+1 1
+.names 50799 50802 50808
+1- 1
+-1 1
+.names 21636 50809
+0 1
+.names 50798 50810
+0 1
+.names 50810 50811
+1 1
+.names 1210 50812
+0 1
+.names 50816 50817 50813
+11 1
+.names 50813 50814
+1 1
+.names 50789 50815
+0 1
+.names 50741 50815 50816
+1- 1
+-1 1
+.names 50791 50817
+0 1
+.names 50820 50818
+1 1
+.names 50818 50819
+1 1
+.names 50815 50727 50820
+1- 1
+-1 1
+.names 50829 50824 50821
+1- 1
+-1 1
+.names 50821 50822
+1 1
+.names 14886 50823
+1 1
+.names 50823 50824
+0 1
+.names 50824 50825
+1 1
+.names 1213 50826
+0 1
+.names 50826 50827
+1 1
+.names 50825 50828
+0 1
+.names 50827 50829
+0 1
+.names 50811 50830
+0 1
+.names 50830 50831
+1 1
+.names 50836 50840 50832
+1- 1
+-1 1
+.names 50832 50833
+1 1
+.names 21563 50834
+0 1
+.names 50831 50835
+0 1
+.names 50834 50835 50836
+11 1
+.names 50801 50837
+0 1
+.names 50837 50838
+1 1
+.names 50838 50839
+0 1
+.names 21563 50839 50840
+11 1
+.names 50846 50850 50841
+1- 1
+-1 1
+.names 50841 50842
+1 1
+.names 50793 50843
+0 1
+.names 50843 50844
+1 1
+.names 50844 50845
+0 1
+.names 50834 50845 50846
+11 1
+.names 50805 50847
+0 1
+.names 50847 50848
+1 1
+.names 50848 50849
+0 1
+.names 21563 50849 50850
+11 1
+.names 21613 50734 50851
+1- 1
+-1 1
+.names 21653 50854 50852
+1- 1
+-1 1
+.names 50852 50853
+1 1
+.names 21567 50854
+0 1
+.names 50857 50859 50855
+01 1
+10 1
+.names 50855 50856
+1 1
+.names 14886 50857
+1 1
+.names 21571 50864 50858
+1- 1
+-1 1
+.names 50858 50859
+1 1
+.names 50863 50853 50860
+01 1
+10 1
+.names 50860 50861
+1 1
+.names 50865 50866 50862
+11 1
+.names 50862 50863
+1 1
+.names 21620 50864
+0 1
+.names 50864 14886 50865
+1- 1
+-1 1
+.names 21571 50866
+0 1
+.names 50870 50867
+0 1
+.names 50867 50868
+1 1
+.names 21571 50864 50869
+1- 1
+-1 1
+.names 50869 50870
+1 1
+.names 50873 50871
+0 1
+.names 50871 50872
+1 1
+.names 50853 21571 50873
+01 1
+10 1
+.names 1216 50874
+0 1
+.names 1214 50875
+0 1
+.names 50881 50882 50876
+1- 1
+-1 1
+.names 50876 50877
+1 1
+.names 21596 50878
+0 1
+.names 50878 50879
+1 1
+.names 50879 50880
+0 1
+.names 50880 50856 50881
+11 1
+.names 50879 50868 50882
+11 1
+.names 50872 50883
+0 1
+.names 50883 50884
+1 1
+.names 50861 50885
+0 1
+.names 50885 50886
+1 1
+.names 50890 50892 50887
+1- 1
+-1 1
+.names 50887 50888
+1 1
+.names 50886 50889
+0 1
+.names 50880 50889 50890
+11 1
+.names 50884 50891
+0 1
+.names 50879 50891 50892
+11 1
+.names 50896 50897 50893
+1- 1
+-1 1
+.names 21614 50894
+0 1
+.names 50730 50895
+0 1
+.names 50894 50895 50896
+11 1
+.names 50736 50897
+0 1
+.names 50814 50898
+0 1
+.names 21562 50898 50899
+1- 1
+-1 1
+.names 50819 50900
+0 1
+.names 50900 50898 50901
+1- 1
+-1 1
+.names 1211 50902
+0 1
+.names 50902 2413 50903
+11 1
+.names 50908 50904
+0 1
+.names 50904 50905
+1 1
+.names 21591 50802 50906
+1- 1
+-1 1
+.names 50906 50907
+1 1
+.names 50907 21583 50908
+01 1
+10 1
+.names 50912 50909
+0 1
+.names 50909 50910
+1 1
+.names 21583 50913 50911
+1- 1
+-1 1
+.names 50911 50912
+1 1
+.names 21640 50913
+0 1
+.names 50916 50912 50914
+01 1
+10 1
+.names 50914 50915
+1 1
+.names 50854 50916
+1 1
+.names 50907 50920 50917
+01 1
+10 1
+.names 50917 50918
+1 1
+.names 50921 50922 50919
+11 1
+.names 50919 50920
+1 1
+.names 50913 50854 50921
+1- 1
+-1 1
+.names 21583 50922
+0 1
+.names 50927 50928 50923
+1- 1
+-1 1
+.names 50923 50924
+1 1
+.names 21613 50925
+1 1
+.names 50925 50926
+0 1
+.names 50926 50915 50927
+11 1
+.names 50925 50910 50928
+11 1
+.names 50905 50929
+0 1
+.names 50929 50930
+1 1
+.names 50938 50940 50931
+1- 1
+-1 1
+.names 50931 50932
+1 1
+.names 50925 50933
+1 1
+.names 50933 50934
+0 1
+.names 50918 50935
+0 1
+.names 50935 50936
+1 1
+.names 50936 50937
+0 1
+.names 50934 50937 50938
+11 1
+.names 50930 50939
+0 1
+.names 50933 50939 50940
+11 1
+.names 21721 21737 50941
+1- 1
+-1 1
+.names 50941 50942
+1 1
+.names 21695 21709 50943
+11 1
+.names 50943 50944
+1 1
+.names 21687 50945
+0 1
+.names 50949 50946
+0 1
+.names 50946 50947
+1 1
+.names 50944 50948
+0 1
+.names 50948 50949
+1 1
+.names 50952 50955 50950
+1- 1
+-1 1
+.names 50950 50951
+1 1
+.names 50947 50952
+0 1
+.names 21660 21725 50953
+11 1
+.names 50953 50954
+1 1
+.names 50954 50955
+0 1
+.names 50961 50962 50956
+11 1
+.names 50956 50957
+1 1
+.names 21668 21676 50958
+1- 1
+-1 1
+.names 50958 50959
+1 1
+.names 50959 50960
+0 1
+.names 50960 50948 50961
+1- 1
+-1 1
+.names 50942 50962
+0 1
+.names 50967 50963
+0 1
+.names 50963 50964
+1 1
+.names 21734 50968 50965
+1- 1
+-1 1
+.names 50965 50966
+1 1
+.names 50966 21718 50967
+01 1
+10 1
+.names 21730 50968
+0 1
+.names 21718 50971 50969
+1- 1
+-1 1
+.names 50969 50970
+1 1
+.names 21714 50971
+0 1
+.names 50975 50970 50972
+01 1
+10 1
+.names 50972 50973
+1 1
+.names 21673 50974
+0 1
+.names 50974 50975
+1 1
+.names 50979 50966 50976
+01 1
+10 1
+.names 50976 50977
+1 1
+.names 50980 50981 50978
+11 1
+.names 50978 50979
+1 1
+.names 50971 50974 50980
+1- 1
+-1 1
+.names 21718 50981
+0 1
+.names 50970 50982
+0 1
+.names 50982 50983
+1 1
+.names 50983 50984
+0 1
+.names 50984 50985
+1 1
+.names 51084 50986
+1 1
+.names 50986 50987
+1 1
+.names 50987 50988
+0 1
+.names 50985 50989
+0 1
+.names 50988 50989 50990
+11 1
+.names 50973 50991
+0 1
+.names 50991 50992
+1 1
+.names 50992 50993
+0 1
+.names 50987 50993 50994
+11 1
+.names 50977 50995
+0 1
+.names 50995 50996
+1 1
+.names 50964 50997
+0 1
+.names 50997 50998
+1 1
+.names 50998 50999
+0 1
+.names 50988 50999 51000
+11 1
+.names 50996 51001
+0 1
+.names 50987 51001 51002
+11 1
+.names 51007 51003
+0 1
+.names 51003 51004
+1 1
+.names 21738 50974 51005
+1- 1
+-1 1
+.names 51005 51006
+1 1
+.names 51006 21722 51007
+01 1
+10 1
+.names 21722 51010 51008
+1- 1
+-1 1
+.names 51008 51009
+1 1
+.names 21696 51010
+0 1
+.names 51014 51009 51011
+01 1
+10 1
+.names 51011 51012
+1 1
+.names 21710 51013
+0 1
+.names 51013 51014
+1 1
+.names 51018 51006 51015
+01 1
+10 1
+.names 51015 51016
+1 1
+.names 51019 51020 51017
+11 1
+.names 51017 51018
+1 1
+.names 51010 51013 51019
+1- 1
+-1 1
+.names 21722 51020
+0 1
+.names 51009 51021
+0 1
+.names 51021 51022
+1 1
+.names 51030 51026 51023
+1- 1
+-1 1
+.names 51023 51024
+1 1
+.names 14886 51025
+1 1
+.names 51025 51026
+0 1
+.names 51026 51027
+1 1
+.names 50826 51028
+1 1
+.names 51027 51029
+0 1
+.names 51028 51030
+0 1
+.names 51022 51031
+0 1
+.names 51031 51032
+1 1
+.names 21657 51033
+0 1
+.names 51032 51034
+0 1
+.names 51033 51034 51035
+11 1
+.names 51012 51036
+0 1
+.names 51036 51037
+1 1
+.names 51037 51038
+0 1
+.names 21657 51038 51039
+11 1
+.names 51004 51040
+0 1
+.names 51040 51041
+1 1
+.names 51041 51042
+0 1
+.names 51033 51042 51043
+11 1
+.names 51016 51044
+0 1
+.names 51044 51045
+1 1
+.names 51045 51046
+0 1
+.names 21657 51046 51047
+11 1
+.names 21699 50955 51048
+1- 1
+-1 1
+.names 21742 51051 51049
+1- 1
+-1 1
+.names 51049 51050
+1 1
+.names 21661 51051
+0 1
+.names 51054 51056 51052
+01 1
+10 1
+.names 51052 51053
+1 1
+.names 14886 51054
+1 1
+.names 21665 51061 51055
+1- 1
+-1 1
+.names 51055 51056
+1 1
+.names 51060 51050 51057
+01 1
+10 1
+.names 51057 51058
+1 1
+.names 51062 51063 51059
+11 1
+.names 51059 51060
+1 1
+.names 21706 51061
+0 1
+.names 51061 14886 51062
+1- 1
+-1 1
+.names 21665 51063
+0 1
+.names 51067 51064
+0 1
+.names 51064 51065
+1 1
+.names 21665 51061 51066
+1- 1
+-1 1
+.names 51066 51067
+1 1
+.names 51070 51068
+0 1
+.names 51068 51069
+1 1
+.names 51050 21665 51070
+01 1
+10 1
+.names 21682 51071
+0 1
+.names 51071 51072
+1 1
+.names 51072 51073
+0 1
+.names 51073 51053 51074
+11 1
+.names 51072 51065 51075
+11 1
+.names 51069 51076
+0 1
+.names 51076 51077
+1 1
+.names 51058 51078
+0 1
+.names 51078 51079
+1 1
+.names 51079 51080
+0 1
+.names 51073 51080 51081
+11 1
+.names 51077 51082
+0 1
+.names 51072 51082 51083
+11 1
+.names 51087 51088 51084
+1- 1
+-1 1
+.names 21700 51085
+0 1
+.names 50951 51086
+0 1
+.names 51085 51086 51087
+11 1
+.names 50957 51088
+0 1
+.names 50902 14886 51089
+11 1
+.names 51094 51090
+0 1
+.names 51090 51091
+1 1
+.names 21677 51013 51092
+1- 1
+-1 1
+.names 51092 51093
+1 1
+.names 51093 21669 51094
+01 1
+10 1
+.names 51098 51095
+0 1
+.names 51095 51096
+1 1
+.names 21669 51099 51097
+1- 1
+-1 1
+.names 51097 51098
+1 1
+.names 21726 51099
+0 1
+.names 51102 51098 51100
+01 1
+10 1
+.names 51100 51101
+1 1
+.names 51051 51102
+1 1
+.names 51093 51106 51103
+01 1
+10 1
+.names 51103 51104
+1 1
+.names 51107 51108 51105
+11 1
+.names 51105 51106
+1 1
+.names 51099 51051 51107
+1- 1
+-1 1
+.names 21669 51108
+0 1
+.names 21699 51109
+1 1
+.names 51109 51110
+0 1
+.names 51110 51101 51111
+11 1
+.names 51109 51096 51112
+11 1
+.names 51091 51113
+0 1
+.names 51113 51114
+1 1
+.names 51109 51115
+1 1
+.names 51115 51116
+0 1
+.names 51104 51117
+0 1
+.names 51117 51118
+1 1
+.names 51118 51119
+0 1
+.names 51116 51119 51120
+11 1
+.names 51114 51121
+0 1
+.names 51115 51121 51122
+11 1
+.names 51125 51126 51123
+1- 1
+-1 1
+.names 51123 51124
+1 1
+.names 50484 51125
+0 1
+.names 9682 51126
+0 1
+.names 51129 51130 51127
+1- 1
+-1 1
+.names 51127 51128
+1 1
+.names 9674 1783 51129
+11 1
+.names 51124 51130
+0 1
+.names 51133 51134 51131
+11 1
+.names 51131 51132
+1 1
+.names 56700 51126 51133
+11 1
+.names 9674 51134
+0 1
+.names 51139 51141 51135
+1- 1
+-1 1
+.names 51135 51136
+1 1
+.names 9684 51137
+0 1
+.names 51132 51138
+0 1
+.names 51137 51138 51139
+11 1
+.names 21753 51140
+0 1
+.names 9684 51140 51141
+11 1
+.names 51128 51143 57452
+1- 1
+-1 1
+.names 51136 51143
+0 1
+.names 51146 51126 51144
+1- 1
+-1 1
+.names 51144 51145
+1 1
+.names 50363 51146
+0 1
+.names 51149 51150 51147
+1- 1
+-1 1
+.names 51147 51148
+1 1
+.names 9674 1783 51149
+11 1
+.names 51145 51150
+0 1
+.names 51153 51134 51151
+11 1
+.names 51151 51152
+1 1
+.names 56705 51126 51153
+11 1
+.names 51157 51159 51154
+1- 1
+-1 1
+.names 51154 51155
+1 1
+.names 51152 51156
+0 1
+.names 51137 51156 51157
+11 1
+.names 21789 51158
+0 1
+.names 9684 51158 51159
+11 1
+.names 51148 51161 57453
+1- 1
+-1 1
+.names 51155 51161
+0 1
+.names 51164 51126 51162
+1- 1
+-1 1
+.names 51162 51163
+1 1
+.names 50473 51164
+0 1
+.names 51167 51168 51165
+1- 1
+-1 1
+.names 51165 51166
+1 1
+.names 1775 9674 51167
+11 1
+.names 51163 51168
+0 1
+.names 51171 51134 51169
+11 1
+.names 51169 51170
+1 1
+.names 56699 51126 51171
+11 1
+.names 51175 51177 51172
+1- 1
+-1 1
+.names 51172 51173
+1 1
+.names 51170 51174
+0 1
+.names 51137 51174 51175
+11 1
+.names 21770 51176
+0 1
+.names 9684 51176 51177
+11 1
+.names 51166 51179 57454
+1- 1
+-1 1
+.names 51173 51179
+0 1
+.names 51183 51184 51180
+11 1
+.names 51180 51181
+1 1
+.names 9683 51182
+0 1
+.names 56701 51182 51183
+11 1
+.names 9672 51184
+0 1
+.names 51187 51190 57455
+1- 1
+-1 1
+.names 51196 51199 51186
+1- 1
+-1 1
+.names 51186 51187
+1 1
+.names 51193 51195 51188
+1- 1
+-1 1
+.names 51188 51189
+1 1
+.names 51189 51190
+0 1
+.names 51134 51191
+1 1
+.names 51191 51192
+0 1
+.names 51192 2413 51193
+11 1
+.names 51181 51194
+0 1
+.names 51191 51194 51195
+11 1
+.names 21748 9683 51196
+11 1
+.names 51200 51184 51197
+1- 1
+-1 1
+.names 51197 51198
+1 1
+.names 51198 51199
+0 1
+.names 50520 51200
+0 1
+.names 51206 51208 51201
+1- 1
+-1 1
+.names 51201 51202
+1 1
+.names 51209 51134 51203
+11 1
+.names 51203 51204
+1 1
+.names 51204 51205
+0 1
+.names 51137 51205 51206
+11 1
+.names 21806 51207
+0 1
+.names 9684 51207 51208
+11 1
+.names 56704 51184 51209
+11 1
+.names 51212 51213 57456
+1- 1
+-1 1
+.names 51214 51217 51211
+1- 1
+-1 1
+.names 51211 51212
+1 1
+.names 51202 51213
+0 1
+.names 1783 9674 51214
+11 1
+.names 51218 51184 51215
+1- 1
+-1 1
+.names 51215 51216
+1 1
+.names 51216 51217
+0 1
+.names 50438 51218
+0 1
+.names 51221 51184 51219
+11 1
+.names 51219 51220
+1 1
+.names 56706 51182 51221
+11 1
+.names 51226 51228 51222
+1- 1
+-1 1
+.names 51222 51223
+1 1
+.names 51134 51224
+1 1
+.names 51224 51225
+0 1
+.names 51225 2413 51226
+11 1
+.names 51220 51227
+0 1
+.names 51224 51227 51228
+11 1
+.names 51231 51234 51229
+1- 1
+-1 1
+.names 51229 51230
+1 1
+.names 21779 9683 51231
+11 1
+.names 51235 51184 51232
+1- 1
+-1 1
+.names 51232 51233
+1 1
+.names 51233 51234
+0 1
+.names 50376 51235
+0 1
+.names 51230 51236
+0 1
+.names 51236 51237
+1 1
+.names 51239 51240 57457
+1- 1
+-1 1
+.names 51237 51239
+0 1
+.names 51223 51240
+0 1
+.names 51243 51184 51241
+11 1
+.names 51241 51242
+1 1
+.names 56702 51182 51243
+11 1
+.names 51246 51249 57458
+1- 1
+-1 1
+.names 51255 51258 51245
+1- 1
+-1 1
+.names 51245 51246
+1 1
+.names 51252 51254 51247
+1- 1
+-1 1
+.names 51247 51248
+1 1
+.names 51248 51249
+0 1
+.names 51134 51250
+1 1
+.names 51250 51251
+0 1
+.names 51251 2413 51252
+11 1
+.names 51242 51253
+0 1
+.names 51250 51253 51254
+11 1
+.names 21765 9683 51255
+11 1
+.names 51259 51184 51256
+1- 1
+-1 1
+.names 51256 51257
+1 1
+.names 51257 51258
+0 1
+.names 50528 51259
+0 1
+.names 51262 51126 51260
+1- 1
+-1 1
+.names 51260 51261
+1 1
+.names 50429 51262
+0 1
+.names 51265 51266 51263
+1- 1
+-1 1
+.names 51263 51264
+1 1
+.names 1783 9674 51265
+11 1
+.names 51261 51266
+0 1
+.names 51269 51134 51267
+11 1
+.names 51267 51268
+1 1
+.names 56703 51126 51269
+11 1
+.names 51273 51275 51270
+1- 1
+-1 1
+.names 51270 51271
+1 1
+.names 51268 51272
+0 1
+.names 51137 51272 51273
+11 1
+.names 21784 51274
+0 1
+.names 9684 51274 51275
+11 1
+.names 51264 51277 57459
+1- 1
+-1 1
+.names 51271 51277
+0 1
+.names 51280 51281 51278
+1- 1
+-1 1
+.names 51278 51279
+1 1
+.names 50888 51280
+0 1
+.names 9702 51281
+0 1
+.names 51284 51285 51282
+1- 1
+-1 1
+.names 51282 51283
+1 1
+.names 9694 1783 51284
+11 1
+.names 51279 51285
+0 1
+.names 51288 51289 51286
+11 1
+.names 51286 51287
+1 1
+.names 56700 51281 51288
+11 1
+.names 9694 51289
+0 1
+.names 51294 51296 51290
+1- 1
+-1 1
+.names 51290 51291
+1 1
+.names 9704 51292
+0 1
+.names 51287 51293
+0 1
+.names 51292 51293 51294
+11 1
+.names 21854 51295
+0 1
+.names 9704 51295 51296
+11 1
+.names 51283 51298 57460
+1- 1
+-1 1
+.names 51291 51298
+0 1
+.names 51301 51281 51299
+1- 1
+-1 1
+.names 51299 51300
+1 1
+.names 50767 51301
+0 1
+.names 51304 51305 51302
+1- 1
+-1 1
+.names 51302 51303
+1 1
+.names 9694 1783 51304
+11 1
+.names 51300 51305
+0 1
+.names 51308 51289 51306
+11 1
+.names 51306 51307
+1 1
+.names 56705 51281 51308
+11 1
+.names 51312 51314 51309
+1- 1
+-1 1
+.names 51309 51310
+1 1
+.names 51307 51311
+0 1
+.names 51292 51311 51312
+11 1
+.names 21890 51313
+0 1
+.names 9704 51313 51314
+11 1
+.names 51303 51316 57461
+1- 1
+-1 1
+.names 51310 51316
+0 1
+.names 51319 51281 51317
+1- 1
+-1 1
+.names 51317 51318
+1 1
+.names 50877 51319
+0 1
+.names 51322 51323 51320
+1- 1
+-1 1
+.names 51320 51321
+1 1
+.names 1775 9694 51322
+11 1
+.names 51318 51323
+0 1
+.names 51326 51289 51324
+11 1
+.names 51324 51325
+1 1
+.names 56699 51281 51326
+11 1
+.names 51330 51332 51327
+1- 1
+-1 1
+.names 51327 51328
+1 1
+.names 51325 51329
+0 1
+.names 51292 51329 51330
+11 1
+.names 21871 51331
+0 1
+.names 9704 51331 51332
+11 1
+.names 51321 51334 57462
+1- 1
+-1 1
+.names 51328 51334
+0 1
+.names 51338 51339 51335
+11 1
+.names 51335 51336
+1 1
+.names 9703 51337
+0 1
+.names 56701 51337 51338
+11 1
+.names 9692 51339
+0 1
+.names 51342 51345 57463
+1- 1
+-1 1
+.names 51351 51354 51341
+1- 1
+-1 1
+.names 51341 51342
+1 1
+.names 51348 51350 51343
+1- 1
+-1 1
+.names 51343 51344
+1 1
+.names 51344 51345
+0 1
+.names 51289 51346
+1 1
+.names 51346 51347
+0 1
+.names 51347 2413 51348
+11 1
+.names 51336 51349
+0 1
+.names 51346 51349 51350
+11 1
+.names 21849 9703 51351
+11 1
+.names 51355 51339 51352
+1- 1
+-1 1
+.names 51352 51353
+1 1
+.names 51353 51354
+0 1
+.names 50924 51355
+0 1
+.names 51361 51363 51356
+1- 1
+-1 1
+.names 51356 51357
+1 1
+.names 51364 51289 51358
+11 1
+.names 51358 51359
+1 1
+.names 51359 51360
+0 1
+.names 51292 51360 51361
+11 1
+.names 21907 51362
+0 1
+.names 9704 51362 51363
+11 1
+.names 56704 51339 51364
+11 1
+.names 51367 51368 57464
+1- 1
+-1 1
+.names 51369 51372 51366
+1- 1
+-1 1
+.names 51366 51367
+1 1
+.names 51357 51368
+0 1
+.names 1783 9694 51369
+11 1
+.names 51373 51339 51370
+1- 1
+-1 1
+.names 51370 51371
+1 1
+.names 51371 51372
+0 1
+.names 50842 51373
+0 1
+.names 51376 51339 51374
+11 1
+.names 51374 51375
+1 1
+.names 56706 51337 51376
+11 1
+.names 51381 51383 51377
+1- 1
+-1 1
+.names 51377 51378
+1 1
+.names 51289 51379
+1 1
+.names 51379 51380
+0 1
+.names 51380 2413 51381
+11 1
+.names 51375 51382
+0 1
+.names 51379 51382 51383
+11 1
+.names 51386 51389 51384
+1- 1
+-1 1
+.names 51384 51385
+1 1
+.names 21880 9703 51386
+11 1
+.names 51390 51339 51387
+1- 1
+-1 1
+.names 51387 51388
+1 1
+.names 51388 51389
+0 1
+.names 50780 51390
+0 1
+.names 51385 51391
+0 1
+.names 51391 51392
+1 1
+.names 51394 51395 57465
+1- 1
+-1 1
+.names 51392 51394
+0 1
+.names 51378 51395
+0 1
+.names 51398 51339 51396
+11 1
+.names 51396 51397
+1 1
+.names 56702 51337 51398
+11 1
+.names 51401 51404 57466
+1- 1
+-1 1
+.names 51410 51413 51400
+1- 1
+-1 1
+.names 51400 51401
+1 1
+.names 51407 51409 51402
+1- 1
+-1 1
+.names 51402 51403
+1 1
+.names 51403 51404
+0 1
+.names 51289 51405
+1 1
+.names 51405 51406
+0 1
+.names 51406 2413 51407
+11 1
+.names 51397 51408
+0 1
+.names 51405 51408 51409
+11 1
+.names 21866 9703 51410
+11 1
+.names 51414 51339 51411
+1- 1
+-1 1
+.names 51411 51412
+1 1
+.names 51412 51413
+0 1
+.names 50932 51414
+0 1
+.names 51417 51281 51415
+1- 1
+-1 1
+.names 51415 51416
+1 1
+.names 50833 51417
+0 1
+.names 51420 51421 51418
+1- 1
+-1 1
+.names 51418 51419
+1 1
+.names 1783 9694 51420
+11 1
+.names 51416 51421
+0 1
+.names 51424 51289 51422
+11 1
+.names 51422 51423
+1 1
+.names 56703 51281 51424
+11 1
+.names 51428 51430 51425
+1- 1
+-1 1
+.names 51425 51426
+1 1
+.names 51423 51427
+0 1
+.names 51292 51427 51428
+11 1
+.names 21885 51429
+0 1
+.names 9704 51429 51430
+11 1
+.names 51419 51432 57467
+1- 1
+-1 1
+.names 51426 51432
+0 1
+.names 9765 51433
+0 1
+.names 9767 51434
+0 1
+.names 22028 51435
+0 1
+.names 22036 51436
+0 1
+.names 22024 51437
+0 1
+.names 22032 51438
+0 1
+.names 22040 51439
+0 1
+.names 22052 51440
+0 1
+.names 10027 51441
+0 1
+.names 9769 51442
+0 1
+.names 22044 51443
+0 1
+.names 22048 51444
+0 1
+.names 10158 51445
+0 1
+.names 9771 51446
+0 1
+.names 22085 51447
+0 1
+.names 22081 51448
+0 1
+.names 22077 51449
+0 1
+.names 22073 51450
+0 1
+.names 22089 51451
+0 1
+.names 22101 51452
+0 1
+.names 10165 51453
+0 1
+.names 9775 51454
+0 1
+.names 22093 51455
+0 1
+.names 22097 51456
+0 1
+.names 10356 51457
+0 1
+.names 10358 51458
+0 1
+.names 10536 51459
+0 1
+.names 10360 51460
+0 1
+.names 22156 51461
+0 1
+.names 22164 51462
+0 1
+.names 22152 51463
+0 1
+.names 22148 51464
+0 1
+.names 22160 51465
+0 1
+.names 22168 51466
+0 1
+.names 10539 51467
+0 1
+.names 10362 51468
+0 1
+.names 22204 51469
+0 1
+.names 22200 51470
+0 1
+.names 22196 51471
+0 1
+.names 22192 51472
+0 1
+.names 22208 51473
+0 1
+.names 22220 51474
+0 1
+.names 10546 51475
+0 1
+.names 10366 51476
+0 1
+.names 22212 51477
+0 1
+.names 22216 51478
+0 1
+.names 51485 51487 51479
+1- 1
+-1 1
+.names 51479 51480
+1 1
+.names 14966 51481
+0 1
+.names 51489 51490 51482
+11 1
+.names 51482 51483
+1 1
+.names 51483 51484
+0 1
+.names 51481 51484 51485
+11 1
+.names 478 51486
+0 1
+.names 14966 51486 51487
+11 1
+.names 14958 51488
+0 1
+.names 303 51488 51489
+11 1
+.names 14959 51490
+0 1
+.names 51494 51495 51491
+1- 1
+-1 1
+.names 51491 51492
+1 1
+.names 51498 51499 51493
+1- 1
+-1 1
+.names 51493 51494
+1 1
+.names 51480 51495
+0 1
+.names 2413 51490 51496
+1- 1
+-1 1
+.names 51496 51497
+1 1
+.names 1783 14958 51498
+11 1
+.names 51497 51499
+0 1
+.names 51506 51507 51500
+1- 1
+-1 1
+.names 51500 51501
+1 1
+.names 14961 51502
+0 1
+.names 51508 51481 51503
+11 1
+.names 51503 51504
+1 1
+.names 51504 51505
+0 1
+.names 51502 51505 51506
+11 1
+.names 14961 47950 51507
+11 1
+.names 308 51488 51508
+11 1
+.names 51512 51513 51509
+1- 1
+-1 1
+.names 51509 51510
+1 1
+.names 51516 51517 51511
+1- 1
+-1 1
+.names 51511 51512
+1 1
+.names 51501 51513
+0 1
+.names 44504 51488 51514
+1- 1
+-1 1
+.names 51514 51515
+1 1
+.names 14966 483 51516
+11 1
+.names 51515 51517
+0 1
+.names 51521 51522 51518
+11 1
+.names 51518 51519
+1 1
+.names 14957 51520
+0 1
+.names 324 51520 51521
+11 1
+.names 14969 51522
+0 1
+.names 51526 51529 51523
+1- 1
+-1 1
+.names 51523 51524
+1 1
+.names 51536 51537 51525
+1- 1
+-1 1
+.names 51525 51526
+1 1
+.names 51532 51533 51527
+1- 1
+-1 1
+.names 51527 51528
+1 1
+.names 51528 51529
+0 1
+.names 14960 51530
+0 1
+.names 51519 51531
+0 1
+.names 51530 51531 51532
+11 1
+.names 14960 47898 51533
+11 1
+.names 44513 51520 51534
+1- 1
+-1 1
+.names 51534 51535
+1 1
+.names 14969 499 51536
+11 1
+.names 51535 51537
+0 1
+.names 51543 51545 51538
+1- 1
+-1 1
+.names 51538 51539
+1 1
+.names 51547 51490 51540
+11 1
+.names 51540 51541
+1 1
+.names 51541 51542
+0 1
+.names 51522 51542 51543
+11 1
+.names 485 51544
+0 1
+.names 14969 51544 51545
+11 1
+.names 14956 51546
+0 1
+.names 310 51546 51547
+11 1
+.names 48041 51490 51548
+1- 1
+-1 1
+.names 51548 51549
+1 1
+.names 51552 51553 51550
+1- 1
+-1 1
+.names 51550 51551
+1 1
+.names 1416 14956 51552
+11 1
+.names 51549 51553
+0 1
+.names 51551 51554
+0 1
+.names 51554 51555
+1 1
+.names 51558 51559 51556
+1- 1
+-1 1
+.names 51556 51557
+1 1
+.names 51555 51558
+0 1
+.names 51539 51559
+0 1
+.names 48067 51490 51560
+1- 1
+-1 1
+.names 51560 51561
+1 1
+.names 51564 51565 51562
+1- 1
+-1 1
+.names 51562 51563
+1 1
+.names 1417 14958 51564
+11 1
+.names 51561 51565
+0 1
+.names 51568 51490 51566
+11 1
+.names 51566 51567
+1 1
+.names 312 51488 51568
+11 1
+.names 51573 51575 51569
+1- 1
+-1 1
+.names 51569 51570
+1 1
+.names 14970 51571
+0 1
+.names 51567 51572
+0 1
+.names 51571 51572 51573
+11 1
+.names 487 51574
+0 1
+.names 14970 51574 51575
+11 1
+.names 51563 51578 51576
+1- 1
+-1 1
+.names 51576 51577
+1 1
+.names 51570 51578
+0 1
+.names 51584 51585 51579
+1- 1
+-1 1
+.names 51579 51580
+1 1
+.names 51586 51490 51581
+11 1
+.names 51581 51582
+1 1
+.names 51582 51583
+0 1
+.names 51522 51583 51584
+11 1
+.names 14969 49846 51585
+11 1
+.names 319 51546 51586
+11 1
+.names 48139 51490 51587
+1- 1
+-1 1
+.names 51587 51588
+1 1
+.names 51591 51592 51589
+1- 1
+-1 1
+.names 51589 51590
+1 1
+.names 1414 14956 51591
+11 1
+.names 51588 51592
+0 1
+.names 51590 51593
+0 1
+.names 51593 51594
+1 1
+.names 51597 51598 51595
+1- 1
+-1 1
+.names 51595 51596
+1 1
+.names 51594 51597
+0 1
+.names 51580 51598
+0 1
+.names 51601 51522 51599
+11 1
+.names 51599 51600
+1 1
+.names 332 51520 51601
+11 1
+.names 51605 51608 51602
+1- 1
+-1 1
+.names 51602 51603
+1 1
+.names 51614 51615 51604
+1- 1
+-1 1
+.names 51604 51605
+1 1
+.names 51610 51611 51606
+1- 1
+-1 1
+.names 51606 51607
+1 1
+.names 51607 51608
+0 1
+.names 51600 51609
+0 1
+.names 51530 51609 51610
+11 1
+.names 14960 48184 51611
+11 1
+.names 44531 51520 51612
+1- 1
+-1 1
+.names 51612 51613
+1 1
+.names 14969 507 51614
+11 1
+.names 51613 51615
+0 1
+.names 51621 51622 51616
+1- 1
+-1 1
+.names 51616 51617
+1 1
+.names 51623 51490 51618
+11 1
+.names 51618 51619
+1 1
+.names 51619 51620
+0 1
+.names 51481 51620 51621
+11 1
+.names 14966 49694 51622
+11 1
+.names 321 51488 51623
+11 1
+.names 51627 51628 51624
+1- 1
+-1 1
+.names 51624 51625
+1 1
+.names 51631 51632 51626
+1- 1
+-1 1
+.names 51626 51627
+1 1
+.names 51617 51628
+0 1
+.names 48235 51490 51629
+1- 1
+-1 1
+.names 51629 51630
+1 1
+.names 1418 14958 51631
+11 1
+.names 51630 51632
+0 1
+.names 51635 51636 51633
+11 1
+.names 51633 51634
+1 1
+.names 311 51520 51635
+11 1
+.names 14968 51636
+0 1
+.names 51640 51643 51637
+1- 1
+-1 1
+.names 51637 51638
+1 1
+.names 51649 51650 51639
+1- 1
+-1 1
+.names 51639 51640
+1 1
+.names 51645 51646 51641
+1- 1
+-1 1
+.names 51641 51642
+1 1
+.names 51642 51643
+0 1
+.names 51634 51644
+0 1
+.names 51530 51644 51645
+11 1
+.names 14960 48279 51646
+11 1
+.names 44581 51520 51647
+1- 1
+-1 1
+.names 51647 51648
+1 1
+.names 14968 486 51649
+11 1
+.names 51648 51650
+0 1
+.names 51656 51658 51651
+1- 1
+-1 1
+.names 51651 51652
+1 1
+.names 51659 51490 51653
+11 1
+.names 51653 51654
+1 1
+.names 51654 51655
+0 1
+.names 51481 51655 51656
+11 1
+.names 502 51657
+0 1
+.names 14966 51657 51658
+11 1
+.names 327 51546 51659
+11 1
+.names 51662 51490 51660
+1- 1
+-1 1
+.names 51660 51661
+1 1
+.names 1577 51662
+0 1
+.names 51665 51666 51663
+1- 1
+-1 1
+.names 51663 51664
+1 1
+.names 1420 14956 51665
+11 1
+.names 51661 51666
+0 1
+.names 51664 51667
+0 1
+.names 51667 51668
+1 1
+.names 51671 51672 51669
+1- 1
+-1 1
+.names 51669 51670
+1 1
+.names 51668 51671
+0 1
+.names 51652 51672
+0 1
+.names 51678 51680 51673
+1- 1
+-1 1
+.names 51673 51674
+1 1
+.names 51681 51571 51675
+11 1
+.names 51675 51676
+1 1
+.names 51676 51677
+0 1
+.names 51502 51677 51678
+11 1
+.names 1584 51679
+0 1
+.names 14961 51679 51680
+11 1
+.names 313 51546 51681
+11 1
+.names 51685 51686 51682
+1- 1
+-1 1
+.names 51682 51683
+1 1
+.names 51689 51690 51684
+1- 1
+-1 1
+.names 51684 51685
+1 1
+.names 51674 51686
+0 1
+.names 44599 51546 51687
+1- 1
+-1 1
+.names 51687 51688
+1 1
+.names 14970 488 51689
+11 1
+.names 51688 51690
+0 1
+.names 51693 51636 51691
+11 1
+.names 51691 51692
+1 1
+.names 326 51520 51693
+11 1
+.names 51697 51700 51694
+1- 1
+-1 1
+.names 51694 51695
+1 1
+.names 51704 51707 51696
+1- 1
+-1 1
+.names 51696 51697
+1 1
+.names 51702 51703 51698
+1- 1
+-1 1
+.names 51698 51699
+1 1
+.names 51699 51700
+0 1
+.names 51692 51701
+0 1
+.names 51502 51701 51702
+11 1
+.names 14961 48404 51703
+11 1
+.names 1422 14957 51704
+11 1
+.names 51708 51636 51705
+1- 1
+-1 1
+.names 51705 51706
+1 1
+.names 51706 51707
+0 1
+.names 501 51708
+0 1
+.names 51714 51715 51709
+1- 1
+-1 1
+.names 51709 51710
+1 1
+.names 51717 51522 51711
+11 1
+.names 51711 51712
+1 1
+.names 51712 51713
+0 1
+.names 51502 51713 51714
+11 1
+.names 14961 2413 51715
+11 1
+.names 14967 51716
+0 1
+.names 302 51716 51717
+11 1
+.names 51721 51722 51718
+1- 1
+-1 1
+.names 51718 51719
+1 1
+.names 51725 51726 51720
+1- 1
+-1 1
+.names 51720 51721
+1 1
+.names 51710 51722
+0 1
+.names 2413 51716 51723
+1- 1
+-1 1
+.names 51723 51724
+1 1
+.names 14969 477 51725
+11 1
+.names 51724 51726
+0 1
+.names 51732 51733 51727
+1- 1
+-1 1
+.names 51727 51728
+1 1
+.names 51734 51522 51729
+11 1
+.names 51729 51730
+1 1
+.names 51730 51731
+0 1
+.names 51502 51731 51732
+11 1
+.names 14961 48809 51733
+11 1
+.names 304 51546 51734
+11 1
+.names 51738 51739 51735
+1- 1
+-1 1
+.names 51735 51736
+1 1
+.names 51742 51743 51737
+1- 1
+-1 1
+.names 51737 51738
+1 1
+.names 51728 51739
+0 1
+.names 44617 51546 51740
+1- 1
+-1 1
+.names 51740 51741
+1 1
+.names 14969 479 51742
+11 1
+.names 51741 51743
+0 1
+.names 51749 51750 51744
+1- 1
+-1 1
+.names 51744 51745
+1 1
+.names 51751 51481 51746
+11 1
+.names 51746 51747
+1 1
+.names 51747 51748
+0 1
+.names 51716 51748 51749
+11 1
+.names 14967 44625 51750
+11 1
+.names 307 51530 51751
+11 1
+.names 51755 51756 51752
+1- 1
+-1 1
+.names 51752 51753
+1 1
+.names 51757 51760 51754
+1- 1
+-1 1
+.names 51754 51755
+1 1
+.names 51745 51756
+0 1
+.names 14966 482 51757
+11 1
+.names 48855 51530 51758
+1- 1
+-1 1
+.names 51758 51759
+1 1
+.names 51759 51760
+0 1
+.names 51763 51636 51761
+11 1
+.names 51761 51762
+1 1
+.names 309 51520 51763
+11 1
+.names 51767 51770 51764
+1- 1
+-1 1
+.names 51764 51765
+1 1
+.names 51776 51777 51766
+1- 1
+-1 1
+.names 51766 51767
+1 1
+.names 51772 51773 51768
+1- 1
+-1 1
+.names 51768 51769
+1 1
+.names 51769 51770
+0 1
+.names 51762 51771
+0 1
+.names 51530 51771 51772
+11 1
+.names 14960 48533 51773
+11 1
+.names 44633 51520 51774
+1- 1
+-1 1
+.names 51774 51775
+1 1
+.names 14968 484 51776
+11 1
+.names 51775 51777
+0 1
+.names 51783 51785 51778
+1- 1
+-1 1
+.names 51778 51779
+1 1
+.names 51786 51490 51780
+11 1
+.names 51780 51781
+1 1
+.names 51781 51782
+0 1
+.names 51571 51782 51783
+11 1
+.names 495 51784
+0 1
+.names 14970 51784 51785
+11 1
+.names 320 51546 51786
+11 1
+.names 48581 51490 51787
+1- 1
+-1 1
+.names 51787 51788
+1 1
+.names 51791 51792 51789
+1- 1
+-1 1
+.names 51789 51790
+1 1
+.names 1426 14956 51791
+11 1
+.names 51788 51792
+0 1
+.names 51790 51793
+0 1
+.names 51793 51794
+1 1
+.names 51797 51798 51795
+1- 1
+-1 1
+.names 51795 51796
+1 1
+.names 51794 51797
+0 1
+.names 51779 51798
+0 1
+.names 51804 51805 51799
+1- 1
+-1 1
+.names 51799 51800
+1 1
+.names 51806 51481 51801
+11 1
+.names 51801 51802
+1 1
+.names 51802 51803
+0 1
+.names 51502 51803 51804
+11 1
+.names 14961 48624 51805
+11 1
+.names 318 51716 51806
+11 1
+.names 51810 51811 51807
+1- 1
+-1 1
+.names 51807 51808
+1 1
+.names 51814 51815 51809
+1- 1
+-1 1
+.names 51809 51810
+1 1
+.names 51800 51811
+0 1
+.names 44651 51716 51812
+1- 1
+-1 1
+.names 51812 51813
+1 1
+.names 14966 493 51814
+11 1
+.names 51813 51815
+0 1
+.names 51821 51822 51816
+1- 1
+-1 1
+.names 51816 51817
+1 1
+.names 51823 51636 51818
+11 1
+.names 51818 51819
+1 1
+.names 51819 51820
+0 1
+.names 51716 51820 51821
+11 1
+.names 14967 44660 51822
+11 1
+.names 325 51530 51823
+11 1
+.names 51827 51828 51824
+1- 1
+-1 1
+.names 51824 51825
+1 1
+.names 51831 51832 51826
+1- 1
+-1 1
+.names 51826 51827
+1 1
+.names 51817 51828
+0 1
+.names 48674 51530 51829
+1- 1
+-1 1
+.names 51829 51830
+1 1
+.names 500 14968 51831
+11 1
+.names 51830 51832
+0 1
+.names 51838 51839 51833
+1- 1
+-1 1
+.names 51833 51834
+1 1
+.names 51840 51571 51835
+11 1
+.names 51835 51836
+1 1
+.names 51836 51837
+0 1
+.names 51530 51837 51838
+11 1
+.names 14960 48715 51839
+11 1
+.names 333 51716 51840
+11 1
+.names 51844 51845 51841
+1- 1
+-1 1
+.names 51841 51842
+1 1
+.names 51846 51849 51843
+1- 1
+-1 1
+.names 51843 51844
+1 1
+.names 51834 51845
+0 1
+.names 14970 508 51846
+11 1
+.names 44668 51716 51847
+1- 1
+-1 1
+.names 51847 51848
+1 1
+.names 51848 51849
+0 1
+.names 51855 51856 51850
+1- 1
+-1 1
+.names 51850 51851
+1 1
+.names 51857 51481 51852
+11 1
+.names 51852 51853
+1 1
+.names 51853 51854
+0 1
+.names 51716 51854 51855
+11 1
+.names 14967 44678 51856
+11 1
+.names 323 51490 51857
+11 1
+.names 51861 51862 51858
+1- 1
+-1 1
+.names 51858 51859
+1 1
+.names 51865 51866 51860
+1- 1
+-1 1
+.names 51860 51861
+1 1
+.names 51851 51862
+0 1
+.names 48768 51490 51863
+1- 1
+-1 1
+.names 51863 51864
+1 1
+.names 498 14966 51865
+11 1
+.names 51864 51866
+0 1
+.names 51872 51873 51867
+1- 1
+-1 1
+.names 51867 51868
+1 1
+.names 51874 51571 51869
+11 1
+.names 51869 51870
+1 1
+.names 51870 51871
+0 1
+.names 51488 51871 51872
+11 1
+.names 14958 44686 51873
+11 1
+.names 315 51502 51874
+11 1
+.names 51878 51879 51875
+1- 1
+-1 1
+.names 51875 51876
+1 1
+.names 51882 51883 51877
+1- 1
+-1 1
+.names 51877 51878
+1 1
+.names 51868 51879
+0 1
+.names 48905 51502 51880
+1- 1
+-1 1
+.names 51880 51881
+1 1
+.names 14970 490 51882
+11 1
+.names 51881 51883
+0 1
+.names 51886 51481 51884
+11 1
+.names 51884 51885
+1 1
+.names 316 51520 51886
+11 1
+.names 51890 51893 51887
+1- 1
+-1 1
+.names 51887 51888
+1 1
+.names 51896 51897 51889
+1- 1
+-1 1
+.names 51889 51890
+1 1
+.names 51899 51900 51891
+1- 1
+-1 1
+.names 51891 51892
+1 1
+.names 51892 51893
+0 1
+.names 44694 51520 51894
+1- 1
+-1 1
+.names 51894 51895
+1 1
+.names 14966 491 51896
+11 1
+.names 51895 51897
+0 1
+.names 51885 51898
+0 1
+.names 51530 51898 51899
+11 1
+.names 14960 48934 51900
+11 1
+.names 51906 51907 51901
+1- 1
+-1 1
+.names 51901 51902
+1 1
+.names 51908 51481 51903
+11 1
+.names 51903 51904
+1 1
+.names 51904 51905
+0 1
+.names 51488 51905 51906
+11 1
+.names 14958 44703 51907
+11 1
+.names 330 51530 51908
+11 1
+.names 51912 51913 51909
+1- 1
+-1 1
+.names 51909 51910
+1 1
+.names 51917 51918 51911
+1- 1
+-1 1
+.names 51911 51912
+1 1
+.names 51902 51913
+0 1
+.names 51916 51530 51914
+1- 1
+-1 1
+.names 51914 51915
+1 1
+.names 1638 51916
+0 1
+.names 14966 505 51917
+11 1
+.names 51915 51918
+0 1
+.names 51924 51925 51919
+1- 1
+-1 1
+.names 51919 51920
+1 1
+.names 51926 51636 51921
+11 1
+.names 51921 51922
+1 1
+.names 51922 51923
+0 1
+.names 51716 51923 51924
+11 1
+.names 14967 44720 51925
+11 1
+.names 328 51490 51926
+11 1
+.names 51930 51931 51927
+1- 1
+-1 1
+.names 51927 51928
+1 1
+.names 51934 51935 51929
+1- 1
+-1 1
+.names 51929 51930
+1 1
+.names 51920 51931
+0 1
+.names 49090 51490 51932
+1- 1
+-1 1
+.names 51932 51933
+1 1
+.names 14968 503 51934
+11 1
+.names 51933 51935
+0 1
+.names 51941 51942 51936
+1- 1
+-1 1
+.names 51936 51937
+1 1
+.names 51943 51636 51938
+11 1
+.names 51938 51939
+1 1
+.names 51939 51940
+0 1
+.names 51716 51940 51941
+11 1
+.names 14967 44711 51942
+11 1
+.names 314 51502 51943
+11 1
+.names 51947 51948 51944
+1- 1
+-1 1
+.names 51944 51945
+1 1
+.names 51951 51952 51946
+1- 1
+-1 1
+.names 51946 51947
+1 1
+.names 51937 51948
+0 1
+.names 49039 51502 51949
+1- 1
+-1 1
+.names 51949 51950
+1 1
+.names 14968 489 51951
+11 1
+.names 51950 51952
+0 1
+.names 51958 51959 51953
+1- 1
+-1 1
+.names 51953 51954
+1 1
+.names 51960 51522 51955
+11 1
+.names 51955 51956
+1 1
+.names 51956 51957
+0 1
+.names 51546 51957 51958
+11 1
+.names 14956 44729 51959
+11 1
+.names 329 51530 51960
+11 1
+.names 51964 51965 51961
+1- 1
+-1 1
+.names 51961 51962
+1 1
+.names 51968 51969 51963
+1- 1
+-1 1
+.names 51963 51964
+1 1
+.names 51954 51965
+0 1
+.names 49144 51530 51966
+1- 1
+-1 1
+.names 51966 51967
+1 1
+.names 14969 504 51968
+11 1
+.names 51967 51969
+0 1
+.names 51975 51976 51970
+1- 1
+-1 1
+.names 51970 51971
+1 1
+.names 51977 51571 51972
+11 1
+.names 51972 51973
+1 1
+.names 51973 51974
+0 1
+.names 51502 51974 51975
+11 1
+.names 14961 49186 51976
+11 1
+.names 305 51488 51977
+11 1
+.names 51981 51982 51978
+1- 1
+-1 1
+.names 51978 51979
+1 1
+.names 51985 51986 51980
+1- 1
+-1 1
+.names 51980 51981
+1 1
+.names 51971 51982
+0 1
+.names 44737 51488 51983
+1- 1
+-1 1
+.names 51983 51984
+1 1
+.names 14970 480 51985
+11 1
+.names 51984 51986
+0 1
+.names 51992 51993 51987
+1- 1
+-1 1
+.names 51987 51988
+1 1
+.names 51994 51571 51989
+11 1
+.names 51989 51990
+1 1
+.names 51990 51991
+0 1
+.names 51502 51991 51992
+11 1
+.names 14961 49242 51993
+11 1
+.names 306 51488 51994
+11 1
+.names 51998 51999 51995
+1- 1
+-1 1
+.names 51995 51996
+1 1
+.names 52000 52003 51997
+1- 1
+-1 1
+.names 51997 51998
+1 1
+.names 51988 51999
+0 1
+.names 1438 14958 52000
+11 1
+.names 52004 51571 52001
+1- 1
+-1 1
+.names 52001 52002
+1 1
+.names 52002 52003
+0 1
+.names 481 52004
+0 1
+.names 52010 52011 52005
+1- 1
+-1 1
+.names 52005 52006
+1 1
+.names 52012 51636 52007
+11 1
+.names 52007 52008
+1 1
+.names 52008 52009
+0 1
+.names 51546 52009 52010
+11 1
+.names 14956 44753 52011
+11 1
+.names 317 51502 52012
+11 1
+.names 52016 52017 52013
+1- 1
+-1 1
+.names 52013 52014
+1 1
+.names 52018 52021 52015
+1- 1
+-1 1
+.names 52015 52016
+1 1
+.names 52006 52017
+0 1
+.names 14968 492 52018
+11 1
+.names 49284 51502 52019
+1- 1
+-1 1
+.names 52019 52020
+1 1
+.names 52020 52021
+0 1
+.names 52027 52028 52022
+1- 1
+-1 1
+.names 52022 52023
+1 1
+.names 52029 51522 52024
+11 1
+.names 52024 52025
+1 1
+.names 52025 52026
+0 1
+.names 51488 52026 52027
+11 1
+.names 14958 44762 52028
+11 1
+.names 322 51530 52029
+11 1
+.names 52033 52034 52030
+1- 1
+-1 1
+.names 52030 52031
+1 1
+.names 52037 52038 52032
+1- 1
+-1 1
+.names 52032 52033
+1 1
+.names 52023 52034
+0 1
+.names 49328 51530 52035
+1- 1
+-1 1
+.names 52035 52036
+1 1
+.names 497 14969 52037
+11 1
+.names 52036 52038
+0 1
+.names 52041 51571 52039
+11 1
+.names 52039 52040
+1 1
+.names 331 51520 52041
+11 1
+.names 52045 52048 52042
+1- 1
+-1 1
+.names 52042 52043
+1 1
+.names 52054 52055 52044
+1- 1
+-1 1
+.names 52044 52045
+1 1
+.names 52050 52051 52046
+1- 1
+-1 1
+.names 52046 52047
+1 1
+.names 52047 52048
+0 1
+.names 52040 52049
+0 1
+.names 51530 52049 52050
+11 1
+.names 14960 49368 52051
+11 1
+.names 44771 51520 52052
+1- 1
+-1 1
+.names 52052 52053
+1 1
+.names 14970 506 52054
+11 1
+.names 52053 52055
+0 1
+.names 52062 52063 52056
+1- 1
+-1 1
+.names 52056 52057
+1 1
+.names 14989 52058
+0 1
+.names 52065 52066 52059
+11 1
+.names 52059 52060
+1 1
+.names 52060 52061
+0 1
+.names 52058 52061 52062
+11 1
+.names 14989 2413 52063
+11 1
+.names 14981 52064
+0 1
+.names 1783 52064 52065
+11 1
+.names 14982 52066
+0 1
+.names 52070 52071 52067
+1- 1
+-1 1
+.names 52067 52068
+1 1
+.names 52074 52075 52069
+1- 1
+-1 1
+.names 52069 52070
+1 1
+.names 52057 52071
+0 1
+.names 47982 52066 52072
+1- 1
+-1 1
+.names 52072 52073
+1 1
+.names 1783 14981 52074
+11 1
+.names 52073 52075
+0 1
+.names 52082 52083 52076
+1- 1
+-1 1
+.names 52076 52077
+1 1
+.names 14984 52078
+0 1
+.names 52084 52058 52079
+11 1
+.names 52079 52080
+1 1
+.names 52080 52081
+0 1
+.names 52078 52081 52082
+11 1
+.names 14984 3253 52083
+11 1
+.names 1692 52064 52084
+11 1
+.names 52088 52089 52085
+1- 1
+-1 1
+.names 52085 52086
+1 1
+.names 52092 52093 52087
+1- 1
+-1 1
+.names 52087 52088
+1 1
+.names 52077 52089
+0 1
+.names 47929 52064 52090
+1- 1
+-1 1
+.names 52090 52091
+1 1
+.names 14989 1543 52092
+11 1
+.names 52091 52093
+0 1
+.names 52097 52098 52094
+11 1
+.names 52094 52095
+1 1
+.names 14980 52096
+0 1
+.names 1695 52096 52097
+11 1
+.names 14992 52098
+0 1
+.names 52102 52105 52099
+1- 1
+-1 1
+.names 52099 52100
+1 1
+.names 52112 52113 52101
+1- 1
+-1 1
+.names 52101 52102
+1 1
+.names 52108 52109 52103
+1- 1
+-1 1
+.names 52103 52104
+1 1
+.names 52104 52105
+0 1
+.names 14983 52106
+0 1
+.names 52095 52107
+0 1
+.names 52106 52107 52108
+11 1
+.names 14983 47873 52109
+11 1
+.names 47900 52096 52110
+1- 1
+-1 1
+.names 52110 52111
+1 1
+.names 14992 1539 52112
+11 1
+.names 52111 52113
+0 1
+.names 52119 52120 52114
+1- 1
+-1 1
+.names 52114 52115
+1 1
+.names 52122 52066 52116
+11 1
+.names 52116 52117
+1 1
+.names 52117 52118
+0 1
+.names 52098 52118 52119
+11 1
+.names 14992 48044 52120
+11 1
+.names 14979 52121
+0 1
+.names 1701 52121 52122
+11 1
+.names 48026 52066 52123
+1- 1
+-1 1
+.names 52123 52124
+1 1
+.names 52127 52128 52125
+1- 1
+-1 1
+.names 52125 52126
+1 1
+.names 1550 14979 52127
+11 1
+.names 52124 52128
+0 1
+.names 52126 52129
+0 1
+.names 52129 52130
+1 1
+.names 52133 52134 52131
+1- 1
+-1 1
+.names 52131 52132
+1 1
+.names 52130 52133
+0 1
+.names 52115 52134
+0 1
+.names 5304 52066 52135
+1- 1
+-1 1
+.names 52135 52136
+1 1
+.names 52139 52140 52137
+1- 1
+-1 1
+.names 52137 52138
+1 1
+.names 1557 14981 52139
+11 1
+.names 52136 52140
+0 1
+.names 52143 52066 52141
+11 1
+.names 52141 52142
+1 1
+.names 1699 52064 52143
+11 1
+.names 52148 52149 52144
+1- 1
+-1 1
+.names 52144 52145
+1 1
+.names 14993 52146
+0 1
+.names 52142 52147
+0 1
+.names 52146 52147 52148
+11 1
+.names 14993 48083 52149
+11 1
+.names 52138 52152 52150
+1- 1
+-1 1
+.names 52150 52151
+1 1
+.names 52145 52152
+0 1
+.names 52158 52159 52153
+1- 1
+-1 1
+.names 52153 52154
+1 1
+.names 52160 52066 52155
+11 1
+.names 52155 52156
+1 1
+.names 52156 52157
+0 1
+.names 52098 52157 52158
+11 1
+.names 14992 48141 52159
+11 1
+.names 1689 52121 52160
+11 1
+.names 48137 52066 52161
+1- 1
+-1 1
+.names 52161 52162
+1 1
+.names 52165 52166 52163
+1- 1
+-1 1
+.names 52163 52164
+1 1
+.names 1558 14979 52165
+11 1
+.names 52162 52166
+0 1
+.names 52164 52167
+0 1
+.names 52167 52168
+1 1
+.names 52171 52172 52169
+1- 1
+-1 1
+.names 52169 52170
+1 1
+.names 52168 52171
+0 1
+.names 52154 52172
+0 1
+.names 52175 52098 52173
+11 1
+.names 52173 52174
+1 1
+.names 1685 52096 52175
+11 1
+.names 52179 52182 52176
+1- 1
+-1 1
+.names 52176 52177
+1 1
+.names 52188 52189 52178
+1- 1
+-1 1
+.names 52178 52179
+1 1
+.names 52184 52185 52180
+1- 1
+-1 1
+.names 52180 52181
+1 1
+.names 52181 52182
+0 1
+.names 52174 52183
+0 1
+.names 52106 52183 52184
+11 1
+.names 14983 2413 52185
+11 1
+.names 48178 52096 52186
+1- 1
+-1 1
+.names 52186 52187
+1 1
+.names 14992 1566 52188
+11 1
+.names 52187 52189
+0 1
+.names 52195 52196 52190
+1- 1
+-1 1
+.names 52190 52191
+1 1
+.names 52197 52066 52192
+11 1
+.names 52192 52193
+1 1
+.names 52193 52194
+0 1
+.names 52058 52194 52195
+11 1
+.names 14989 48230 52196
+11 1
+.names 1686 52064 52197
+11 1
+.names 52201 52202 52198
+1- 1
+-1 1
+.names 52198 52199
+1 1
+.names 52205 52206 52200
+1- 1
+-1 1
+.names 52200 52201
+1 1
+.names 52191 52202
+0 1
+.names 48239 52066 52203
+1- 1
+-1 1
+.names 52203 52204
+1 1
+.names 1571 14981 52205
+11 1
+.names 52204 52206
+0 1
+.names 52209 52210 52207
+11 1
+.names 52207 52208
+1 1
+.names 1700 52096 52209
+11 1
+.names 14991 52210
+0 1
+.names 52214 52217 52211
+1- 1
+-1 1
+.names 52211 52212
+1 1
+.names 52223 52224 52213
+1- 1
+-1 1
+.names 52213 52214
+1 1
+.names 52219 52220 52215
+1- 1
+-1 1
+.names 52215 52216
+1 1
+.names 52216 52217
+0 1
+.names 52208 52218
+0 1
+.names 52106 52218 52219
+11 1
+.names 14983 48282 52220
+11 1
+.names 48277 52096 52221
+1- 1
+-1 1
+.names 52221 52222
+1 1
+.names 14991 1575 52223
+11 1
+.names 52222 52224
+0 1
+.names 52230 52231 52225
+1- 1
+-1 1
+.names 52225 52226
+1 1
+.names 52232 52066 52227
+11 1
+.names 52227 52228
+1 1
+.names 52228 52229
+0 1
+.names 52058 52229 52230
+11 1
+.names 14989 48339 52231
+11 1
+.names 1703 52121 52232
+11 1
+.names 2413 52066 52233
+1- 1
+-1 1
+.names 52233 52234
+1 1
+.names 52237 52238 52235
+1- 1
+-1 1
+.names 52235 52236
+1 1
+.names 1579 14979 52237
+11 1
+.names 52234 52238
+0 1
+.names 52236 52239
+0 1
+.names 52239 52240
+1 1
+.names 52243 52244 52241
+1- 1
+-1 1
+.names 52241 52242
+1 1
+.names 52240 52243
+0 1
+.names 52226 52244
+0 1
+.names 52250 52252 52245
+1- 1
+-1 1
+.names 52245 52246
+1 1
+.names 52253 52146 52247
+11 1
+.names 52247 52248
+1 1
+.names 52248 52249
+0 1
+.names 52078 52249 52250
+11 1
+.names 1581 52251
+0 1
+.names 14984 52251 52252
+11 1
+.names 1675 52121 52253
+11 1
+.names 52257 52258 52254
+1- 1
+-1 1
+.names 52254 52255
+1 1
+.names 52261 52262 52256
+1- 1
+-1 1
+.names 52256 52257
+1 1
+.names 52246 52258
+0 1
+.names 48394 52121 52259
+1- 1
+-1 1
+.names 52259 52260
+1 1
+.names 14993 1583 52261
+11 1
+.names 52260 52262
+0 1
+.names 52265 52210 52263
+11 1
+.names 52263 52264
+1 1
+.names 1702 52096 52265
+11 1
+.names 52269 52272 52266
+1- 1
+-1 1
+.names 52266 52267
+1 1
+.names 52276 52279 52268
+1- 1
+-1 1
+.names 52268 52269
+1 1
+.names 52274 52275 52270
+1- 1
+-1 1
+.names 52270 52271
+1 1
+.names 52271 52272
+0 1
+.names 52264 52273
+0 1
+.names 52078 52273 52274
+11 1
+.names 14984 2413 52275
+11 1
+.names 1586 14980 52276
+11 1
+.names 48447 52210 52277
+1- 1
+-1 1
+.names 52277 52278
+1 1
+.names 52278 52279
+0 1
+.names 52285 52286 52280
+1- 1
+-1 1
+.names 52280 52281
+1 1
+.names 52288 52098 52282
+11 1
+.names 52282 52283
+1 1
+.names 52283 52284
+0 1
+.names 52078 52284 52285
+11 1
+.names 14984 48487 52286
+11 1
+.names 14990 52287
+0 1
+.names 1783 52287 52288
+11 1
+.names 52292 52293 52289
+1- 1
+-1 1
+.names 52289 52290
+1 1
+.names 52296 52297 52291
+1- 1
+-1 1
+.names 52291 52292
+1 1
+.names 52281 52293
+0 1
+.names 2413 52287 52294
+1- 1
+-1 1
+.names 52294 52295
+1 1
+.names 14992 1783 52296
+11 1
+.names 52295 52297
+0 1
+.names 52303 52304 52298
+1- 1
+-1 1
+.names 52298 52299
+1 1
+.names 52305 52098 52300
+11 1
+.names 52300 52301
+1 1
+.names 52301 52302
+0 1
+.names 52078 52302 52303
+11 1
+.names 14984 48794 52304
+11 1
+.names 1677 52121 52305
+11 1
+.names 52309 52310 52306
+1- 1
+-1 1
+.names 52306 52307
+1 1
+.names 52313 52314 52308
+1- 1
+-1 1
+.names 52308 52309
+1 1
+.names 52299 52310
+0 1
+.names 48798 52121 52311
+1- 1
+-1 1
+.names 52311 52312
+1 1
+.names 14992 1783 52313
+11 1
+.names 52312 52314
+0 1
+.names 52320 52321 52315
+1- 1
+-1 1
+.names 52315 52316
+1 1
+.names 52322 52058 52317
+11 1
+.names 52317 52318
+1 1
+.names 52318 52319
+0 1
+.names 52287 52319 52320
+11 1
+.names 14990 48853 52321
+11 1
+.names 1690 52106 52322
+11 1
+.names 52326 52327 52323
+1- 1
+-1 1
+.names 52323 52324
+1 1
+.names 52328 52331 52325
+1- 1
+-1 1
+.names 52325 52326
+1 1
+.names 52316 52327
+0 1
+.names 14989 1626 52328
+11 1
+.names 4848 52106 52329
+1- 1
+-1 1
+.names 52329 52330
+1 1
+.names 52330 52331
+0 1
+.names 52334 52210 52332
+11 1
+.names 52332 52333
+1 1
+.names 1683 52096 52334
+11 1
+.names 52338 52341 52335
+1- 1
+-1 1
+.names 52335 52336
+1 1
+.names 52347 52348 52337
+1- 1
+-1 1
+.names 52337 52338
+1 1
+.names 52343 52344 52339
+1- 1
+-1 1
+.names 52339 52340
+1 1
+.names 52340 52341
+0 1
+.names 52333 52342
+0 1
+.names 52106 52342 52343
+11 1
+.names 14983 48531 52344
+11 1
+.names 48537 52096 52345
+1- 1
+-1 1
+.names 52345 52346
+1 1
+.names 14991 1594 52347
+11 1
+.names 52346 52348
+0 1
+.names 52354 52355 52349
+1- 1
+-1 1
+.names 52349 52350
+1 1
+.names 52356 52066 52351
+11 1
+.names 52351 52352
+1 1
+.names 52352 52353
+0 1
+.names 52146 52353 52354
+11 1
+.names 14993 48585 52355
+11 1
+.names 1688 52121 52356
+11 1
+.names 48577 52066 52357
+1- 1
+-1 1
+.names 52357 52358
+1 1
+.names 52361 52362 52359
+1- 1
+-1 1
+.names 52359 52360
+1 1
+.names 1597 14979 52361
+11 1
+.names 52358 52362
+0 1
+.names 52360 52363
+0 1
+.names 52363 52364
+1 1
+.names 52367 52368 52365
+1- 1
+-1 1
+.names 52365 52366
+1 1
+.names 52364 52367
+0 1
+.names 52350 52368
+0 1
+.names 52374 52375 52369
+1- 1
+-1 1
+.names 52369 52370
+1 1
+.names 52376 52058 52371
+11 1
+.names 52371 52372
+1 1
+.names 52372 52373
+0 1
+.names 52078 52373 52374
+11 1
+.names 14984 48620 52375
+11 1
+.names 1687 52287 52376
+11 1
+.names 52380 52381 52377
+1- 1
+-1 1
+.names 52377 52378
+1 1
+.names 52384 52385 52379
+1- 1
+-1 1
+.names 52379 52380
+1 1
+.names 52370 52381
+0 1
+.names 48622 52287 52382
+1- 1
+-1 1
+.names 52382 52383
+1 1
+.names 14989 1605 52384
+11 1
+.names 52383 52385
+0 1
+.names 52391 52392 52386
+1- 1
+-1 1
+.names 52386 52387
+1 1
+.names 52393 52210 52388
+11 1
+.names 52388 52389
+1 1
+.names 52389 52390
+0 1
+.names 52287 52390 52391
+11 1
+.names 14990 48678 52392
+11 1
+.names 1679 52106 52393
+11 1
+.names 52397 52398 52394
+1- 1
+-1 1
+.names 52394 52395
+1 1
+.names 52401 52402 52396
+1- 1
+-1 1
+.names 52396 52397
+1 1
+.names 52387 52398
+0 1
+.names 2413 52106 52399
+1- 1
+-1 1
+.names 52399 52400
+1 1
+.names 1607 14991 52401
+11 1
+.names 52400 52402
+0 1
+.names 52408 52409 52403
+1- 1
+-1 1
+.names 52403 52404
+1 1
+.names 52410 52146 52405
+11 1
+.names 52405 52406
+1 1
+.names 52406 52407
+0 1
+.names 52106 52407 52408
+11 1
+.names 14983 2413 52409
+11 1
+.names 896 52287 52410
+11 1
+.names 52414 52415 52411
+1- 1
+-1 1
+.names 52411 52412
+1 1
+.names 52416 52419 52413
+1- 1
+-1 1
+.names 52413 52414
+1 1
+.names 52404 52415
+0 1
+.names 14993 1610 52416
+11 1
+.names 48709 52287 52417
+1- 1
+-1 1
+.names 52417 52418
+1 1
+.names 52418 52419
+0 1
+.names 52425 52426 52420
+1- 1
+-1 1
+.names 52420 52421
+1 1
+.names 52427 52058 52422
+11 1
+.names 52422 52423
+1 1
+.names 52423 52424
+0 1
+.names 52287 52424 52425
+11 1
+.names 14990 48751 52426
+11 1
+.names 1696 52066 52427
+11 1
+.names 52431 52432 52428
+1- 1
+-1 1
+.names 52428 52429
+1 1
+.names 52435 52436 52430
+1- 1
+-1 1
+.names 52430 52431
+1 1
+.names 52421 52432
+0 1
+.names 48749 52066 52433
+1- 1
+-1 1
+.names 52433 52434
+1 1
+.names 1617 14989 52435
+11 1
+.names 52434 52436
+0 1
+.names 52442 52443 52437
+1- 1
+-1 1
+.names 52437 52438
+1 1
+.names 52444 52146 52439
+11 1
+.names 52439 52440
+1 1
+.names 52440 52441
+0 1
+.names 52064 52441 52442
+11 1
+.names 14981 48892 52443
+11 1
+.names 1698 52078 52444
+11 1
+.names 52448 52449 52445
+1- 1
+-1 1
+.names 52445 52446
+1 1
+.names 52452 52453 52447
+1- 1
+-1 1
+.names 52447 52448
+1 1
+.names 52438 52449
+0 1
+.names 48895 52078 52450
+1- 1
+-1 1
+.names 52450 52451
+1 1
+.names 14993 1627 52452
+11 1
+.names 52451 52453
+0 1
+.names 52456 52058 52454
+11 1
+.names 52454 52455
+1 1
+.names 1697 52096 52456
+11 1
+.names 52460 52463 52457
+1- 1
+-1 1
+.names 52457 52458
+1 1
+.names 52466 52467 52459
+1- 1
+-1 1
+.names 52459 52460
+1 1
+.names 52469 52470 52461
+1- 1
+-1 1
+.names 52461 52462
+1 1
+.names 52462 52463
+0 1
+.names 48940 52096 52464
+1- 1
+-1 1
+.names 52464 52465
+1 1
+.names 14989 1632 52466
+11 1
+.names 52465 52467
+0 1
+.names 52455 52468
+0 1
+.names 52106 52468 52469
+11 1
+.names 14983 48951 52470
+11 1
+.names 52476 52477 52471
+1- 1
+-1 1
+.names 52471 52472
+1 1
+.names 52478 52058 52473
+11 1
+.names 52473 52474
+1 1
+.names 52474 52475
+0 1
+.names 52064 52475 52476
+11 1
+.names 14981 48995 52477
+11 1
+.names 1682 52106 52478
+11 1
+.names 52482 52483 52479
+1- 1
+-1 1
+.names 52479 52480
+1 1
+.names 52486 52487 52481
+1- 1
+-1 1
+.names 52481 52482
+1 1
+.names 52472 52483
+0 1
+.names 2413 52106 52484
+1- 1
+-1 1
+.names 52484 52485
+1 1
+.names 14989 1636 52486
+11 1
+.names 52485 52487
+0 1
+.names 52493 52494 52488
+1- 1
+-1 1
+.names 52488 52489
+1 1
+.names 52495 52210 52490
+11 1
+.names 52490 52491
+1 1
+.names 52491 52492
+0 1
+.names 52287 52492 52493
+11 1
+.names 14990 49100 52494
+11 1
+.names 1694 52066 52495
+11 1
+.names 52499 52500 52496
+1- 1
+-1 1
+.names 52496 52497
+1 1
+.names 52503 52504 52498
+1- 1
+-1 1
+.names 52498 52499
+1 1
+.names 52489 52500
+0 1
+.names 2413 52066 52501
+1- 1
+-1 1
+.names 52501 52502
+1 1
+.names 14991 1645 52503
+11 1
+.names 52502 52504
+0 1
+.names 52510 52511 52505
+1- 1
+-1 1
+.names 52505 52506
+1 1
+.names 52512 52210 52507
+11 1
+.names 52507 52508
+1 1
+.names 52508 52509
+0 1
+.names 52287 52509 52510
+11 1
+.names 14990 49037 52511
+11 1
+.names 1681 52078 52512
+11 1
+.names 52516 52517 52513
+1- 1
+-1 1
+.names 52513 52514
+1 1
+.names 52520 52521 52515
+1- 1
+-1 1
+.names 52515 52516
+1 1
+.names 52506 52517
+0 1
+.names 49050 52078 52518
+1- 1
+-1 1
+.names 52518 52519
+1 1
+.names 14991 1643 52520
+11 1
+.names 52519 52521
+0 1
+.names 52527 52528 52522
+1- 1
+-1 1
+.names 52522 52523
+1 1
+.names 52529 52098 52524
+11 1
+.names 52524 52525
+1 1
+.names 52525 52526
+0 1
+.names 52121 52526 52527
+11 1
+.names 14979 49142 52528
+11 1
+.names 1693 52106 52529
+11 1
+.names 52533 52534 52530
+1- 1
+-1 1
+.names 52530 52531
+1 1
+.names 52537 52538 52532
+1- 1
+-1 1
+.names 52532 52533
+1 1
+.names 52523 52534
+0 1
+.names 2413 52106 52535
+1- 1
+-1 1
+.names 52535 52536
+1 1
+.names 14992 1651 52537
+11 1
+.names 52536 52538
+0 1
+.names 52544 52545 52539
+1- 1
+-1 1
+.names 52539 52540
+1 1
+.names 52546 52146 52541
+11 1
+.names 52541 52542
+1 1
+.names 52542 52543
+0 1
+.names 52078 52543 52544
+11 1
+.names 14984 49175 52545
+11 1
+.names 1678 52064 52546
+11 1
+.names 52550 52551 52547
+1- 1
+-1 1
+.names 52547 52548
+1 1
+.names 52554 52555 52549
+1- 1
+-1 1
+.names 52549 52550
+1 1
+.names 52540 52551
+0 1
+.names 49179 52064 52552
+1- 1
+-1 1
+.names 52552 52553
+1 1
+.names 14993 1656 52554
+11 1
+.names 52553 52555
+0 1
+.names 52561 52562 52556
+1- 1
+-1 1
+.names 52556 52557
+1 1
+.names 52563 52146 52558
+11 1
+.names 52558 52559
+1 1
+.names 52559 52560
+0 1
+.names 52078 52560 52561
+11 1
+.names 14984 49221 52562
+11 1
+.names 1691 52064 52563
+11 1
+.names 52567 52568 52564
+1- 1
+-1 1
+.names 52564 52565
+1 1
+.names 52569 52572 52566
+1- 1
+-1 1
+.names 52566 52567
+1 1
+.names 52557 52568
+0 1
+.names 1659 14981 52569
+11 1
+.names 49225 52146 52570
+1- 1
+-1 1
+.names 52570 52571
+1 1
+.names 52571 52572
+0 1
+.names 52578 52579 52573
+1- 1
+-1 1
+.names 52573 52574
+1 1
+.names 52580 52210 52575
+11 1
+.names 52575 52576
+1 1
+.names 52576 52577
+0 1
+.names 52121 52577 52578
+11 1
+.names 14979 49273 52579
+11 1
+.names 1676 52078 52580
+11 1
+.names 52584 52585 52581
+1- 1
+-1 1
+.names 52581 52582
+1 1
+.names 52586 52589 52583
+1- 1
+-1 1
+.names 52583 52584
+1 1
+.names 52574 52585
+0 1
+.names 14991 1665 52586
+11 1
+.names 49269 52078 52587
+1- 1
+-1 1
+.names 52587 52588
+1 1
+.names 52588 52589
+0 1
+.names 52595 52596 52590
+1- 1
+-1 1
+.names 52590 52591
+1 1
+.names 52597 52098 52592
+11 1
+.names 52592 52593
+1 1
+.names 52593 52594
+0 1
+.names 52064 52594 52595
+11 1
+.names 14981 49326 52596
+11 1
+.names 1680 52106 52597
+11 1
+.names 52601 52602 52598
+1- 1
+-1 1
+.names 52598 52599
+1 1
+.names 52605 52606 52600
+1- 1
+-1 1
+.names 52600 52601
+1 1
+.names 52591 52602
+0 1
+.names 49324 52106 52603
+1- 1
+-1 1
+.names 52603 52604
+1 1
+.names 1670 14992 52605
+11 1
+.names 52604 52606
+0 1
+.names 52609 52146 52607
+11 1
+.names 52607 52608
+1 1
+.names 1684 52096 52609
+11 1
+.names 52613 52616 52610
+1- 1
+-1 1
+.names 52610 52611
+1 1
+.names 52622 52623 52612
+1- 1
+-1 1
+.names 52612 52613
+1 1
+.names 52618 52619 52614
+1- 1
+-1 1
+.names 52614 52615
+1 1
+.names 52615 52616
+0 1
+.names 52608 52617
+0 1
+.names 52106 52617 52618
+11 1
+.names 14983 2413 52619
+11 1
+.names 49366 52096 52620
+1- 1
+-1 1
+.names 52620 52621
+1 1
+.names 14993 1671 52622
+11 1
+.names 52621 52623
+0 1
+.names 52630 52631 52624
+1- 1
+-1 1
+.names 52624 52625
+1 1
+.names 15012 52626
+0 1
+.names 52633 52634 52627
+11 1
+.names 52627 52628
+1 1
+.names 52628 52629
+0 1
+.names 52626 52629 52630
+11 1
+.names 15012 2413 52631
+11 1
+.names 15004 52632
+0 1
+.names 1203 52632 52633
+11 1
+.names 15005 52634
+0 1
+.names 52638 52639 52635
+1- 1
+-1 1
+.names 52635 52636
+1 1
+.names 52642 52643 52637
+1- 1
+-1 1
+.names 52637 52638
+1 1
+.names 52625 52639
+0 1
+.names 2413 52634 52640
+1- 1
+-1 1
+.names 52640 52641
+1 1
+.names 1211 15004 52642
+11 1
+.names 52641 52643
+0 1
+.names 52650 52651 52644
+1- 1
+-1 1
+.names 52644 52645
+1 1
+.names 15007 52646
+0 1
+.names 52652 52626 52647
+11 1
+.names 52647 52648
+1 1
+.names 52648 52649
+0 1
+.names 52646 52649 52650
+11 1
+.names 15007 20669 52651
+11 1
+.names 1208 52632 52652
+11 1
+.names 52656 52657 52653
+1- 1
+-1 1
+.names 52653 52654
+1 1
+.names 52660 52661 52655
+1- 1
+-1 1
+.names 52655 52656
+1 1
+.names 52645 52657
+0 1
+.names 50874 52632 52658
+1- 1
+-1 1
+.names 52658 52659
+1 1
+.names 15012 1194 52660
+11 1
+.names 52659 52661
+0 1
+.names 52665 52666 52662
+11 1
+.names 52662 52663
+1 1
+.names 15003 52664
+0 1
+.names 1783 52664 52665
+11 1
+.names 15015 52666
+0 1
+.names 52670 52673 52667
+1- 1
+-1 1
+.names 52667 52668
+1 1
+.names 52680 52681 52669
+1- 1
+-1 1
+.names 52669 52670
+1 1
+.names 52676 52677 52671
+1- 1
+-1 1
+.names 52671 52672
+1 1
+.names 52672 52673
+0 1
+.names 15006 52674
+0 1
+.names 52663 52675
+0 1
+.names 52674 52675 52676
+11 1
+.names 15006 20664 52677
+11 1
+.names 2413 52664 52678
+1- 1
+-1 1
+.names 52678 52679
+1 1
+.names 15015 1191 52680
+11 1
+.names 52679 52681
+0 1
+.names 52687 52688 52682
+1- 1
+-1 1
+.names 52682 52683
+1 1
+.names 52690 52634 52684
+11 1
+.names 52684 52685
+1 1
+.names 52685 52686
+0 1
+.names 52666 52686 52687
+11 1
+.names 15015 20803 52688
+11 1
+.names 15002 52689
+0 1
+.names 1783 52689 52690
+11 1
+.names 20695 52634 52691
+1- 1
+-1 1
+.names 52691 52692
+1 1
+.names 52695 52696 52693
+1- 1
+-1 1
+.names 52693 52694
+1 1
+.names 1783 15002 52695
+11 1
+.names 52692 52696
+0 1
+.names 52694 52697
+0 1
+.names 52697 52698
+1 1
+.names 52701 52702 52699
+1- 1
+-1 1
+.names 52699 52700
+1 1
+.names 52698 52701
+0 1
+.names 52683 52702
+0 1
+.names 20641 52634 52703
+1- 1
+-1 1
+.names 52703 52704
+1 1
+.names 52707 52708 52705
+1- 1
+-1 1
+.names 52705 52706
+1 1
+.names 1783 15004 52707
+11 1
+.names 52704 52708
+0 1
+.names 52711 52634 52709
+11 1
+.names 52709 52710
+1 1
+.names 1783 52632 52711
+11 1
+.names 52716 52717 52712
+1- 1
+-1 1
+.names 52712 52713
+1 1
+.names 15016 52714
+0 1
+.names 52710 52715
+0 1
+.names 52714 52715 52716
+11 1
+.names 15016 20749 52717
+11 1
+.names 52706 52720 52718
+1- 1
+-1 1
+.names 52718 52719
+1 1
+.names 52713 52720
+0 1
+.names 52726 52727 52721
+1- 1
+-1 1
+.names 52721 52722
+1 1
+.names 52728 52634 52723
+11 1
+.names 52723 52724
+1 1
+.names 52724 52725
+0 1
+.names 52666 52725 52726
+11 1
+.names 15015 20709 52727
+11 1
+.names 1783 52689 52728
+11 1
+.names 20601 52634 52729
+1- 1
+-1 1
+.names 52729 52730
+1 1
+.names 52733 52734 52731
+1- 1
+-1 1
+.names 52731 52732
+1 1
+.names 1783 15002 52733
+11 1
+.names 52730 52734
+0 1
+.names 52732 52735
+0 1
+.names 52735 52736
+1 1
+.names 52739 52740 52737
+1- 1
+-1 1
+.names 52737 52738
+1 1
+.names 52736 52739
+0 1
+.names 52722 52740
+0 1
+.names 52743 52666 52741
+11 1
+.names 52741 52742
+1 1
+.names 1783 52664 52743
+11 1
+.names 52747 52750 52744
+1- 1
+-1 1
+.names 52744 52745
+1 1
+.names 52757 52758 52746
+1- 1
+-1 1
+.names 52746 52747
+1 1
+.names 52752 52754 52748
+1- 1
+-1 1
+.names 52748 52749
+1 1
+.names 52749 52750
+0 1
+.names 52742 52751
+0 1
+.names 52674 52751 52752
+11 1
+.names 1734 52753
+0 1
+.names 15006 52753 52754
+11 1
+.names 2413 52664 52755
+1- 1
+-1 1
+.names 52755 52756
+1 1
+.names 15015 1735 52757
+11 1
+.names 52756 52758
+0 1
+.names 52764 52765 52759
+1- 1
+-1 1
+.names 52759 52760
+1 1
+.names 52766 52634 52761
+11 1
+.names 52761 52762
+1 1
+.names 52762 52763
+0 1
+.names 52626 52763 52764
+11 1
+.names 15012 20737 52765
+11 1
+.names 1783 52632 52766
+11 1
+.names 52770 52771 52767
+1- 1
+-1 1
+.names 52767 52768
+1 1
+.names 52774 52775 52769
+1- 1
+-1 1
+.names 52769 52770
+1 1
+.names 52760 52771
+0 1
+.names 20629 52634 52772
+1- 1
+-1 1
+.names 52772 52773
+1 1
+.names 1783 15004 52774
+11 1
+.names 52773 52775
+0 1
+.names 52778 52779 52776
+11 1
+.names 52776 52777
+1 1
+.names 1783 52664 52778
+11 1
+.names 15014 52779
+0 1
+.names 52783 52786 52780
+1- 1
+-1 1
+.names 52780 52781
+1 1
+.names 52792 52793 52782
+1- 1
+-1 1
+.names 52782 52783
+1 1
+.names 52788 52789 52784
+1- 1
+-1 1
+.names 52784 52785
+1 1
+.names 52785 52786
+0 1
+.names 52777 52787
+0 1
+.names 52674 52787 52788
+11 1
+.names 15006 20660 52789
+11 1
+.names 2413 52664 52790
+1- 1
+-1 1
+.names 52790 52791
+1 1
+.names 15014 1189 52792
+11 1
+.names 52791 52793
+0 1
+.names 52799 52800 52794
+1- 1
+-1 1
+.names 52794 52795
+1 1
+.names 52801 52634 52796
+11 1
+.names 52796 52797
+1 1
+.names 52797 52798
+0 1
+.names 52626 52798 52799
+11 1
+.names 15012 20773 52800
+11 1
+.names 1783 52689 52801
+11 1
+.names 20665 52634 52802
+1- 1
+-1 1
+.names 52802 52803
+1 1
+.names 52806 52807 52804
+1- 1
+-1 1
+.names 52804 52805
+1 1
+.names 1783 15002 52806
+11 1
+.names 52803 52807
+0 1
+.names 52805 52808
+0 1
+.names 52808 52809
+1 1
+.names 52812 52813 52810
+1- 1
+-1 1
+.names 52810 52811
+1 1
+.names 52809 52812
+0 1
+.names 52795 52813
+0 1
+.names 52819 52820 52814
+1- 1
+-1 1
+.names 52814 52815
+1 1
+.names 52821 52714 52816
+11 1
+.names 52816 52817
+1 1
+.names 52817 52818
+0 1
+.names 52646 52818 52819
+11 1
+.names 15007 20644 52820
+11 1
+.names 1783 52689 52821
+11 1
+.names 52825 52826 52822
+1- 1
+-1 1
+.names 52822 52823
+1 1
+.names 52829 52830 52824
+1- 1
+-1 1
+.names 52824 52825
+1 1
+.names 52815 52826
+0 1
+.names 2413 52689 52827
+1- 1
+-1 1
+.names 52827 52828
+1 1
+.names 15016 1187 52829
+11 1
+.names 52828 52830
+0 1
+.names 52833 52779 52831
+11 1
+.names 52831 52832
+1 1
+.names 1783 52664 52833
+11 1
+.names 52837 52840 52834
+1- 1
+-1 1
+.names 52834 52835
+1 1
+.names 52844 52847 52836
+1- 1
+-1 1
+.names 52836 52837
+1 1
+.names 52842 52843 52838
+1- 1
+-1 1
+.names 52838 52839
+1 1
+.names 52839 52840
+0 1
+.names 52832 52841
+0 1
+.names 52646 52841 52842
+11 1
+.names 15007 20605 52843
+11 1
+.names 1783 15003 52844
+11 1
+.names 20713 52779 52845
+1- 1
+-1 1
+.names 52845 52846
+1 1
+.names 52846 52847
+0 1
+.names 52853 52854 52848
+1- 1
+-1 1
+.names 52848 52849
+1 1
+.names 52856 52666 52850
+11 1
+.names 52850 52851
+1 1
+.names 52851 52852
+0 1
+.names 52646 52852 52853
+11 1
+.names 15007 2413 52854
+11 1
+.names 15013 52855
+0 1
+.names 1205 52855 52856
+11 1
+.names 52860 52861 52857
+1- 1
+-1 1
+.names 52857 52858
+1 1
+.names 52864 52865 52859
+1- 1
+-1 1
+.names 52859 52860
+1 1
+.names 52849 52861
+0 1
+.names 50826 52855 52862
+1- 1
+-1 1
+.names 52862 52863
+1 1
+.names 15015 1783 52864
+11 1
+.names 52863 52865
+0 1
+.names 52871 52872 52866
+1- 1
+-1 1
+.names 52866 52867
+1 1
+.names 52873 52666 52868
+11 1
+.names 52868 52869
+1 1
+.names 52869 52870
+0 1
+.names 52646 52870 52871
+11 1
+.names 15007 20606 52872
+11 1
+.names 1202 52689 52873
+11 1
+.names 52877 52878 52874
+1- 1
+-1 1
+.names 52874 52875
+1 1
+.names 52881 52882 52876
+1- 1
+-1 1
+.names 52876 52877
+1 1
+.names 52867 52878
+0 1
+.names 50812 52689 52879
+1- 1
+-1 1
+.names 52879 52880
+1 1
+.names 15015 1177 52881
+11 1
+.names 52880 52882
+0 1
+.names 52888 52889 52883
+1- 1
+-1 1
+.names 52883 52884
+1 1
+.names 52890 52626 52885
+11 1
+.names 52885 52886
+1 1
+.names 52886 52887
+0 1
+.names 52855 52887 52888
+11 1
+.names 15013 50787 52889
+11 1
+.names 1209 52674 52890
+11 1
+.names 52894 52895 52891
+1- 1
+-1 1
+.names 52891 52892
+1 1
+.names 52896 52899 52893
+1- 1
+-1 1
+.names 52893 52894
+1 1
+.names 52884 52895
+0 1
+.names 15012 1183 52896
+11 1
+.names 20632 52674 52897
+1- 1
+-1 1
+.names 52897 52898
+1 1
+.names 52898 52899
+0 1
+.names 52902 52779 52900
+11 1
+.names 52900 52901
+1 1
+.names 1206 52664 52902
+11 1
+.names 52906 52909 52903
+1- 1
+-1 1
+.names 52903 52904
+1 1
+.names 52915 52916 52905
+1- 1
+-1 1
+.names 52905 52906
+1 1
+.names 52911 52912 52907
+1- 1
+-1 1
+.names 52907 52908
+1 1
+.names 52908 52909
+0 1
+.names 52901 52910
+0 1
+.names 52674 52910 52911
+11 1
+.names 15006 20661 52912
+11 1
+.names 50875 52664 52913
+1- 1
+-1 1
+.names 52913 52914
+1 1
+.names 15014 1190 52915
+11 1
+.names 52914 52916
+0 1
+.names 52922 52923 52917
+1- 1
+-1 1
+.names 52917 52918
+1 1
+.names 52924 52634 52919
+11 1
+.names 52919 52920
+1 1
+.names 52920 52921
+0 1
+.names 52714 52921 52922
+11 1
+.names 15016 20781 52923
+11 1
+.names 1783 52689 52924
+11 1
+.names 20673 52634 52925
+1- 1
+-1 1
+.names 52925 52926
+1 1
+.names 52929 52930 52927
+1- 1
+-1 1
+.names 52927 52928
+1 1
+.names 1783 15002 52929
+11 1
+.names 52926 52930
+0 1
+.names 52928 52931
+0 1
+.names 52931 52932
+1 1
+.names 52935 52936 52933
+1- 1
+-1 1
+.names 52933 52934
+1 1
+.names 52932 52935
+0 1
+.names 52918 52936
+0 1
+.names 52942 52943 52937
+1- 1
+-1 1
+.names 52937 52938
+1 1
+.names 52944 52626 52939
+11 1
+.names 52939 52940
+1 1
+.names 52940 52941
+0 1
+.names 52646 52941 52942
+11 1
+.names 15007 20640 52943
+11 1
+.names 1783 52855 52944
+11 1
+.names 52948 52949 52945
+1- 1
+-1 1
+.names 52945 52946
+1 1
+.names 52952 52953 52947
+1- 1
+-1 1
+.names 52947 52948
+1 1
+.names 52938 52949
+0 1
+.names 2413 52855 52950
+1- 1
+-1 1
+.names 52950 52951
+1 1
+.names 15012 1185 52952
+11 1
+.names 52951 52953
+0 1
+.names 52959 52960 52954
+1- 1
+-1 1
+.names 52954 52955
+1 1
+.names 52961 52779 52956
+11 1
+.names 52956 52957
+1 1
+.names 52957 52958
+0 1
+.names 52855 52958 52959
+11 1
+.names 15013 2413 52960
+11 1
+.names 1783 52674 52961
+11 1
+.names 52965 52966 52962
+1- 1
+-1 1
+.names 52962 52963
+1 1
+.names 52969 52970 52964
+1- 1
+-1 1
+.names 52964 52965
+1 1
+.names 52955 52966
+0 1
+.names 20696 52674 52967
+1- 1
+-1 1
+.names 52967 52968
+1 1
+.names 1201 15014 52969
+11 1
+.names 52968 52970
+0 1
+.names 52976 52978 52971
+1- 1
+-1 1
+.names 52971 52972
+1 1
+.names 52979 52714 52973
+11 1
+.names 52973 52974
+1 1
+.names 52974 52975
+0 1
+.names 52674 52975 52976
+11 1
+.names 1736 52977
+0 1
+.names 15006 52977 52978
+11 1
+.names 1783 52855 52979
+11 1
+.names 52983 52984 52980
+1- 1
+-1 1
+.names 52980 52981
+1 1
+.names 52985 52988 52982
+1- 1
+-1 1
+.names 52982 52983
+1 1
+.names 52972 52984
+0 1
+.names 15016 1737 52985
+11 1
+.names 2413 52855 52986
+1- 1
+-1 1
+.names 52986 52987
+1 1
+.names 52987 52988
+0 1
+.names 52994 52995 52989
+1- 1
+-1 1
+.names 52989 52990
+1 1
+.names 52996 52626 52991
+11 1
+.names 52991 52992
+1 1
+.names 52992 52993
+0 1
+.names 52855 52993 52994
+11 1
+.names 15013 2413 52995
+11 1
+.names 1783 52634 52996
+11 1
+.names 53000 53001 52997
+1- 1
+-1 1
+.names 52997 52998
+1 1
+.names 53004 53005 52999
+1- 1
+-1 1
+.names 52999 53000
+1 1
+.names 52990 53001
+0 1
+.names 20668 52634 53002
+1- 1
+-1 1
+.names 53002 53003
+1 1
+.names 1193 15012 53004
+11 1
+.names 53003 53005
+0 1
+.names 53011 53012 53006
+1- 1
+-1 1
+.names 53006 53007
+1 1
+.names 53013 52714 53008
+11 1
+.names 53008 53009
+1 1
+.names 53009 53010
+0 1
+.names 52632 53010 53011
+11 1
+.names 15004 2413 53012
+11 1
+.names 1783 52646 53013
+11 1
+.names 53017 53018 53014
+1- 1
+-1 1
+.names 53014 53015
+1 1
+.names 53021 53022 53016
+1- 1
+-1 1
+.names 53016 53017
+1 1
+.names 53007 53018
+0 1
+.names 20633 52646 53019
+1- 1
+-1 1
+.names 53019 53020
+1 1
+.names 15016 1184 53021
+11 1
+.names 53020 53022
+0 1
+.names 53025 52626 53023
+11 1
+.names 53023 53024
+1 1
+.names 763 52664 53025
+11 1
+.names 53029 53032 53026
+1- 1
+-1 1
+.names 53026 53027
+1 1
+.names 53035 53036 53028
+1- 1
+-1 1
+.names 53028 53029
+1 1
+.names 53038 53039 53030
+1- 1
+-1 1
+.names 53030 53031
+1 1
+.names 53031 53032
+0 1
+.names 3087 52664 53033
+1- 1
+-1 1
+.names 53033 53034
+1 1
+.names 15012 1198 53035
+11 1
+.names 53034 53036
+0 1
+.names 53024 53037
+0 1
+.names 52674 53037 53038
+11 1
+.names 15006 20691 53039
+11 1
+.names 53045 53046 53040
+1- 1
+-1 1
+.names 53040 53041
+1 1
+.names 53047 52626 53042
+11 1
+.names 53042 53043
+1 1
+.names 53043 53044
+0 1
+.names 52632 53044 53045
+11 1
+.names 15004 2413 53046
+11 1
+.names 1783 52674 53047
+11 1
+.names 53051 53052 53048
+1- 1
+-1 1
+.names 53048 53049
+1 1
+.names 53055 53056 53050
+1- 1
+-1 1
+.names 53050 53051
+1 1
+.names 53041 53052
+0 1
+.names 20678 52674 53053
+1- 1
+-1 1
+.names 53053 53054
+1 1
+.names 15012 1197 53055
+11 1
+.names 53054 53056
+0 1
+.names 53062 53063 53057
+1- 1
+-1 1
+.names 53057 53058
+1 1
+.names 53064 52779 53059
+11 1
+.names 53059 53060
+1 1
+.names 53060 53061
+0 1
+.names 52855 53061 53062
+11 1
+.names 15013 2413 53063
+11 1
+.names 1783 52634 53064
+11 1
+.names 53068 53069 53065
+1- 1
+-1 1
+.names 53065 53066
+1 1
+.names 53072 53073 53067
+1- 1
+-1 1
+.names 53067 53068
+1 1
+.names 53058 53069
+0 1
+.names 20692 52634 53070
+1- 1
+-1 1
+.names 53070 53071
+1 1
+.names 15014 1199 53072
+11 1
+.names 53071 53073
+0 1
+.names 53079 53080 53074
+1- 1
+-1 1
+.names 53074 53075
+1 1
+.names 53081 52779 53076
+11 1
+.names 53076 53077
+1 1
+.names 53077 53078
+0 1
+.names 52855 53078 53079
+11 1
+.names 15013 2413 53080
+11 1
+.names 1783 52646 53081
+11 1
+.names 53085 53086 53082
+1- 1
+-1 1
+.names 53082 53083
+1 1
+.names 53089 53090 53084
+1- 1
+-1 1
+.names 53084 53085
+1 1
+.names 53075 53086
+0 1
+.names 20614 52646 53087
+1- 1
+-1 1
+.names 53087 53088
+1 1
+.names 15014 1179 53089
+11 1
+.names 53088 53090
+0 1
+.names 53096 53097 53091
+1- 1
+-1 1
+.names 53091 53092
+1 1
+.names 53098 52666 53093
+11 1
+.names 53093 53094
+1 1
+.names 53094 53095
+0 1
+.names 52689 53095 53096
+11 1
+.names 15002 2413 53097
+11 1
+.names 1783 52674 53098
+11 1
+.names 53102 53103 53099
+1- 1
+-1 1
+.names 53099 53100
+1 1
+.names 53106 53107 53101
+1- 1
+-1 1
+.names 53101 53102
+1 1
+.names 53092 53103
+0 1
+.names 20618 52674 53104
+1- 1
+-1 1
+.names 53104 53105
+1 1
+.names 15015 1181 53106
+11 1
+.names 53105 53107
+0 1
+.names 53113 53114 53108
+1- 1
+-1 1
+.names 53108 53109
+1 1
+.names 53115 52714 53110
+11 1
+.names 53110 53111
+1 1
+.names 53111 53112
+0 1
+.names 52646 53112 53113
+11 1
+.names 15007 20645 53114
+11 1
+.names 1204 52632 53115
+11 1
+.names 53119 53120 53116
+1- 1
+-1 1
+.names 53116 53117
+1 1
+.names 53123 53124 53118
+1- 1
+-1 1
+.names 53118 53119
+1 1
+.names 53109 53120
+0 1
+.names 50719 52632 53121
+1- 1
+-1 1
+.names 53121 53122
+1 1
+.names 15016 1188 53123
+11 1
+.names 53122 53124
+0 1
+.names 53130 53131 53125
+1- 1
+-1 1
+.names 53125 53126
+1 1
+.names 53132 52714 53127
+11 1
+.names 53127 53128
+1 1
+.names 53128 53129
+0 1
+.names 52646 53129 53130
+11 1
+.names 15007 20672 53131
+11 1
+.names 1207 52632 53132
+11 1
+.names 53136 53137 53133
+1- 1
+-1 1
+.names 53133 53134
+1 1
+.names 53138 53141 53135
+1- 1
+-1 1
+.names 53135 53136
+1 1
+.names 53126 53137
+0 1
+.names 1215 15004 53138
+11 1
+.names 20780 52714 53139
+1- 1
+-1 1
+.names 53139 53140
+1 1
+.names 53140 53141
+0 1
+.names 53147 53149 53142
+1- 1
+-1 1
+.names 53142 53143
+1 1
+.names 53150 52779 53144
+11 1
+.names 53144 53145
+1 1
+.names 53145 53146
+0 1
+.names 52689 53146 53147
+11 1
+.names 762 53148
+0 1
+.names 15002 53148 53149
+11 1
+.names 764 52646 53150
+11 1
+.names 53154 53155 53151
+1- 1
+-1 1
+.names 53151 53152
+1 1
+.names 53156 53159 53153
+1- 1
+-1 1
+.names 53153 53154
+1 1
+.names 53143 53155
+0 1
+.names 15014 1175 53156
+11 1
+.names 20602 52646 53157
+1- 1
+-1 1
+.names 53157 53158
+1 1
+.names 53158 53159
+0 1
+.names 53165 53166 53160
+1- 1
+-1 1
+.names 53160 53161
+1 1
+.names 53167 52666 53162
+11 1
+.names 53162 53163
+1 1
+.names 53163 53164
+0 1
+.names 52632 53164 53165
+11 1
+.names 15004 2413 53166
+11 1
+.names 1783 52674 53167
+11 1
+.names 53171 53172 53168
+1- 1
+-1 1
+.names 53168 53169
+1 1
+.names 53175 53176 53170
+1- 1
+-1 1
+.names 53170 53171
+1 1
+.names 53161 53172
+0 1
+.names 20617 52674 53173
+1- 1
+-1 1
+.names 53173 53174
+1 1
+.names 1180 15015 53175
+11 1
+.names 53174 53176
+0 1
+.names 53179 52714 53177
+11 1
+.names 53177 53178
+1 1
+.names 1783 52664 53179
+11 1
+.names 53183 53186 53180
+1- 1
+-1 1
+.names 53180 53181
+1 1
+.names 53192 53193 53182
+1- 1
+-1 1
+.names 53182 53183
+1 1
+.names 53188 53189 53184
+1- 1
+-1 1
+.names 53184 53185
+1 1
+.names 53185 53186
+0 1
+.names 53178 53187
+0 1
+.names 52674 53187 53188
+11 1
+.names 15006 20613 53189
+11 1
+.names 2413 52664 53190
+1- 1
+-1 1
+.names 53190 53191
+1 1
+.names 15016 1178 53192
+11 1
+.names 53191 53193
+0 1
+.names 53200 53202 53194
+1- 1
+-1 1
+.names 53194 53195
+1 1
+.names 15035 53196
+0 1
+.names 53204 53205 53197
+11 1
+.names 53197 53198
+1 1
+.names 53198 53199
+0 1
+.names 53196 53199 53200
+11 1
+.names 899 53201
+0 1
+.names 15035 53201 53202
+11 1
+.names 15027 53203
+0 1
+.names 998 53203 53204
+11 1
+.names 15028 53205
+0 1
+.names 53209 53210 53206
+1- 1
+-1 1
+.names 53206 53207
+1 1
+.names 53214 53215 53208
+1- 1
+-1 1
+.names 53208 53209
+1 1
+.names 53195 53210
+0 1
+.names 53213 53205 53211
+1- 1
+-1 1
+.names 53211 53212
+1 1
+.names 904 53213
+0 1
+.names 1548 15027 53214
+11 1
+.names 53212 53215
+0 1
+.names 53222 53224 53216
+1- 1
+-1 1
+.names 53216 53217
+1 1
+.names 15030 53218
+0 1
+.names 53225 53196 53219
+11 1
+.names 53219 53220
+1 1
+.names 53220 53221
+0 1
+.names 53218 53221 53222
+11 1
+.names 1111 53223
+0 1
+.names 15030 53223 53224
+11 1
+.names 1010 53203 53225
+11 1
+.names 53229 53230 53226
+1- 1
+-1 1
+.names 53226 53227
+1 1
+.names 53233 53234 53228
+1- 1
+-1 1
+.names 53228 53229
+1 1
+.names 53217 53230
+0 1
+.names 47949 53203 53231
+1- 1
+-1 1
+.names 53231 53232
+1 1
+.names 15035 1138 53233
+11 1
+.names 53232 53234
+0 1
+.names 53238 53239 53235
+11 1
+.names 53235 53236
+1 1
+.names 15026 53237
+0 1
+.names 1034 53237 53238
+11 1
+.names 15038 53239
+0 1
+.names 53243 53246 53240
+1- 1
+-1 1
+.names 53240 53241
+1 1
+.names 53254 53255 53242
+1- 1
+-1 1
+.names 53242 53243
+1 1
+.names 53249 53251 53244
+1- 1
+-1 1
+.names 53244 53245
+1 1
+.names 53245 53246
+0 1
+.names 15029 53247
+0 1
+.names 53236 53248
+0 1
+.names 53247 53248 53249
+11 1
+.names 1104 53250
+0 1
+.names 15029 53250 53251
+11 1
+.names 47887 53237 53252
+1- 1
+-1 1
+.names 53252 53253
+1 1
+.names 15038 1131 53254
+11 1
+.names 53253 53255
+0 1
+.names 53261 53263 53256
+1- 1
+-1 1
+.names 53256 53257
+1 1
+.names 53265 53205 53258
+11 1
+.names 53258 53259
+1 1
+.names 53259 53260
+0 1
+.names 53239 53260 53261
+11 1
+.names 1143 53262
+0 1
+.names 15038 53262 53263
+11 1
+.names 15025 53264
+0 1
+.names 1019 53264 53265
+11 1
+.names 53268 53205 53266
+1- 1
+-1 1
+.names 53266 53267
+1 1
+.names 1116 53268
+0 1
+.names 53271 53272 53269
+1- 1
+-1 1
+.names 53269 53270
+1 1
+.names 1551 15025 53271
+11 1
+.names 53267 53272
+0 1
+.names 53270 53273
+0 1
+.names 53273 53274
+1 1
+.names 53277 53278 53275
+1- 1
+-1 1
+.names 53275 53276
+1 1
+.names 53274 53277
+0 1
+.names 53257 53278
+0 1
+.names 53281 53205 53279
+1- 1
+-1 1
+.names 53279 53280
+1 1
+.names 1117 53281
+0 1
+.names 53284 53285 53282
+1- 1
+-1 1
+.names 53282 53283
+1 1
+.names 1555 15027 53284
+11 1
+.names 53280 53285
+0 1
+.names 53288 53205 53286
+11 1
+.names 53286 53287
+1 1
+.names 1018 53203 53288
+11 1
+.names 53293 53295 53289
+1- 1
+-1 1
+.names 53289 53290
+1 1
+.names 15039 53291
+0 1
+.names 53287 53292
+0 1
+.names 53291 53292 53293
+11 1
+.names 1144 53294
+0 1
+.names 15039 53294 53295
+11 1
+.names 53283 53298 53296
+1- 1
+-1 1
+.names 53296 53297
+1 1
+.names 53290 53298
+0 1
+.names 53304 53306 53299
+1- 1
+-1 1
+.names 53299 53300
+1 1
+.names 53307 53205 53301
+11 1
+.names 53301 53302
+1 1
+.names 53302 53303
+0 1
+.names 53239 53303 53304
+11 1
+.names 1121 53305
+0 1
+.names 15038 53305 53306
+11 1
+.names 1029 53264 53307
+11 1
+.names 53310 53205 53308
+1- 1
+-1 1
+.names 53308 53309
+1 1
+.names 1094 53310
+0 1
+.names 53313 53314 53311
+1- 1
+-1 1
+.names 53311 53312
+1 1
+.names 1559 15025 53313
+11 1
+.names 53309 53314
+0 1
+.names 53312 53315
+0 1
+.names 53315 53316
+1 1
+.names 53319 53320 53317
+1- 1
+-1 1
+.names 53317 53318
+1 1
+.names 53316 53319
+0 1
+.names 53300 53320
+0 1
+.names 53323 53239 53321
+11 1
+.names 53321 53322
+1 1
+.names 1036 53237 53323
+11 1
+.names 53327 53330 53324
+1- 1
+-1 1
+.names 53324 53325
+1 1
+.names 53337 53338 53326
+1- 1
+-1 1
+.names 53326 53327
+1 1
+.names 53332 53334 53328
+1- 1
+-1 1
+.names 53328 53329
+1 1
+.names 53329 53330
+0 1
+.names 53322 53331
+0 1
+.names 53247 53331 53332
+11 1
+.names 1101 53333
+0 1
+.names 15029 53333 53334
+11 1
+.names 48180 53237 53335
+1- 1
+-1 1
+.names 53335 53336
+1 1
+.names 15038 1128 53337
+11 1
+.names 53336 53338
+0 1
+.names 53344 53346 53339
+1- 1
+-1 1
+.names 53339 53340
+1 1
+.names 53347 53205 53341
+11 1
+.names 53341 53342
+1 1
+.names 53342 53343
+0 1
+.names 53196 53343 53344
+11 1
+.names 1122 53345
+0 1
+.names 15035 53345 53346
+11 1
+.names 1042 53203 53347
+11 1
+.names 53351 53352 53348
+1- 1
+-1 1
+.names 53348 53349
+1 1
+.names 53356 53357 53350
+1- 1
+-1 1
+.names 53350 53351
+1 1
+.names 53340 53352
+0 1
+.names 53355 53205 53353
+1- 1
+-1 1
+.names 53353 53354
+1 1
+.names 1095 53355
+0 1
+.names 1567 15027 53356
+11 1
+.names 53354 53357
+0 1
+.names 53360 53361 53358
+11 1
+.names 53358 53359
+1 1
+.names 1016 53237 53360
+11 1
+.names 15037 53361
+0 1
+.names 53365 53368 53362
+1- 1
+-1 1
+.names 53362 53363
+1 1
+.names 53375 53376 53364
+1- 1
+-1 1
+.names 53364 53365
+1 1
+.names 53370 53372 53366
+1- 1
+-1 1
+.names 53366 53367
+1 1
+.names 53367 53368
+0 1
+.names 53359 53369
+0 1
+.names 53247 53369 53370
+11 1
+.names 1118 53371
+0 1
+.names 15029 53371 53372
+11 1
+.names 48284 53237 53373
+1- 1
+-1 1
+.names 53373 53374
+1 1
+.names 15037 1145 53375
+11 1
+.names 53374 53376
+0 1
+.names 53382 53384 53377
+1- 1
+-1 1
+.names 53377 53378
+1 1
+.names 53385 53205 53379
+11 1
+.names 53379 53380
+1 1
+.names 53380 53381
+0 1
+.names 53196 53381 53382
+11 1
+.names 1125 53383
+0 1
+.names 15035 53383 53384
+11 1
+.names 1037 53264 53385
+11 1
+.names 53388 53205 53386
+1- 1
+-1 1
+.names 53386 53387
+1 1
+.names 1098 53388
+0 1
+.names 53391 53392 53389
+1- 1
+-1 1
+.names 53389 53390
+1 1
+.names 1576 15025 53391
+11 1
+.names 53387 53392
+0 1
+.names 53390 53393
+0 1
+.names 53393 53394
+1 1
+.names 53397 53398 53395
+1- 1
+-1 1
+.names 53395 53396
+1 1
+.names 53394 53397
+0 1
+.names 53378 53398
+0 1
+.names 53404 53406 53399
+1- 1
+-1 1
+.names 53399 53400
+1 1
+.names 53407 53291 53401
+11 1
+.names 53401 53402
+1 1
+.names 53402 53403
+0 1
+.names 53218 53403 53404
+11 1
+.names 1115 53405
+0 1
+.names 15030 53405 53406
+11 1
+.names 1011 53264 53407
+11 1
+.names 53411 53412 53408
+1- 1
+-1 1
+.names 53408 53409
+1 1
+.names 53415 53416 53410
+1- 1
+-1 1
+.names 53410 53411
+1 1
+.names 53400 53412
+0 1
+.names 48353 53264 53413
+1- 1
+-1 1
+.names 53413 53414
+1 1
+.names 15039 1142 53415
+11 1
+.names 53414 53416
+0 1
+.names 53419 53361 53417
+11 1
+.names 53417 53418
+1 1
+.names 1039 53237 53419
+11 1
+.names 53423 53426 53420
+1- 1
+-1 1
+.names 53420 53421
+1 1
+.names 53431 53434 53422
+1- 1
+-1 1
+.names 53422 53423
+1 1
+.names 53428 53430 53424
+1- 1
+-1 1
+.names 53424 53425
+1 1
+.names 53425 53426
+0 1
+.names 53418 53427
+0 1
+.names 53218 53427 53428
+11 1
+.names 1107 53429
+0 1
+.names 15030 53429 53430
+11 1
+.names 1587 15026 53431
+11 1
+.names 53435 53361 53432
+1- 1
+-1 1
+.names 53432 53433
+1 1
+.names 53433 53434
+0 1
+.names 1134 53435
+0 1
+.names 53441 53443 53436
+1- 1
+-1 1
+.names 53436 53437
+1 1
+.names 53445 53239 53438
+11 1
+.names 53438 53439
+1 1
+.names 53439 53440
+0 1
+.names 53218 53440 53441
+11 1
+.names 903 53442
+0 1
+.names 15030 53442 53443
+11 1
+.names 15036 53444
+0 1
+.names 1006 53444 53445
+11 1
+.names 53449 53450 53446
+1- 1
+-1 1
+.names 53446 53447
+1 1
+.names 53453 53454 53448
+1- 1
+-1 1
+.names 53448 53449
+1 1
+.names 53437 53450
+0 1
+.names 48482 53444 53451
+1- 1
+-1 1
+.names 53451 53452
+1 1
+.names 15038 898 53453
+11 1
+.names 53452 53454
+0 1
+.names 53460 53462 53455
+1- 1
+-1 1
+.names 53455 53456
+1 1
+.names 53463 53239 53457
+11 1
+.names 53457 53458
+1 1
+.names 53458 53459
+0 1
+.names 53218 53459 53460
+11 1
+.names 905 53461
+0 1
+.names 15030 53461 53462
+11 1
+.names 1008 53264 53463
+11 1
+.names 53467 53468 53464
+1- 1
+-1 1
+.names 53464 53465
+1 1
+.names 53471 53472 53466
+1- 1
+-1 1
+.names 53466 53467
+1 1
+.names 53456 53468
+0 1
+.names 48800 53264 53469
+1- 1
+-1 1
+.names 53469 53470
+1 1
+.names 15038 900 53471
+11 1
+.names 53470 53472
+0 1
+.names 53478 53479 53473
+1- 1
+-1 1
+.names 53473 53474
+1 1
+.names 53480 53196 53475
+11 1
+.names 53475 53476
+1 1
+.names 53476 53477
+0 1
+.names 53444 53477 53478
+11 1
+.names 15036 48857 53479
+11 1
+.names 1021 53247 53480
+11 1
+.names 53484 53485 53481
+1- 1
+-1 1
+.names 53481 53482
+1 1
+.names 53486 53489 53483
+1- 1
+-1 1
+.names 53483 53484
+1 1
+.names 53474 53485
+0 1
+.names 15035 1139 53486
+11 1
+.names 53490 53247 53487
+1- 1
+-1 1
+.names 53487 53488
+1 1
+.names 53488 53489
+0 1
+.names 1112 53490
+0 1
+.names 53493 53361 53491
+11 1
+.names 53491 53492
+1 1
+.names 1005 53237 53493
+11 1
+.names 53497 53500 53494
+1- 1
+-1 1
+.names 53494 53495
+1 1
+.names 53507 53508 53496
+1- 1
+-1 1
+.names 53496 53497
+1 1
+.names 53502 53504 53498
+1- 1
+-1 1
+.names 53498 53499
+1 1
+.names 53499 53500
+0 1
+.names 53492 53501
+0 1
+.names 53247 53501 53502
+11 1
+.names 1113 53503
+0 1
+.names 15029 53503 53504
+11 1
+.names 48529 53237 53505
+1- 1
+-1 1
+.names 53505 53506
+1 1
+.names 15037 1140 53507
+11 1
+.names 53506 53508
+0 1
+.names 53514 53516 53509
+1- 1
+-1 1
+.names 53509 53510
+1 1
+.names 53517 53205 53511
+11 1
+.names 53511 53512
+1 1
+.names 53512 53513
+0 1
+.names 53291 53513 53514
+11 1
+.names 1126 53515
+0 1
+.names 15039 53515 53516
+11 1
+.names 1033 53264 53517
+11 1
+.names 53520 53205 53518
+1- 1
+-1 1
+.names 53518 53519
+1 1
+.names 1099 53520
+0 1
+.names 53523 53524 53521
+1- 1
+-1 1
+.names 53521 53522
+1 1
+.names 1599 15025 53523
+11 1
+.names 53519 53524
+0 1
+.names 53522 53525
+0 1
+.names 53525 53526
+1 1
+.names 53529 53530 53527
+1- 1
+-1 1
+.names 53527 53528
+1 1
+.names 53526 53529
+0 1
+.names 53510 53530
+0 1
+.names 53536 53538 53531
+1- 1
+-1 1
+.names 53531 53532
+1 1
+.names 53539 53196 53533
+11 1
+.names 53533 53534
+1 1
+.names 53534 53535
+0 1
+.names 53218 53535 53536
+11 1
+.names 1106 53537
+0 1
+.names 15030 53537 53538
+11 1
+.names 1043 53444 53539
+11 1
+.names 53543 53544 53540
+1- 1
+-1 1
+.names 53540 53541
+1 1
+.names 53547 53548 53542
+1- 1
+-1 1
+.names 53542 53543
+1 1
+.names 53532 53544
+0 1
+.names 48627 53444 53545
+1- 1
+-1 1
+.names 53545 53546
+1 1
+.names 15035 1133 53547
+11 1
+.names 53546 53548
+0 1
+.names 53554 53555 53549
+1- 1
+-1 1
+.names 53549 53550
+1 1
+.names 53556 53361 53551
+11 1
+.names 53551 53552
+1 1
+.names 53552 53553
+0 1
+.names 53444 53553 53554
+11 1
+.names 15036 48670 53555
+11 1
+.names 1032 53247 53556
+11 1
+.names 53560 53561 53557
+1- 1
+-1 1
+.names 53557 53558
+1 1
+.names 53565 53566 53559
+1- 1
+-1 1
+.names 53559 53560
+1 1
+.names 53550 53561
+0 1
+.names 53564 53247 53562
+1- 1
+-1 1
+.names 53562 53563
+1 1
+.names 1102 53564
+0 1
+.names 1129 15037 53565
+11 1
+.names 53563 53566
+0 1
+.names 53572 53574 53567
+1- 1
+-1 1
+.names 53567 53568
+1 1
+.names 53575 53291 53569
+11 1
+.names 53569 53570
+1 1
+.names 53570 53571
+0 1
+.names 53247 53571 53572
+11 1
+.names 1093 53573
+0 1
+.names 15029 53573 53574
+11 1
+.names 1041 53444 53575
+11 1
+.names 53579 53580 53576
+1- 1
+-1 1
+.names 53576 53577
+1 1
+.names 53581 53584 53578
+1- 1
+-1 1
+.names 53578 53579
+1 1
+.names 53568 53580
+0 1
+.names 15039 1120 53581
+11 1
+.names 48705 53444 53582
+1- 1
+-1 1
+.names 53582 53583
+1 1
+.names 53583 53584
+0 1
+.names 53590 53591 53585
+1- 1
+-1 1
+.names 53585 53586
+1 1
+.names 53592 53196 53587
+11 1
+.names 53587 53588
+1 1
+.names 53588 53589
+0 1
+.names 53444 53589 53590
+11 1
+.names 15036 48761 53591
+11 1
+.names 1040 53205 53592
+11 1
+.names 53596 53597 53593
+1- 1
+-1 1
+.names 53593 53594
+1 1
+.names 53601 53602 53595
+1- 1
+-1 1
+.names 53595 53596
+1 1
+.names 53586 53597
+0 1
+.names 53600 53205 53598
+1- 1
+-1 1
+.names 53598 53599
+1 1
+.names 1092 53600
+0 1
+.names 1119 15035 53601
+11 1
+.names 53599 53602
+0 1
+.names 53608 53609 53603
+1- 1
+-1 1
+.names 53603 53604
+1 1
+.names 53610 53291 53605
+11 1
+.names 53605 53606
+1 1
+.names 53606 53607
+0 1
+.names 53203 53607 53608
+11 1
+.names 15027 48903 53609
+11 1
+.names 1001 53218 53610
+11 1
+.names 53614 53615 53611
+1- 1
+-1 1
+.names 53611 53612
+1 1
+.names 53619 53620 53613
+1- 1
+-1 1
+.names 53613 53614
+1 1
+.names 53604 53615
+0 1
+.names 53618 53218 53616
+1- 1
+-1 1
+.names 53616 53617
+1 1
+.names 1097 53618
+0 1
+.names 15039 1124 53619
+11 1
+.names 53617 53620
+0 1
+.names 53623 53196 53621
+11 1
+.names 53621 53622
+1 1
+.names 1013 53237 53623
+11 1
+.names 53627 53630 53624
+1- 1
+-1 1
+.names 53624 53625
+1 1
+.names 53633 53634 53626
+1- 1
+-1 1
+.names 53626 53627
+1 1
+.names 53636 53638 53628
+1- 1
+-1 1
+.names 53628 53629
+1 1
+.names 53629 53630
+0 1
+.names 48949 53237 53631
+1- 1
+-1 1
+.names 53631 53632
+1 1
+.names 15035 1136 53633
+11 1
+.names 53632 53634
+0 1
+.names 53622 53635
+0 1
+.names 53247 53635 53636
+11 1
+.names 1109 53637
+0 1
+.names 15029 53637 53638
+11 1
+.names 53644 53645 53639
+1- 1
+-1 1
+.names 53639 53640
+1 1
+.names 53646 53196 53641
+11 1
+.names 53641 53642
+1 1
+.names 53642 53643
+0 1
+.names 53203 53643 53644
+11 1
+.names 15027 48970 53645
+11 1
+.names 1028 53247 53646
+11 1
+.names 53650 53651 53647
+1- 1
+-1 1
+.names 53647 53648
+1 1
+.names 53655 53656 53649
+1- 1
+-1 1
+.names 53649 53650
+1 1
+.names 53640 53651
+0 1
+.names 53654 53247 53652
+1- 1
+-1 1
+.names 53652 53653
+1 1
+.names 1105 53654
+0 1
+.names 15035 1132 53655
+11 1
+.names 53653 53656
+0 1
+.names 53662 53663 53657
+1- 1
+-1 1
+.names 53657 53658
+1 1
+.names 53664 53361 53659
+11 1
+.names 53659 53660
+1 1
+.names 53660 53661
+0 1
+.names 53444 53661 53662
+11 1
+.names 15036 49103 53663
+11 1
+.names 1038 53205 53664
+11 1
+.names 53668 53669 53665
+1- 1
+-1 1
+.names 53665 53666
+1 1
+.names 53673 53674 53667
+1- 1
+-1 1
+.names 53667 53668
+1 1
+.names 53658 53669
+0 1
+.names 53672 53205 53670
+1- 1
+-1 1
+.names 53670 53671
+1 1
+.names 1108 53672
+0 1
+.names 15037 1135 53673
+11 1
+.names 53671 53674
+0 1
+.names 53680 53681 53675
+1- 1
+-1 1
+.names 53675 53676
+1 1
+.names 53682 53361 53677
+11 1
+.names 53677 53678
+1 1
+.names 53678 53679
+0 1
+.names 53444 53679 53680
+11 1
+.names 15036 49052 53681
+11 1
+.names 997 53218 53682
+11 1
+.names 53686 53687 53683
+1- 1
+-1 1
+.names 53683 53684
+1 1
+.names 53691 53692 53685
+1- 1
+-1 1
+.names 53685 53686
+1 1
+.names 53676 53687
+0 1
+.names 53690 53218 53688
+1- 1
+-1 1
+.names 53688 53689
+1 1
+.names 907 53690
+0 1
+.names 15037 902 53691
+11 1
+.names 53689 53692
+0 1
+.names 53698 53699 53693
+1- 1
+-1 1
+.names 53693 53694
+1 1
+.names 53700 53239 53695
+11 1
+.names 53695 53696
+1 1
+.names 53696 53697
+0 1
+.names 53264 53697 53698
+11 1
+.names 15025 49146 53699
+11 1
+.names 1035 53247 53700
+11 1
+.names 53704 53705 53701
+1- 1
+-1 1
+.names 53701 53702
+1 1
+.names 53709 53710 53703
+1- 1
+-1 1
+.names 53703 53704
+1 1
+.names 53694 53705
+0 1
+.names 53708 53247 53706
+1- 1
+-1 1
+.names 53706 53707
+1 1
+.names 1096 53708
+0 1
+.names 15038 1123 53709
+11 1
+.names 53707 53710
+0 1
+.names 53716 53718 53711
+1- 1
+-1 1
+.names 53711 53712
+1 1
+.names 53719 53291 53713
+11 1
+.names 53713 53714
+1 1
+.names 53714 53715
+0 1
+.names 53218 53715 53716
+11 1
+.names 906 53717
+0 1
+.names 15030 53717 53718
+11 1
+.names 1025 53203 53719
+11 1
+.names 53723 53724 53720
+1- 1
+-1 1
+.names 53720 53721
+1 1
+.names 53727 53728 53722
+1- 1
+-1 1
+.names 53722 53723
+1 1
+.names 53712 53724
+0 1
+.names 49184 53203 53725
+1- 1
+-1 1
+.names 53725 53726
+1 1
+.names 15039 901 53727
+11 1
+.names 53726 53728
+0 1
+.names 53734 53736 53729
+1- 1
+-1 1
+.names 53729 53730
+1 1
+.names 53737 53291 53731
+11 1
+.names 53731 53732
+1 1
+.names 53732 53733
+0 1
+.names 53218 53733 53734
+11 1
+.names 1114 53735
+0 1
+.names 15030 53735 53736
+11 1
+.names 1003 53203 53737
+11 1
+.names 53741 53742 53738
+1- 1
+-1 1
+.names 53738 53739
+1 1
+.names 53743 53746 53740
+1- 1
+-1 1
+.names 53740 53741
+1 1
+.names 53730 53742
+0 1
+.names 1660 15027 53743
+11 1
+.names 53747 53291 53744
+1- 1
+-1 1
+.names 53744 53745
+1 1
+.names 53745 53746
+0 1
+.names 1141 53747
+0 1
+.names 53753 53754 53748
+1- 1
+-1 1
+.names 53748 53749
+1 1
+.names 53755 53361 53750
+11 1
+.names 53750 53751
+1 1
+.names 53751 53752
+0 1
+.names 53264 53752 53753
+11 1
+.names 15025 49282 53754
+11 1
+.names 1024 53218 53755
+11 1
+.names 53759 53760 53756
+1- 1
+-1 1
+.names 53756 53757
+1 1
+.names 53761 53764 53758
+1- 1
+-1 1
+.names 53758 53759
+1 1
+.names 53749 53760
+0 1
+.names 15037 1137 53761
+11 1
+.names 53765 53218 53762
+1- 1
+-1 1
+.names 53762 53763
+1 1
+.names 53763 53764
+0 1
+.names 1110 53765
+0 1
+.names 53771 53772 53766
+1- 1
+-1 1
+.names 53766 53767
+1 1
+.names 53773 53239 53768
+11 1
+.names 53768 53769
+1 1
+.names 53769 53770
+0 1
+.names 53203 53770 53771
+11 1
+.names 15027 49332 53772
+11 1
+.names 1031 53247 53773
+11 1
+.names 53777 53778 53774
+1- 1
+-1 1
+.names 53774 53775
+1 1
+.names 53782 53783 53776
+1- 1
+-1 1
+.names 53776 53777
+1 1
+.names 53767 53778
+0 1
+.names 53781 53247 53779
+1- 1
+-1 1
+.names 53779 53780
+1 1
+.names 1103 53781
+0 1
+.names 1130 15038 53782
+11 1
+.names 53780 53783
+0 1
+.names 53786 53291 53784
+11 1
+.names 53784 53785
+1 1
+.names 1030 53237 53786
+11 1
+.names 53790 53793 53787
+1- 1
+-1 1
+.names 53787 53788
+1 1
+.names 53800 53801 53789
+1- 1
+-1 1
+.names 53789 53790
+1 1
+.names 53795 53797 53791
+1- 1
+-1 1
+.names 53791 53792
+1 1
+.names 53792 53793
+0 1
+.names 53785 53794
+0 1
+.names 53247 53794 53795
+11 1
+.names 1100 53796
+0 1
+.names 15029 53796 53797
+11 1
+.names 49380 53237 53798
+1- 1
+-1 1
+.names 53798 53799
+1 1
+.names 15039 1127 53800
+11 1
+.names 53799 53801
+0 1
+.names 53804 53805 53802
+1- 1
+-1 1
+.names 53802 53803
+1 1
+.names 15051 53804
+0 1
+.names 1738 53805
+0 1
+.names 2413 53808 53806
+1- 1
+-1 1
+.names 53806 53807
+1 1
+.names 15072 53808
+0 1
+.names 53815 53818 53809
+1- 1
+-1 1
+.names 53809 53810
+1 1
+.names 53822 53823 53811
+1- 1
+-1 1
+.names 53811 53812
+1 1
+.names 53812 53813
+0 1
+.names 53807 53814
+0 1
+.names 53813 53814 53815
+1- 1
+-1 1
+.names 53826 53828 53816
+11 1
+.names 53816 53817
+1 1
+.names 53817 53818
+0 1
+.names 53838 53839 53819
+11 1
+.names 53819 53820
+1 1
+.names 53820 53821
+0 1
+.names 2413 53821 53822
+11 1
+.names 1783 2413 53823
+11 1
+.names 15061 53840 53824
+1- 1
+-1 1
+.names 53824 53825
+1 1
+.names 53825 53803 53826
+11 1
+.names 15069 14886 53827
+1- 1
+-1 1
+.names 53827 53828
+1 1
+.names 53833 3390 53829
+1- 1
+-1 1
+.names 53829 53830
+1 1
+.names 53804 53831
+1 1
+.names 53831 53832
+0 1
+.names 15072 53832 53833
+1- 1
+-1 1
+.names 15074 53837 53834
+1- 1
+-1 1
+.names 53834 53835
+1 1
+.names 15069 53836
+1 1
+.names 53836 53837
+0 1
+.names 53830 53838
+0 1
+.names 53835 53839
+0 1
+.names 1066 53840
+0 1
+.names 2413 53808 53841
+1- 1
+-1 1
+.names 53841 53842
+1 1
+.names 53849 53851 53843
+1- 1
+-1 1
+.names 53843 53844
+1 1
+.names 15073 53845
+0 1
+.names 53861 53862 53846
+11 1
+.names 53846 53847
+1 1
+.names 53847 53848
+0 1
+.names 53845 53848 53849
+11 1
+.names 1739 53850
+0 1
+.names 15073 53850 53851
+11 1
+.names 53856 2413 53852
+1- 1
+-1 1
+.names 53852 53853
+1 1
+.names 15069 53854
+1 1
+.names 53854 53855
+0 1
+.names 15083 53855 53856
+1- 1
+-1 1
+.names 15072 53860 53857
+1- 1
+-1 1
+.names 53857 53858
+1 1
+.names 2413 53859
+1 1
+.names 53859 53860
+0 1
+.names 53853 53861
+0 1
+.names 53858 53862
+0 1
+.names 53866 53867 53863
+1- 1
+-1 1
+.names 53863 53864
+1 1
+.names 53879 53880 53865
+1- 1
+-1 1
+.names 53865 53866
+1 1
+.names 53844 53867
+0 1
+.names 15069 14886 53868
+1- 1
+-1 1
+.names 53868 53869
+1 1
+.names 2413 53870
+1 1
+.names 53870 53871
+1 1
+.names 16518 53874 53872
+1- 1
+-1 1
+.names 53872 53873
+1 1
+.names 15083 53874
+0 1
+.names 53871 53875
+0 1
+.names 53873 53876
+0 1
+.names 53875 53876 53877
+1- 1
+-1 1
+.names 53869 53878
+0 1
+.names 53877 53878 53879
+1- 1
+-1 1
+.names 53842 53880
+0 1
+.names 53889 53892 53881
+1- 1
+-1 1
+.names 53881 53882
+1 1
+.names 53897 53898 53883
+1- 1
+-1 1
+.names 53883 53884
+1 1
+.names 53884 53885
+0 1
+.names 2413 53886
+1 1
+.names 53886 53887
+1 1
+.names 53887 53888
+0 1
+.names 53885 53888 53889
+1- 1
+-1 1
+.names 53903 53905 53890
+11 1
+.names 53890 53891
+1 1
+.names 53891 53892
+0 1
+.names 15043 53893
+0 1
+.names 53912 53913 53894
+11 1
+.names 53894 53895
+1 1
+.names 53895 53896
+0 1
+.names 53893 53896 53897
+11 1
+.names 15043 2413 53898
+11 1
+.names 53845 2413 53899
+1- 1
+-1 1
+.names 53899 53900
+1 1
+.names 15061 16440 53901
+1- 1
+-1 1
+.names 53901 53902
+1 1
+.names 53900 53902 53903
+11 1
+.names 14886 53914 53904
+1- 1
+-1 1
+.names 53904 53905
+1 1
+.names 15074 53909 53906
+1- 1
+-1 1
+.names 53906 53907
+1 1
+.names 2413 53908
+1 1
+.names 53908 53909
+0 1
+.names 53919 3489 53910
+1- 1
+-1 1
+.names 53910 53911
+1 1
+.names 53911 53912
+0 1
+.names 53907 53913
+0 1
+.names 15058 53914
+0 1
+.names 53914 53915
+1 1
+.names 53915 53916
+0 1
+.names 53845 53917
+1 1
+.names 53917 53918
+0 1
+.names 53916 53918 53919
+1- 1
+-1 1
+.names 53928 53931 53920
+1- 1
+-1 1
+.names 53920 53921
+1 1
+.names 53936 53937 53922
+1- 1
+-1 1
+.names 53922 53923
+1 1
+.names 53923 53924
+0 1
+.names 2413 53925
+1 1
+.names 53925 53926
+1 1
+.names 53926 53927
+0 1
+.names 53924 53927 53928
+1- 1
+-1 1
+.names 53955 53956 53929
+11 1
+.names 53929 53930
+1 1
+.names 53930 53931
+0 1
+.names 15079 53932
+0 1
+.names 53944 53945 53933
+11 1
+.names 53933 53934
+1 1
+.names 53934 53935
+0 1
+.names 53932 53935 53936
+11 1
+.names 15079 2413 53937
+11 1
+.names 15078 53941 53938
+1- 1
+-1 1
+.names 53938 53939
+1 1
+.names 2413 53940
+1 1
+.names 53940 53941
+0 1
+.names 53963 53964 53942
+1- 1
+-1 1
+.names 53942 53943
+1 1
+.names 53943 53944
+0 1
+.names 53939 53945
+0 1
+.names 14886 53948 53946
+1- 1
+-1 1
+.names 53946 53947
+1 1
+.names 15078 53948
+0 1
+.names 53951 53952 53949
+1- 1
+-1 1
+.names 53949 53950
+1 1
+.names 1740 15052 53951
+11 1
+.names 53947 53952
+0 1
+.names 15082 1056 53953
+11 1
+.names 53953 53954
+1 1
+.names 53954 53955
+0 1
+.names 53950 53956
+0 1
+.names 15052 53957
+0 1
+.names 53957 53958
+1 1
+.names 15082 53959
+0 1
+.names 53959 53960
+1 1
+.names 53960 53961
+0 1
+.names 53958 53962
+0 1
+.names 53961 53962 53963
+1- 1
+-1 1
+.names 774 53964
+0 1
+.names 53957 53967 53965
+1- 1
+-1 1
+.names 53965 53966
+1 1
+.names 1741 53967
+0 1
+.names 14886 15069 53968
+1- 1
+-1 1
+.names 53968 53969
+1 1
+.names 15081 53973 53970
+1- 1
+-1 1
+.names 53970 53971
+1 1
+.names 2413 53972
+1 1
+.names 53972 53973
+0 1
+.names 53979 53980 53974
+1- 1
+-1 1
+.names 53974 53975
+1 1
+.names 53983 53984 53976
+11 1
+.names 53976 53977
+1 1
+.names 53977 53978
+0 1
+.names 53932 53978 53979
+11 1
+.names 15079 2413 53980
+11 1
+.names 53987 3404 53981
+1- 1
+-1 1
+.names 53981 53982
+1 1
+.names 53982 53983
+0 1
+.names 53971 53984
+0 1
+.names 53957 53985
+1 1
+.names 53985 53986
+0 1
+.names 15055 53986 53987
+1- 1
+-1 1
+.names 53994 53995 53988
+1- 1
+-1 1
+.names 53988 53989
+1 1
+.names 53966 53990
+0 1
+.names 54006 16635 53991
+1- 1
+-1 1
+.names 53991 53992
+1 1
+.names 53992 53993
+0 1
+.names 53990 53993 53994
+1- 1
+-1 1
+.names 53969 53995
+0 1
+.names 53998 54001 53996
+11 1
+.names 53996 53997
+1 1
+.names 53989 53998
+0 1
+.names 1783 53999
+1 1
+.names 53999 54000
+1 1
+.names 54000 54001
+0 1
+.names 54004 54005 54002
+1- 1
+-1 1
+.names 54002 54003
+1 1
+.names 53975 54004
+0 1
+.names 53997 54005
+0 1
+.names 15081 54006
+0 1
+.names 54015 54018 54007
+1- 1
+-1 1
+.names 54007 54008
+1 1
+.names 54023 54024 54009
+1- 1
+-1 1
+.names 54009 54010
+1 1
+.names 54010 54011
+0 1
+.names 2413 54012
+1 1
+.names 54012 54013
+1 1
+.names 54013 54014
+0 1
+.names 54011 54014 54015
+1- 1
+-1 1
+.names 54046 54041 54016
+11 1
+.names 54016 54017
+1 1
+.names 54017 54018
+0 1
+.names 15068 54019
+0 1
+.names 54035 54036 54020
+11 1
+.names 54020 54021
+1 1
+.names 54021 54022
+0 1
+.names 54019 54022 54023
+11 1
+.names 15068 14886 54024
+11 1
+.names 15072 3370 54025
+1- 1
+-1 1
+.names 54025 54026
+1 1
+.names 54031 54033 54027
+1- 1
+-1 1
+.names 54027 54028
+1 1
+.names 54034 2413 54029
+11 1
+.names 54029 54030
+1 1
+.names 54030 54031
+0 1
+.names 53874 54032
+1 1
+.names 54032 54033
+0 1
+.names 15053 54034
+0 1
+.names 54028 54035
+0 1
+.names 54026 54036
+0 1
+.names 54034 54039 54037
+1- 1
+-1 1
+.names 54037 54038
+1 1
+.names 1742 54039
+0 1
+.names 54042 53808 54040
+1- 1
+-1 1
+.names 54040 54041
+1 1
+.names 6438 54042
+0 1
+.names 53874 54045 54043
+1- 1
+-1 1
+.names 54043 54044
+1 1
+.names 1061 54045
+0 1
+.names 54044 54038 54046
+11 1
+.names 54055 54058 54047
+1- 1
+-1 1
+.names 54047 54048
+1 1
+.names 54063 54064 54049
+1- 1
+-1 1
+.names 54049 54050
+1 1
+.names 54050 54051
+0 1
+.names 2413 54052
+1 1
+.names 54052 54053
+1 1
+.names 54053 54054
+0 1
+.names 54051 54054 54055
+1- 1
+-1 1
+.names 54084 54085 54056
+11 1
+.names 54056 54057
+1 1
+.names 54057 54058
+0 1
+.names 15041 54059
+0 1
+.names 54080 54081 54060
+11 1
+.names 54060 54061
+1 1
+.names 54061 54062
+0 1
+.names 54059 54062 54063
+11 1
+.names 15041 2413 54064
+11 1
+.names 54067 54070 54065
+1- 1
+-1 1
+.names 54065 54066
+1 1
+.names 15051 1743 54067
+11 1
+.names 54086 53959 54068
+1- 1
+-1 1
+.names 54068 54069
+1 1
+.names 54069 54070
+0 1
+.names 54075 3320 54071
+1- 1
+-1 1
+.names 54071 54072
+1 1
+.names 53804 54073
+1 1
+.names 54073 54074
+0 1
+.names 15077 54074 54075
+1- 1
+-1 1
+.names 15082 54079 54076
+1- 1
+-1 1
+.names 54076 54077
+1 1
+.names 2413 54078
+1 1
+.names 54078 54079
+0 1
+.names 54072 54080
+0 1
+.names 54077 54081
+0 1
+.names 1775 15077 54082
+11 1
+.names 54082 54083
+1 1
+.names 54083 54084
+0 1
+.names 54066 54085
+0 1
+.names 1068 54086
+0 1
+.names 54095 54098 54087
+1- 1
+-1 1
+.names 54087 54088
+1 1
+.names 54102 54103 54089
+1- 1
+-1 1
+.names 54089 54090
+1 1
+.names 54090 54091
+0 1
+.names 2413 54092
+1 1
+.names 54092 54093
+1 1
+.names 54093 54094
+0 1
+.names 54091 54094 54095
+1- 1
+-1 1
+.names 54108 54110 54096
+11 1
+.names 54096 54097
+1 1
+.names 54097 54098
+0 1
+.names 54120 54121 54099
+11 1
+.names 54099 54100
+1 1
+.names 54100 54101
+0 1
+.names 53932 54101 54102
+11 1
+.names 15079 2413 54103
+11 1
+.names 53845 54123 54104
+1- 1
+-1 1
+.names 54104 54105
+1 1
+.names 15061 54124 54106
+1- 1
+-1 1
+.names 54106 54107
+1 1
+.names 54105 54107 54108
+11 1
+.names 14886 54122 54109
+1- 1
+-1 1
+.names 54109 54110
+1 1
+.names 54115 3378 54111
+1- 1
+-1 1
+.names 54111 54112
+1 1
+.names 53845 54113
+1 1
+.names 54113 54114
+0 1
+.names 15077 54114 54115
+1- 1
+-1 1
+.names 15074 54119 54116
+1- 1
+-1 1
+.names 54116 54117
+1 1
+.names 2413 54118
+1 1
+.names 54118 54119
+0 1
+.names 54117 54120
+0 1
+.names 54112 54121
+0 1
+.names 15077 54122
+0 1
+.names 1744 54123
+0 1
+.names 1074 54124
+0 1
+.names 54130 54131 54125
+1- 1
+-1 1
+.names 54125 54126
+1 1
+.names 54138 54139 54127
+11 1
+.names 54127 54128
+1 1
+.names 54128 54129
+0 1
+.names 53932 54129 54130
+11 1
+.names 15079 2413 54131
+11 1
+.names 1783 54135 54132
+1- 1
+-1 1
+.names 54132 54133
+1 1
+.names 53959 54134
+1 1
+.names 54134 54135
+0 1
+.names 54144 3414 54136
+1- 1
+-1 1
+.names 54136 54137
+1 1
+.names 54137 54138
+0 1
+.names 54133 54139
+0 1
+.names 14886 53914 54140
+1- 1
+-1 1
+.names 54140 54141
+1 1
+.names 53845 54142
+1 1
+.names 54142 54143
+0 1
+.names 15058 54143 54144
+1- 1
+-1 1
+.names 54153 54154 54145
+1- 1
+-1 1
+.names 54145 54146
+1 1
+.names 53845 54165 54147
+1- 1
+-1 1
+.names 54147 54148
+1 1
+.names 54148 54149
+0 1
+.names 53959 54166 54150
+1- 1
+-1 1
+.names 54150 54151
+1 1
+.names 54151 54152
+0 1
+.names 54149 54152 54153
+1- 1
+-1 1
+.names 54141 54154
+0 1
+.names 54157 54160 54155
+1- 1
+-1 1
+.names 54155 54156
+1 1
+.names 54126 54157
+0 1
+.names 54163 54164 54158
+11 1
+.names 54158 54159
+1 1
+.names 54159 54160
+0 1
+.names 1783 54161
+1 1
+.names 54161 54162
+1 1
+.names 54146 54163
+0 1
+.names 54162 54164
+0 1
+.names 1745 54165
+0 1
+.names 1054 54166
+0 1
+.names 54175 54178 54167
+1- 1
+-1 1
+.names 54167 54168
+1 1
+.names 54182 54183 54169
+1- 1
+-1 1
+.names 54169 54170
+1 1
+.names 54170 54171
+0 1
+.names 2413 54172
+1 1
+.names 54172 54173
+1 1
+.names 54173 54174
+0 1
+.names 54171 54174 54175
+1- 1
+-1 1
+.names 54203 54196 54176
+11 1
+.names 54176 54177
+1 1
+.names 54177 54178
+0 1
+.names 54193 54194 54179
+11 1
+.names 54179 54180
+1 1
+.names 54180 54181
+0 1
+.names 53932 54181 54182
+11 1
+.names 15079 14886 54183
+11 1
+.names 54188 3300 54184
+1- 1
+-1 1
+.names 54184 54185
+1 1
+.names 53804 54186
+1 1
+.names 54186 54187
+0 1
+.names 15077 54187 54188
+1- 1
+-1 1
+.names 15083 54192 54189
+1- 1
+-1 1
+.names 54189 54190
+1 1
+.names 2413 54191
+1 1
+.names 54191 54192
+0 1
+.names 54185 54193
+0 1
+.names 54190 54194
+0 1
+.names 14886 54122 54195
+1- 1
+-1 1
+.names 54195 54196
+1 1
+.names 53804 54199 54197
+1- 1
+-1 1
+.names 54197 54198
+1 1
+.names 1746 54199
+0 1
+.names 53874 54202 54200
+1- 1
+-1 1
+.names 54200 54201
+1 1
+.names 1069 54202
+0 1
+.names 54201 54198 54203
+11 1
+.names 54212 54215 54204
+1- 1
+-1 1
+.names 54204 54205
+1 1
+.names 54219 54220 54206
+1- 1
+-1 1
+.names 54206 54207
+1 1
+.names 54207 54208
+0 1
+.names 2413 54209
+1 1
+.names 54209 54210
+1 1
+.names 54210 54211
+0 1
+.names 54208 54211 54212
+1- 1
+-1 1
+.names 54225 54227 54213
+11 1
+.names 54213 54214
+1 1
+.names 54214 54215
+0 1
+.names 54238 54239 54216
+11 1
+.names 54216 54217
+1 1
+.names 54217 54218
+0 1
+.names 54059 54218 54219
+11 1
+.names 15041 2413 54220
+11 1
+.names 53804 54240 54221
+1- 1
+-1 1
+.names 54221 54222
+1 1
+.names 15061 16831 54223
+1- 1
+-1 1
+.names 54223 54224
+1 1
+.names 54222 54224 54225
+11 1
+.names 14886 15069 54226
+1- 1
+-1 1
+.names 54226 54227
+1 1
+.names 15055 54230 54228
+1- 1
+-1 1
+.names 54228 54229
+1 1
+.names 770 54230
+0 1
+.names 54235 54237 54231
+1- 1
+-1 1
+.names 54231 54232
+1 1
+.names 53804 2413 54233
+11 1
+.names 54233 54234
+1 1
+.names 54234 54235
+0 1
+.names 15061 54236
+1 1
+.names 54236 54237
+0 1
+.names 54232 54238
+0 1
+.names 54229 54239
+0 1
+.names 1747 54240
+0 1
+.names 54243 53804 54241
+1- 1
+-1 1
+.names 54241 54242
+1 1
+.names 1748 54243
+0 1
+.names 54252 54255 54244
+1- 1
+-1 1
+.names 54244 54245
+1 1
+.names 54259 54260 54246
+1- 1
+-1 1
+.names 54246 54247
+1 1
+.names 54247 54248
+0 1
+.names 2413 54249
+1 1
+.names 54249 54250
+1 1
+.names 54250 54251
+0 1
+.names 54248 54251 54252
+1- 1
+-1 1
+.names 54278 54279 54253
+11 1
+.names 54253 54254
+1 1
+.names 54254 54255
+0 1
+.names 54274 54275 54256
+11 1
+.names 54256 54257
+1 1
+.names 54257 54258
+0 1
+.names 54059 54258 54259
+11 1
+.names 15041 2413 54260
+11 1
+.names 54263 54264 54261
+1- 1
+-1 1
+.names 54261 54262
+1 1
+.names 15082 1071 54263
+11 1
+.names 54242 54264
+0 1
+.names 54269 3296 54265
+1- 1
+-1 1
+.names 54265 54266
+1 1
+.names 53804 54267
+1 1
+.names 54267 54268
+0 1
+.names 15077 54268 54269
+1- 1
+-1 1
+.names 15082 54273 54270
+1- 1
+-1 1
+.names 54270 54271
+1 1
+.names 2413 54272
+1 1
+.names 54272 54273
+0 1
+.names 54266 54274
+0 1
+.names 54271 54275
+0 1
+.names 1775 15077 54276
+11 1
+.names 54276 54277
+1 1
+.names 54277 54278
+0 1
+.names 54262 54279
+0 1
+.names 54288 54291 54280
+1- 1
+-1 1
+.names 54280 54281
+1 1
+.names 54295 54296 54282
+1- 1
+-1 1
+.names 54282 54283
+1 1
+.names 54283 54284
+0 1
+.names 2413 54285
+1 1
+.names 54285 54286
+1 1
+.names 54286 54287
+0 1
+.names 54284 54287 54288
+1- 1
+-1 1
+.names 54317 54318 54289
+11 1
+.names 54289 54290
+1 1
+.names 54290 54291
+0 1
+.names 54307 54308 54292
+11 1
+.names 54292 54293
+1 1
+.names 54293 54294
+0 1
+.names 53893 54294 54295
+11 1
+.names 15043 14886 54296
+11 1
+.names 54301 54302 54297
+1- 1
+-1 1
+.names 54297 54298
+1 1
+.names 53957 54299
+1 1
+.names 54299 54300
+0 1
+.names 15081 54300 54301
+1- 1
+-1 1
+.names 785 54302
+0 1
+.names 15055 54306 54303
+1- 1
+-1 1
+.names 54303 54304
+1 1
+.names 2413 54305
+1 1
+.names 54305 54306
+0 1
+.names 54298 54307
+0 1
+.names 54304 54308
+0 1
+.names 14886 15069 54309
+1- 1
+-1 1
+.names 54309 54310
+1 1
+.names 54313 54314 54311
+1- 1
+-1 1
+.names 54311 54312
+1 1
+.names 15052 1783 54313
+11 1
+.names 54310 54314
+0 1
+.names 15081 1049 54315
+11 1
+.names 54315 54316
+1 1
+.names 54316 54317
+0 1
+.names 54312 54318
+0 1
+.names 54325 54327 54319
+1- 1
+-1 1
+.names 54319 54320
+1 1
+.names 15060 54321
+0 1
+.names 54337 54338 54322
+11 1
+.names 54322 54323
+1 1
+.names 54323 54324
+0 1
+.names 54321 54324 54325
+11 1
+.names 1065 54326
+0 1
+.names 15060 54326 54327
+11 1
+.names 15072 3374 54328
+1- 1
+-1 1
+.names 54328 54329
+1 1
+.names 54334 54336 54330
+1- 1
+-1 1
+.names 54330 54331
+1 1
+.names 53957 2413 54332
+11 1
+.names 54332 54333
+1 1
+.names 54333 54334
+0 1
+.names 15069 54335
+1 1
+.names 54335 54336
+0 1
+.names 54331 54337
+0 1
+.names 54329 54338
+0 1
+.names 54342 54343 54339
+1- 1
+-1 1
+.names 54339 54340
+1 1
+.names 54354 54357 54341
+1- 1
+-1 1
+.names 54341 54342
+1 1
+.names 54320 54343
+0 1
+.names 14886 15069 54344
+1- 1
+-1 1
+.names 54344 54345
+1 1
+.names 54345 54346
+0 1
+.names 14886 53808 54347
+1- 1
+-1 1
+.names 54347 54348
+1 1
+.names 54348 54349
+0 1
+.names 54346 54349 54350
+1- 1
+-1 1
+.names 54358 53957 54351
+1- 1
+-1 1
+.names 54351 54352
+1 1
+.names 54352 54353
+0 1
+.names 54350 54353 54354
+1- 1
+-1 1
+.names 2413 54355
+1 1
+.names 54355 54356
+1 1
+.names 54356 54357
+0 1
+.names 1749 54358
+0 1
+.names 54364 54365 54359
+1- 1
+-1 1
+.names 54359 54360
+1 1
+.names 54375 54376 54361
+11 1
+.names 54361 54362
+1 1
+.names 54362 54363
+0 1
+.names 54059 54363 54364
+11 1
+.names 15041 2413 54365
+11 1
+.names 54370 2413 54366
+1- 1
+-1 1
+.names 54366 54367
+1 1
+.names 53957 54368
+1 1
+.names 54368 54369
+0 1
+.names 15058 54369 54370
+1- 1
+-1 1
+.names 15074 54374 54371
+1- 1
+-1 1
+.names 54371 54372
+1 1
+.names 2413 54373
+1 1
+.names 54373 54374
+0 1
+.names 54367 54375
+0 1
+.names 54372 54376
+0 1
+.names 15061 16645 54377
+1- 1
+-1 1
+.names 54377 54378
+1 1
+.names 14886 53914 54379
+1- 1
+-1 1
+.names 54379 54380
+1 1
+.names 54387 54388 54381
+1- 1
+-1 1
+.names 54381 54382
+1 1
+.names 54378 54383
+0 1
+.names 53957 54399 54384
+1- 1
+-1 1
+.names 54384 54385
+1 1
+.names 54385 54386
+0 1
+.names 54383 54386 54387
+1- 1
+-1 1
+.names 54380 54388
+0 1
+.names 54391 54394 54389
+1- 1
+-1 1
+.names 54389 54390
+1 1
+.names 54360 54391
+0 1
+.names 54395 54398 54392
+11 1
+.names 54392 54393
+1 1
+.names 54393 54394
+0 1
+.names 54382 54395
+0 1
+.names 1783 54396
+1 1
+.names 54396 54397
+1 1
+.names 54397 54398
+0 1
+.names 1750 54399
+0 1
+.names 54408 54411 54400
+1- 1
+-1 1
+.names 54400 54401
+1 1
+.names 54415 54416 54402
+1- 1
+-1 1
+.names 54402 54403
+1 1
+.names 54403 54404
+0 1
+.names 2413 54405
+1 1
+.names 54405 54406
+1 1
+.names 54406 54407
+0 1
+.names 54404 54407 54408
+1- 1
+-1 1
+.names 54419 54422 54409
+11 1
+.names 54409 54410
+1 1
+.names 54410 54411
+0 1
+.names 54429 54430 54412
+11 1
+.names 54412 54413
+1 1
+.names 54413 54414
+0 1
+.names 54059 54414 54415
+11 1
+.names 15041 2413 54416
+11 1
+.names 54434 1050 54417
+11 1
+.names 54417 54418
+1 1
+.names 54418 54419
+0 1
+.names 54436 54437 54420
+1- 1
+-1 1
+.names 54420 54421
+1 1
+.names 54421 54422
+0 1
+.names 15055 54426 54423
+1- 1
+-1 1
+.names 54423 54424
+1 1
+.names 2413 54425
+1 1
+.names 54425 54426
+0 1
+.names 54440 3481 54427
+1- 1
+-1 1
+.names 54427 54428
+1 1
+.names 54428 54429
+0 1
+.names 54424 54430
+0 1
+.names 14886 15069 54431
+1- 1
+-1 1
+.names 54431 54432
+1 1
+.names 54438 54433
+0 1
+.names 54433 54434
+1 1
+.names 54034 54435
+1 1
+.names 15053 1751 54436
+11 1
+.names 54432 54437
+0 1
+.names 53874 54438
+1 1
+.names 54435 54439
+0 1
+.names 54433 54439 54440
+1- 1
+-1 1
+.names 54443 53845 54441
+1- 1
+-1 1
+.names 54441 54442
+1 1
+.names 1752 54443
+0 1
+.names 54452 54455 54444
+1- 1
+-1 1
+.names 54444 54445
+1 1
+.names 54459 54460 54446
+1- 1
+-1 1
+.names 54446 54447
+1 1
+.names 54447 54448
+0 1
+.names 2413 54449
+1 1
+.names 54449 54450
+1 1
+.names 54450 54451
+0 1
+.names 54448 54451 54452
+1- 1
+-1 1
+.names 54463 54466 54453
+11 1
+.names 54453 54454
+1 1
+.names 54454 54455
+0 1
+.names 54471 54474 54456
+11 1
+.names 54456 54457
+1 1
+.names 54457 54458
+0 1
+.names 53893 54458 54459
+11 1
+.names 15043 14886 54460
+11 1
+.names 54476 54461
+0 1
+.names 54461 54462
+1 1
+.names 54462 54463
+0 1
+.names 54482 54483 54464
+1- 1
+-1 1
+.names 54464 54465
+1 1
+.names 54465 54466
+0 1
+.names 15082 54470 54467
+1- 1
+-1 1
+.names 54467 54468
+1 1
+.names 2413 54469
+1 1
+.names 54469 54470
+0 1
+.names 54468 54471
+0 1
+.names 54481 2413 54472
+1- 1
+-1 1
+.names 54472 54473
+1 1
+.names 54473 54474
+0 1
+.names 14886 53914 54475
+1- 1
+-1 1
+.names 54475 54476
+1 1
+.names 53914 54477
+1 1
+.names 54477 54478
+0 1
+.names 53845 54479
+1 1
+.names 54479 54480
+0 1
+.names 54478 54480 54481
+1- 1
+-1 1
+.names 15082 1057 54482
+11 1
+.names 54442 54483
+0 1
+.names 53957 54486 54484
+1- 1
+-1 1
+.names 54484 54485
+1 1
+.names 1753 54486
+0 1
+.names 54492 54493 54487
+1- 1
+-1 1
+.names 54487 54488
+1 1
+.names 54503 54504 54489
+11 1
+.names 54489 54490
+1 1
+.names 54490 54491
+0 1
+.names 53932 54491 54492
+11 1
+.names 15079 2413 54493
+11 1
+.names 54498 3366 54494
+1- 1
+-1 1
+.names 54494 54495
+1 1
+.names 53957 54496
+1 1
+.names 54496 54497
+0 1
+.names 15058 54497 54498
+1- 1
+-1 1
+.names 15074 54502 54499
+1- 1
+-1 1
+.names 54499 54500
+1 1
+.names 2413 54501
+1 1
+.names 54501 54502
+0 1
+.names 54495 54503
+0 1
+.names 54500 54504
+0 1
+.names 14886 53914 54505
+1- 1
+-1 1
+.names 54505 54506
+1 1
+.names 54513 54514 54507
+1- 1
+-1 1
+.names 54507 54508
+1 1
+.names 54485 54509
+0 1
+.names 15061 54525 54510
+1- 1
+-1 1
+.names 54510 54511
+1 1
+.names 54511 54512
+0 1
+.names 54509 54512 54513
+1- 1
+-1 1
+.names 54506 54514
+0 1
+.names 54517 54520 54515
+1- 1
+-1 1
+.names 54515 54516
+1 1
+.names 54488 54517
+0 1
+.names 54523 54524 54518
+11 1
+.names 54518 54519
+1 1
+.names 54519 54520
+0 1
+.names 1783 54521
+1 1
+.names 54521 54522
+1 1
+.names 54508 54523
+0 1
+.names 54522 54524
+0 1
+.names 1075 54525
+0 1
+.names 54534 54537 54526
+1- 1
+-1 1
+.names 54526 54527
+1 1
+.names 54541 54542 54528
+1- 1
+-1 1
+.names 54528 54529
+1 1
+.names 54529 54530
+0 1
+.names 2413 54531
+1 1
+.names 54531 54532
+1 1
+.names 54532 54533
+0 1
+.names 54530 54533 54534
+1- 1
+-1 1
+.names 54562 54555 54535
+11 1
+.names 54535 54536
+1 1
+.names 54536 54537
+0 1
+.names 54552 54553 54538
+11 1
+.names 54538 54539
+1 1
+.names 54539 54540
+0 1
+.names 54059 54540 54541
+11 1
+.names 15041 14886 54542
+11 1
+.names 54547 2413 54543
+1- 1
+-1 1
+.names 54543 54544
+1 1
+.names 54034 54545
+1 1
+.names 54545 54546
+0 1
+.names 15078 54546 54547
+1- 1
+-1 1
+.names 15083 54551 54548
+1- 1
+-1 1
+.names 54548 54549
+1 1
+.names 2413 54550
+1 1
+.names 54550 54551
+0 1
+.names 54544 54552
+0 1
+.names 54549 54553
+0 1
+.names 14886 53948 54554
+1- 1
+-1 1
+.names 54554 54555
+1 1
+.names 54034 54558 54556
+1- 1
+-1 1
+.names 54556 54557
+1 1
+.names 1754 54558
+0 1
+.names 53874 54561 54559
+1- 1
+-1 1
+.names 54559 54560
+1 1
+.names 1064 54561
+0 1
+.names 54560 54557 54562
+11 1
+.names 54034 54565 54563
+1- 1
+-1 1
+.names 54563 54564
+1 1
+.names 1755 54565
+0 1
+.names 54574 54577 54566
+1- 1
+-1 1
+.names 54566 54567
+1 1
+.names 54581 54582 54568
+1- 1
+-1 1
+.names 54568 54569
+1 1
+.names 54569 54570
+0 1
+.names 2413 54571
+1 1
+.names 54571 54572
+1 1
+.names 54572 54573
+0 1
+.names 54570 54573 54574
+1- 1
+-1 1
+.names 54585 54587 54575
+11 1
+.names 54575 54576
+1 1
+.names 54576 54577
+0 1
+.names 54598 54599 54578
+11 1
+.names 54578 54579
+1 1
+.names 54579 54580
+0 1
+.names 53893 54580 54581
+11 1
+.names 15043 2413 54582
+11 1
+.names 54006 54600 54583
+1- 1
+-1 1
+.names 54583 54584
+1 1
+.names 54564 54584 54585
+11 1
+.names 14886 53948 54586
+1- 1
+-1 1
+.names 54586 54587
+1 1
+.names 54592 54593 54588
+1- 1
+-1 1
+.names 54588 54589
+1 1
+.names 54034 54590
+1 1
+.names 54590 54591
+0 1
+.names 15078 54591 54592
+1- 1
+-1 1
+.names 1756 54593
+0 1
+.names 15081 54597 54594
+1- 1
+-1 1
+.names 54594 54595
+1 1
+.names 2413 54596
+1 1
+.names 54596 54597
+0 1
+.names 54589 54598
+0 1
+.names 54595 54599
+0 1
+.names 1073 54600
+0 1
+.names 54609 54612 54601
+1- 1
+-1 1
+.names 54601 54602
+1 1
+.names 54616 54617 54603
+1- 1
+-1 1
+.names 54603 54604
+1 1
+.names 54604 54605
+0 1
+.names 2413 54606
+1 1
+.names 54606 54607
+1 1
+.names 54607 54608
+0 1
+.names 54605 54608 54609
+1- 1
+-1 1
+.names 54622 54624 54610
+11 1
+.names 54610 54611
+1 1
+.names 54611 54612
+0 1
+.names 54634 54635 54613
+11 1
+.names 54613 54614
+1 1
+.names 54614 54615
+0 1
+.names 53932 54615 54616
+11 1
+.names 15079 14886 54617
+11 1
+.names 54034 54637 54618
+1- 1
+-1 1
+.names 54618 54619
+1 1
+.names 54006 54636 54620
+1- 1
+-1 1
+.names 54620 54621
+1 1
+.names 54619 54621 54622
+11 1
+.names 14886 54122 54623
+1- 1
+-1 1
+.names 54623 54624
+1 1
+.names 54629 3386 54625
+1- 1
+-1 1
+.names 54625 54626
+1 1
+.names 54034 54627
+1 1
+.names 54627 54628
+0 1
+.names 15077 54628 54629
+1- 1
+-1 1
+.names 15081 54633 54630
+1- 1
+-1 1
+.names 54630 54631
+1 1
+.names 2413 54632
+1 1
+.names 54632 54633
+0 1
+.names 54626 54634
+0 1
+.names 54631 54635
+0 1
+.names 1072 54636
+0 1
+.names 1757 54637
+0 1
+.names 54034 54640 54638
+1- 1
+-1 1
+.names 54638 54639
+1 1
+.names 1758 54640
+0 1
+.names 54646 54647 54641
+1- 1
+-1 1
+.names 54641 54642
+1 1
+.names 54657 54658 54643
+11 1
+.names 54643 54644
+1 1
+.names 54644 54645
+0 1
+.names 53932 54645 54646
+11 1
+.names 15079 2413 54647
+11 1
+.names 54652 2413 54648
+1- 1
+-1 1
+.names 54648 54649
+1 1
+.names 54034 54650
+1 1
+.names 54650 54651
+0 1
+.names 15058 54651 54652
+1- 1
+-1 1
+.names 15081 54656 54653
+1- 1
+-1 1
+.names 54653 54654
+1 1
+.names 2413 54655
+1 1
+.names 54655 54656
+0 1
+.names 54649 54657
+0 1
+.names 54654 54658
+0 1
+.names 14886 53914 54659
+1- 1
+-1 1
+.names 54659 54660
+1 1
+.names 54667 54668 54661
+1- 1
+-1 1
+.names 54661 54662
+1 1
+.names 54639 54663
+0 1
+.names 54006 16662 54664
+1- 1
+-1 1
+.names 54664 54665
+1 1
+.names 54665 54666
+0 1
+.names 54663 54666 54667
+1- 1
+-1 1
+.names 54660 54668
+0 1
+.names 54671 54674 54669
+1- 1
+-1 1
+.names 54669 54670
+1 1
+.names 54642 54671
+0 1
+.names 54677 54678 54672
+11 1
+.names 54672 54673
+1 1
+.names 54673 54674
+0 1
+.names 1783 54675
+1 1
+.names 54675 54676
+1 1
+.names 54662 54677
+0 1
+.names 54676 54678
+0 1
+.names 54687 54690 54679
+1- 1
+-1 1
+.names 54679 54680
+1 1
+.names 54694 54695 54681
+1- 1
+-1 1
+.names 54681 54682
+1 1
+.names 54682 54683
+0 1
+.names 2413 54684
+1 1
+.names 54684 54685
+1 1
+.names 54685 54686
+0 1
+.names 54683 54686 54687
+1- 1
+-1 1
+.names 54700 54702 54688
+11 1
+.names 54688 54689
+1 1
+.names 54689 54690
+0 1
+.names 54712 54713 54691
+11 1
+.names 54691 54692
+1 1
+.names 54692 54693
+0 1
+.names 53932 54693 54694
+11 1
+.names 15079 2413 54695
+11 1
+.names 15061 16647 54696
+1- 1
+-1 1
+.names 54696 54697
+1 1
+.names 53804 54714 54698
+1- 1
+-1 1
+.names 54698 54699
+1 1
+.names 54697 54699 54700
+11 1
+.names 14886 54122 54701
+1- 1
+-1 1
+.names 54701 54702
+1 1
+.names 54707 2413 54703
+1- 1
+-1 1
+.names 54703 54704
+1 1
+.names 53804 54705
+1 1
+.names 54705 54706
+0 1
+.names 15077 54706 54707
+1- 1
+-1 1
+.names 1783 54711 54708
+1- 1
+-1 1
+.names 54708 54709
+1 1
+.names 15061 54710
+1 1
+.names 54710 54711
+0 1
+.names 54704 54712
+0 1
+.names 54709 54713
+0 1
+.names 1759 54714
+0 1
+.names 54717 53804 54715
+1- 1
+-1 1
+.names 54715 54716
+1 1
+.names 1760 54717
+0 1
+.names 54726 54729 54718
+1- 1
+-1 1
+.names 54718 54719
+1 1
+.names 54733 54734 54720
+1- 1
+-1 1
+.names 54720 54721
+1 1
+.names 54721 54722
+0 1
+.names 2413 54723
+1 1
+.names 54723 54724
+1 1
+.names 54724 54725
+0 1
+.names 54722 54725 54726
+1- 1
+-1 1
+.names 54737 54740 54727
+11 1
+.names 54727 54728
+1 1
+.names 54728 54729
+0 1
+.names 54750 54751 54730
+11 1
+.names 54730 54731
+1 1
+.names 54731 54732
+0 1
+.names 53893 54732 54733
+11 1
+.names 15043 14886 54734
+11 1
+.names 54755 54735
+0 1
+.names 54735 54736
+1 1
+.names 54736 54737
+0 1
+.names 54752 54753 54738
+1- 1
+-1 1
+.names 54738 54739
+1 1
+.names 54739 54740
+0 1
+.names 54745 3312 54741
+1- 1
+-1 1
+.names 54741 54742
+1 1
+.names 53804 54743
+1 1
+.names 54743 54744
+0 1
+.names 15078 54744 54745
+1- 1
+-1 1
+.names 15074 54749 54746
+1- 1
+-1 1
+.names 54746 54747
+1 1
+.names 2413 54748
+1 1
+.names 54748 54749
+0 1
+.names 54742 54750
+0 1
+.names 54747 54751
+0 1
+.names 15074 1060 54752
+11 1
+.names 54716 54753
+0 1
+.names 14886 53948 54754
+1- 1
+-1 1
+.names 54754 54755
+1 1
+.names 54034 54758 54756
+1- 1
+-1 1
+.names 54756 54757
+1 1
+.names 1761 54758
+0 1
+.names 54764 54765 54759
+1- 1
+-1 1
+.names 54759 54760
+1 1
+.names 54775 54776 54761
+11 1
+.names 54761 54762
+1 1
+.names 54762 54763
+0 1
+.names 53893 54763 54764
+11 1
+.names 15043 2413 54765
+11 1
+.names 54770 2413 54766
+1- 1
+-1 1
+.names 54766 54767
+1 1
+.names 54034 54768
+1 1
+.names 54768 54769
+0 1
+.names 15058 54769 54770
+1- 1
+-1 1
+.names 15081 54774 54771
+1- 1
+-1 1
+.names 54771 54772
+1 1
+.names 2413 54773
+1 1
+.names 54773 54774
+0 1
+.names 54767 54775
+0 1
+.names 54772 54776
+0 1
+.names 14886 53914 54777
+1- 1
+-1 1
+.names 54777 54778
+1 1
+.names 54785 54786 54779
+1- 1
+-1 1
+.names 54779 54780
+1 1
+.names 54757 54781
+0 1
+.names 54006 16344 54782
+1- 1
+-1 1
+.names 54782 54783
+1 1
+.names 54783 54784
+0 1
+.names 54781 54784 54785
+1- 1
+-1 1
+.names 54778 54786
+0 1
+.names 54789 54792 54787
+1- 1
+-1 1
+.names 54787 54788
+1 1
+.names 54760 54789
+0 1
+.names 54795 54796 54790
+11 1
+.names 54790 54791
+1 1
+.names 54791 54792
+0 1
+.names 1783 54793
+1 1
+.names 54793 54794
+1 1
+.names 54780 54795
+0 1
+.names 54794 54796
+0 1
+.names 54805 54808 54797
+1- 1
+-1 1
+.names 54797 54798
+1 1
+.names 54812 54813 54799
+1- 1
+-1 1
+.names 54799 54800
+1 1
+.names 54800 54801
+0 1
+.names 2413 54802
+1 1
+.names 54802 54803
+1 1
+.names 54803 54804
+0 1
+.names 54801 54804 54805
+1- 1
+-1 1
+.names 54816 54819 54806
+11 1
+.names 54806 54807
+1 1
+.names 54807 54808
+0 1
+.names 54826 54827 54809
+11 1
+.names 54809 54810
+1 1
+.names 54810 54811
+0 1
+.names 53893 54811 54812
+11 1
+.names 15043 2413 54813
+11 1
+.names 15082 1070 54814
+11 1
+.names 54814 54815
+1 1
+.names 54815 54816
+0 1
+.names 54831 54832 54817
+1- 1
+-1 1
+.names 54817 54818
+1 1
+.names 54818 54819
+0 1
+.names 15055 54823 54820
+1- 1
+-1 1
+.names 54820 54821
+1 1
+.names 2413 54822
+1 1
+.names 54822 54823
+0 1
+.names 54836 3304 54824
+1- 1
+-1 1
+.names 54824 54825
+1 1
+.names 54825 54826
+0 1
+.names 54821 54827
+0 1
+.names 14886 15069 54828
+1- 1
+-1 1
+.names 54828 54829
+1 1
+.names 53845 54830
+1 1
+.names 15073 1762 54831
+11 1
+.names 54829 54832
+0 1
+.names 53959 54833
+1 1
+.names 54833 54834
+0 1
+.names 54830 54835
+0 1
+.names 54834 54835 54836
+1- 1
+-1 1
+.names 54034 54839 54837
+1- 1
+-1 1
+.names 54837 54838
+1 1
+.names 1763 54839
+0 1
+.names 54848 54851 54840
+1- 1
+-1 1
+.names 54840 54841
+1 1
+.names 54855 54856 54842
+1- 1
+-1 1
+.names 54842 54843
+1 1
+.names 54843 54844
+0 1
+.names 2413 54845
+1 1
+.names 54845 54846
+1 1
+.names 54846 54847
+0 1
+.names 54844 54847 54848
+1- 1
+-1 1
+.names 54873 54869 54849
+11 1
+.names 54849 54850
+1 1
+.names 54850 54851
+0 1
+.names 54866 54867 54852
+11 1
+.names 54852 54853
+1 1
+.names 54853 54854
+0 1
+.names 54059 54854 54855
+11 1
+.names 15041 2413 54856
+11 1
+.names 54861 3308 54857
+1- 1
+-1 1
+.names 54857 54858
+1 1
+.names 54034 54859
+1 1
+.names 54859 54860
+0 1
+.names 15078 54860 54861
+1- 1
+-1 1
+.names 15083 54865 54862
+1- 1
+-1 1
+.names 54862 54863
+1 1
+.names 2413 54864
+1 1
+.names 54864 54865
+0 1
+.names 54858 54866
+0 1
+.names 54863 54867
+0 1
+.names 14886 53948 54868
+1- 1
+-1 1
+.names 54868 54869
+1 1
+.names 53874 54872 54870
+1- 1
+-1 1
+.names 54870 54871
+1 1
+.names 1067 54872
+0 1
+.names 54871 54838 54873
+11 1
+.names 53957 54876 54874
+1- 1
+-1 1
+.names 54874 54875
+1 1
+.names 1764 54876
+0 1
+.names 54882 54883 54877
+1- 1
+-1 1
+.names 54877 54878
+1 1
+.names 54890 54891 54879
+11 1
+.names 54879 54880
+1 1
+.names 54880 54881
+0 1
+.names 53932 54881 54882
+11 1
+.names 15079 2413 54883
+11 1
+.names 15082 54887 54884
+1- 1
+-1 1
+.names 54884 54885
+1 1
+.names 2413 54886
+1 1
+.names 54886 54887
+0 1
+.names 54896 54897 54888
+1- 1
+-1 1
+.names 54888 54889
+1 1
+.names 54889 54890
+0 1
+.names 54885 54891
+0 1
+.names 14886 53914 54892
+1- 1
+-1 1
+.names 54892 54893
+1 1
+.names 53957 54894
+1 1
+.names 54894 54895
+0 1
+.names 15058 54895 54896
+1- 1
+-1 1
+.names 782 54897
+0 1
+.names 54904 54905 54898
+1- 1
+-1 1
+.names 54898 54899
+1 1
+.names 54875 54900
+0 1
+.names 53959 16692 54901
+1- 1
+-1 1
+.names 54901 54902
+1 1
+.names 54902 54903
+0 1
+.names 54900 54903 54904
+1- 1
+-1 1
+.names 54893 54905
+0 1
+.names 54908 54911 54906
+1- 1
+-1 1
+.names 54906 54907
+1 1
+.names 54878 54908
+0 1
+.names 54914 54915 54909
+11 1
+.names 54909 54910
+1 1
+.names 54910 54911
+0 1
+.names 1783 54912
+1 1
+.names 54912 54913
+1 1
+.names 54899 54914
+0 1
+.names 54913 54915
+0 1
+.names 54924 54927 54916
+1- 1
+-1 1
+.names 54916 54917
+1 1
+.names 54931 54932 54918
+1- 1
+-1 1
+.names 54918 54919
+1 1
+.names 54919 54920
+0 1
+.names 14886 15069 54921
+1- 1
+-1 1
+.names 54921 54922
+1 1
+.names 54922 54923
+0 1
+.names 54920 54923 54924
+1- 1
+-1 1
+.names 54935 54938 54925
+11 1
+.names 54925 54926
+1 1
+.names 54926 54927
+0 1
+.names 54952 54953 54928
+11 1
+.names 54928 54929
+1 1
+.names 54929 54930
+0 1
+.names 54321 54930 54931
+11 1
+.names 15060 16703 54932
+11 1
+.names 1783 15072 54933
+11 1
+.names 54933 54934
+1 1
+.names 54934 54935
+0 1
+.names 54939 54942 54936
+1- 1
+-1 1
+.names 54936 54937
+1 1
+.names 54937 54938
+0 1
+.names 15052 1765 54939
+11 1
+.names 2413 54940
+1 1
+.names 54940 54941
+1 1
+.names 54941 54942
+0 1
+.names 54947 2413 54943
+1- 1
+-1 1
+.names 54943 54944
+1 1
+.names 2413 54945
+1 1
+.names 54945 54946
+0 1
+.names 15072 54946 54947
+1- 1
+-1 1
+.names 15055 54951 54948
+1- 1
+-1 1
+.names 54948 54949
+1 1
+.names 53957 54950
+1 1
+.names 54950 54951
+0 1
+.names 54944 54952
+0 1
+.names 54949 54953
+0 1
+.names 54962 54965 54954
+1- 1
+-1 1
+.names 54954 54955
+1 1
+.names 54969 54970 54956
+1- 1
+-1 1
+.names 54956 54957
+1 1
+.names 54957 54958
+0 1
+.names 2413 54959
+1 1
+.names 54959 54960
+1 1
+.names 54960 54961
+0 1
+.names 54958 54961 54962
+1- 1
+-1 1
+.names 54989 54983 54963
+11 1
+.names 54963 54964
+1 1
+.names 54964 54965
+0 1
+.names 54980 54981 54966
+11 1
+.names 54966 54967
+1 1
+.names 54967 54968
+0 1
+.names 53932 54968 54969
+11 1
+.names 15079 2413 54970
+11 1
+.names 54975 2413 54971
+1- 1
+-1 1
+.names 54971 54972
+1 1
+.names 53845 54973
+1 1
+.names 54973 54974
+0 1
+.names 15078 54974 54975
+1- 1
+-1 1
+.names 15083 54979 54976
+1- 1
+-1 1
+.names 54976 54977
+1 1
+.names 2413 54978
+1 1
+.names 54978 54979
+0 1
+.names 54972 54980
+0 1
+.names 54977 54981
+0 1
+.names 14886 53948 54982
+1- 1
+-1 1
+.names 54982 54983
+1 1
+.names 53845 54986 54984
+1- 1
+-1 1
+.names 54984 54985
+1 1
+.names 1766 54986
+0 1
+.names 53874 16591 54987
+1- 1
+-1 1
+.names 54987 54988
+1 1
+.names 54988 54985 54989
+11 1
+.names 53804 54992 54990
+1- 1
+-1 1
+.names 54990 54991
+1 1
+.names 1767 54992
+0 1
+.names 14886 15069 54993
+1- 1
+-1 1
+.names 54993 54994
+1 1
+.names 54999 3382 54995
+1- 1
+-1 1
+.names 54995 54996
+1 1
+.names 53804 54997
+1 1
+.names 54997 54998
+0 1
+.names 15055 54998 54999
+1- 1
+-1 1
+.names 15083 55003 55000
+1- 1
+-1 1
+.names 55000 55001
+1 1
+.names 2413 55002
+1 1
+.names 55002 55003
+0 1
+.names 55009 55010 55004
+1- 1
+-1 1
+.names 55004 55005
+1 1
+.names 55011 55012 55006
+11 1
+.names 55006 55007
+1 1
+.names 55007 55008
+0 1
+.names 53932 55008 55009
+11 1
+.names 15079 14886 55010
+11 1
+.names 54996 55011
+0 1
+.names 55001 55012
+0 1
+.names 55019 55020 55013
+1- 1
+-1 1
+.names 55013 55014
+1 1
+.names 53874 55031 55015
+1- 1
+-1 1
+.names 55015 55016
+1 1
+.names 55016 55017
+0 1
+.names 54991 55018
+0 1
+.names 55017 55018 55019
+1- 1
+-1 1
+.names 54994 55020
+0 1
+.names 55023 55026 55021
+11 1
+.names 55021 55022
+1 1
+.names 55014 55023
+0 1
+.names 1783 55024
+1 1
+.names 55024 55025
+1 1
+.names 55025 55026
+0 1
+.names 55029 55030 55027
+1- 1
+-1 1
+.names 55027 55028
+1 1
+.names 55005 55029
+0 1
+.names 55022 55030
+0 1
+.names 1063 55031
+0 1
+.names 55040 55043 55032
+1- 1
+-1 1
+.names 55032 55033
+1 1
+.names 55047 55048 55034
+1- 1
+-1 1
+.names 55034 55035
+1 1
+.names 55035 55036
+0 1
+.names 2413 55037
+1 1
+.names 55037 55038
+1 1
+.names 55038 55039
+0 1
+.names 55036 55039 55040
+1- 1
+-1 1
+.names 55051 55054 55041
+11 1
+.names 55041 55042
+1 1
+.names 55042 55043
+0 1
+.names 55061 55062 55044
+11 1
+.names 55044 55045
+1 1
+.names 55045 55046
+0 1
+.names 53932 55046 55047
+11 1
+.names 15079 2413 55048
+11 1
+.names 15081 1062 55049
+11 1
+.names 55049 55050
+1 1
+.names 55050 55051
+0 1
+.names 55068 55069 55052
+1- 1
+-1 1
+.names 55052 55053
+1 1
+.names 55053 55054
+0 1
+.names 15078 55058 55055
+1- 1
+-1 1
+.names 55055 55056
+1 1
+.names 2413 55057
+1 1
+.names 55057 55058
+0 1
+.names 55067 3316 55059
+1- 1
+-1 1
+.names 55059 55060
+1 1
+.names 55060 55061
+0 1
+.names 55056 55062
+0 1
+.names 14886 53948 55063
+1- 1
+-1 1
+.names 55063 55064
+1 1
+.names 53845 55065
+1 1
+.names 55065 55066
+0 1
+.names 15081 55066 55067
+1- 1
+-1 1
+.names 15073 1768 55068
+11 1
+.names 55064 55069
+0 1
+.names 55072 55073 55070
+1- 1
+-1 1
+.names 55070 55071
+1 1
+.names 15095 55072
+0 1
+.names 53810 55073
+0 1
+.names 55076 55077 55074
+1- 1
+-1 1
+.names 55074 55075
+1 1
+.names 53241 55076
+0 1
+.names 15116 55077
+0 1
+.names 55089 55090 55078
+1- 1
+-1 1
+.names 55078 55079
+1 1
+.names 55079 55080
+0 1
+.names 55075 55081
+0 1
+.names 55080 55081 55082
+1- 1
+-1 1
+.names 55093 55095 55083
+11 1
+.names 55083 55084
+1 1
+.names 55084 55085
+0 1
+.names 55106 55107 55086
+11 1
+.names 55086 55087
+1 1
+.names 55087 55088
+0 1
+.names 2413 55088 55089
+11 1
+.names 1783 2413 55090
+11 1
+.names 15105 55108 55091
+1- 1
+-1 1
+.names 55091 55092
+1 1
+.names 55092 55071 55093
+11 1
+.names 15113 55109 55094
+1- 1
+-1 1
+.names 55094 55095
+1 1
+.names 55100 55101 55096
+1- 1
+-1 1
+.names 55096 55097
+1 1
+.names 55072 55098
+1 1
+.names 55098 55099
+0 1
+.names 15116 55099 55100
+1- 1
+-1 1
+.names 51524 55101
+0 1
+.names 15118 55105 55102
+1- 1
+-1 1
+.names 55102 55103
+1 1
+.names 15113 55104
+1 1
+.names 55104 55105
+0 1
+.names 55097 55106
+0 1
+.names 55103 55107
+0 1
+.names 52100 55108
+0 1
+.names 52668 55109
+0 1
+.names 55112 55077 55110
+1- 1
+-1 1
+.names 55110 55111
+1 1
+.names 53227 55112
+0 1
+.names 55119 55121 55113
+1- 1
+-1 1
+.names 55113 55114
+1 1
+.names 15117 55115
+0 1
+.names 55132 55133 55116
+11 1
+.names 55116 55117
+1 1
+.names 55117 55118
+0 1
+.names 55115 55118 55119
+11 1
+.names 53864 55120
+0 1
+.names 15117 55120 55121
+11 1
+.names 55126 55127 55122
+1- 1
+-1 1
+.names 55122 55123
+1 1
+.names 15113 55124
+1 1
+.names 55124 55125
+0 1
+.names 15127 55125 55126
+1- 1
+-1 1
+.names 51510 55127
+0 1
+.names 15116 55131 55128
+1- 1
+-1 1
+.names 55128 55129
+1 1
+.names 2413 55130
+1 1
+.names 55130 55131
+0 1
+.names 55123 55132
+0 1
+.names 55129 55133
+0 1
+.names 55150 55151 55134
+1- 1
+-1 1
+.names 55134 55135
+1 1
+.names 55114 55136
+0 1
+.names 15113 55139 55137
+1- 1
+-1 1
+.names 55137 55138
+1 1
+.names 52654 55139
+0 1
+.names 2413 55140
+1 1
+.names 55140 55141
+1 1
+.names 55144 55145 55142
+1- 1
+-1 1
+.names 55142 55143
+1 1
+.names 52086 55144
+0 1
+.names 15127 55145
+0 1
+.names 55141 55146
+0 1
+.names 55143 55147
+0 1
+.names 55146 55147 55148
+1- 1
+-1 1
+.names 55138 55149
+0 1
+.names 55148 55149 55150
+1- 1
+-1 1
+.names 55111 55151
+0 1
+.names 55166 55168 55152
+1- 1
+-1 1
+.names 55152 55153
+1 1
+.names 55153 55154
+0 1
+.names 2413 55155
+1 1
+.names 55155 55156
+1 1
+.names 55156 55157
+0 1
+.names 55154 55157 55158
+1- 1
+-1 1
+.names 55173 55175 55159
+11 1
+.names 55159 55160
+1 1
+.names 55160 55161
+0 1
+.names 15087 55162
+0 1
+.names 55182 55183 55163
+11 1
+.names 55163 55164
+1 1
+.names 55164 55165
+0 1
+.names 55162 55165 55166
+11 1
+.names 53207 55167
+0 1
+.names 15087 55167 55168
+11 1
+.names 55115 55192 55169
+1- 1
+-1 1
+.names 55169 55170
+1 1
+.names 15105 55193 55171
+1- 1
+-1 1
+.names 55171 55172
+1 1
+.names 55170 55172 55173
+11 1
+.names 55184 55185 55174
+1- 1
+-1 1
+.names 55174 55175
+1 1
+.names 15118 55179 55176
+1- 1
+-1 1
+.names 55176 55177
+1 1
+.names 2413 55178
+1 1
+.names 55178 55179
+0 1
+.names 55190 55191 55180
+1- 1
+-1 1
+.names 55180 55181
+1 1
+.names 55181 55182
+0 1
+.names 55177 55183
+0 1
+.names 52636 55184
+0 1
+.names 15102 55185
+0 1
+.names 55185 55186
+1 1
+.names 55186 55187
+0 1
+.names 55115 55188
+1 1
+.names 55188 55189
+0 1
+.names 55187 55189 55190
+1- 1
+-1 1
+.names 51492 55191
+0 1
+.names 53882 55192
+0 1
+.names 52068 55193
+0 1
+.names 55208 55210 55194
+1- 1
+-1 1
+.names 55194 55195
+1 1
+.names 55195 55196
+0 1
+.names 2413 55197
+1 1
+.names 55197 55198
+1 1
+.names 55198 55199
+0 1
+.names 55196 55199 55200
+1- 1
+-1 1
+.names 55229 55230 55201
+11 1
+.names 55201 55202
+1 1
+.names 55202 55203
+0 1
+.names 15123 55204
+0 1
+.names 55217 55218 55205
+11 1
+.names 55205 55206
+1 1
+.names 55206 55207
+0 1
+.names 55204 55207 55208
+11 1
+.names 53276 55209
+0 1
+.names 15123 55209 55210
+11 1
+.names 15122 55214 55211
+1- 1
+-1 1
+.names 55211 55212
+1 1
+.names 2413 55213
+1 1
+.names 55213 55214
+0 1
+.names 55237 55238 55215
+1- 1
+-1 1
+.names 55215 55216
+1 1
+.names 55216 55217
+0 1
+.names 55212 55218
+0 1
+.names 55221 55222 55219
+1- 1
+-1 1
+.names 55219 55220
+1 1
+.names 52700 55221
+0 1
+.names 15122 55222
+0 1
+.names 55225 55226 55223
+1- 1
+-1 1
+.names 55223 55224
+1 1
+.names 53921 15096 55225
+11 1
+.names 55220 55226
+0 1
+.names 15126 52132 55227
+11 1
+.names 55227 55228
+1 1
+.names 55228 55229
+0 1
+.names 55224 55230
+0 1
+.names 15096 55231
+0 1
+.names 55231 55232
+1 1
+.names 15126 55233
+0 1
+.names 55233 55234
+1 1
+.names 55234 55235
+0 1
+.names 55232 55236
+0 1
+.names 55235 55236 55237
+1- 1
+-1 1
+.names 51557 55238
+0 1
+.names 55231 55241 55239
+1- 1
+-1 1
+.names 55239 55240
+1 1
+.names 54003 55241
+0 1
+.names 55244 15113 55242
+1- 1
+-1 1
+.names 55242 55243
+1 1
+.names 52719 55244
+0 1
+.names 15125 55248 55245
+1- 1
+-1 1
+.names 55245 55246
+1 1
+.names 2413 55247
+1 1
+.names 55247 55248
+0 1
+.names 55254 55256 55249
+1- 1
+-1 1
+.names 55249 55250
+1 1
+.names 55259 55260 55251
+11 1
+.names 55251 55252
+1 1
+.names 55252 55253
+0 1
+.names 55204 55253 55254
+11 1
+.names 53297 55255
+0 1
+.names 15123 55255 55256
+11 1
+.names 55263 55264 55257
+1- 1
+-1 1
+.names 55257 55258
+1 1
+.names 55258 55259
+0 1
+.names 55246 55260
+0 1
+.names 55231 55261
+1 1
+.names 55261 55262
+0 1
+.names 15099 55262 55263
+1- 1
+-1 1
+.names 51577 55264
+0 1
+.names 55271 55272 55265
+1- 1
+-1 1
+.names 55265 55266
+1 1
+.names 55240 55267
+0 1
+.names 55281 55282 55268
+1- 1
+-1 1
+.names 55268 55269
+1 1
+.names 55269 55270
+0 1
+.names 55267 55270 55271
+1- 1
+-1 1
+.names 55243 55272
+0 1
+.names 55275 55278 55273
+11 1
+.names 55273 55274
+1 1
+.names 55266 55275
+0 1
+.names 1783 55276
+1 1
+.names 55276 55277
+1 1
+.names 55277 55278
+0 1
+.names 55250 55279
+0 1
+.names 55274 55280
+0 1
+.names 15125 55281
+0 1
+.names 52151 55282
+0 1
+.names 55297 55299 55283
+1- 1
+-1 1
+.names 55283 55284
+1 1
+.names 55284 55285
+0 1
+.names 2413 55286
+1 1
+.names 55286 55287
+1 1
+.names 55287 55288
+0 1
+.names 55285 55288 55289
+1- 1
+-1 1
+.names 55322 55317 55290
+11 1
+.names 55290 55291
+1 1
+.names 55291 55292
+0 1
+.names 15112 55293
+0 1
+.names 55311 55312 55294
+11 1
+.names 55294 55295
+1 1
+.names 55295 55296
+0 1
+.names 55293 55296 55297
+11 1
+.names 52738 55298
+0 1
+.names 15112 55298 55299
+11 1
+.names 15116 55302 55300
+1- 1
+-1 1
+.names 55300 55301
+1 1
+.names 51596 55302
+0 1
+.names 55307 55309 55303
+1- 1
+-1 1
+.names 55303 55304
+1 1
+.names 55310 2413 55305
+11 1
+.names 55305 55306
+1 1
+.names 55306 55307
+0 1
+.names 55145 55308
+1 1
+.names 55308 55309
+0 1
+.names 15097 55310
+0 1
+.names 55304 55311
+0 1
+.names 55301 55312
+0 1
+.names 55310 55315 55313
+1- 1
+-1 1
+.names 55313 55314
+1 1
+.names 54008 55315
+0 1
+.names 55318 55077 55316
+1- 1
+-1 1
+.names 55316 55317
+1 1
+.names 53318 55318
+0 1
+.names 55145 55321 55319
+1- 1
+-1 1
+.names 55319 55320
+1 1
+.names 52170 55321
+0 1
+.names 55320 55314 55322
+11 1
+.names 55337 55339 55323
+1- 1
+-1 1
+.names 55323 55324
+1 1
+.names 55324 55325
+0 1
+.names 2413 55326
+1 1
+.names 55326 55327
+1 1
+.names 55327 55328
+0 1
+.names 55325 55328 55329
+1- 1
+-1 1
+.names 55360 55361 55330
+11 1
+.names 55330 55331
+1 1
+.names 55331 55332
+0 1
+.names 15085 55333
+0 1
+.names 55356 55357 55334
+11 1
+.names 55334 55335
+1 1
+.names 55335 55336
+0 1
+.names 55333 55336 55337
+11 1
+.names 53325 55338
+0 1
+.names 15085 55338 55339
+11 1
+.names 55342 55345 55340
+1- 1
+-1 1
+.names 55340 55341
+1 1
+.names 15095 54048 55342
+11 1
+.names 55362 55233 55343
+1- 1
+-1 1
+.names 55343 55344
+1 1
+.names 55344 55345
+0 1
+.names 55350 55351 55346
+1- 1
+-1 1
+.names 55346 55347
+1 1
+.names 55072 55348
+1 1
+.names 55348 55349
+0 1
+.names 15121 55349 55350
+1- 1
+-1 1
+.names 51603 55351
+0 1
+.names 15126 55355 55352
+1- 1
+-1 1
+.names 55352 55353
+1 1
+.names 2413 55354
+1 1
+.names 55354 55355
+0 1
+.names 55347 55356
+0 1
+.names 55353 55357
+0 1
+.names 52745 15121 55358
+11 1
+.names 55358 55359
+1 1
+.names 55359 55360
+0 1
+.names 55341 55361
+0 1
+.names 52177 55362
+0 1
+.names 55376 55378 55363
+1- 1
+-1 1
+.names 55363 55364
+1 1
+.names 55364 55365
+0 1
+.names 2413 55366
+1 1
+.names 55366 55367
+1 1
+.names 55367 55368
+0 1
+.names 55365 55368 55369
+1- 1
+-1 1
+.names 55383 55385 55370
+11 1
+.names 55370 55371
+1 1
+.names 55371 55372
+0 1
+.names 55396 55397 55373
+11 1
+.names 55373 55374
+1 1
+.names 55374 55375
+0 1
+.names 55204 55375 55376
+11 1
+.names 53349 55377
+0 1
+.names 15123 55377 55378
+11 1
+.names 55115 55400 55379
+1- 1
+-1 1
+.names 55379 55380
+1 1
+.names 15105 55401 55381
+1- 1
+-1 1
+.names 55381 55382
+1 1
+.names 55380 55382 55383
+11 1
+.names 55398 55399 55384
+1- 1
+-1 1
+.names 55384 55385
+1 1
+.names 55390 55391 55386
+1- 1
+-1 1
+.names 55386 55387
+1 1
+.names 55115 55388
+1 1
+.names 55388 55389
+0 1
+.names 15121 55389 55390
+1- 1
+-1 1
+.names 51625 55391
+0 1
+.names 15118 55395 55392
+1- 1
+-1 1
+.names 55392 55393
+1 1
+.names 2413 55394
+1 1
+.names 55394 55395
+0 1
+.names 55393 55396
+0 1
+.names 55387 55397
+0 1
+.names 52768 55398
+0 1
+.names 15121 55399
+0 1
+.names 54088 55400
+0 1
+.names 52199 55401
+0 1
+.names 55407 55409 55402
+1- 1
+-1 1
+.names 55402 55403
+1 1
+.names 55416 55417 55404
+11 1
+.names 55404 55405
+1 1
+.names 55405 55406
+0 1
+.names 55204 55406 55407
+11 1
+.names 53363 55408
+0 1
+.names 15123 55408 55409
+11 1
+.names 1783 55413 55410
+1- 1
+-1 1
+.names 55410 55411
+1 1
+.names 55233 55412
+1 1
+.names 55412 55413
+0 1
+.names 55423 55424 55414
+1- 1
+-1 1
+.names 55414 55415
+1 1
+.names 55415 55416
+0 1
+.names 55411 55417
+0 1
+.names 55420 55185 55418
+1- 1
+-1 1
+.names 55418 55419
+1 1
+.names 52781 55420
+0 1
+.names 55115 55421
+1 1
+.names 55421 55422
+0 1
+.names 15102 55422 55423
+1- 1
+-1 1
+.names 51638 55424
+0 1
+.names 55433 55434 55425
+1- 1
+-1 1
+.names 55425 55426
+1 1
+.names 55115 55443 55427
+1- 1
+-1 1
+.names 55427 55428
+1 1
+.names 55428 55429
+0 1
+.names 55233 55444 55430
+1- 1
+-1 1
+.names 55430 55431
+1 1
+.names 55431 55432
+0 1
+.names 55429 55432 55433
+1- 1
+-1 1
+.names 55419 55434
+0 1
+.names 55403 55435
+0 1
+.names 55441 55442 55436
+11 1
+.names 55436 55437
+1 1
+.names 55437 55438
+0 1
+.names 1783 55439
+1 1
+.names 55439 55440
+1 1
+.names 55426 55441
+0 1
+.names 55440 55442
+0 1
+.names 54156 55443
+0 1
+.names 52212 55444
+0 1
+.names 55458 55460 55445
+1- 1
+-1 1
+.names 55445 55446
+1 1
+.names 55446 55447
+0 1
+.names 2413 55448
+1 1
+.names 55448 55449
+1 1
+.names 55449 55450
+0 1
+.names 55447 55450 55451
+1- 1
+-1 1
+.names 55482 55474 55452
+11 1
+.names 55452 55453
+1 1
+.names 55453 55454
+0 1
+.names 55471 55472 55455
+11 1
+.names 55455 55456
+1 1
+.names 55456 55457
+0 1
+.names 55204 55457 55458
+11 1
+.names 53396 55459
+0 1
+.names 15123 55459 55460
+11 1
+.names 55465 55466 55461
+1- 1
+-1 1
+.names 55461 55462
+1 1
+.names 55072 55463
+1 1
+.names 55463 55464
+0 1
+.names 15121 55464 55465
+1- 1
+-1 1
+.names 51670 55466
+0 1
+.names 15127 55470 55467
+1- 1
+-1 1
+.names 55467 55468
+1 1
+.names 2413 55469
+1 1
+.names 55469 55470
+0 1
+.names 55462 55471
+0 1
+.names 55468 55472
+0 1
+.names 55475 55399 55473
+1- 1
+-1 1
+.names 55473 55474
+1 1
+.names 52811 55475
+0 1
+.names 55072 55478 55476
+1- 1
+-1 1
+.names 55476 55477
+1 1
+.names 54168 55478
+0 1
+.names 55145 55481 55479
+1- 1
+-1 1
+.names 55479 55480
+1 1
+.names 52242 55481
+0 1
+.names 55480 55477 55482
+11 1
+.names 55496 55498 55483
+1- 1
+-1 1
+.names 55483 55484
+1 1
+.names 55484 55485
+0 1
+.names 2413 55486
+1 1
+.names 55486 55487
+1 1
+.names 55487 55488
+0 1
+.names 55485 55488 55489
+1- 1
+-1 1
+.names 55503 55505 55490
+11 1
+.names 55490 55491
+1 1
+.names 55491 55492
+0 1
+.names 55516 55517 55493
+11 1
+.names 55493 55494
+1 1
+.names 55494 55495
+0 1
+.names 55333 55495 55496
+11 1
+.names 53409 55497
+0 1
+.names 15085 55497 55498
+11 1
+.names 55072 55520 55499
+1- 1
+-1 1
+.names 55499 55500
+1 1
+.names 15105 55519 55501
+1- 1
+-1 1
+.names 55501 55502
+1 1
+.names 55500 55502 55503
+11 1
+.names 55518 15113 55504
+1- 1
+-1 1
+.names 55504 55505
+1 1
+.names 15099 55508 55506
+1- 1
+-1 1
+.names 55506 55507
+1 1
+.names 51683 55508
+0 1
+.names 55513 55515 55509
+1- 1
+-1 1
+.names 55509 55510
+1 1
+.names 55072 2413 55511
+11 1
+.names 55511 55512
+1 1
+.names 55512 55513
+0 1
+.names 15105 55514
+1 1
+.names 55514 55515
+0 1
+.names 55510 55516
+0 1
+.names 55507 55517
+0 1
+.names 52823 55518
+0 1
+.names 52255 55519
+0 1
+.names 54205 55520
+0 1
+.names 55523 55072 55521
+1- 1
+-1 1
+.names 55521 55522
+1 1
+.names 54245 55523
+0 1
+.names 55537 55539 55524
+1- 1
+-1 1
+.names 55524 55525
+1 1
+.names 55525 55526
+0 1
+.names 2413 55527
+1 1
+.names 55527 55528
+1 1
+.names 55528 55529
+0 1
+.names 55526 55529 55530
+1- 1
+-1 1
+.names 55558 55559 55531
+11 1
+.names 55531 55532
+1 1
+.names 55532 55533
+0 1
+.names 55554 55555 55534
+11 1
+.names 55534 55535
+1 1
+.names 55535 55536
+0 1
+.names 55333 55536 55537
+11 1
+.names 53421 55538
+0 1
+.names 15085 55538 55539
+11 1
+.names 55542 55543 55540
+1- 1
+-1 1
+.names 55540 55541
+1 1
+.names 15126 52267 55542
+11 1
+.names 55522 55543
+0 1
+.names 55548 55549 55544
+1- 1
+-1 1
+.names 55544 55545
+1 1
+.names 55072 55546
+1 1
+.names 55546 55547
+0 1
+.names 15121 55547 55548
+1- 1
+-1 1
+.names 51695 55549
+0 1
+.names 15126 55553 55550
+1- 1
+-1 1
+.names 55550 55551
+1 1
+.names 2413 55552
+1 1
+.names 55552 55553
+0 1
+.names 55545 55554
+0 1
+.names 55551 55555
+0 1
+.names 52835 15121 55556
+11 1
+.names 55556 55557
+1 1
+.names 55557 55558
+0 1
+.names 55541 55559
+0 1
+.names 55573 55575 55560
+1- 1
+-1 1
+.names 55560 55561
+1 1
+.names 55561 55562
+0 1
+.names 2413 55563
+1 1
+.names 55563 55564
+1 1
+.names 55564 55565
+0 1
+.names 55562 55565 55566
+1- 1
+-1 1
+.names 55597 55598 55567
+11 1
+.names 55567 55568
+1 1
+.names 55568 55569
+0 1
+.names 55586 55587 55570
+11 1
+.names 55570 55571
+1 1
+.names 55571 55572
+0 1
+.names 55162 55572 55573
+11 1
+.names 53447 55574
+0 1
+.names 15087 55574 55575
+11 1
+.names 55580 55581 55576
+1- 1
+-1 1
+.names 55576 55577
+1 1
+.names 55231 55578
+1 1
+.names 55578 55579
+0 1
+.names 15125 55579 55580
+1- 1
+-1 1
+.names 51719 55581
+0 1
+.names 15099 55585 55582
+1- 1
+-1 1
+.names 55582 55583
+1 1
+.names 2413 55584
+1 1
+.names 55584 55585
+0 1
+.names 55577 55586
+0 1
+.names 55583 55587
+0 1
+.names 55590 15113 55588
+1- 1
+-1 1
+.names 55588 55589
+1 1
+.names 52858 55590
+0 1
+.names 55593 55594 55591
+1- 1
+-1 1
+.names 55591 55592
+1 1
+.names 15096 54281 55593
+11 1
+.names 55589 55594
+0 1
+.names 15125 52290 55595
+11 1
+.names 55595 55596
+1 1
+.names 55596 55597
+0 1
+.names 55592 55598
+0 1
+.names 55605 55607 55599
+1- 1
+-1 1
+.names 55599 55600
+1 1
+.names 15104 55601
+0 1
+.names 55618 55619 55602
+11 1
+.names 55602 55603
+1 1
+.names 55603 55604
+0 1
+.names 55601 55604 55605
+11 1
+.names 52366 55606
+0 1
+.names 15104 55606 55607
+11 1
+.names 15116 55610 55608
+1- 1
+-1 1
+.names 55608 55609
+1 1
+.names 51796 55610
+0 1
+.names 55615 55617 55611
+1- 1
+-1 1
+.names 55611 55612
+1 1
+.names 55231 2413 55613
+11 1
+.names 55613 55614
+1 1
+.names 55614 55615
+0 1
+.names 15113 55616
+1 1
+.names 55616 55617
+0 1
+.names 55612 55618
+0 1
+.names 55609 55619
+0 1
+.names 55634 55637 55620
+1- 1
+-1 1
+.names 55620 55621
+1 1
+.names 55600 55622
+0 1
+.names 55625 15113 55623
+1- 1
+-1 1
+.names 55623 55624
+1 1
+.names 52934 55625
+0 1
+.names 55624 55626
+0 1
+.names 55638 55077 55627
+1- 1
+-1 1
+.names 55627 55628
+1 1
+.names 55628 55629
+0 1
+.names 55626 55629 55630
+1- 1
+-1 1
+.names 55639 55231 55631
+1- 1
+-1 1
+.names 55631 55632
+1 1
+.names 55632 55633
+0 1
+.names 55630 55633 55634
+1- 1
+-1 1
+.names 2413 55635
+1 1
+.names 55635 55636
+1 1
+.names 55636 55637
+0 1
+.names 53528 55638
+0 1
+.names 54340 55639
+0 1
+.names 55645 55647 55640
+1- 1
+-1 1
+.names 55640 55641
+1 1
+.names 55658 55659 55642
+11 1
+.names 55642 55643
+1 1
+.names 55643 55644
+0 1
+.names 55333 55644 55645
+11 1
+.names 53495 55646
+0 1
+.names 15085 55646 55647
+11 1
+.names 55652 55653 55648
+1- 1
+-1 1
+.names 55648 55649
+1 1
+.names 55231 55650
+1 1
+.names 55650 55651
+0 1
+.names 15102 55651 55652
+1- 1
+-1 1
+.names 51765 55653
+0 1
+.names 15118 55657 55654
+1- 1
+-1 1
+.names 55654 55655
+1 1
+.names 2413 55656
+1 1
+.names 55656 55657
+0 1
+.names 55649 55658
+0 1
+.names 55655 55659
+0 1
+.names 15105 55662 55660
+1- 1
+-1 1
+.names 55660 55661
+1 1
+.names 52336 55662
+0 1
+.names 55665 55185 55663
+1- 1
+-1 1
+.names 55663 55664
+1 1
+.names 52904 55665
+0 1
+.names 55672 55673 55666
+1- 1
+-1 1
+.names 55666 55667
+1 1
+.names 55661 55668
+0 1
+.names 55231 55682 55669
+1- 1
+-1 1
+.names 55669 55670
+1 1
+.names 55670 55671
+0 1
+.names 55668 55671 55672
+1- 1
+-1 1
+.names 55664 55673
+0 1
+.names 55641 55674
+0 1
+.names 55678 55681 55675
+11 1
+.names 55675 55676
+1 1
+.names 55676 55677
+0 1
+.names 55667 55678
+0 1
+.names 1783 55679
+1 1
+.names 55679 55680
+1 1
+.names 55680 55681
+0 1
+.names 54390 55682
+0 1
+.names 55696 55698 55683
+1- 1
+-1 1
+.names 55683 55684
+1 1
+.names 55684 55685
+0 1
+.names 2413 55686
+1 1
+.names 55686 55687
+1 1
+.names 55687 55688
+0 1
+.names 55685 55688 55689
+1- 1
+-1 1
+.names 55701 55704 55690
+11 1
+.names 55690 55691
+1 1
+.names 55691 55692
+0 1
+.names 55711 55712 55693
+11 1
+.names 55693 55694
+1 1
+.names 55694 55695
+0 1
+.names 55333 55695 55696
+11 1
+.names 53465 55697
+0 1
+.names 15085 55697 55698
+11 1
+.names 55717 52307 55699
+11 1
+.names 55699 55700
+1 1
+.names 55700 55701
+0 1
+.names 55719 55720 55702
+1- 1
+-1 1
+.names 55702 55703
+1 1
+.names 55703 55704
+0 1
+.names 15099 55708 55705
+1- 1
+-1 1
+.names 55705 55706
+1 1
+.names 2413 55707
+1 1
+.names 55707 55708
+0 1
+.names 55723 55724 55709
+1- 1
+-1 1
+.names 55709 55710
+1 1
+.names 55710 55711
+0 1
+.names 55706 55712
+0 1
+.names 55715 15113 55713
+1- 1
+-1 1
+.names 55713 55714
+1 1
+.names 52875 55715
+0 1
+.names 55721 55716
+0 1
+.names 55716 55717
+1 1
+.names 55310 55718
+1 1
+.names 15097 54401 55719
+11 1
+.names 55714 55720
+0 1
+.names 55145 55721
+1 1
+.names 55718 55722
+0 1
+.names 55716 55722 55723
+1- 1
+-1 1
+.names 51736 55724
+0 1
+.names 55727 55115 55725
+1- 1
+-1 1
+.names 55725 55726
+1 1
+.names 54445 55727
+0 1
+.names 55741 55743 55728
+1- 1
+-1 1
+.names 55728 55729
+1 1
+.names 55729 55730
+0 1
+.names 2413 55731
+1 1
+.names 55731 55732
+1 1
+.names 55732 55733
+0 1
+.names 55730 55733 55734
+1- 1
+-1 1
+.names 55746 55749 55735
+11 1
+.names 55735 55736
+1 1
+.names 55736 55737
+0 1
+.names 55754 55757 55738
+11 1
+.names 55738 55739
+1 1
+.names 55739 55740
+0 1
+.names 55162 55740 55741
+11 1
+.names 53482 55742
+0 1
+.names 15087 55742 55743
+11 1
+.names 55759 55744
+0 1
+.names 55744 55745
+1 1
+.names 55745 55746
+0 1
+.names 55767 55768 55747
+1- 1
+-1 1
+.names 55747 55748
+1 1
+.names 55748 55749
+0 1
+.names 15126 55753 55750
+1- 1
+-1 1
+.names 55750 55751
+1 1
+.names 2413 55752
+1 1
+.names 55752 55753
+0 1
+.names 55751 55754
+0 1
+.names 55765 55766 55755
+1- 1
+-1 1
+.names 55755 55756
+1 1
+.names 55756 55757
+0 1
+.names 55760 55185 55758
+1- 1
+-1 1
+.names 55758 55759
+1 1
+.names 52892 55760
+0 1
+.names 55185 55761
+1 1
+.names 55761 55762
+0 1
+.names 55115 55763
+1 1
+.names 55763 55764
+0 1
+.names 55762 55764 55765
+1- 1
+-1 1
+.names 51753 55766
+0 1
+.names 15126 52324 55767
+11 1
+.names 55726 55768
+0 1
+.names 55231 55771 55769
+1- 1
+-1 1
+.names 55769 55770
+1 1
+.names 54516 55771
+0 1
+.names 55777 55779 55772
+1- 1
+-1 1
+.names 55772 55773
+1 1
+.names 55790 55791 55774
+11 1
+.names 55774 55775
+1 1
+.names 55775 55776
+0 1
+.names 55204 55776 55777
+11 1
+.names 53541 55778
+0 1
+.names 15123 55778 55779
+11 1
+.names 55784 55785 55780
+1- 1
+-1 1
+.names 55780 55781
+1 1
+.names 55231 55782
+1 1
+.names 55782 55783
+0 1
+.names 15102 55783 55784
+1- 1
+-1 1
+.names 51808 55785
+0 1
+.names 15118 55789 55786
+1- 1
+-1 1
+.names 55786 55787
+1 1
+.names 2413 55788
+1 1
+.names 55788 55789
+0 1
+.names 55781 55790
+0 1
+.names 55787 55791
+0 1
+.names 55794 55185 55792
+1- 1
+-1 1
+.names 55792 55793
+1 1
+.names 52946 55794
+0 1
+.names 55801 55802 55795
+1- 1
+-1 1
+.names 55795 55796
+1 1
+.names 55770 55797
+0 1
+.names 15105 55811 55798
+1- 1
+-1 1
+.names 55798 55799
+1 1
+.names 55799 55800
+0 1
+.names 55797 55800 55801
+1- 1
+-1 1
+.names 55793 55802
+0 1
+.names 55773 55803
+0 1
+.names 55809 55810 55804
+11 1
+.names 55804 55805
+1 1
+.names 55805 55806
+0 1
+.names 1783 55807
+1 1
+.names 55807 55808
+1 1
+.names 55796 55809
+0 1
+.names 55808 55810
+0 1
+.names 52378 55811
+0 1
+.names 55825 55827 55812
+1- 1
+-1 1
+.names 55812 55813
+1 1
+.names 55813 55814
+0 1
+.names 2413 55815
+1 1
+.names 55815 55816
+1 1
+.names 55816 55817
+0 1
+.names 55814 55817 55818
+1- 1
+-1 1
+.names 55849 55841 55819
+11 1
+.names 55819 55820
+1 1
+.names 55820 55821
+0 1
+.names 55838 55839 55822
+11 1
+.names 55822 55823
+1 1
+.names 55823 55824
+0 1
+.names 55333 55824 55825
+11 1
+.names 53558 55826
+0 1
+.names 15085 55826 55827
+11 1
+.names 55832 55833 55828
+1- 1
+-1 1
+.names 55828 55829
+1 1
+.names 55310 55830
+1 1
+.names 55830 55831
+0 1
+.names 15122 55831 55832
+1- 1
+-1 1
+.names 51825 55833
+0 1
+.names 15127 55837 55834
+1- 1
+-1 1
+.names 55834 55835
+1 1
+.names 2413 55836
+1 1
+.names 55836 55837
+0 1
+.names 55829 55838
+0 1
+.names 55835 55839
+0 1
+.names 55842 55222 55840
+1- 1
+-1 1
+.names 55840 55841
+1 1
+.names 52963 55842
+0 1
+.names 55310 55845 55843
+1- 1
+-1 1
+.names 55843 55844
+1 1
+.names 54527 55845
+0 1
+.names 55145 55848 55846
+1- 1
+-1 1
+.names 55846 55847
+1 1
+.names 52395 55848
+0 1
+.names 55847 55844 55849
+11 1
+.names 55310 55852 55850
+1- 1
+-1 1
+.names 55850 55851
+1 1
+.names 54567 55852
+0 1
+.names 55866 55868 55853
+1- 1
+-1 1
+.names 55853 55854
+1 1
+.names 55854 55855
+0 1
+.names 2413 55856
+1 1
+.names 55856 55857
+1 1
+.names 55857 55858
+0 1
+.names 55855 55858 55859
+1- 1
+-1 1
+.names 55871 55873 55860
+11 1
+.names 55860 55861
+1 1
+.names 55861 55862
+0 1
+.names 55884 55885 55863
+11 1
+.names 55863 55864
+1 1
+.names 55864 55865
+0 1
+.names 55162 55865 55866
+11 1
+.names 53577 55867
+0 1
+.names 15087 55867 55868
+11 1
+.names 55281 55887 55869
+1- 1
+-1 1
+.names 55869 55870
+1 1
+.names 55851 55870 55871
+11 1
+.names 55886 55222 55872
+1- 1
+-1 1
+.names 55872 55873
+1 1
+.names 55878 55879 55874
+1- 1
+-1 1
+.names 55874 55875
+1 1
+.names 55310 55876
+1 1
+.names 55876 55877
+0 1
+.names 15122 55877 55878
+1- 1
+-1 1
+.names 51842 55879
+0 1
+.names 15125 55883 55880
+1- 1
+-1 1
+.names 55880 55881
+1 1
+.names 2413 55882
+1 1
+.names 55882 55883
+0 1
+.names 55875 55884
+0 1
+.names 55881 55885
+0 1
+.names 52981 55886
+0 1
+.names 52412 55887
+0 1
+.names 55901 55903 55888
+1- 1
+-1 1
+.names 55888 55889
+1 1
+.names 55889 55890
+0 1
+.names 2413 55891
+1 1
+.names 55891 55892
+1 1
+.names 55892 55893
+0 1
+.names 55890 55893 55894
+1- 1
+-1 1
+.names 55908 55910 55895
+11 1
+.names 55895 55896
+1 1
+.names 55896 55897
+0 1
+.names 55921 55922 55898
+11 1
+.names 55898 55899
+1 1
+.names 55899 55900
+0 1
+.names 55204 55900 55901
+11 1
+.names 53594 55902
+0 1
+.names 15123 55902 55903
+11 1
+.names 55310 55925 55904
+1- 1
+-1 1
+.names 55904 55905
+1 1
+.names 55281 55924 55906
+1- 1
+-1 1
+.names 55906 55907
+1 1
+.names 55905 55907 55908
+11 1
+.names 55923 55399 55909
+1- 1
+-1 1
+.names 55909 55910
+1 1
+.names 55915 55916 55911
+1- 1
+-1 1
+.names 55911 55912
+1 1
+.names 55310 55913
+1 1
+.names 55913 55914
+0 1
+.names 15121 55914 55915
+1- 1
+-1 1
+.names 51859 55916
+0 1
+.names 15125 55920 55917
+1- 1
+-1 1
+.names 55917 55918
+1 1
+.names 2413 55919
+1 1
+.names 55919 55920
+0 1
+.names 55912 55921
+0 1
+.names 55918 55922
+0 1
+.names 52998 55923
+0 1
+.names 52429 55924
+0 1
+.names 54602 55925
+0 1
+.names 55310 55928 55926
+1- 1
+-1 1
+.names 55926 55927
+1 1
+.names 54670 55928
+0 1
+.names 55934 55936 55929
+1- 1
+-1 1
+.names 55929 55930
+1 1
+.names 55947 55948 55931
+11 1
+.names 55931 55932
+1 1
+.names 55932 55933
+0 1
+.names 55204 55933 55934
+11 1
+.names 53612 55935
+0 1
+.names 15123 55935 55936
+11 1
+.names 55941 55942 55937
+1- 1
+-1 1
+.names 55937 55938
+1 1
+.names 55310 55939
+1 1
+.names 55939 55940
+0 1
+.names 15102 55940 55941
+1- 1
+-1 1
+.names 51876 55942
+0 1
+.names 15125 55946 55943
+1- 1
+-1 1
+.names 55943 55944
+1 1
+.names 2413 55945
+1 1
+.names 55945 55946
+0 1
+.names 55938 55947
+0 1
+.names 55944 55948
+0 1
+.names 55951 55185 55949
+1- 1
+-1 1
+.names 55949 55950
+1 1
+.names 53015 55951
+0 1
+.names 55958 55959 55952
+1- 1
+-1 1
+.names 55952 55953
+1 1
+.names 55927 55954
+0 1
+.names 55281 55968 55955
+1- 1
+-1 1
+.names 55955 55956
+1 1
+.names 55956 55957
+0 1
+.names 55954 55957 55958
+1- 1
+-1 1
+.names 55950 55959
+0 1
+.names 55930 55960
+0 1
+.names 55966 55967 55961
+11 1
+.names 55961 55962
+1 1
+.names 55962 55963
+0 1
+.names 1783 55964
+1 1
+.names 55964 55965
+1 1
+.names 55953 55966
+0 1
+.names 55965 55967
+0 1
+.names 52446 55968
+0 1
+.names 55982 55984 55969
+1- 1
+-1 1
+.names 55969 55970
+1 1
+.names 55970 55971
+0 1
+.names 2413 55972
+1 1
+.names 55972 55973
+1 1
+.names 55973 55974
+0 1
+.names 55971 55974 55975
+1- 1
+-1 1
+.names 55989 55991 55976
+11 1
+.names 55976 55977
+1 1
+.names 55977 55978
+0 1
+.names 56002 56003 55979
+11 1
+.names 55979 55980
+1 1
+.names 55980 55981
+0 1
+.names 55204 55981 55982
+11 1
+.names 53625 55983
+0 1
+.names 15123 55983 55984
+11 1
+.names 15105 56004 55985
+1- 1
+-1 1
+.names 55985 55986
+1 1
+.names 55072 56006 55987
+1- 1
+-1 1
+.names 55987 55988
+1 1
+.names 55986 55988 55989
+11 1
+.names 56005 55399 55990
+1- 1
+-1 1
+.names 55990 55991
+1 1
+.names 55996 55997 55992
+1- 1
+-1 1
+.names 55992 55993
+1 1
+.names 55072 55994
+1 1
+.names 55994 55995
+0 1
+.names 15121 55995 55996
+1- 1
+-1 1
+.names 51888 55997
+0 1
+.names 1783 56001 55998
+1- 1
+-1 1
+.names 55998 55999
+1 1
+.names 15105 56000
+1 1
+.names 56000 56001
+0 1
+.names 55993 56002
+0 1
+.names 55999 56003
+0 1
+.names 52458 56004
+0 1
+.names 53027 56005
+0 1
+.names 54680 56006
+0 1
+.names 56009 55072 56007
+1- 1
+-1 1
+.names 56007 56008
+1 1
+.names 54719 56009
+0 1
+.names 56023 56025 56010
+1- 1
+-1 1
+.names 56010 56011
+1 1
+.names 56011 56012
+0 1
+.names 2413 56013
+1 1
+.names 56013 56014
+1 1
+.names 56014 56015
+0 1
+.names 56012 56015 56016
+1- 1
+-1 1
+.names 56028 56031 56017
+11 1
+.names 56017 56018
+1 1
+.names 56018 56019
+0 1
+.names 56042 56043 56020
+11 1
+.names 56020 56021
+1 1
+.names 56021 56022
+0 1
+.names 55162 56022 56023
+11 1
+.names 53648 56024
+0 1
+.names 15087 56024 56025
+11 1
+.names 56047 56026
+0 1
+.names 56026 56027
+1 1
+.names 56027 56028
+0 1
+.names 56044 56045 56029
+1- 1
+-1 1
+.names 56029 56030
+1 1
+.names 56030 56031
+0 1
+.names 56036 56037 56032
+1- 1
+-1 1
+.names 56032 56033
+1 1
+.names 55072 56034
+1 1
+.names 56034 56035
+0 1
+.names 15122 56035 56036
+1- 1
+-1 1
+.names 51910 56037
+0 1
+.names 15118 56041 56038
+1- 1
+-1 1
+.names 56038 56039
+1 1
+.names 2413 56040
+1 1
+.names 56040 56041
+0 1
+.names 56033 56042
+0 1
+.names 56039 56043
+0 1
+.names 15118 52480 56044
+11 1
+.names 56008 56045
+0 1
+.names 56048 55222 56046
+1- 1
+-1 1
+.names 56046 56047
+1 1
+.names 53049 56048
+0 1
+.names 55310 56051 56049
+1- 1
+-1 1
+.names 56049 56050
+1 1
+.names 54788 56051
+0 1
+.names 56057 56059 56052
+1- 1
+-1 1
+.names 56052 56053
+1 1
+.names 56070 56071 56054
+11 1
+.names 56054 56055
+1 1
+.names 56055 56056
+0 1
+.names 55162 56056 56057
+11 1
+.names 53684 56058
+0 1
+.names 15087 56058 56059
+11 1
+.names 56064 56065 56060
+1- 1
+-1 1
+.names 56060 56061
+1 1
+.names 55310 56062
+1 1
+.names 56062 56063
+0 1
+.names 15102 56063 56064
+1- 1
+-1 1
+.names 51945 56065
+0 1
+.names 15125 56069 56066
+1- 1
+-1 1
+.names 56066 56067
+1 1
+.names 2413 56068
+1 1
+.names 56068 56069
+0 1
+.names 56061 56070
+0 1
+.names 56067 56071
+0 1
+.names 56074 55185 56072
+1- 1
+-1 1
+.names 56072 56073
+1 1
+.names 53083 56074
+0 1
+.names 56081 56082 56075
+1- 1
+-1 1
+.names 56075 56076
+1 1
+.names 56050 56077
+0 1
+.names 55281 56091 56078
+1- 1
+-1 1
+.names 56078 56079
+1 1
+.names 56079 56080
+0 1
+.names 56077 56080 56081
+1- 1
+-1 1
+.names 56073 56082
+0 1
+.names 56053 56083
+0 1
+.names 56089 56090 56084
+11 1
+.names 56084 56085
+1 1
+.names 56085 56086
+0 1
+.names 1783 56087
+1 1
+.names 56087 56088
+1 1
+.names 56076 56089
+0 1
+.names 56088 56090
+0 1
+.names 52514 56091
+0 1
+.names 56105 56107 56092
+1- 1
+-1 1
+.names 56092 56093
+1 1
+.names 56093 56094
+0 1
+.names 2413 56095
+1 1
+.names 56095 56096
+1 1
+.names 56096 56097
+0 1
+.names 56094 56097 56098
+1- 1
+-1 1
+.names 56110 56113 56099
+11 1
+.names 56099 56100
+1 1
+.names 56100 56101
+0 1
+.names 56120 56121 56102
+11 1
+.names 56102 56103
+1 1
+.names 56103 56104
+0 1
+.names 55162 56104 56105
+11 1
+.names 53666 56106
+0 1
+.names 15087 56106 56107
+11 1
+.names 15126 52497 56108
+11 1
+.names 56108 56109
+1 1
+.names 56109 56110
+0 1
+.names 56126 56127 56111
+1- 1
+-1 1
+.names 56111 56112
+1 1
+.names 56112 56113
+0 1
+.names 15099 56117 56114
+1- 1
+-1 1
+.names 56114 56115
+1 1
+.names 2413 56116
+1 1
+.names 56116 56117
+0 1
+.names 56131 56132 56118
+1- 1
+-1 1
+.names 56118 56119
+1 1
+.names 56119 56120
+0 1
+.names 56115 56121
+0 1
+.names 56124 15113 56122
+1- 1
+-1 1
+.names 56122 56123
+1 1
+.names 53066 56124
+0 1
+.names 55115 56125
+1 1
+.names 15117 54798 56126
+11 1
+.names 56123 56127
+0 1
+.names 55233 56128
+1 1
+.names 56128 56129
+0 1
+.names 56125 56130
+0 1
+.names 56129 56130 56131
+1- 1
+-1 1
+.names 51928 56132
+0 1
+.names 55310 56135 56133
+1- 1
+-1 1
+.names 56133 56134
+1 1
+.names 54841 56135
+0 1
+.names 56149 56151 56136
+1- 1
+-1 1
+.names 56136 56137
+1 1
+.names 56137 56138
+0 1
+.names 2413 56139
+1 1
+.names 56139 56140
+1 1
+.names 56140 56141
+0 1
+.names 56138 56141 56142
+1- 1
+-1 1
+.names 56170 56165 56143
+11 1
+.names 56143 56144
+1 1
+.names 56144 56145
+0 1
+.names 56162 56163 56146
+11 1
+.names 56146 56147
+1 1
+.names 56147 56148
+0 1
+.names 55333 56148 56149
+11 1
+.names 53702 56150
+0 1
+.names 15085 56150 56151
+11 1
+.names 56156 56157 56152
+1- 1
+-1 1
+.names 56152 56153
+1 1
+.names 55310 56154
+1 1
+.names 56154 56155
+0 1
+.names 15122 56155 56156
+1- 1
+-1 1
+.names 51962 56157
+0 1
+.names 15127 56161 56158
+1- 1
+-1 1
+.names 56158 56159
+1 1
+.names 2413 56160
+1 1
+.names 56160 56161
+0 1
+.names 56153 56162
+0 1
+.names 56159 56163
+0 1
+.names 56166 55222 56164
+1- 1
+-1 1
+.names 56164 56165
+1 1
+.names 53100 56166
+0 1
+.names 55145 56169 56167
+1- 1
+-1 1
+.names 56167 56168
+1 1
+.names 52531 56169
+0 1
+.names 56168 56134 56170
+11 1
+.names 55231 56173 56171
+1- 1
+-1 1
+.names 56171 56172
+1 1
+.names 54907 56173
+0 1
+.names 56179 56181 56174
+1- 1
+-1 1
+.names 56174 56175
+1 1
+.names 56188 56189 56176
+11 1
+.names 56176 56177
+1 1
+.names 56177 56178
+0 1
+.names 55204 56178 56179
+11 1
+.names 53721 56180
+0 1
+.names 15123 56180 56181
+11 1
+.names 15126 56185 56182
+1- 1
+-1 1
+.names 56182 56183
+1 1
+.names 2413 56184
+1 1
+.names 56184 56185
+0 1
+.names 56195 56196 56186
+1- 1
+-1 1
+.names 56186 56187
+1 1
+.names 56187 56188
+0 1
+.names 56183 56189
+0 1
+.names 56192 55185 56190
+1- 1
+-1 1
+.names 56190 56191
+1 1
+.names 53117 56192
+0 1
+.names 55231 56193
+1 1
+.names 56193 56194
+0 1
+.names 15102 56194 56195
+1- 1
+-1 1
+.names 51979 56196
+0 1
+.names 56203 56204 56197
+1- 1
+-1 1
+.names 56197 56198
+1 1
+.names 56172 56199
+0 1
+.names 55233 56213 56200
+1- 1
+-1 1
+.names 56200 56201
+1 1
+.names 56201 56202
+0 1
+.names 56199 56202 56203
+1- 1
+-1 1
+.names 56191 56204
+0 1
+.names 56175 56205
+0 1
+.names 56211 56212 56206
+11 1
+.names 56206 56207
+1 1
+.names 56207 56208
+0 1
+.names 1783 56209
+1 1
+.names 56209 56210
+1 1
+.names 56198 56211
+0 1
+.names 56210 56212
+0 1
+.names 52548 56213
+0 1
+.names 56227 56229 56214
+1- 1
+-1 1
+.names 56214 56215
+1 1
+.names 56215 56216
+0 1
+.names 56252 15113 56217
+1- 1
+-1 1
+.names 56217 56218
+1 1
+.names 56218 56219
+0 1
+.names 56216 56219 56220
+1- 1
+-1 1
+.names 56232 56235 56221
+11 1
+.names 56221 56222
+1 1
+.names 56222 56223
+0 1
+.names 56250 56251 56224
+11 1
+.names 56224 56225
+1 1
+.names 56225 56226
+0 1
+.names 55601 56226 56227
+11 1
+.names 52565 56228
+0 1
+.names 15104 56228 56229
+11 1
+.names 53739 15116 56230
+11 1
+.names 56230 56231
+1 1
+.names 56231 56232
+0 1
+.names 56236 56239 56233
+1- 1
+-1 1
+.names 56233 56234
+1 1
+.names 56234 56235
+0 1
+.names 15096 54917 56236
+11 1
+.names 2413 56237
+1 1
+.names 56237 56238
+1 1
+.names 56238 56239
+0 1
+.names 56244 56245 56240
+1- 1
+-1 1
+.names 56240 56241
+1 1
+.names 2413 56242
+1 1
+.names 56242 56243
+0 1
+.names 15116 56243 56244
+1- 1
+-1 1
+.names 51996 56245
+0 1
+.names 15099 56249 56246
+1- 1
+-1 1
+.names 56246 56247
+1 1
+.names 55231 56248
+1 1
+.names 56248 56249
+0 1
+.names 56241 56250
+0 1
+.names 56247 56251
+0 1
+.names 53134 56252
+0 1
+.names 56266 56268 56253
+1- 1
+-1 1
+.names 56253 56254
+1 1
+.names 56254 56255
+0 1
+.names 2413 56256
+1 1
+.names 56256 56257
+1 1
+.names 56257 56258
+0 1
+.names 56255 56258 56259
+1- 1
+-1 1
+.names 56290 56282 56260
+11 1
+.names 56260 56261
+1 1
+.names 56261 56262
+0 1
+.names 56279 56280 56263
+11 1
+.names 56263 56264
+1 1
+.names 56264 56265
+0 1
+.names 55204 56265 56266
+11 1
+.names 53757 56267
+0 1
+.names 15123 56267 56268
+11 1
+.names 56273 56274 56269
+1- 1
+-1 1
+.names 56269 56270
+1 1
+.names 55115 56271
+1 1
+.names 56271 56272
+0 1
+.names 15122 56272 56273
+1- 1
+-1 1
+.names 52014 56274
+0 1
+.names 15127 56278 56275
+1- 1
+-1 1
+.names 56275 56276
+1 1
+.names 2413 56277
+1 1
+.names 56277 56278
+0 1
+.names 56270 56279
+0 1
+.names 56276 56280
+0 1
+.names 56283 55222 56281
+1- 1
+-1 1
+.names 56281 56282
+1 1
+.names 53152 56283
+0 1
+.names 55115 56286 56284
+1- 1
+-1 1
+.names 56284 56285
+1 1
+.names 54955 56286
+0 1
+.names 55145 56289 56287
+1- 1
+-1 1
+.names 56287 56288
+1 1
+.names 52582 56289
+0 1
+.names 56288 56285 56290
+11 1
+.names 55072 56293 56291
+1- 1
+-1 1
+.names 56291 56292
+1 1
+.names 55028 56293
+0 1
+.names 56296 15113 56294
+1- 1
+-1 1
+.names 56294 56295
+1 1
+.names 53169 56296
+0 1
+.names 56301 56302 56297
+1- 1
+-1 1
+.names 56297 56298
+1 1
+.names 55072 56299
+1 1
+.names 56299 56300
+0 1
+.names 15099 56300 56301
+1- 1
+-1 1
+.names 52031 56302
+0 1
+.names 15127 56306 56303
+1- 1
+-1 1
+.names 56303 56304
+1 1
+.names 2413 56305
+1 1
+.names 56305 56306
+0 1
+.names 56312 56314 56307
+1- 1
+-1 1
+.names 56307 56308
+1 1
+.names 56315 56316 56309
+11 1
+.names 56309 56310
+1 1
+.names 56310 56311
+0 1
+.names 55204 56311 56312
+11 1
+.names 53775 56313
+0 1
+.names 15123 56313 56314
+11 1
+.names 56298 56315
+0 1
+.names 56304 56316
+0 1
+.names 56323 56324 56317
+1- 1
+-1 1
+.names 56317 56318
+1 1
+.names 55145 56333 56319
+1- 1
+-1 1
+.names 56319 56320
+1 1
+.names 56320 56321
+0 1
+.names 56292 56322
+0 1
+.names 56321 56322 56323
+1- 1
+-1 1
+.names 56295 56324
+0 1
+.names 56327 56330 56325
+11 1
+.names 56325 56326
+1 1
+.names 56318 56327
+0 1
+.names 1783 56328
+1 1
+.names 56328 56329
+1 1
+.names 56329 56330
+0 1
+.names 56308 56331
+0 1
+.names 56326 56332
+0 1
+.names 52599 56333
+0 1
+.names 56347 56349 56334
+1- 1
+-1 1
+.names 56334 56335
+1 1
+.names 56335 56336
+0 1
+.names 2413 56337
+1 1
+.names 56337 56338
+1 1
+.names 56338 56339
+0 1
+.names 56336 56339 56340
+1- 1
+-1 1
+.names 56352 56355 56341
+11 1
+.names 56341 56342
+1 1
+.names 56342 56343
+0 1
+.names 56362 56363 56344
+11 1
+.names 56344 56345
+1 1
+.names 56345 56346
+0 1
+.names 55204 56346 56347
+11 1
+.names 53788 56348
+0 1
+.names 15123 56348 56349
+11 1
+.names 15125 52611 56350
+11 1
+.names 56350 56351
+1 1
+.names 56351 56352
+0 1
+.names 56371 56372 56353
+1- 1
+-1 1
+.names 56353 56354
+1 1
+.names 56354 56355
+0 1
+.names 15122 56359 56356
+1- 1
+-1 1
+.names 56356 56357
+1 1
+.names 2413 56358
+1 1
+.names 56358 56359
+0 1
+.names 56369 56370 56360
+1- 1
+-1 1
+.names 56360 56361
+1 1
+.names 56361 56362
+0 1
+.names 56357 56363
+0 1
+.names 56366 55222 56364
+1- 1
+-1 1
+.names 56364 56365
+1 1
+.names 53181 56366
+0 1
+.names 55115 56367
+1 1
+.names 56367 56368
+0 1
+.names 15125 56368 56369
+1- 1
+-1 1
+.names 52043 56370
+0 1
+.names 15117 55033 56371
+11 1
+.names 56365 56372
+0 1
+.names 29977 56373
+0 1
+.names 29610 56374
+1 1
+.names 56374 56375
+0 1
+.names 56373 56375 56376
+11 1
+.names 29536 56377
+0 1
+.names 56377 56378
+1 1
+.names 56378 56379
+0 1
+.names 29977 56379 56380
+11 1
+.names 2413 56381
+1 1
+.names 56381 56382
+0 1
+.names 56373 56382 56383
+11 1
+.names 29315 56384
+0 1
+.names 56384 56385
+1 1
+.names 56385 56386
+0 1
+.names 29977 56386 56387
+11 1
+.names 11103 56388
+0 1
+.names 56388 56389
+1 1
+.names 56389 56390
+0 1
+.names 56373 56390 56391
+11 1
+.names 29474 56392
+0 1
+.names 56392 56393
+1 1
+.names 56393 56394
+0 1
+.names 29977 56394 56395
+11 1
+.names 49858 56396
+0 1
+.names 508 56397
+0 1
+.names 56397 56398
+1 1
+.names 56398 56399
+0 1
+.names 56396 56399 56400
+11 1
+.names 49532 56401
+0 1
+.names 56401 56402
+1 1
+.names 56402 56403
+0 1
+.names 49858 56403 56404
+11 1
+.names 2447 56774
+1 1
+.names 2447 56783
+1 1
+.names 2447 56785
+1 1
+.names 2447 56790
+1 1
+.names 2447 56795
+1 1
+.names 2447 56801
+1 1
+.names 2584 56808
+1 1
+.names 2447 56809
+1 1
+.names 2447 56811
+1 1
+.names 2447 56812
+1 1
+.names 2447 56815
+1 1
+.names 2447 56831
+1 1
+.names 2584 56833
+1 1
+.names 2447 56834
+1 1
+.names 2584 56849
+1 1
+.names 2447 56852
+1 1
+.names 2447 56873
+1 1
+.names 2584 56913
+1 1
+.names 2447 56918
+1 1
+.names 2447 56920
+1 1
+.names 2447 56922
+1 1
+.names 2447 56923
+1 1
+.names 2447 56924
+1 1
+.names 2447 56925
+1 1
+.names 2447 56926
+1 1
+.names 2447 56927
+1 1
+.names 2447 56930
+1 1
+.names 2447 56939
+1 1
+.names 2447 56950
+1 1
+.names 2447 56963
+1 1
+.names 2447 56966
+1 1
+.names 2447 56967
+1 1
+.names 2447 56968
+1 1
+.names 2447 56971
+1 1
+.names 2447 56973
+1 1
+.names 2447 56975
+1 1
+.names 2447 56977
+1 1
+.names 2447 56978
+1 1
+.names 2447 56979
+1 1
+.names 2447 56980
+1 1
+.names 2447 56983
+1 1
+.names 2447 56984
+1 1
+.names 2447 56985
+1 1
+.names 2447 56990
+1 1
+.names 2447 56992
+1 1
+.names 2584 56998
+1 1
+.names 2447 56999
+1 1
+.names 2584 57001
+1 1
+.names 2447 57002
+1 1
+.names 2584 57012
+1 1
+.names 2447 57013
+1 1
+.names 2447 57014
+1 1
+.names 2447 57017
+1 1
+.names 2447 57020
+1 1
+.names 2447 57022
+1 1
+.names 2447 57024
+1 1
+.names 2447 57026
+1 1
+.names 2584 57028
+1 1
+.names 2447 57030
+1 1
+.names 2447 57031
+1 1
+.names 2447 57032
+1 1
+.names 2447 57040
+1 1
+.names 2447 57043
+1 1
+.names 2447 57045
+1 1
+.names 2447 57046
+1 1
+.names 2447 57047
+1 1
+.names 2447 57048
+1 1
+.names 2447 57049
+1 1
+.names 2447 57050
+1 1
+.names 2447 57051
+1 1
+.names 2447 57052
+1 1
+.names 2447 57053
+1 1
+.names 2447 57054
+1 1
+.names 2447 57055
+1 1
+.names 2447 57056
+1 1
+.names 2447 57057
+1 1
+.names 2447 57058
+1 1
+.names 2447 57059
+1 1
+.names 2447 57060
+1 1
+.names 2447 57061
+1 1
+.names 2447 57062
+1 1
+.names 2447 57063
+1 1
+.names 2447 57064
+1 1
+.names 2447 57065
+1 1
+.names 2447 57066
+1 1
+.names 2447 57067
+1 1
+.names 2447 57070
+1 1
+.names 5666 57072
+1 1
+.names 5666 57073
+1 1
+.names 5666 57075
+1 1
+.names 5786 57076
+1 1
+.names 5786 57077
+1 1
+.names 5786 57079
+1 1
+.end
diff --git a/abc70930/examples/s38417.blif b/abc70930/examples/s38417.blif
new file mode 100644
index 00000000..256d554e
--- /dev/null
+++ b/abc70930/examples/s38417.blif
@@ -0,0 +1,48956 @@
+.model s38417
+.inputs g51 g563 g1249 g1943 g2637 g3212 g3213 g3214 g3215 g3216 g3217 g3218 \
+g3219 g3220 g3221 g3222 g3223 g3224 g3225 g3226 g3227 g3228 g3229 g3230 g3231 \
+g3232 g3233 g3234
+.outputs g3993 g4088 g4090 g4200 g4321 g4323 g4450 g4590 g5388 g5437 g5472 \
+g5511 g5549 g5555 g5595 g5612 g5629 g5637 g5648 g5657 g5686 g5695 g5738 g5747 \
+g5796 g6225 g6231 g6313 g6368 g6442 g6447 g6485 g6518 g6573 g6642 g6677 g6712 \
+g6750 g6782 g6837 g6895 g6911 g6944 g6979 g7014 g7052 g7084 g7161 g7194 g7229 \
+g7264 g7302 g7334 g7357 g7390 g7425 g7487 g7519 g7909 g7956 g7961 g8007 g8012 \
+g8021 g8023 g8030 g8082 g8087 g8096 g8106 g8167 g8175 g8249 g8251 g8258 g8259 \
+g8260 g8261 g8262 g8263 g8264 g8265 g8266 g8267 g8268 g8269 g8270 g8271 g8272 \
+g8273 g8274 g8275 g16297 g16355 g16399 g16437 g16496 g24734 g25420 g25435 \
+g25442 g25489 g26104 g26135 g26149 g27380
+.wire_load_slope 0.00
+.latch g16475 g2814 0
+.latch g20571 g2817 0
+.latch g20588 g2933 0
+.latch g21951 g2950 0
+.latch g23315 g2883 0
+.latch g24423 g2888 0
+.latch g25175 g2896 0
+.latch g26019 g2892 0
+.latch g26747 g2903 0
+.latch g27237 g2900 0
+.latch g27715 g2908 0
+.latch g24424 g2912 0
+.latch g25174 g2917 0
+.latch g26020 g2924 0
+.latch g26746 g2920 0
+.latch g19061 g2984 0
+.latch g19060 g2985 0
+.latch g19062 g2930 0
+.latch gbuf1 g2929 0
+.latch g16494 g2879 0
+.latch g16476 g2934 0
+.latch g16477 g2935 0
+.latch g16478 g2938 0
+.latch g16479 g2941 0
+.latch g16480 g2944 0
+.latch g16481 g2947 0
+.latch g16482 g2953 0
+.latch g16483 g2956 0
+.latch g16484 g2959 0
+.latch g16485 g2962 0
+.latch g16486 g2963 0
+.latch g16487 g2966 0
+.latch g16488 g2969 0
+.latch g16489 g2972 0
+.latch g16490 g2975 0
+.latch g16491 g2978 0
+.latch g16492 g2981 0
+.latch g16493 g2874 0
+.latch g20572 g1506 0
+.latch g20573 g1501 0
+.latch g20574 g1496 0
+.latch g20575 g1491 0
+.latch g20576 g1486 0
+.latch g20577 g1481 0
+.latch g20578 g1476 0
+.latch g20579 g1471 0
+.latch g23313 g2877 0
+.latch g21960 g2861 0
+.latch gbuf2 g813 0
+.latch g21961 g2864 0
+.latch gbuf3 g809 0
+.latch g21962 g2867 0
+.latch gbuf4 g805 0
+.latch g21963 g2870 0
+.latch gbuf5 g801 0
+.latch g21947 g2818 0
+.latch gbuf6 g797 0
+.latch g21948 g2821 0
+.latch gbuf7 g793 0
+.latch g21949 g2824 0
+.latch gbuf8 g789 0
+.latch g21950 g2827 0
+.latch gbuf9 g785 0
+.latch g23312 g2830 0
+.latch gbuf10 g2873 0
+.latch g21952 g2833 0
+.latch gbuf11 g125 0
+.latch g21953 g2836 0
+.latch gbuf12 g121 0
+.latch g21954 g2839 0
+.latch gbuf13 g117 0
+.latch g21955 g2842 0
+.latch gbuf14 g113 0
+.latch g21956 g2845 0
+.latch gbuf15 g109 0
+.latch g21957 g2848 0
+.latch gbuf16 g105 0
+.latch g21958 g2851 0
+.latch gbuf17 g101 0
+.latch g21959 g2854 0
+.latch gbuf18 g97 0
+.latch g23316 g2858 0
+.latch gbuf19 g2857 0
+.latch g20587 g2200 0
+.latch g20585 g2195 0
+.latch g20586 g2190 0
+.latch g20584 g2185 0
+.latch g20583 g2180 0
+.latch g20582 g2175 0
+.latch g20581 g2170 0
+.latch g20580 g2165 0
+.latch g23314 g2878 0
+.latch g13475 g3129 0
+.latch gbuf20 g3117 0
+.latch gbuf21 g3109 0
+.latch g20630 g3210 0
+.latch g20631 g3211 0
+.latch g20632 g3084 0
+.latch g20609 g3085 0
+.latch g20610 g3086 0
+.latch g20611 g3087 0
+.latch g20612 g3091 0
+.latch g20613 g3092 0
+.latch g20614 g3093 0
+.latch g20615 g3094 0
+.latch g20616 g3095 0
+.latch g20617 g3096 0
+.latch g26751 g3097 0
+.latch g26752 g3098 0
+.latch g26753 g3099 0
+.latch g29163 g3100 0
+.latch g29164 g3101 0
+.latch g29165 g3102 0
+.latch g30120 g3103 0
+.latch g30121 g3104 0
+.latch g30122 g3105 0
+.latch g30941 g3106 0
+.latch g30942 g3107 0
+.latch g30943 g3108 0
+.latch g20618 g3155 0
+.latch g20619 g3158 0
+.latch g20620 g3161 0
+.latch g20621 g3164 0
+.latch g20622 g3167 0
+.latch g20623 g3170 0
+.latch g20624 g3173 0
+.latch g20625 g3176 0
+.latch g20626 g3179 0
+.latch g20627 g3182 0
+.latch g20628 g3185 0
+.latch g20629 g3088 0
+.latch g27717 g3191 0
+.latch g28316 g3194 0
+.latch g28317 g3197 0
+.latch g28318 g3198 0
+.latch g28704 g3201 0
+.latch g28705 g3204 0
+.latch g28706 g3207 0
+.latch g29463 g3188 0
+.latch g29656 g3133 0
+.latch g28698 g3132 0
+.latch g29166 g3128 0
+.latch g28697 g3127 0
+.latch g28315 g3126 0
+.latch g28696 g3125 0
+.latch g28314 g3124 0
+.latch g28313 g3123 0
+.latch g28695 g3120 0
+.latch g28694 g3114 0
+.latch g28693 g3113 0
+.latch g28312 g3112 0
+.latch g28311 g3110 0
+.latch g28310 g3111 0
+.latch g29461 g3139 0
+.latch g28701 g3136 0
+.latch g28700 g3134 0
+.latch g28699 g3135 0
+.latch g29462 g3151 0
+.latch g28703 g3142 0
+.latch g28702 g3147 0
+.latch g29657 g185 0
+.latch g13405 g138 0
+.latch gbuf22 g135 0
+.latch gbuf23 g165 0
+.latch g24259 g130 0
+.latch g24260 g131 0
+.latch g24261 g129 0
+.latch g24262 g133 0
+.latch g24263 g134 0
+.latch g24264 g132 0
+.latch g24265 g142 0
+.latch g24266 g143 0
+.latch g24267 g141 0
+.latch g24268 g145 0
+.latch g24269 g146 0
+.latch g24270 g144 0
+.latch g24271 g148 0
+.latch g24272 g149 0
+.latch g24273 g147 0
+.latch g24274 g151 0
+.latch g24275 g152 0
+.latch g24276 g150 0
+.latch g24277 g154 0
+.latch g24278 g155 0
+.latch g24279 g153 0
+.latch g24280 g157 0
+.latch g24281 g158 0
+.latch g24282 g156 0
+.latch g24283 g160 0
+.latch g24284 g161 0
+.latch g24285 g159 0
+.latch g24286 g163 0
+.latch g24287 g164 0
+.latch g24288 g162 0
+.latch g26679 g169 0
+.latch g26680 g170 0
+.latch g26681 g168 0
+.latch g26682 g172 0
+.latch g26683 g173 0
+.latch g26684 g171 0
+.latch g26685 g175 0
+.latch g26686 g176 0
+.latch g26687 g174 0
+.latch g26688 g178 0
+.latch g26689 g179 0
+.latch g26690 g177 0
+.latch g30506 g186 0
+.latch g30507 g189 0
+.latch g30508 g192 0
+.latch g30842 g231 0
+.latch g30843 g234 0
+.latch g30844 g237 0
+.latch g30836 g195 0
+.latch g30837 g198 0
+.latch g30838 g201 0
+.latch g30845 g240 0
+.latch g30846 g243 0
+.latch g30847 g246 0
+.latch g30509 g204 0
+.latch g30510 g207 0
+.latch g30511 g210 0
+.latch g30515 g249 0
+.latch g30516 g252 0
+.latch g30517 g255 0
+.latch g30512 g213 0
+.latch g30513 g216 0
+.latch g30514 g219 0
+.latch g30518 g258 0
+.latch g30519 g261 0
+.latch g30520 g264 0
+.latch g30839 g222 0
+.latch g30840 g225 0
+.latch g30841 g228 0
+.latch g30848 g267 0
+.latch g30849 g270 0
+.latch g30850 g273 0
+.latch g25983 g92 0
+.latch g26678 g88 0
+.latch g27189 g83 0
+.latch g27683 g79 0
+.latch g28206 g74 0
+.latch g28673 g70 0
+.latch g29131 g65 0
+.latch g29413 g61 0
+.latch g29627 g56 0
+.latch g29794 g52 0
+.latch g20555 g180 0
+.latch gbuf24 g182 0
+.latch gbuf25 g181 0
+.latch g13406 g276 0
+.latch gbuf26 g405 0
+.latch gbuf27 g401 0
+.latch g11496 g309 0
+.latch g28207 g354 0
+.latch g28208 g343 0
+.latch g28209 g346 0
+.latch g28210 g369 0
+.latch g28211 g358 0
+.latch g28212 g361 0
+.latch g28213 g384 0
+.latch g28214 g373 0
+.latch g28215 g376 0
+.latch g28216 g398 0
+.latch g28217 g388 0
+.latch g28218 g391 0
+.latch g29414 g408 0
+.latch g29415 g411 0
+.latch g29416 g414 0
+.latch g29631 g417 0
+.latch g29632 g420 0
+.latch g29633 g423 0
+.latch g29417 g427 0
+.latch g29418 g428 0
+.latch g29419 g426 0
+.latch g27684 g429 0
+.latch g27685 g432 0
+.latch g27686 g435 0
+.latch g27687 g438 0
+.latch g27688 g441 0
+.latch g27689 g444 0
+.latch g28674 g448 0
+.latch g28675 g449 0
+.latch g28676 g447 0
+.latch g29795 g312 0
+.latch g29796 g313 0
+.latch g29797 g314 0
+.latch g30851 g315 0
+.latch g30852 g316 0
+.latch g30853 g317 0
+.latch g30710 g318 0
+.latch g30711 g319 0
+.latch g30712 g320 0
+.latch g29628 g322 0
+.latch g29629 g323 0
+.latch g29630 g321 0
+.latch g27191 g403 0
+.latch g27192 g404 0
+.latch g27193 g402 0
+.latch g11509 g450 0
+.latch gbuf28 g451 0
+.latch g11510 g452 0
+.latch gbuf29 g453 0
+.latch g11511 g454 0
+.latch gbuf30 g279 0
+.latch g11491 g280 0
+.latch gbuf31 g281 0
+.latch g11492 g282 0
+.latch gbuf32 g283 0
+.latch g11493 g284 0
+.latch gbuf33 g285 0
+.latch g11494 g286 0
+.latch gbuf34 g287 0
+.latch g11495 g288 0
+.latch gbuf35 g289 0
+.latch g13407 g290 0
+.latch gbuf36 g291 0
+.latch g19012 g299 0
+.latch g23148 g305 0
+.latch g23149 g308 0
+.latch g23150 g297 0
+.latch g23151 g296 0
+.latch g23152 g295 0
+.latch g23153 g294 0
+.latch g19016 g304 0
+.latch g19015 g303 0
+.latch g19014 g302 0
+.latch g19013 g301 0
+.latch g25130 g300 0
+.latch g27190 g298 0
+.latch g11497 g342 0
+.latch gbuf37 g349 0
+.latch g11498 g350 0
+.latch gbuf38 g351 0
+.latch g11499 g352 0
+.latch gbuf39 g353 0
+.latch g11500 g357 0
+.latch gbuf40 g364 0
+.latch g11501 g365 0
+.latch gbuf41 g366 0
+.latch g11502 g367 0
+.latch gbuf42 g368 0
+.latch g11503 g372 0
+.latch gbuf43 g379 0
+.latch g11504 g380 0
+.latch gbuf44 g381 0
+.latch g11505 g382 0
+.latch gbuf45 g383 0
+.latch g11506 g387 0
+.latch gbuf46 g394 0
+.latch g11507 g395 0
+.latch gbuf47 g396 0
+.latch g11508 g397 0
+.latch gbuf48 g324 0
+.latch g13408 g325 0
+.latch gbuf49 g331 0
+.latch gbuf50 g337 0
+.latch g13419 g545 0
+.latch gbuf51 g551 0
+.latch gbuf52 g550 0
+.latch g23160 g554 0
+.latch g20556 g557 0
+.latch g20557 g510 0
+.latch g16467 g513 0
+.latch gbuf53 g523 0
+.latch gbuf54 g524 0
+.latch g11512 g564 0
+.latch gbuf55 g569 0
+.latch g11515 g570 0
+.latch gbuf56 g571 0
+.latch g11516 g572 0
+.latch gbuf57 g573 0
+.latch g11517 g574 0
+.latch gbuf58 g565 0
+.latch g11513 g566 0
+.latch gbuf59 g567 0
+.latch g11514 g568 0
+.latch gbuf60 g489 0
+.latch g13409 g474 0
+.latch gbuf61 g481 0
+.latch gbuf62 g485 0
+.latch g24292 g486 0
+.latch g24293 g487 0
+.latch g24294 g488 0
+.latch g25139 g455 0
+.latch g25131 g458 0
+.latch g25132 g461 0
+.latch g25136 g477 0
+.latch g25137 g478 0
+.latch g25138 g479 0
+.latch g24289 g480 0
+.latch g24290 g484 0
+.latch g24291 g464 0
+.latch g25133 g465 0
+.latch g25134 g468 0
+.latch g25135 g471 0
+.latch g16468 g528 0
+.latch gbuf63 g535 0
+.latch gbuf64 g542 0
+.latch g19021 g543 0
+.latch gbuf65 g544 0
+.latch g23159 g548 0
+.latch g19022 g549 0
+.latch gbuf66 g499 0
+.latch g19023 g558 0
+.latch gbuf67 g559 0
+.latch g28219 g576 0
+.latch g28220 g577 0
+.latch g28221 g575 0
+.latch g28222 g579 0
+.latch g28223 g580 0
+.latch g28224 g578 0
+.latch g28225 g582 0
+.latch g28226 g583 0
+.latch g28227 g581 0
+.latch g28228 g585 0
+.latch g28229 g586 0
+.latch g28230 g584 0
+.latch g25985 g587 0
+.latch g25986 g590 0
+.latch g25987 g593 0
+.latch g25988 g596 0
+.latch g25989 g599 0
+.latch g25990 g602 0
+.latch g29135 g614 0
+.latch g29136 g617 0
+.latch g29137 g620 0
+.latch g29132 g605 0
+.latch g29133 g608 0
+.latch g29134 g611 0
+.latch g27194 g490 0
+.latch g27195 g493 0
+.latch g27196 g496 0
+.latch g8284 g506 0
+.latch g24295 g507 0
+.latch g19017 g508 0
+.latch g19018 g509 0
+.latch g19019 g514 0
+.latch g19020 g515 0
+.latch g23158 g516 0
+.latch g23157 g517 0
+.latch g23156 g518 0
+.latch g23155 g519 0
+.latch g23154 g520 0
+.latch gbuf68 g525 0
+.latch g13410 g529 0
+.latch g13411 g530 0
+.latch g13412 g531 0
+.latch g13413 g532 0
+.latch g13414 g533 0
+.latch g13415 g534 0
+.latch g13416 g536 0
+.latch g13417 g537 0
+.latch g25984 g538 0
+.latch g13418 g541 0
+.latch g13420 g623 0
+.latch gbuf69 g626 0
+.latch gbuf70 g629 0
+.latch g20558 g630 0
+.latch g21943 g659 0
+.latch g23161 g640 0
+.latch g24296 g633 0
+.latch g25140 g653 0
+.latch g25991 g646 0
+.latch g26691 g660 0
+.latch g27197 g672 0
+.latch g27690 g666 0
+.latch g28231 g679 0
+.latch g28677 g686 0
+.latch g29138 g692 0
+.latch g23162 g699 0
+.latch g23163 g700 0
+.latch g23164 g698 0
+.latch g23165 g702 0
+.latch g23166 g703 0
+.latch g23167 g701 0
+.latch g23168 g705 0
+.latch g23169 g706 0
+.latch g23170 g704 0
+.latch g23171 g708 0
+.latch g23172 g709 0
+.latch g23173 g707 0
+.latch g23174 g711 0
+.latch g23175 g712 0
+.latch g23176 g710 0
+.latch g23177 g714 0
+.latch g23178 g715 0
+.latch g23179 g713 0
+.latch g23180 g717 0
+.latch g23181 g718 0
+.latch g23182 g716 0
+.latch g23183 g720 0
+.latch g23184 g721 0
+.latch g23185 g719 0
+.latch g23186 g723 0
+.latch g23187 g724 0
+.latch g23188 g722 0
+.latch g23189 g726 0
+.latch g23190 g727 0
+.latch g23191 g725 0
+.latch g23192 g729 0
+.latch g23193 g730 0
+.latch g23194 g728 0
+.latch g23195 g732 0
+.latch g23196 g733 0
+.latch g23197 g731 0
+.latch g26692 g735 0
+.latch g26693 g736 0
+.latch g26694 g734 0
+.latch g24297 g738 0
+.latch g24298 g739 0
+.latch g24299 g737 0
+.latch g13421 g826 0
+.latch gbuf71 g823 0
+.latch gbuf72 g853 0
+.latch g24300 g818 0
+.latch g24301 g819 0
+.latch g24302 g817 0
+.latch g24303 g821 0
+.latch g24304 g822 0
+.latch g24305 g820 0
+.latch g24306 g830 0
+.latch g24307 g831 0
+.latch g24308 g829 0
+.latch g24309 g833 0
+.latch g24310 g834 0
+.latch g24311 g832 0
+.latch g24312 g836 0
+.latch g24313 g837 0
+.latch g24314 g835 0
+.latch g24315 g839 0
+.latch g24316 g840 0
+.latch g24317 g838 0
+.latch g24318 g842 0
+.latch g24319 g843 0
+.latch g24320 g841 0
+.latch g24321 g845 0
+.latch g24322 g846 0
+.latch g24323 g844 0
+.latch g24324 g848 0
+.latch g24325 g849 0
+.latch g24326 g847 0
+.latch g24327 g851 0
+.latch g24328 g852 0
+.latch g24329 g850 0
+.latch g26696 g857 0
+.latch g26697 g858 0
+.latch g26698 g856 0
+.latch g26699 g860 0
+.latch g26700 g861 0
+.latch g26701 g859 0
+.latch g26702 g863 0
+.latch g26703 g864 0
+.latch g26704 g862 0
+.latch g26705 g866 0
+.latch g26706 g867 0
+.latch g26707 g865 0
+.latch g30521 g873 0
+.latch g30522 g876 0
+.latch g30523 g879 0
+.latch g30860 g918 0
+.latch g30861 g921 0
+.latch g30862 g924 0
+.latch g30854 g882 0
+.latch g30855 g885 0
+.latch g30856 g888 0
+.latch g30863 g927 0
+.latch g30864 g930 0
+.latch g30865 g933 0
+.latch g30524 g891 0
+.latch g30525 g894 0
+.latch g30526 g897 0
+.latch g30530 g936 0
+.latch g30531 g939 0
+.latch g30532 g942 0
+.latch g30527 g900 0
+.latch g30528 g903 0
+.latch g30529 g906 0
+.latch g30533 g945 0
+.latch g30534 g948 0
+.latch g30535 g951 0
+.latch g30857 g909 0
+.latch g30858 g912 0
+.latch g30859 g915 0
+.latch g30866 g954 0
+.latch g30867 g957 0
+.latch g30868 g960 0
+.latch g25992 g780 0
+.latch g26695 g776 0
+.latch g27198 g771 0
+.latch g27691 g767 0
+.latch g28232 g762 0
+.latch g28678 g758 0
+.latch g29139 g753 0
+.latch g29420 g749 0
+.latch g29634 g744 0
+.latch g29798 g740 0
+.latch g20559 g868 0
+.latch gbuf73 g870 0
+.latch gbuf74 g869 0
+.latch g13422 g963 0
+.latch gbuf75 g1092 0
+.latch gbuf76 g1088 0
+.latch g11523 g996 0
+.latch g28233 g1041 0
+.latch g28234 g1030 0
+.latch g28235 g1033 0
+.latch g28236 g1056 0
+.latch g28237 g1045 0
+.latch g28238 g1048 0
+.latch g28239 g1071 0
+.latch g28240 g1060 0
+.latch g28241 g1063 0
+.latch g28242 g1085 0
+.latch g28243 g1075 0
+.latch g28244 g1078 0
+.latch g29421 g1095 0
+.latch g29422 g1098 0
+.latch g29423 g1101 0
+.latch g29638 g1104 0
+.latch g29639 g1107 0
+.latch g29640 g1110 0
+.latch g29424 g1114 0
+.latch g29425 g1115 0
+.latch g29426 g1113 0
+.latch g27692 g1116 0
+.latch g27693 g1119 0
+.latch g27694 g1122 0
+.latch g27695 g1125 0
+.latch g27696 g1128 0
+.latch g27697 g1131 0
+.latch g28679 g1135 0
+.latch g28680 g1136 0
+.latch g28681 g1134 0
+.latch g29799 g999 0
+.latch g29800 g1000 0
+.latch g29801 g1001 0
+.latch g30869 g1002 0
+.latch g30870 g1003 0
+.latch g30871 g1004 0
+.latch g30713 g1005 0
+.latch g30714 g1006 0
+.latch g30715 g1007 0
+.latch g29635 g1009 0
+.latch g29636 g1010 0
+.latch g29637 g1008 0
+.latch g27206 g1090 0
+.latch g27207 g1091 0
+.latch g27208 g1089 0
+.latch g11536 g1137 0
+.latch gbuf77 g1138 0
+.latch g11537 g1139 0
+.latch gbuf78 g1140 0
+.latch g11538 g1141 0
+.latch gbuf79 g966 0
+.latch g11518 g967 0
+.latch gbuf80 g968 0
+.latch g11519 g969 0
+.latch gbuf81 g970 0
+.latch g11520 g971 0
+.latch gbuf82 g972 0
+.latch g11521 g973 0
+.latch gbuf83 g974 0
+.latch g11522 g975 0
+.latch gbuf84 g976 0
+.latch g13423 g977 0
+.latch gbuf85 g978 0
+.latch g19024 g986 0
+.latch g27200 g992 0
+.latch g27201 g995 0
+.latch g27202 g984 0
+.latch g27203 g983 0
+.latch g27204 g982 0
+.latch g27205 g981 0
+.latch g19028 g991 0
+.latch g19027 g990 0
+.latch g19026 g989 0
+.latch g19025 g988 0
+.latch g25141 g987 0
+.latch g27199 g985 0
+.latch g11524 g1029 0
+.latch gbuf86 g1036 0
+.latch g11525 g1037 0
+.latch gbuf87 g1038 0
+.latch g11526 g1039 0
+.latch gbuf88 g1040 0
+.latch g11527 g1044 0
+.latch gbuf89 g1051 0
+.latch g11528 g1052 0
+.latch gbuf90 g1053 0
+.latch g11529 g1054 0
+.latch gbuf91 g1055 0
+.latch g11530 g1059 0
+.latch gbuf92 g1066 0
+.latch g11531 g1067 0
+.latch gbuf93 g1068 0
+.latch g11532 g1069 0
+.latch gbuf94 g1070 0
+.latch g11533 g1074 0
+.latch gbuf95 g1081 0
+.latch g11534 g1082 0
+.latch gbuf96 g1083 0
+.latch g11535 g1084 0
+.latch gbuf97 g1011 0
+.latch g13424 g1012 0
+.latch gbuf98 g1018 0
+.latch gbuf99 g1024 0
+.latch g13435 g1231 0
+.latch gbuf100 g1237 0
+.latch gbuf101 g1236 0
+.latch g23198 g1240 0
+.latch g20560 g1243 0
+.latch g20561 g1196 0
+.latch g16469 g1199 0
+.latch gbuf102 g1209 0
+.latch gbuf103 g1210 0
+.latch g11539 g1250 0
+.latch gbuf104 g1255 0
+.latch g11542 g1256 0
+.latch gbuf105 g1257 0
+.latch g11543 g1258 0
+.latch gbuf106 g1259 0
+.latch g11544 g1260 0
+.latch gbuf107 g1251 0
+.latch g11540 g1252 0
+.latch gbuf108 g1253 0
+.latch g11541 g1254 0
+.latch gbuf109 g1176 0
+.latch g13425 g1161 0
+.latch gbuf110 g1168 0
+.latch gbuf111 g1172 0
+.latch g24333 g1173 0
+.latch g24334 g1174 0
+.latch g24335 g1175 0
+.latch g25150 g1142 0
+.latch g25142 g1145 0
+.latch g25143 g1148 0
+.latch g25147 g1164 0
+.latch g25148 g1165 0
+.latch g25149 g1166 0
+.latch g24330 g1167 0
+.latch g24331 g1171 0
+.latch g24332 g1151 0
+.latch g25144 g1152 0
+.latch g25145 g1155 0
+.latch g25146 g1158 0
+.latch g16470 g1214 0
+.latch gbuf112 g1221 0
+.latch gbuf113 g1228 0
+.latch g19033 g1229 0
+.latch gbuf114 g1230 0
+.latch g27217 g1234 0
+.latch g19034 g1235 0
+.latch gbuf115 g1186 0
+.latch g19035 g1244 0
+.latch gbuf116 g1245 0
+.latch g28245 g1262 0
+.latch g28246 g1263 0
+.latch g28247 g1261 0
+.latch g28248 g1265 0
+.latch g28249 g1266 0
+.latch g28250 g1264 0
+.latch g28251 g1268 0
+.latch g28252 g1269 0
+.latch g28253 g1267 0
+.latch g28254 g1271 0
+.latch g28255 g1272 0
+.latch g28256 g1270 0
+.latch g25994 g1273 0
+.latch g25995 g1276 0
+.latch g25996 g1279 0
+.latch g25997 g1282 0
+.latch g25998 g1285 0
+.latch g25999 g1288 0
+.latch g29143 g1300 0
+.latch g29144 g1303 0
+.latch g29145 g1306 0
+.latch g29140 g1291 0
+.latch g29141 g1294 0
+.latch g29142 g1297 0
+.latch g27209 g1177 0
+.latch g27210 g1180 0
+.latch g27211 g1183 0
+.latch g8293 g1192 0
+.latch g24336 g1193 0
+.latch g19029 g1194 0
+.latch g19030 g1195 0
+.latch g19031 g1200 0
+.latch g19032 g1201 0
+.latch g27216 g1202 0
+.latch g27215 g1203 0
+.latch g27214 g1204 0
+.latch g27213 g1205 0
+.latch g27212 g1206 0
+.latch gbuf117 g1211 0
+.latch g13426 g1215 0
+.latch g13427 g1216 0
+.latch g13428 g1217 0
+.latch g13429 g1218 0
+.latch g13430 g1219 0
+.latch g13431 g1220 0
+.latch g13432 g1222 0
+.latch g13433 g1223 0
+.latch g25993 g1224 0
+.latch g13434 g1227 0
+.latch g13436 g1309 0
+.latch gbuf118 g1312 0
+.latch gbuf119 g1315 0
+.latch g20562 g1316 0
+.latch g21944 g1345 0
+.latch g23199 g1326 0
+.latch g24337 g1319 0
+.latch g25151 g1339 0
+.latch g26000 g1332 0
+.latch g26708 g1346 0
+.latch g27218 g1358 0
+.latch g27698 g1352 0
+.latch g28257 g1365 0
+.latch g28682 g1372 0
+.latch g29146 g1378 0
+.latch g23200 g1385 0
+.latch g23201 g1386 0
+.latch g23202 g1384 0
+.latch g23203 g1388 0
+.latch g23204 g1389 0
+.latch g23205 g1387 0
+.latch g23206 g1391 0
+.latch g23207 g1392 0
+.latch g23208 g1390 0
+.latch g23209 g1394 0
+.latch g23210 g1395 0
+.latch g23211 g1393 0
+.latch g23212 g1397 0
+.latch g23213 g1398 0
+.latch g23214 g1396 0
+.latch g23215 g1400 0
+.latch g23216 g1401 0
+.latch g23217 g1399 0
+.latch g23218 g1403 0
+.latch g23219 g1404 0
+.latch g23220 g1402 0
+.latch g23221 g1406 0
+.latch g23222 g1407 0
+.latch g23223 g1405 0
+.latch g23224 g1409 0
+.latch g23225 g1410 0
+.latch g23226 g1408 0
+.latch g23227 g1412 0
+.latch g23228 g1413 0
+.latch g23229 g1411 0
+.latch g23230 g1415 0
+.latch g23231 g1416 0
+.latch g23232 g1414 0
+.latch g23233 g1418 0
+.latch g23234 g1419 0
+.latch g23235 g1417 0
+.latch g26709 g1421 0
+.latch g26710 g1422 0
+.latch g26711 g1420 0
+.latch g24338 g1424 0
+.latch g24339 g1425 0
+.latch g24340 g1423 0
+.latch g13437 g1520 0
+.latch gbuf120 g1517 0
+.latch gbuf121 g1547 0
+.latch g24341 g1512 0
+.latch g24342 g1513 0
+.latch g24343 g1511 0
+.latch g24344 g1515 0
+.latch g24345 g1516 0
+.latch g24346 g1514 0
+.latch g24347 g1524 0
+.latch g24348 g1525 0
+.latch g24349 g1523 0
+.latch g24350 g1527 0
+.latch g24351 g1528 0
+.latch g24352 g1526 0
+.latch g24353 g1530 0
+.latch g24354 g1531 0
+.latch g24355 g1529 0
+.latch g24356 g1533 0
+.latch g24357 g1534 0
+.latch g24358 g1532 0
+.latch g24359 g1536 0
+.latch g24360 g1537 0
+.latch g24361 g1535 0
+.latch g24362 g1539 0
+.latch g24363 g1540 0
+.latch g24364 g1538 0
+.latch g24365 g1542 0
+.latch g24366 g1543 0
+.latch g24367 g1541 0
+.latch g24368 g1545 0
+.latch g24369 g1546 0
+.latch g24370 g1544 0
+.latch g26713 g1551 0
+.latch g26714 g1552 0
+.latch g26715 g1550 0
+.latch g26716 g1554 0
+.latch g26717 g1555 0
+.latch g26718 g1553 0
+.latch g26719 g1557 0
+.latch g26720 g1558 0
+.latch g26721 g1556 0
+.latch g26722 g1560 0
+.latch g26723 g1561 0
+.latch g26724 g1559 0
+.latch g30536 g1567 0
+.latch g30537 g1570 0
+.latch g30538 g1573 0
+.latch g30878 g1612 0
+.latch g30879 g1615 0
+.latch g30880 g1618 0
+.latch g30872 g1576 0
+.latch g30873 g1579 0
+.latch g30874 g1582 0
+.latch g30881 g1621 0
+.latch g30882 g1624 0
+.latch g30883 g1627 0
+.latch g30539 g1585 0
+.latch g30540 g1588 0
+.latch g30541 g1591 0
+.latch g30545 g1630 0
+.latch g30546 g1633 0
+.latch g30547 g1636 0
+.latch g30542 g1594 0
+.latch g30543 g1597 0
+.latch g30544 g1600 0
+.latch g30548 g1639 0
+.latch g30549 g1642 0
+.latch g30550 g1645 0
+.latch g30875 g1603 0
+.latch g30876 g1606 0
+.latch g30877 g1609 0
+.latch g30884 g1648 0
+.latch g30885 g1651 0
+.latch g30886 g1654 0
+.latch g26001 g1466 0
+.latch g26712 g1462 0
+.latch g27219 g1457 0
+.latch g27699 g1453 0
+.latch g28258 g1448 0
+.latch g28683 g1444 0
+.latch g29147 g1439 0
+.latch g29427 g1435 0
+.latch g29641 g1430 0
+.latch g29802 g1426 0
+.latch g20563 g1562 0
+.latch gbuf122 g1564 0
+.latch gbuf123 g1563 0
+.latch g13438 g1657 0
+.latch gbuf124 g1786 0
+.latch gbuf125 g1782 0
+.latch g11550 g1690 0
+.latch g28259 g1735 0
+.latch g28260 g1724 0
+.latch g28261 g1727 0
+.latch g28262 g1750 0
+.latch g28263 g1739 0
+.latch g28264 g1742 0
+.latch g28265 g1765 0
+.latch g28266 g1754 0
+.latch g28267 g1757 0
+.latch g28268 g1779 0
+.latch g28269 g1769 0
+.latch g28270 g1772 0
+.latch g29434 g1789 0
+.latch g29435 g1792 0
+.latch g29436 g1795 0
+.latch g29645 g1798 0
+.latch g29646 g1801 0
+.latch g29647 g1804 0
+.latch g29437 g1808 0
+.latch g29438 g1809 0
+.latch g29439 g1807 0
+.latch g27700 g1810 0
+.latch g27701 g1813 0
+.latch g27702 g1816 0
+.latch g27703 g1819 0
+.latch g27704 g1822 0
+.latch g27705 g1825 0
+.latch g28684 g1829 0
+.latch g28685 g1830 0
+.latch g28686 g1828 0
+.latch g29803 g1693 0
+.latch g29804 g1694 0
+.latch g29805 g1695 0
+.latch g30887 g1696 0
+.latch g30888 g1697 0
+.latch g30889 g1698 0
+.latch g30716 g1699 0
+.latch g30717 g1700 0
+.latch g30718 g1701 0
+.latch g29642 g1703 0
+.latch g29643 g1704 0
+.latch g29644 g1702 0
+.latch g27221 g1784 0
+.latch g27222 g1785 0
+.latch g27223 g1783 0
+.latch g11563 g1831 0
+.latch gbuf126 g1832 0
+.latch g11564 g1833 0
+.latch gbuf127 g1834 0
+.latch g11565 g1835 0
+.latch gbuf128 g1660 0
+.latch g11545 g1661 0
+.latch gbuf129 g1662 0
+.latch g11546 g1663 0
+.latch gbuf130 g1664 0
+.latch g11547 g1665 0
+.latch gbuf131 g1666 0
+.latch g11548 g1667 0
+.latch gbuf132 g1668 0
+.latch g11549 g1669 0
+.latch gbuf133 g1670 0
+.latch g13439 g1671 0
+.latch gbuf134 g1672 0
+.latch g19036 g1680 0
+.latch g29428 g1686 0
+.latch g29429 g1689 0
+.latch g29430 g1678 0
+.latch g29431 g1677 0
+.latch g29432 g1676 0
+.latch g29433 g1675 0
+.latch g19040 g1685 0
+.latch g19039 g1684 0
+.latch g19038 g1683 0
+.latch g19037 g1682 0
+.latch g25152 g1681 0
+.latch g27220 g1679 0
+.latch g11551 g1723 0
+.latch gbuf135 g1730 0
+.latch g11552 g1731 0
+.latch gbuf136 g1732 0
+.latch g11553 g1733 0
+.latch gbuf137 g1734 0
+.latch g11554 g1738 0
+.latch gbuf138 g1745 0
+.latch g11555 g1746 0
+.latch gbuf139 g1747 0
+.latch g11556 g1748 0
+.latch gbuf140 g1749 0
+.latch g11557 g1753 0
+.latch gbuf141 g1760 0
+.latch g11558 g1761 0
+.latch gbuf142 g1762 0
+.latch g11559 g1763 0
+.latch gbuf143 g1764 0
+.latch g11560 g1768 0
+.latch gbuf144 g1775 0
+.latch g11561 g1776 0
+.latch gbuf145 g1777 0
+.latch g11562 g1778 0
+.latch gbuf146 g1705 0
+.latch g13440 g1706 0
+.latch gbuf147 g1712 0
+.latch gbuf148 g1718 0
+.latch g13451 g1925 0
+.latch gbuf149 g1931 0
+.latch gbuf150 g1930 0
+.latch g23236 g1934 0
+.latch g20564 g1937 0
+.latch g20565 g1890 0
+.latch g16471 g1893 0
+.latch gbuf151 g1903 0
+.latch gbuf152 g1904 0
+.latch g11566 g1944 0
+.latch gbuf153 g1949 0
+.latch g11569 g1950 0
+.latch gbuf154 g1951 0
+.latch g11570 g1952 0
+.latch gbuf155 g1953 0
+.latch g11571 g1954 0
+.latch gbuf156 g1945 0
+.latch g11567 g1946 0
+.latch gbuf157 g1947 0
+.latch g11568 g1948 0
+.latch gbuf158 g1870 0
+.latch g13441 g1855 0
+.latch gbuf159 g1862 0
+.latch gbuf160 g1866 0
+.latch g24374 g1867 0
+.latch g24375 g1868 0
+.latch g24376 g1869 0
+.latch g25161 g1836 0
+.latch g25153 g1839 0
+.latch g25154 g1842 0
+.latch g25158 g1858 0
+.latch g25159 g1859 0
+.latch g25160 g1860 0
+.latch g24371 g1861 0
+.latch g24372 g1865 0
+.latch g24373 g1845 0
+.latch g25155 g1846 0
+.latch g25156 g1849 0
+.latch g25157 g1852 0
+.latch g16472 g1908 0
+.latch gbuf161 g1915 0
+.latch gbuf162 g1922 0
+.latch g19045 g1923 0
+.latch gbuf163 g1924 0
+.latch g29445 g1928 0
+.latch g19046 g1929 0
+.latch gbuf164 g1880 0
+.latch g19047 g1938 0
+.latch gbuf165 g1939 0
+.latch g28271 g1956 0
+.latch g28272 g1957 0
+.latch g28273 g1955 0
+.latch g28274 g1959 0
+.latch g28275 g1960 0
+.latch g28276 g1958 0
+.latch g28277 g1962 0
+.latch g28278 g1963 0
+.latch g28279 g1961 0
+.latch g28280 g1965 0
+.latch g28281 g1966 0
+.latch g28282 g1964 0
+.latch g26003 g1967 0
+.latch g26004 g1970 0
+.latch g26005 g1973 0
+.latch g26006 g1976 0
+.latch g26007 g1979 0
+.latch g26008 g1982 0
+.latch g29151 g1994 0
+.latch g29152 g1997 0
+.latch g29153 g2000 0
+.latch g29148 g1985 0
+.latch g29149 g1988 0
+.latch g29150 g1991 0
+.latch g27224 g1871 0
+.latch g27225 g1874 0
+.latch g27226 g1877 0
+.latch g8302 g1886 0
+.latch g24377 g1887 0
+.latch g19041 g1888 0
+.latch g19042 g1889 0
+.latch g19043 g1894 0
+.latch g19044 g1895 0
+.latch g29444 g1896 0
+.latch g29443 g1897 0
+.latch g29442 g1898 0
+.latch g29441 g1899 0
+.latch g29440 g1900 0
+.latch gbuf166 g1905 0
+.latch g13442 g1909 0
+.latch g13443 g1910 0
+.latch g13444 g1911 0
+.latch g13445 g1912 0
+.latch g13446 g1913 0
+.latch g13447 g1914 0
+.latch g13448 g1916 0
+.latch g13449 g1917 0
+.latch g26002 g1918 0
+.latch g13450 g1921 0
+.latch g13452 g2003 0
+.latch gbuf167 g2006 0
+.latch gbuf168 g2009 0
+.latch g20566 g2010 0
+.latch g21945 g2039 0
+.latch g23237 g2020 0
+.latch g24378 g2013 0
+.latch g25162 g2033 0
+.latch g26009 g2026 0
+.latch g26725 g2040 0
+.latch g27227 g2052 0
+.latch g27706 g2046 0
+.latch g28283 g2059 0
+.latch g28687 g2066 0
+.latch g29154 g2072 0
+.latch g23238 g2079 0
+.latch g23239 g2080 0
+.latch g23240 g2078 0
+.latch g23241 g2082 0
+.latch g23242 g2083 0
+.latch g23243 g2081 0
+.latch g23244 g2085 0
+.latch g23245 g2086 0
+.latch g23246 g2084 0
+.latch g23247 g2088 0
+.latch g23248 g2089 0
+.latch g23249 g2087 0
+.latch g23250 g2091 0
+.latch g23251 g2092 0
+.latch g23252 g2090 0
+.latch g23253 g2094 0
+.latch g23254 g2095 0
+.latch g23255 g2093 0
+.latch g23256 g2097 0
+.latch g23257 g2098 0
+.latch g23258 g2096 0
+.latch g23259 g2100 0
+.latch g23260 g2101 0
+.latch g23261 g2099 0
+.latch g23262 g2103 0
+.latch g23263 g2104 0
+.latch g23264 g2102 0
+.latch g23265 g2106 0
+.latch g23266 g2107 0
+.latch g23267 g2105 0
+.latch g23268 g2109 0
+.latch g23269 g2110 0
+.latch g23270 g2108 0
+.latch g23271 g2112 0
+.latch g23272 g2113 0
+.latch g23273 g2111 0
+.latch g26726 g2115 0
+.latch g26727 g2116 0
+.latch g26728 g2114 0
+.latch g24379 g2118 0
+.latch g24380 g2119 0
+.latch g24381 g2117 0
+.latch g13453 g2214 0
+.latch gbuf169 g2211 0
+.latch gbuf170 g2241 0
+.latch g24382 g2206 0
+.latch g24383 g2207 0
+.latch g24384 g2205 0
+.latch g24385 g2209 0
+.latch g24386 g2210 0
+.latch g24387 g2208 0
+.latch g24388 g2218 0
+.latch g24389 g2219 0
+.latch g24390 g2217 0
+.latch g24391 g2221 0
+.latch g24392 g2222 0
+.latch g24393 g2220 0
+.latch g24394 g2224 0
+.latch g24395 g2225 0
+.latch g24396 g2223 0
+.latch g24397 g2227 0
+.latch g24398 g2228 0
+.latch g24399 g2226 0
+.latch g24400 g2230 0
+.latch g24401 g2231 0
+.latch g24402 g2229 0
+.latch g24403 g2233 0
+.latch g24404 g2234 0
+.latch g24405 g2232 0
+.latch g24406 g2236 0
+.latch g24407 g2237 0
+.latch g24408 g2235 0
+.latch g24409 g2239 0
+.latch g24410 g2240 0
+.latch g24411 g2238 0
+.latch g26730 g2245 0
+.latch g26731 g2246 0
+.latch g26732 g2244 0
+.latch g26733 g2248 0
+.latch g26734 g2249 0
+.latch g26735 g2247 0
+.latch g26736 g2251 0
+.latch g26737 g2252 0
+.latch g26738 g2250 0
+.latch g26739 g2254 0
+.latch g26740 g2255 0
+.latch g26741 g2253 0
+.latch g30551 g2261 0
+.latch g30552 g2264 0
+.latch g30553 g2267 0
+.latch g30896 g2306 0
+.latch g30897 g2309 0
+.latch g30898 g2312 0
+.latch g30890 g2270 0
+.latch g30891 g2273 0
+.latch g30892 g2276 0
+.latch g30899 g2315 0
+.latch g30900 g2318 0
+.latch g30901 g2321 0
+.latch g30554 g2279 0
+.latch g30555 g2282 0
+.latch g30556 g2285 0
+.latch g30560 g2324 0
+.latch g30561 g2327 0
+.latch g30562 g2330 0
+.latch g30557 g2288 0
+.latch g30558 g2291 0
+.latch g30559 g2294 0
+.latch g30563 g2333 0
+.latch g30564 g2336 0
+.latch g30565 g2339 0
+.latch g30893 g2297 0
+.latch g30894 g2300 0
+.latch g30895 g2303 0
+.latch g30902 g2342 0
+.latch g30903 g2345 0
+.latch g30904 g2348 0
+.latch g26010 g2160 0
+.latch g26729 g2156 0
+.latch g27228 g2151 0
+.latch g27707 g2147 0
+.latch g28284 g2142 0
+.latch g28688 g2138 0
+.latch g29155 g2133 0
+.latch g29446 g2129 0
+.latch g29648 g2124 0
+.latch g29806 g2120 0
+.latch g20567 g2256 0
+.latch gbuf171 g2258 0
+.latch gbuf172 g2257 0
+.latch g13454 g2351 0
+.latch gbuf173 g2480 0
+.latch gbuf174 g2476 0
+.latch g11577 g2384 0
+.latch g28285 g2429 0
+.latch g28286 g2418 0
+.latch g28287 g2421 0
+.latch g28288 g2444 0
+.latch g28289 g2433 0
+.latch g28290 g2436 0
+.latch g28291 g2459 0
+.latch g28292 g2448 0
+.latch g28293 g2451 0
+.latch g28294 g2473 0
+.latch g28295 g2463 0
+.latch g28296 g2466 0
+.latch g29447 g2483 0
+.latch g29448 g2486 0
+.latch g29449 g2489 0
+.latch g29652 g2492 0
+.latch g29653 g2495 0
+.latch g29654 g2498 0
+.latch g29450 g2502 0
+.latch g29451 g2503 0
+.latch g29452 g2501 0
+.latch g27708 g2504 0
+.latch g27709 g2507 0
+.latch g27710 g2510 0
+.latch g27711 g2513 0
+.latch g27712 g2516 0
+.latch g27713 g2519 0
+.latch g28689 g2523 0
+.latch g28690 g2524 0
+.latch g28691 g2522 0
+.latch g29807 g2387 0
+.latch g29808 g2388 0
+.latch g29809 g2389 0
+.latch g30905 g2390 0
+.latch g30906 g2391 0
+.latch g30907 g2392 0
+.latch g30719 g2393 0
+.latch g30720 g2394 0
+.latch g30721 g2395 0
+.latch g29649 g2397 0
+.latch g29650 g2398 0
+.latch g29651 g2396 0
+.latch g27230 g2478 0
+.latch g27231 g2479 0
+.latch g27232 g2477 0
+.latch g11590 g2525 0
+.latch gbuf175 g2526 0
+.latch g11591 g2527 0
+.latch gbuf176 g2528 0
+.latch g11592 g2529 0
+.latch gbuf177 g2354 0
+.latch g11572 g2355 0
+.latch gbuf178 g2356 0
+.latch g11573 g2357 0
+.latch gbuf179 g2358 0
+.latch g11574 g2359 0
+.latch gbuf180 g2360 0
+.latch g11575 g2361 0
+.latch gbuf181 g2362 0
+.latch g11576 g2363 0
+.latch gbuf182 g2364 0
+.latch g13455 g2365 0
+.latch gbuf183 g2366 0
+.latch g19048 g2374 0
+.latch g30314 g2380 0
+.latch g30315 g2383 0
+.latch g30316 g2372 0
+.latch g30317 g2371 0
+.latch g30318 g2370 0
+.latch g30319 g2369 0
+.latch g19052 g2379 0
+.latch g19051 g2378 0
+.latch g19050 g2377 0
+.latch g19049 g2376 0
+.latch g25163 g2375 0
+.latch g27229 g2373 0
+.latch g11578 g2417 0
+.latch gbuf184 g2424 0
+.latch g11579 g2425 0
+.latch gbuf185 g2426 0
+.latch g11580 g2427 0
+.latch gbuf186 g2428 0
+.latch g11581 g2432 0
+.latch gbuf187 g2439 0
+.latch g11582 g2440 0
+.latch gbuf188 g2441 0
+.latch g11583 g2442 0
+.latch gbuf189 g2443 0
+.latch g11584 g2447 0
+.latch gbuf190 g2454 0
+.latch g11585 g2455 0
+.latch gbuf191 g2456 0
+.latch g11586 g2457 0
+.latch gbuf192 g2458 0
+.latch g11587 g2462 0
+.latch gbuf193 g2469 0
+.latch g11588 g2470 0
+.latch gbuf194 g2471 0
+.latch g11589 g2472 0
+.latch gbuf195 g2399 0
+.latch g13456 g2400 0
+.latch gbuf196 g2406 0
+.latch gbuf197 g2412 0
+.latch g13467 g2619 0
+.latch gbuf198 g2625 0
+.latch gbuf199 g2624 0
+.latch g23274 g2628 0
+.latch g20568 g2631 0
+.latch g20569 g2584 0
+.latch g16473 g2587 0
+.latch gbuf200 g2597 0
+.latch gbuf201 g2598 0
+.latch g11593 g2638 0
+.latch gbuf202 g2643 0
+.latch g11596 g2644 0
+.latch gbuf203 g2645 0
+.latch g11597 g2646 0
+.latch gbuf204 g2647 0
+.latch g11598 g2648 0
+.latch gbuf205 g2639 0
+.latch g11594 g2640 0
+.latch gbuf206 g2641 0
+.latch g11595 g2642 0
+.latch gbuf207 g2564 0
+.latch g13457 g2549 0
+.latch gbuf208 g2556 0
+.latch gbuf209 g2560 0
+.latch g24415 g2561 0
+.latch g24416 g2562 0
+.latch g24417 g2563 0
+.latch g25172 g2530 0
+.latch g25164 g2533 0
+.latch g25165 g2536 0
+.latch g25169 g2552 0
+.latch g25170 g2553 0
+.latch g25171 g2554 0
+.latch g24412 g2555 0
+.latch g24413 g2559 0
+.latch g24414 g2539 0
+.latch g25166 g2540 0
+.latch g25167 g2543 0
+.latch g25168 g2546 0
+.latch g16474 g2602 0
+.latch gbuf210 g2609 0
+.latch gbuf211 g2616 0
+.latch g19057 g2617 0
+.latch gbuf212 g2618 0
+.latch g30325 g2622 0
+.latch g19058 g2623 0
+.latch gbuf213 g2574 0
+.latch g19059 g2632 0
+.latch gbuf214 g2633 0
+.latch g28297 g2650 0
+.latch g28298 g2651 0
+.latch g28299 g2649 0
+.latch g28300 g2653 0
+.latch g28301 g2654 0
+.latch g28302 g2652 0
+.latch g28303 g2656 0
+.latch g28304 g2657 0
+.latch g28305 g2655 0
+.latch g28306 g2659 0
+.latch g28307 g2660 0
+.latch g28308 g2658 0
+.latch g26012 g2661 0
+.latch g26013 g2664 0
+.latch g26014 g2667 0
+.latch g26015 g2670 0
+.latch g26016 g2673 0
+.latch g26017 g2676 0
+.latch g29159 g2688 0
+.latch g29160 g2691 0
+.latch g29161 g2694 0
+.latch g29156 g2679 0
+.latch g29157 g2682 0
+.latch g29158 g2685 0
+.latch g27233 g2565 0
+.latch g27234 g2568 0
+.latch g27235 g2571 0
+.latch g8311 g2580 0
+.latch g24418 g2581 0
+.latch g19053 g2582 0
+.latch g19054 g2583 0
+.latch g19055 g2588 0
+.latch g19056 g2589 0
+.latch g30324 g2590 0
+.latch g30323 g2591 0
+.latch g30322 g2592 0
+.latch g30321 g2593 0
+.latch g30320 g2594 0
+.latch gbuf215 g2599 0
+.latch g13458 g2603 0
+.latch g13459 g2604 0
+.latch g13460 g2605 0
+.latch g13461 g2606 0
+.latch g13462 g2607 0
+.latch g13463 g2608 0
+.latch g13464 g2610 0
+.latch g13465 g2611 0
+.latch g26011 g2612 0
+.latch g13466 g2615 0
+.latch g13468 g2697 0
+.latch gbuf216 g2700 0
+.latch gbuf217 g2703 0
+.latch g20570 g2704 0
+.latch g21946 g2733 0
+.latch g23275 g2714 0
+.latch g24419 g2707 0
+.latch g25173 g2727 0
+.latch g26018 g2720 0
+.latch g26742 g2734 0
+.latch g27236 g2746 0
+.latch g27714 g2740 0
+.latch g28309 g2753 0
+.latch g28692 g2760 0
+.latch g29162 g2766 0
+.latch g23276 g2773 0
+.latch g23277 g2774 0
+.latch g23278 g2772 0
+.latch g23279 g2776 0
+.latch g23280 g2777 0
+.latch g23281 g2775 0
+.latch g23282 g2779 0
+.latch g23283 g2780 0
+.latch g23284 g2778 0
+.latch g23285 g2782 0
+.latch g23286 g2783 0
+.latch g23287 g2781 0
+.latch g23288 g2785 0
+.latch g23289 g2786 0
+.latch g23290 g2784 0
+.latch g23291 g2788 0
+.latch g23292 g2789 0
+.latch g23293 g2787 0
+.latch g23294 g2791 0
+.latch g23295 g2792 0
+.latch g23296 g2790 0
+.latch g23297 g2794 0
+.latch g23298 g2795 0
+.latch g23299 g2793 0
+.latch g23300 g2797 0
+.latch g23301 g2798 0
+.latch g23302 g2796 0
+.latch g23303 g2800 0
+.latch g23304 g2801 0
+.latch g23305 g2799 0
+.latch g23306 g2803 0
+.latch g23307 g2804 0
+.latch g23308 g2802 0
+.latch g23309 g2806 0
+.latch g23310 g2807 0
+.latch g23311 g2805 0
+.latch g26743 g2809 0
+.latch g26744 g2810 0
+.latch g26745 g2808 0
+.latch g24420 g2812 0
+.latch g24421 g2813 0
+.latch g24422 g2811 0
+.latch g23317 g3054 0
+.latch g23318 g3079 0
+.latch g21965 g3080 0
+.latch g29453 g3043 0
+.latch g29454 g3044 0
+.latch g29455 g3045 0
+.latch g29456 g3046 0
+.latch g29457 g3047 0
+.latch g29458 g3048 0
+.latch g29459 g3049 0
+.latch g29460 g3050 0
+.latch g29655 g3051 0
+.latch g29972 g3052 0
+.latch g29973 g3053 0
+.latch g29974 g3055 0
+.latch g29975 g3056 0
+.latch g29976 g3057 0
+.latch g29977 g3058 0
+.latch g29978 g3059 0
+.latch g29979 g3060 0
+.latch g30119 g3061 0
+.latch g30908 g3062 0
+.latch g30909 g3063 0
+.latch g30910 g3064 0
+.latch g30911 g3065 0
+.latch g30912 g3066 0
+.latch g30913 g3067 0
+.latch g30914 g3068 0
+.latch g30915 g3069 0
+.latch g30940 g3070 0
+.latch g30980 g3071 0
+.latch g30981 g3072 0
+.latch g30982 g3073 0
+.latch g30983 g3074 0
+.latch g30984 g3075 0
+.latch g30985 g3076 0
+.latch g30986 g3077 0
+.latch g30987 g3078 0
+.latch g30989 g2997 0
+.latch g26748 g2993 0
+.latch g27238 g2998 0
+.latch g25177 g3006 0
+.latch g26021 g3002 0
+.latch g26750 g3013 0
+.latch g27239 g3010 0
+.latch g27716 g3024 0
+.latch g24425 g3018 0
+.latch g25176 g3028 0
+.latch g26022 g3036 0
+.latch g26749 g3032 0
+.latch g16497 g3040 0
+.latch gbuf218 g2986 0
+.latch g16495 g2987 0
+.latch g20595 g48 0
+.latch g20596 g45 0
+.latch g20597 g42 0
+.latch g20598 g39 0
+.latch g20599 g27 0
+.latch g20600 g30 0
+.latch g20601 g33 0
+.latch g20602 g36 0
+.latch g20603 g3083 0
+.latch g20604 g26 0
+.latch g21966 g2992 0
+.latch g20605 g23 0
+.latch g20606 g20 0
+.latch g20607 g17 0
+.latch g20608 g11 0
+.latch g20589 g14 0
+.latch g20590 g5 0
+.latch g20591 g8 0
+.latch g20592 g2 0
+.latch g20593 g2990 0
+.latch g21964 g2991 0
+.latch g20594 g1 0
+.names II13275 g3993
+0 1
+.names II13316 g4088
+0 1
+.names II13320 g4090
+0 1
+.names II13366 g4200
+0 1
+.names II13417 g4321
+0 1
+.names II13421 g4323
+0 1
+.names II13478 g4450
+0 1
+.names II13538 g4590
+0 1
+.names II13892 g5388
+0 1
+.names II13999 g5437
+0 1
+.names II14006 g5472
+0 1
+.names II14017 g5511
+0 1
+.names II14027 g5549
+0 1
+.names II14037 g5555
+0 1
+.names II14049 g5595
+0 1
+.names II14066 g5612
+0 1
+.names II14083 g5629
+0 1
+.names II14091 g5637
+0 1
+.names II14104 g5648
+0 1
+.names II14113 g5657
+0 1
+.names II14134 g5686
+0 1
+.names II14143 g5695
+0 1
+.names II14182 g5738
+0 1
+.names II14191 g5747
+0 1
+.names II14238 g5796
+0 1
+.names II14704 g6225
+0 1
+.names II14712 g6231
+0 1
+.names II14731 g6313
+0 1
+.names II14739 g6368
+0 1
+.names II14755 g6442
+0 1
+.names II14760 g6447
+0 1
+.names II14766 g6485
+0 1
+.names II14775 g6518
+0 1
+.names II14783 g6573
+0 1
+.names II14799 g6642
+0 1
+.names II14808 g6677
+0 1
+.names II14816 g6712
+0 1
+.names II14822 g6750
+0 1
+.names II14831 g6782
+0 1
+.names II14839 g6837
+0 1
+.names II14848 g6895
+0 1
+.names II14857 g6911
+0 1
+.names II14865 g6944
+0 1
+.names II14874 g6979
+0 1
+.names II14882 g7014
+0 1
+.names II14888 g7052
+0 1
+.names II14897 g7084
+0 1
+.names II14917 g7161
+0 1
+.names II14925 g7194
+0 1
+.names II14934 g7229
+0 1
+.names II14942 g7264
+0 1
+.names II14948 g7302
+0 1
+.names II14957 g7334
+0 1
+.names II14973 g7357
+0 1
+.names II14981 g7390
+0 1
+.names II14990 g7425
+0 1
+.names II15012 g7487
+0 1
+.names II15019 g7519
+0 1
+.names II15226 g7909
+0 1
+.names II15262 g7956
+0 1
+.names II15267 g7961
+0 1
+.names II15299 g8007
+0 1
+.names II15304 g8012
+0 1
+.names II15313 g8021
+0 1
+.names II15317 g8023
+0 1
+.names II15326 g8030
+0 1
+.names II15345 g8082
+0 1
+.names II15350 g8087
+0 1
+.names II15359 g8096
+0 1
+.names II15369 g8106
+0 1
+.names II15392 g8167
+0 1
+.names II15398 g8175
+0 1
+.names II15429 g8249
+0 1
+.names II15433 g8251
+0 1
+.names II15442 g8258
+0 1
+.names II15445 g8259
+0 1
+.names II15448 g8260
+0 1
+.names II15451 g8261
+0 1
+.names II15454 g8262
+0 1
+.names II15457 g8263
+0 1
+.names II15460 g8264
+0 1
+.names II15463 g8265
+0 1
+.names II15466 g8266
+0 1
+.names II15469 g8267
+0 1
+.names II15472 g8268
+0 1
+.names II15475 g8269
+0 1
+.names II15478 g8270
+0 1
+.names II15481 g8271
+0 1
+.names II15484 g8272
+0 1
+.names II15487 g8273
+0 1
+.names II15490 g8274
+0 1
+.names II15493 g8275
+0 1
+.names II22382 g16297
+0 1
+.names II22414 g16355
+0 1
+.names II22444 g16399
+0 1
+.names II22475 g16437
+0 1
+.names II22590 g16496
+0 1
+.names II32248 g24734
+0 1
+.names II33246 g25420
+0 1
+.names II33257 g25435
+0 1
+.names II33265 g25442
+0 1
+.names g24795 g16466 g25489
+1- 1
+-1 1
+.names II33999 g26104
+0 1
+.names II34029 g26135
+0 1
+.names II34041 g26149
+0 1
+.names II35708 g27380
+0 1
+.names II22527 g16475
+0 1
+.names II27038 g20571
+0 1
+.names II27089 g20588
+0 1
+.names II28479 g21951
+0 1
+.names II30398 g23315
+0 1
+.names II31943 g24423
+0 1
+.names II33003 g25175
+0 1
+.names II33909 g26019
+0 1
+.names II34848 g26747
+0 1
+.names II35548 g27237
+0 1
+.names II36156 g27715
+0 1
+.names II31946 g24424
+0 1
+.names II33000 g25174
+0 1
+.names II33912 g26020
+0 1
+.names II34845 g26746
+0 1
+.names II25246 g19061
+0 1
+.names II25243 g19060
+0 1
+.names II25249 g19062
+0 1
+.names g2930 gbuf1
+1 1
+.names II22584 g16494
+0 1
+.names II22530 g16476
+0 1
+.names II22533 g16477
+0 1
+.names II22536 g16478
+0 1
+.names II22539 g16479
+0 1
+.names II22542 g16480
+0 1
+.names II22545 g16481
+0 1
+.names II22548 g16482
+0 1
+.names II22551 g16483
+0 1
+.names II22554 g16484
+0 1
+.names II22557 g16485
+0 1
+.names II22560 g16486
+0 1
+.names II22563 g16487
+0 1
+.names II22566 g16488
+0 1
+.names II22569 g16489
+0 1
+.names II22572 g16490
+0 1
+.names II22575 g16491
+0 1
+.names II22578 g16492
+0 1
+.names II22581 g16493
+0 1
+.names II27041 g20572
+0 1
+.names II27044 g20573
+0 1
+.names II27047 g20574
+0 1
+.names II27050 g20575
+0 1
+.names II27053 g20576
+0 1
+.names II27056 g20577
+0 1
+.names II27059 g20578
+0 1
+.names II27062 g20579
+0 1
+.names II30392 g23313
+0 1
+.names II28506 g21960
+0 1
+.names g2861 gbuf2
+1 1
+.names II28509 g21961
+0 1
+.names g2864 gbuf3
+1 1
+.names II28512 g21962
+0 1
+.names g2867 gbuf4
+1 1
+.names II28515 g21963
+0 1
+.names g2870 gbuf5
+1 1
+.names II28467 g21947
+0 1
+.names g2818 gbuf6
+1 1
+.names II28470 g21948
+0 1
+.names g2821 gbuf7
+1 1
+.names II28473 g21949
+0 1
+.names g2824 gbuf8
+1 1
+.names II28476 g21950
+0 1
+.names g2827 gbuf9
+1 1
+.names II30389 g23312
+0 1
+.names g2830 gbuf10
+1 1
+.names II28482 g21952
+0 1
+.names g2833 gbuf11
+1 1
+.names II28485 g21953
+0 1
+.names g2836 gbuf12
+1 1
+.names II28488 g21954
+0 1
+.names g2839 gbuf13
+1 1
+.names II28491 g21955
+0 1
+.names g2842 gbuf14
+1 1
+.names II28494 g21956
+0 1
+.names g2845 gbuf15
+1 1
+.names II28497 g21957
+0 1
+.names g2848 gbuf16
+1 1
+.names II28500 g21958
+0 1
+.names g2851 gbuf17
+1 1
+.names II28503 g21959
+0 1
+.names g2854 gbuf18
+1 1
+.names II30401 g23316
+0 1
+.names g2858 gbuf19
+1 1
+.names II27086 g20587
+0 1
+.names II27080 g20585
+0 1
+.names II27083 g20586
+0 1
+.names II27077 g20584
+0 1
+.names II27074 g20583
+0 1
+.names II27071 g20582
+0 1
+.names II27068 g20581
+0 1
+.names II27065 g20580
+0 1
+.names II30395 g23314
+0 1
+.names II20709 g13475
+0 1
+.names g3129 gbuf20
+1 1
+.names g3117 gbuf21
+1 1
+.names II27215 g20630
+0 1
+.names II27218 g20631
+0 1
+.names II27221 g20632
+0 1
+.names II27152 g20609
+0 1
+.names II27155 g20610
+0 1
+.names II27158 g20611
+0 1
+.names II27161 g20612
+0 1
+.names II27164 g20613
+0 1
+.names II27167 g20614
+0 1
+.names II27170 g20615
+0 1
+.names II27173 g20616
+0 1
+.names II27176 g20617
+0 1
+.names II34860 g26751
+0 1
+.names II34863 g26752
+0 1
+.names II34866 g26753
+0 1
+.names II38232 g29163
+0 1
+.names II38235 g29164
+0 1
+.names II38238 g29165
+0 1
+.names II39625 g30120
+0 1
+.names II39628 g30121
+0 1
+.names II39631 g30122
+0 1
+.names II41047 g30941
+0 1
+.names II41050 g30942
+0 1
+.names II41053 g30943
+0 1
+.names II27179 g20618
+0 1
+.names II27182 g20619
+0 1
+.names II27185 g20620
+0 1
+.names II27188 g20621
+0 1
+.names II27191 g20622
+0 1
+.names II27194 g20623
+0 1
+.names II27197 g20624
+0 1
+.names II27200 g20625
+0 1
+.names II27203 g20626
+0 1
+.names II27206 g20627
+0 1
+.names II27209 g20628
+0 1
+.names II27212 g20629
+0 1
+.names II36162 g27717
+0 1
+.names II37197 g28316
+0 1
+.names II37200 g28317
+0 1
+.names II37203 g28318
+0 1
+.names II37659 g28704
+0 1
+.names II37662 g28705
+0 1
+.names II37665 g28706
+0 1
+.names II38770 g29463
+0 1
+.names II39086 g29656
+0 1
+.names II37641 g28698
+0 1
+.names II38241 g29166
+0 1
+.names II37638 g28697
+0 1
+.names II37194 g28315
+0 1
+.names II37635 g28696
+0 1
+.names II37191 g28314
+0 1
+.names II37188 g28313
+0 1
+.names II37632 g28695
+0 1
+.names II37629 g28694
+0 1
+.names II37626 g28693
+0 1
+.names II37185 g28312
+0 1
+.names II37182 g28311
+0 1
+.names II37179 g28310
+0 1
+.names II38764 g29461
+0 1
+.names II37650 g28701
+0 1
+.names II37647 g28700
+0 1
+.names II37644 g28699
+0 1
+.names II38767 g29462
+0 1
+.names II37656 g28703
+0 1
+.names II37653 g28702
+0 1
+.names II39089 g29657
+0 1
+.names II20514 g13405
+0 1
+.names g138 gbuf22
+1 1
+.names g135 gbuf23
+1 1
+.names II31451 g24259
+0 1
+.names II31454 g24260
+0 1
+.names II31457 g24261
+0 1
+.names II31460 g24262
+0 1
+.names II31463 g24263
+0 1
+.names II31466 g24264
+0 1
+.names II31469 g24265
+0 1
+.names II31472 g24266
+0 1
+.names II31475 g24267
+0 1
+.names II31478 g24268
+0 1
+.names II31481 g24269
+0 1
+.names II31484 g24270
+0 1
+.names II31487 g24271
+0 1
+.names II31490 g24272
+0 1
+.names II31493 g24273
+0 1
+.names II31496 g24274
+0 1
+.names II31499 g24275
+0 1
+.names II31502 g24276
+0 1
+.names II31505 g24277
+0 1
+.names II31508 g24278
+0 1
+.names II31511 g24279
+0 1
+.names II31514 g24280
+0 1
+.names II31517 g24281
+0 1
+.names II31520 g24282
+0 1
+.names II31523 g24283
+0 1
+.names II31526 g24284
+0 1
+.names II31529 g24285
+0 1
+.names II31532 g24286
+0 1
+.names II31535 g24287
+0 1
+.names II31538 g24288
+0 1
+.names II34644 g26679
+0 1
+.names II34647 g26680
+0 1
+.names II34650 g26681
+0 1
+.names II34653 g26682
+0 1
+.names II34656 g26683
+0 1
+.names II34659 g26684
+0 1
+.names II34662 g26685
+0 1
+.names II34665 g26686
+0 1
+.names II34668 g26687
+0 1
+.names II34671 g26688
+0 1
+.names II34674 g26689
+0 1
+.names II34677 g26690
+0 1
+.names II40098 g30506
+0 1
+.names II40101 g30507
+0 1
+.names II40104 g30508
+0 1
+.names II40778 g30842
+0 1
+.names II40781 g30843
+0 1
+.names II40784 g30844
+0 1
+.names II40760 g30836
+0 1
+.names II40763 g30837
+0 1
+.names II40766 g30838
+0 1
+.names II40787 g30845
+0 1
+.names II40790 g30846
+0 1
+.names II40793 g30847
+0 1
+.names II40107 g30509
+0 1
+.names II40110 g30510
+0 1
+.names II40113 g30511
+0 1
+.names II40125 g30515
+0 1
+.names II40128 g30516
+0 1
+.names II40131 g30517
+0 1
+.names II40116 g30512
+0 1
+.names II40119 g30513
+0 1
+.names II40122 g30514
+0 1
+.names II40134 g30518
+0 1
+.names II40137 g30519
+0 1
+.names II40140 g30520
+0 1
+.names II40769 g30839
+0 1
+.names II40772 g30840
+0 1
+.names II40775 g30841
+0 1
+.names II40796 g30848
+0 1
+.names II40799 g30849
+0 1
+.names II40802 g30850
+0 1
+.names II33801 g25983
+0 1
+.names II34641 g26678
+0 1
+.names II35404 g27189
+0 1
+.names II36060 g27683
+0 1
+.names II36867 g28206
+0 1
+.names II37566 g28673
+0 1
+.names II38136 g29131
+0 1
+.names II38620 g29413
+0 1
+.names II38999 g29627
+0 1
+.names II39234 g29794
+0 1
+.names II26990 g20555
+0 1
+.names g180 gbuf24
+1 1
+.names g182 gbuf25
+1 1
+.names II20517 g13406
+0 1
+.names g276 gbuf26
+1 1
+.names g405 gbuf27
+1 1
+.names II18464 g11496
+0 1
+.names II36870 g28207
+0 1
+.names II36873 g28208
+0 1
+.names II36876 g28209
+0 1
+.names II36879 g28210
+0 1
+.names II36882 g28211
+0 1
+.names II36885 g28212
+0 1
+.names II36888 g28213
+0 1
+.names II36891 g28214
+0 1
+.names II36894 g28215
+0 1
+.names II36897 g28216
+0 1
+.names II36900 g28217
+0 1
+.names II36903 g28218
+0 1
+.names II38623 g29414
+0 1
+.names II38626 g29415
+0 1
+.names II38629 g29416
+0 1
+.names II39011 g29631
+0 1
+.names II39014 g29632
+0 1
+.names II39017 g29633
+0 1
+.names II38632 g29417
+0 1
+.names II38635 g29418
+0 1
+.names II38638 g29419
+0 1
+.names II36063 g27684
+0 1
+.names II36066 g27685
+0 1
+.names II36069 g27686
+0 1
+.names II36072 g27687
+0 1
+.names II36075 g27688
+0 1
+.names II36078 g27689
+0 1
+.names II37569 g28674
+0 1
+.names II37572 g28675
+0 1
+.names II37575 g28676
+0 1
+.names II39237 g29795
+0 1
+.names II39240 g29796
+0 1
+.names II39243 g29797
+0 1
+.names II40805 g30851
+0 1
+.names II40808 g30852
+0 1
+.names II40811 g30853
+0 1
+.names II40420 g30710
+0 1
+.names II40423 g30711
+0 1
+.names II40426 g30712
+0 1
+.names II39002 g29628
+0 1
+.names II39005 g29629
+0 1
+.names II39008 g29630
+0 1
+.names II35410 g27191
+0 1
+.names II35413 g27192
+0 1
+.names II35416 g27193
+0 1
+.names II18503 g11509
+0 1
+.names g450 gbuf28
+1 1
+.names II18506 g11510
+0 1
+.names g452 gbuf29
+1 1
+.names II18509 g11511
+0 1
+.names g454 gbuf30
+1 1
+.names II18449 g11491
+0 1
+.names g280 gbuf31
+1 1
+.names II18452 g11492
+0 1
+.names g282 gbuf32
+1 1
+.names II18455 g11493
+0 1
+.names g284 gbuf33
+1 1
+.names II18458 g11494
+0 1
+.names g286 gbuf34
+1 1
+.names II18461 g11495
+0 1
+.names g288 gbuf35
+1 1
+.names II20520 g13407
+0 1
+.names g290 gbuf36
+1 1
+.names II25099 g19012
+0 1
+.names II29897 g23148
+0 1
+.names II29900 g23149
+0 1
+.names II29903 g23150
+0 1
+.names II29906 g23151
+0 1
+.names II29909 g23152
+0 1
+.names II29912 g23153
+0 1
+.names II25111 g19016
+0 1
+.names II25108 g19015
+0 1
+.names II25105 g19014
+0 1
+.names II25102 g19013
+0 1
+.names II32868 g25130
+0 1
+.names II35407 g27190
+0 1
+.names II18467 g11497
+0 1
+.names g342 gbuf37
+1 1
+.names II18470 g11498
+0 1
+.names g350 gbuf38
+1 1
+.names II18473 g11499
+0 1
+.names g352 gbuf39
+1 1
+.names II18476 g11500
+0 1
+.names g357 gbuf40
+1 1
+.names II18479 g11501
+0 1
+.names g365 gbuf41
+1 1
+.names II18482 g11502
+0 1
+.names g367 gbuf42
+1 1
+.names II18485 g11503
+0 1
+.names g372 gbuf43
+1 1
+.names II18488 g11504
+0 1
+.names g380 gbuf44
+1 1
+.names II18491 g11505
+0 1
+.names g382 gbuf45
+1 1
+.names II18494 g11506
+0 1
+.names g387 gbuf46
+1 1
+.names II18497 g11507
+0 1
+.names g395 gbuf47
+1 1
+.names II18500 g11508
+0 1
+.names g397 gbuf48
+1 1
+.names II20523 g13408
+0 1
+.names g325 gbuf49
+1 1
+.names g331 gbuf50
+1 1
+.names II20556 g13419
+0 1
+.names g545 gbuf51
+1 1
+.names g551 gbuf52
+1 1
+.names II29933 g23160
+0 1
+.names II26993 g20556
+0 1
+.names II26996 g20557
+0 1
+.names II22503 g16467
+0 1
+.names g513 gbuf53
+1 1
+.names g523 gbuf54
+1 1
+.names II18512 g11512
+0 1
+.names g564 gbuf55
+1 1
+.names II18521 g11515
+0 1
+.names g570 gbuf56
+1 1
+.names II18524 g11516
+0 1
+.names g572 gbuf57
+1 1
+.names II18527 g11517
+0 1
+.names g574 gbuf58
+1 1
+.names II18515 g11513
+0 1
+.names g566 gbuf59
+1 1
+.names II18518 g11514
+0 1
+.names g568 gbuf60
+1 1
+.names II20526 g13409
+0 1
+.names g474 gbuf61
+1 1
+.names g481 gbuf62
+1 1
+.names II31550 g24292
+0 1
+.names II31553 g24293
+0 1
+.names II31556 g24294
+0 1
+.names II32895 g25139
+0 1
+.names II32871 g25131
+0 1
+.names II32874 g25132
+0 1
+.names II32886 g25136
+0 1
+.names II32889 g25137
+0 1
+.names II32892 g25138
+0 1
+.names II31541 g24289
+0 1
+.names II31544 g24290
+0 1
+.names II31547 g24291
+0 1
+.names II32877 g25133
+0 1
+.names II32880 g25134
+0 1
+.names II32883 g25135
+0 1
+.names II22506 g16468
+0 1
+.names g528 gbuf63
+1 1
+.names g535 gbuf64
+1 1
+.names II25126 g19021
+0 1
+.names g543 gbuf65
+1 1
+.names II29930 g23159
+0 1
+.names II25129 g19022
+0 1
+.names g549 gbuf66
+1 1
+.names II25132 g19023
+0 1
+.names g558 gbuf67
+1 1
+.names II36906 g28219
+0 1
+.names II36909 g28220
+0 1
+.names II36912 g28221
+0 1
+.names II36915 g28222
+0 1
+.names II36918 g28223
+0 1
+.names II36921 g28224
+0 1
+.names II36924 g28225
+0 1
+.names II36927 g28226
+0 1
+.names II36930 g28227
+0 1
+.names II36933 g28228
+0 1
+.names II36936 g28229
+0 1
+.names II36939 g28230
+0 1
+.names II33807 g25985
+0 1
+.names II33810 g25986
+0 1
+.names II33813 g25987
+0 1
+.names II33816 g25988
+0 1
+.names II33819 g25989
+0 1
+.names II33822 g25990
+0 1
+.names II38148 g29135
+0 1
+.names II38151 g29136
+0 1
+.names II38154 g29137
+0 1
+.names II38139 g29132
+0 1
+.names II38142 g29133
+0 1
+.names II38145 g29134
+0 1
+.names II35419 g27194
+0 1
+.names II35422 g27195
+0 1
+.names II35425 g27196
+0 1
+.names II15499 g8284
+0 1
+.names II31559 g24295
+0 1
+.names II25114 g19017
+0 1
+.names II25117 g19018
+0 1
+.names II25120 g19019
+0 1
+.names II25123 g19020
+0 1
+.names II29927 g23158
+0 1
+.names II29924 g23157
+0 1
+.names II29921 g23156
+0 1
+.names II29918 g23155
+0 1
+.names II29915 g23154
+0 1
+.names g520 gbuf68
+1 1
+.names II20529 g13410
+0 1
+.names II20532 g13411
+0 1
+.names II20535 g13412
+0 1
+.names II20538 g13413
+0 1
+.names II20541 g13414
+0 1
+.names II20544 g13415
+0 1
+.names II20547 g13416
+0 1
+.names II20550 g13417
+0 1
+.names II33804 g25984
+0 1
+.names II20553 g13418
+0 1
+.names II20559 g13420
+0 1
+.names g623 gbuf69
+1 1
+.names g626 gbuf70
+1 1
+.names II26999 g20558
+0 1
+.names II28455 g21943
+0 1
+.names II29936 g23161
+0 1
+.names II31562 g24296
+0 1
+.names II32898 g25140
+0 1
+.names II33825 g25991
+0 1
+.names II34680 g26691
+0 1
+.names II35428 g27197
+0 1
+.names II36081 g27690
+0 1
+.names II36942 g28231
+0 1
+.names II37578 g28677
+0 1
+.names II38157 g29138
+0 1
+.names II29939 g23162
+0 1
+.names II29942 g23163
+0 1
+.names II29945 g23164
+0 1
+.names II29948 g23165
+0 1
+.names II29951 g23166
+0 1
+.names II29954 g23167
+0 1
+.names II29957 g23168
+0 1
+.names II29960 g23169
+0 1
+.names II29963 g23170
+0 1
+.names II29966 g23171
+0 1
+.names II29969 g23172
+0 1
+.names II29972 g23173
+0 1
+.names II29975 g23174
+0 1
+.names II29978 g23175
+0 1
+.names II29981 g23176
+0 1
+.names II29984 g23177
+0 1
+.names II29987 g23178
+0 1
+.names II29990 g23179
+0 1
+.names II29993 g23180
+0 1
+.names II29996 g23181
+0 1
+.names II29999 g23182
+0 1
+.names II30002 g23183
+0 1
+.names II30005 g23184
+0 1
+.names II30008 g23185
+0 1
+.names II30011 g23186
+0 1
+.names II30014 g23187
+0 1
+.names II30017 g23188
+0 1
+.names II30020 g23189
+0 1
+.names II30023 g23190
+0 1
+.names II30026 g23191
+0 1
+.names II30029 g23192
+0 1
+.names II30032 g23193
+0 1
+.names II30035 g23194
+0 1
+.names II30038 g23195
+0 1
+.names II30041 g23196
+0 1
+.names II30044 g23197
+0 1
+.names II34683 g26692
+0 1
+.names II34686 g26693
+0 1
+.names II34689 g26694
+0 1
+.names II31565 g24297
+0 1
+.names II31568 g24298
+0 1
+.names II31571 g24299
+0 1
+.names II20562 g13421
+0 1
+.names g826 gbuf71
+1 1
+.names g823 gbuf72
+1 1
+.names II31574 g24300
+0 1
+.names II31577 g24301
+0 1
+.names II31580 g24302
+0 1
+.names II31583 g24303
+0 1
+.names II31586 g24304
+0 1
+.names II31589 g24305
+0 1
+.names II31592 g24306
+0 1
+.names II31595 g24307
+0 1
+.names II31598 g24308
+0 1
+.names II31601 g24309
+0 1
+.names II31604 g24310
+0 1
+.names II31607 g24311
+0 1
+.names II31610 g24312
+0 1
+.names II31613 g24313
+0 1
+.names II31616 g24314
+0 1
+.names II31619 g24315
+0 1
+.names II31622 g24316
+0 1
+.names II31625 g24317
+0 1
+.names II31628 g24318
+0 1
+.names II31631 g24319
+0 1
+.names II31634 g24320
+0 1
+.names II31637 g24321
+0 1
+.names II31640 g24322
+0 1
+.names II31643 g24323
+0 1
+.names II31646 g24324
+0 1
+.names II31649 g24325
+0 1
+.names II31652 g24326
+0 1
+.names II31655 g24327
+0 1
+.names II31658 g24328
+0 1
+.names II31661 g24329
+0 1
+.names II34695 g26696
+0 1
+.names II34698 g26697
+0 1
+.names II34701 g26698
+0 1
+.names II34704 g26699
+0 1
+.names II34707 g26700
+0 1
+.names II34710 g26701
+0 1
+.names II34713 g26702
+0 1
+.names II34716 g26703
+0 1
+.names II34719 g26704
+0 1
+.names II34722 g26705
+0 1
+.names II34725 g26706
+0 1
+.names II34728 g26707
+0 1
+.names II40143 g30521
+0 1
+.names II40146 g30522
+0 1
+.names II40149 g30523
+0 1
+.names II40832 g30860
+0 1
+.names II40835 g30861
+0 1
+.names II40838 g30862
+0 1
+.names II40814 g30854
+0 1
+.names II40817 g30855
+0 1
+.names II40820 g30856
+0 1
+.names II40841 g30863
+0 1
+.names II40844 g30864
+0 1
+.names II40847 g30865
+0 1
+.names II40152 g30524
+0 1
+.names II40155 g30525
+0 1
+.names II40158 g30526
+0 1
+.names II40170 g30530
+0 1
+.names II40173 g30531
+0 1
+.names II40176 g30532
+0 1
+.names II40161 g30527
+0 1
+.names II40164 g30528
+0 1
+.names II40167 g30529
+0 1
+.names II40179 g30533
+0 1
+.names II40182 g30534
+0 1
+.names II40185 g30535
+0 1
+.names II40823 g30857
+0 1
+.names II40826 g30858
+0 1
+.names II40829 g30859
+0 1
+.names II40850 g30866
+0 1
+.names II40853 g30867
+0 1
+.names II40856 g30868
+0 1
+.names II33828 g25992
+0 1
+.names II34692 g26695
+0 1
+.names II35431 g27198
+0 1
+.names II36084 g27691
+0 1
+.names II36945 g28232
+0 1
+.names II37581 g28678
+0 1
+.names II38160 g29139
+0 1
+.names II38641 g29420
+0 1
+.names II39020 g29634
+0 1
+.names II39246 g29798
+0 1
+.names II27002 g20559
+0 1
+.names g868 gbuf73
+1 1
+.names g870 gbuf74
+1 1
+.names II20565 g13422
+0 1
+.names g963 gbuf75
+1 1
+.names g1092 gbuf76
+1 1
+.names II18545 g11523
+0 1
+.names II36948 g28233
+0 1
+.names II36951 g28234
+0 1
+.names II36954 g28235
+0 1
+.names II36957 g28236
+0 1
+.names II36960 g28237
+0 1
+.names II36963 g28238
+0 1
+.names II36966 g28239
+0 1
+.names II36969 g28240
+0 1
+.names II36972 g28241
+0 1
+.names II36975 g28242
+0 1
+.names II36978 g28243
+0 1
+.names II36981 g28244
+0 1
+.names II38644 g29421
+0 1
+.names II38647 g29422
+0 1
+.names II38650 g29423
+0 1
+.names II39032 g29638
+0 1
+.names II39035 g29639
+0 1
+.names II39038 g29640
+0 1
+.names II38653 g29424
+0 1
+.names II38656 g29425
+0 1
+.names II38659 g29426
+0 1
+.names II36087 g27692
+0 1
+.names II36090 g27693
+0 1
+.names II36093 g27694
+0 1
+.names II36096 g27695
+0 1
+.names II36099 g27696
+0 1
+.names II36102 g27697
+0 1
+.names II37584 g28679
+0 1
+.names II37587 g28680
+0 1
+.names II37590 g28681
+0 1
+.names II39249 g29799
+0 1
+.names II39252 g29800
+0 1
+.names II39255 g29801
+0 1
+.names II40859 g30869
+0 1
+.names II40862 g30870
+0 1
+.names II40865 g30871
+0 1
+.names II40429 g30713
+0 1
+.names II40432 g30714
+0 1
+.names II40435 g30715
+0 1
+.names II39023 g29635
+0 1
+.names II39026 g29636
+0 1
+.names II39029 g29637
+0 1
+.names II35455 g27206
+0 1
+.names II35458 g27207
+0 1
+.names II35461 g27208
+0 1
+.names II18584 g11536
+0 1
+.names g1137 gbuf77
+1 1
+.names II18587 g11537
+0 1
+.names g1139 gbuf78
+1 1
+.names II18590 g11538
+0 1
+.names g1141 gbuf79
+1 1
+.names II18530 g11518
+0 1
+.names g967 gbuf80
+1 1
+.names II18533 g11519
+0 1
+.names g969 gbuf81
+1 1
+.names II18536 g11520
+0 1
+.names g971 gbuf82
+1 1
+.names II18539 g11521
+0 1
+.names g973 gbuf83
+1 1
+.names II18542 g11522
+0 1
+.names g975 gbuf84
+1 1
+.names II20568 g13423
+0 1
+.names g977 gbuf85
+1 1
+.names II25135 g19024
+0 1
+.names II35437 g27200
+0 1
+.names II35440 g27201
+0 1
+.names II35443 g27202
+0 1
+.names II35446 g27203
+0 1
+.names II35449 g27204
+0 1
+.names II35452 g27205
+0 1
+.names II25147 g19028
+0 1
+.names II25144 g19027
+0 1
+.names II25141 g19026
+0 1
+.names II25138 g19025
+0 1
+.names II32901 g25141
+0 1
+.names II35434 g27199
+0 1
+.names II18548 g11524
+0 1
+.names g1029 gbuf86
+1 1
+.names II18551 g11525
+0 1
+.names g1037 gbuf87
+1 1
+.names II18554 g11526
+0 1
+.names g1039 gbuf88
+1 1
+.names II18557 g11527
+0 1
+.names g1044 gbuf89
+1 1
+.names II18560 g11528
+0 1
+.names g1052 gbuf90
+1 1
+.names II18563 g11529
+0 1
+.names g1054 gbuf91
+1 1
+.names II18566 g11530
+0 1
+.names g1059 gbuf92
+1 1
+.names II18569 g11531
+0 1
+.names g1067 gbuf93
+1 1
+.names II18572 g11532
+0 1
+.names g1069 gbuf94
+1 1
+.names II18575 g11533
+0 1
+.names g1074 gbuf95
+1 1
+.names II18578 g11534
+0 1
+.names g1082 gbuf96
+1 1
+.names II18581 g11535
+0 1
+.names g1084 gbuf97
+1 1
+.names II20571 g13424
+0 1
+.names g1012 gbuf98
+1 1
+.names g1018 gbuf99
+1 1
+.names II20604 g13435
+0 1
+.names g1231 gbuf100
+1 1
+.names g1237 gbuf101
+1 1
+.names II30047 g23198
+0 1
+.names II27005 g20560
+0 1
+.names II27008 g20561
+0 1
+.names II22509 g16469
+0 1
+.names g1199 gbuf102
+1 1
+.names g1209 gbuf103
+1 1
+.names II18593 g11539
+0 1
+.names g1250 gbuf104
+1 1
+.names II18602 g11542
+0 1
+.names g1256 gbuf105
+1 1
+.names II18605 g11543
+0 1
+.names g1258 gbuf106
+1 1
+.names II18608 g11544
+0 1
+.names g1260 gbuf107
+1 1
+.names II18596 g11540
+0 1
+.names g1252 gbuf108
+1 1
+.names II18599 g11541
+0 1
+.names g1254 gbuf109
+1 1
+.names II20574 g13425
+0 1
+.names g1161 gbuf110
+1 1
+.names g1168 gbuf111
+1 1
+.names II31673 g24333
+0 1
+.names II31676 g24334
+0 1
+.names II31679 g24335
+0 1
+.names II32928 g25150
+0 1
+.names II32904 g25142
+0 1
+.names II32907 g25143
+0 1
+.names II32919 g25147
+0 1
+.names II32922 g25148
+0 1
+.names II32925 g25149
+0 1
+.names II31664 g24330
+0 1
+.names II31667 g24331
+0 1
+.names II31670 g24332
+0 1
+.names II32910 g25144
+0 1
+.names II32913 g25145
+0 1
+.names II32916 g25146
+0 1
+.names II22512 g16470
+0 1
+.names g1214 gbuf112
+1 1
+.names g1221 gbuf113
+1 1
+.names II25162 g19033
+0 1
+.names g1229 gbuf114
+1 1
+.names II35488 g27217
+0 1
+.names II25165 g19034
+0 1
+.names g1235 gbuf115
+1 1
+.names II25168 g19035
+0 1
+.names g1244 gbuf116
+1 1
+.names II36984 g28245
+0 1
+.names II36987 g28246
+0 1
+.names II36990 g28247
+0 1
+.names II36993 g28248
+0 1
+.names II36996 g28249
+0 1
+.names II36999 g28250
+0 1
+.names II37002 g28251
+0 1
+.names II37005 g28252
+0 1
+.names II37008 g28253
+0 1
+.names II37011 g28254
+0 1
+.names II37014 g28255
+0 1
+.names II37017 g28256
+0 1
+.names II33834 g25994
+0 1
+.names II33837 g25995
+0 1
+.names II33840 g25996
+0 1
+.names II33843 g25997
+0 1
+.names II33846 g25998
+0 1
+.names II33849 g25999
+0 1
+.names II38172 g29143
+0 1
+.names II38175 g29144
+0 1
+.names II38178 g29145
+0 1
+.names II38163 g29140
+0 1
+.names II38166 g29141
+0 1
+.names II38169 g29142
+0 1
+.names II35464 g27209
+0 1
+.names II35467 g27210
+0 1
+.names II35470 g27211
+0 1
+.names II15505 g8293
+0 1
+.names II31682 g24336
+0 1
+.names II25150 g19029
+0 1
+.names II25153 g19030
+0 1
+.names II25156 g19031
+0 1
+.names II25159 g19032
+0 1
+.names II35485 g27216
+0 1
+.names II35482 g27215
+0 1
+.names II35479 g27214
+0 1
+.names II35476 g27213
+0 1
+.names II35473 g27212
+0 1
+.names g1206 gbuf117
+1 1
+.names II20577 g13426
+0 1
+.names II20580 g13427
+0 1
+.names II20583 g13428
+0 1
+.names II20586 g13429
+0 1
+.names II20589 g13430
+0 1
+.names II20592 g13431
+0 1
+.names II20595 g13432
+0 1
+.names II20598 g13433
+0 1
+.names II33831 g25993
+0 1
+.names II20601 g13434
+0 1
+.names II20607 g13436
+0 1
+.names g1309 gbuf118
+1 1
+.names g1312 gbuf119
+1 1
+.names II27011 g20562
+0 1
+.names II28458 g21944
+0 1
+.names II30050 g23199
+0 1
+.names II31685 g24337
+0 1
+.names II32931 g25151
+0 1
+.names II33852 g26000
+0 1
+.names II34731 g26708
+0 1
+.names II35491 g27218
+0 1
+.names II36105 g27698
+0 1
+.names II37020 g28257
+0 1
+.names II37593 g28682
+0 1
+.names II38181 g29146
+0 1
+.names II30053 g23200
+0 1
+.names II30056 g23201
+0 1
+.names II30059 g23202
+0 1
+.names II30062 g23203
+0 1
+.names II30065 g23204
+0 1
+.names II30068 g23205
+0 1
+.names II30071 g23206
+0 1
+.names II30074 g23207
+0 1
+.names II30077 g23208
+0 1
+.names II30080 g23209
+0 1
+.names II30083 g23210
+0 1
+.names II30086 g23211
+0 1
+.names II30089 g23212
+0 1
+.names II30092 g23213
+0 1
+.names II30095 g23214
+0 1
+.names II30098 g23215
+0 1
+.names II30101 g23216
+0 1
+.names II30104 g23217
+0 1
+.names II30107 g23218
+0 1
+.names II30110 g23219
+0 1
+.names II30113 g23220
+0 1
+.names II30116 g23221
+0 1
+.names II30119 g23222
+0 1
+.names II30122 g23223
+0 1
+.names II30125 g23224
+0 1
+.names II30128 g23225
+0 1
+.names II30131 g23226
+0 1
+.names II30134 g23227
+0 1
+.names II30137 g23228
+0 1
+.names II30140 g23229
+0 1
+.names II30143 g23230
+0 1
+.names II30146 g23231
+0 1
+.names II30149 g23232
+0 1
+.names II30152 g23233
+0 1
+.names II30155 g23234
+0 1
+.names II30158 g23235
+0 1
+.names II34734 g26709
+0 1
+.names II34737 g26710
+0 1
+.names II34740 g26711
+0 1
+.names II31688 g24338
+0 1
+.names II31691 g24339
+0 1
+.names II31694 g24340
+0 1
+.names II20610 g13437
+0 1
+.names g1520 gbuf120
+1 1
+.names g1517 gbuf121
+1 1
+.names II31697 g24341
+0 1
+.names II31700 g24342
+0 1
+.names II31703 g24343
+0 1
+.names II31706 g24344
+0 1
+.names II31709 g24345
+0 1
+.names II31712 g24346
+0 1
+.names II31715 g24347
+0 1
+.names II31718 g24348
+0 1
+.names II31721 g24349
+0 1
+.names II31724 g24350
+0 1
+.names II31727 g24351
+0 1
+.names II31730 g24352
+0 1
+.names II31733 g24353
+0 1
+.names II31736 g24354
+0 1
+.names II31739 g24355
+0 1
+.names II31742 g24356
+0 1
+.names II31745 g24357
+0 1
+.names II31748 g24358
+0 1
+.names II31751 g24359
+0 1
+.names II31754 g24360
+0 1
+.names II31757 g24361
+0 1
+.names II31760 g24362
+0 1
+.names II31763 g24363
+0 1
+.names II31766 g24364
+0 1
+.names II31769 g24365
+0 1
+.names II31772 g24366
+0 1
+.names II31775 g24367
+0 1
+.names II31778 g24368
+0 1
+.names II31781 g24369
+0 1
+.names II31784 g24370
+0 1
+.names II34746 g26713
+0 1
+.names II34749 g26714
+0 1
+.names II34752 g26715
+0 1
+.names II34755 g26716
+0 1
+.names II34758 g26717
+0 1
+.names II34761 g26718
+0 1
+.names II34764 g26719
+0 1
+.names II34767 g26720
+0 1
+.names II34770 g26721
+0 1
+.names II34773 g26722
+0 1
+.names II34776 g26723
+0 1
+.names II34779 g26724
+0 1
+.names II40188 g30536
+0 1
+.names II40191 g30537
+0 1
+.names II40194 g30538
+0 1
+.names II40886 g30878
+0 1
+.names II40889 g30879
+0 1
+.names II40892 g30880
+0 1
+.names II40868 g30872
+0 1
+.names II40871 g30873
+0 1
+.names II40874 g30874
+0 1
+.names II40895 g30881
+0 1
+.names II40898 g30882
+0 1
+.names II40901 g30883
+0 1
+.names II40197 g30539
+0 1
+.names II40200 g30540
+0 1
+.names II40203 g30541
+0 1
+.names II40215 g30545
+0 1
+.names II40218 g30546
+0 1
+.names II40221 g30547
+0 1
+.names II40206 g30542
+0 1
+.names II40209 g30543
+0 1
+.names II40212 g30544
+0 1
+.names II40224 g30548
+0 1
+.names II40227 g30549
+0 1
+.names II40230 g30550
+0 1
+.names II40877 g30875
+0 1
+.names II40880 g30876
+0 1
+.names II40883 g30877
+0 1
+.names II40904 g30884
+0 1
+.names II40907 g30885
+0 1
+.names II40910 g30886
+0 1
+.names II33855 g26001
+0 1
+.names II34743 g26712
+0 1
+.names II35494 g27219
+0 1
+.names II36108 g27699
+0 1
+.names II37023 g28258
+0 1
+.names II37596 g28683
+0 1
+.names II38184 g29147
+0 1
+.names II38662 g29427
+0 1
+.names II39041 g29641
+0 1
+.names II39258 g29802
+0 1
+.names II27014 g20563
+0 1
+.names g1562 gbuf122
+1 1
+.names g1564 gbuf123
+1 1
+.names II20613 g13438
+0 1
+.names g1657 gbuf124
+1 1
+.names g1786 gbuf125
+1 1
+.names II18626 g11550
+0 1
+.names II37026 g28259
+0 1
+.names II37029 g28260
+0 1
+.names II37032 g28261
+0 1
+.names II37035 g28262
+0 1
+.names II37038 g28263
+0 1
+.names II37041 g28264
+0 1
+.names II37044 g28265
+0 1
+.names II37047 g28266
+0 1
+.names II37050 g28267
+0 1
+.names II37053 g28268
+0 1
+.names II37056 g28269
+0 1
+.names II37059 g28270
+0 1
+.names II38683 g29434
+0 1
+.names II38686 g29435
+0 1
+.names II38689 g29436
+0 1
+.names II39053 g29645
+0 1
+.names II39056 g29646
+0 1
+.names II39059 g29647
+0 1
+.names II38692 g29437
+0 1
+.names II38695 g29438
+0 1
+.names II38698 g29439
+0 1
+.names II36111 g27700
+0 1
+.names II36114 g27701
+0 1
+.names II36117 g27702
+0 1
+.names II36120 g27703
+0 1
+.names II36123 g27704
+0 1
+.names II36126 g27705
+0 1
+.names II37599 g28684
+0 1
+.names II37602 g28685
+0 1
+.names II37605 g28686
+0 1
+.names II39261 g29803
+0 1
+.names II39264 g29804
+0 1
+.names II39267 g29805
+0 1
+.names II40913 g30887
+0 1
+.names II40916 g30888
+0 1
+.names II40919 g30889
+0 1
+.names II40438 g30716
+0 1
+.names II40441 g30717
+0 1
+.names II40444 g30718
+0 1
+.names II39044 g29642
+0 1
+.names II39047 g29643
+0 1
+.names II39050 g29644
+0 1
+.names II35500 g27221
+0 1
+.names II35503 g27222
+0 1
+.names II35506 g27223
+0 1
+.names II18665 g11563
+0 1
+.names g1831 gbuf126
+1 1
+.names II18668 g11564
+0 1
+.names g1833 gbuf127
+1 1
+.names II18671 g11565
+0 1
+.names g1835 gbuf128
+1 1
+.names II18611 g11545
+0 1
+.names g1661 gbuf129
+1 1
+.names II18614 g11546
+0 1
+.names g1663 gbuf130
+1 1
+.names II18617 g11547
+0 1
+.names g1665 gbuf131
+1 1
+.names II18620 g11548
+0 1
+.names g1667 gbuf132
+1 1
+.names II18623 g11549
+0 1
+.names g1669 gbuf133
+1 1
+.names II20616 g13439
+0 1
+.names g1671 gbuf134
+1 1
+.names II25171 g19036
+0 1
+.names II38665 g29428
+0 1
+.names II38668 g29429
+0 1
+.names II38671 g29430
+0 1
+.names II38674 g29431
+0 1
+.names II38677 g29432
+0 1
+.names II38680 g29433
+0 1
+.names II25183 g19040
+0 1
+.names II25180 g19039
+0 1
+.names II25177 g19038
+0 1
+.names II25174 g19037
+0 1
+.names II32934 g25152
+0 1
+.names II35497 g27220
+0 1
+.names II18629 g11551
+0 1
+.names g1723 gbuf135
+1 1
+.names II18632 g11552
+0 1
+.names g1731 gbuf136
+1 1
+.names II18635 g11553
+0 1
+.names g1733 gbuf137
+1 1
+.names II18638 g11554
+0 1
+.names g1738 gbuf138
+1 1
+.names II18641 g11555
+0 1
+.names g1746 gbuf139
+1 1
+.names II18644 g11556
+0 1
+.names g1748 gbuf140
+1 1
+.names II18647 g11557
+0 1
+.names g1753 gbuf141
+1 1
+.names II18650 g11558
+0 1
+.names g1761 gbuf142
+1 1
+.names II18653 g11559
+0 1
+.names g1763 gbuf143
+1 1
+.names II18656 g11560
+0 1
+.names g1768 gbuf144
+1 1
+.names II18659 g11561
+0 1
+.names g1776 gbuf145
+1 1
+.names II18662 g11562
+0 1
+.names g1778 gbuf146
+1 1
+.names II20619 g13440
+0 1
+.names g1706 gbuf147
+1 1
+.names g1712 gbuf148
+1 1
+.names II20652 g13451
+0 1
+.names g1925 gbuf149
+1 1
+.names g1931 gbuf150
+1 1
+.names II30161 g23236
+0 1
+.names II27017 g20564
+0 1
+.names II27020 g20565
+0 1
+.names II22515 g16471
+0 1
+.names g1893 gbuf151
+1 1
+.names g1903 gbuf152
+1 1
+.names II18674 g11566
+0 1
+.names g1944 gbuf153
+1 1
+.names II18683 g11569
+0 1
+.names g1950 gbuf154
+1 1
+.names II18686 g11570
+0 1
+.names g1952 gbuf155
+1 1
+.names II18689 g11571
+0 1
+.names g1954 gbuf156
+1 1
+.names II18677 g11567
+0 1
+.names g1946 gbuf157
+1 1
+.names II18680 g11568
+0 1
+.names g1948 gbuf158
+1 1
+.names II20622 g13441
+0 1
+.names g1855 gbuf159
+1 1
+.names g1862 gbuf160
+1 1
+.names II31796 g24374
+0 1
+.names II31799 g24375
+0 1
+.names II31802 g24376
+0 1
+.names II32961 g25161
+0 1
+.names II32937 g25153
+0 1
+.names II32940 g25154
+0 1
+.names II32952 g25158
+0 1
+.names II32955 g25159
+0 1
+.names II32958 g25160
+0 1
+.names II31787 g24371
+0 1
+.names II31790 g24372
+0 1
+.names II31793 g24373
+0 1
+.names II32943 g25155
+0 1
+.names II32946 g25156
+0 1
+.names II32949 g25157
+0 1
+.names II22518 g16472
+0 1
+.names g1908 gbuf161
+1 1
+.names g1915 gbuf162
+1 1
+.names II25198 g19045
+0 1
+.names g1923 gbuf163
+1 1
+.names II38716 g29445
+0 1
+.names II25201 g19046
+0 1
+.names g1929 gbuf164
+1 1
+.names II25204 g19047
+0 1
+.names g1938 gbuf165
+1 1
+.names II37062 g28271
+0 1
+.names II37065 g28272
+0 1
+.names II37068 g28273
+0 1
+.names II37071 g28274
+0 1
+.names II37074 g28275
+0 1
+.names II37077 g28276
+0 1
+.names II37080 g28277
+0 1
+.names II37083 g28278
+0 1
+.names II37086 g28279
+0 1
+.names II37089 g28280
+0 1
+.names II37092 g28281
+0 1
+.names II37095 g28282
+0 1
+.names II33861 g26003
+0 1
+.names II33864 g26004
+0 1
+.names II33867 g26005
+0 1
+.names II33870 g26006
+0 1
+.names II33873 g26007
+0 1
+.names II33876 g26008
+0 1
+.names II38196 g29151
+0 1
+.names II38199 g29152
+0 1
+.names II38202 g29153
+0 1
+.names II38187 g29148
+0 1
+.names II38190 g29149
+0 1
+.names II38193 g29150
+0 1
+.names II35509 g27224
+0 1
+.names II35512 g27225
+0 1
+.names II35515 g27226
+0 1
+.names II15511 g8302
+0 1
+.names II31805 g24377
+0 1
+.names II25186 g19041
+0 1
+.names II25189 g19042
+0 1
+.names II25192 g19043
+0 1
+.names II25195 g19044
+0 1
+.names II38713 g29444
+0 1
+.names II38710 g29443
+0 1
+.names II38707 g29442
+0 1
+.names II38704 g29441
+0 1
+.names II38701 g29440
+0 1
+.names g1900 gbuf166
+1 1
+.names II20625 g13442
+0 1
+.names II20628 g13443
+0 1
+.names II20631 g13444
+0 1
+.names II20634 g13445
+0 1
+.names II20637 g13446
+0 1
+.names II20640 g13447
+0 1
+.names II20643 g13448
+0 1
+.names II20646 g13449
+0 1
+.names II33858 g26002
+0 1
+.names II20649 g13450
+0 1
+.names II20655 g13452
+0 1
+.names g2003 gbuf167
+1 1
+.names g2006 gbuf168
+1 1
+.names II27023 g20566
+0 1
+.names II28461 g21945
+0 1
+.names II30164 g23237
+0 1
+.names II31808 g24378
+0 1
+.names II32964 g25162
+0 1
+.names II33879 g26009
+0 1
+.names II34782 g26725
+0 1
+.names II35518 g27227
+0 1
+.names II36129 g27706
+0 1
+.names II37098 g28283
+0 1
+.names II37608 g28687
+0 1
+.names II38205 g29154
+0 1
+.names II30167 g23238
+0 1
+.names II30170 g23239
+0 1
+.names II30173 g23240
+0 1
+.names II30176 g23241
+0 1
+.names II30179 g23242
+0 1
+.names II30182 g23243
+0 1
+.names II30185 g23244
+0 1
+.names II30188 g23245
+0 1
+.names II30191 g23246
+0 1
+.names II30194 g23247
+0 1
+.names II30197 g23248
+0 1
+.names II30200 g23249
+0 1
+.names II30203 g23250
+0 1
+.names II30206 g23251
+0 1
+.names II30209 g23252
+0 1
+.names II30212 g23253
+0 1
+.names II30215 g23254
+0 1
+.names II30218 g23255
+0 1
+.names II30221 g23256
+0 1
+.names II30224 g23257
+0 1
+.names II30227 g23258
+0 1
+.names II30230 g23259
+0 1
+.names II30233 g23260
+0 1
+.names II30236 g23261
+0 1
+.names II30239 g23262
+0 1
+.names II30242 g23263
+0 1
+.names II30245 g23264
+0 1
+.names II30248 g23265
+0 1
+.names II30251 g23266
+0 1
+.names II30254 g23267
+0 1
+.names II30257 g23268
+0 1
+.names II30260 g23269
+0 1
+.names II30263 g23270
+0 1
+.names II30266 g23271
+0 1
+.names II30269 g23272
+0 1
+.names II30272 g23273
+0 1
+.names II34785 g26726
+0 1
+.names II34788 g26727
+0 1
+.names II34791 g26728
+0 1
+.names II31811 g24379
+0 1
+.names II31814 g24380
+0 1
+.names II31817 g24381
+0 1
+.names II20658 g13453
+0 1
+.names g2214 gbuf169
+1 1
+.names g2211 gbuf170
+1 1
+.names II31820 g24382
+0 1
+.names II31823 g24383
+0 1
+.names II31826 g24384
+0 1
+.names II31829 g24385
+0 1
+.names II31832 g24386
+0 1
+.names II31835 g24387
+0 1
+.names II31838 g24388
+0 1
+.names II31841 g24389
+0 1
+.names II31844 g24390
+0 1
+.names II31847 g24391
+0 1
+.names II31850 g24392
+0 1
+.names II31853 g24393
+0 1
+.names II31856 g24394
+0 1
+.names II31859 g24395
+0 1
+.names II31862 g24396
+0 1
+.names II31865 g24397
+0 1
+.names II31868 g24398
+0 1
+.names II31871 g24399
+0 1
+.names II31874 g24400
+0 1
+.names II31877 g24401
+0 1
+.names II31880 g24402
+0 1
+.names II31883 g24403
+0 1
+.names II31886 g24404
+0 1
+.names II31889 g24405
+0 1
+.names II31892 g24406
+0 1
+.names II31895 g24407
+0 1
+.names II31898 g24408
+0 1
+.names II31901 g24409
+0 1
+.names II31904 g24410
+0 1
+.names II31907 g24411
+0 1
+.names II34797 g26730
+0 1
+.names II34800 g26731
+0 1
+.names II34803 g26732
+0 1
+.names II34806 g26733
+0 1
+.names II34809 g26734
+0 1
+.names II34812 g26735
+0 1
+.names II34815 g26736
+0 1
+.names II34818 g26737
+0 1
+.names II34821 g26738
+0 1
+.names II34824 g26739
+0 1
+.names II34827 g26740
+0 1
+.names II34830 g26741
+0 1
+.names II40233 g30551
+0 1
+.names II40236 g30552
+0 1
+.names II40239 g30553
+0 1
+.names II40940 g30896
+0 1
+.names II40943 g30897
+0 1
+.names II40946 g30898
+0 1
+.names II40922 g30890
+0 1
+.names II40925 g30891
+0 1
+.names II40928 g30892
+0 1
+.names II40949 g30899
+0 1
+.names II40952 g30900
+0 1
+.names II40955 g30901
+0 1
+.names II40242 g30554
+0 1
+.names II40245 g30555
+0 1
+.names II40248 g30556
+0 1
+.names II40260 g30560
+0 1
+.names II40263 g30561
+0 1
+.names II40266 g30562
+0 1
+.names II40251 g30557
+0 1
+.names II40254 g30558
+0 1
+.names II40257 g30559
+0 1
+.names II40269 g30563
+0 1
+.names II40272 g30564
+0 1
+.names II40275 g30565
+0 1
+.names II40931 g30893
+0 1
+.names II40934 g30894
+0 1
+.names II40937 g30895
+0 1
+.names II40958 g30902
+0 1
+.names II40961 g30903
+0 1
+.names II40964 g30904
+0 1
+.names II33882 g26010
+0 1
+.names II34794 g26729
+0 1
+.names II35521 g27228
+0 1
+.names II36132 g27707
+0 1
+.names II37101 g28284
+0 1
+.names II37611 g28688
+0 1
+.names II38208 g29155
+0 1
+.names II38719 g29446
+0 1
+.names II39062 g29648
+0 1
+.names II39270 g29806
+0 1
+.names II27026 g20567
+0 1
+.names g2256 gbuf171
+1 1
+.names g2258 gbuf172
+1 1
+.names II20661 g13454
+0 1
+.names g2351 gbuf173
+1 1
+.names g2480 gbuf174
+1 1
+.names II18707 g11577
+0 1
+.names II37104 g28285
+0 1
+.names II37107 g28286
+0 1
+.names II37110 g28287
+0 1
+.names II37113 g28288
+0 1
+.names II37116 g28289
+0 1
+.names II37119 g28290
+0 1
+.names II37122 g28291
+0 1
+.names II37125 g28292
+0 1
+.names II37128 g28293
+0 1
+.names II37131 g28294
+0 1
+.names II37134 g28295
+0 1
+.names II37137 g28296
+0 1
+.names II38722 g29447
+0 1
+.names II38725 g29448
+0 1
+.names II38728 g29449
+0 1
+.names II39074 g29652
+0 1
+.names II39077 g29653
+0 1
+.names II39080 g29654
+0 1
+.names II38731 g29450
+0 1
+.names II38734 g29451
+0 1
+.names II38737 g29452
+0 1
+.names II36135 g27708
+0 1
+.names II36138 g27709
+0 1
+.names II36141 g27710
+0 1
+.names II36144 g27711
+0 1
+.names II36147 g27712
+0 1
+.names II36150 g27713
+0 1
+.names II37614 g28689
+0 1
+.names II37617 g28690
+0 1
+.names II37620 g28691
+0 1
+.names II39273 g29807
+0 1
+.names II39276 g29808
+0 1
+.names II39279 g29809
+0 1
+.names II40967 g30905
+0 1
+.names II40970 g30906
+0 1
+.names II40973 g30907
+0 1
+.names II40447 g30719
+0 1
+.names II40450 g30720
+0 1
+.names II40453 g30721
+0 1
+.names II39065 g29649
+0 1
+.names II39068 g29650
+0 1
+.names II39071 g29651
+0 1
+.names II35527 g27230
+0 1
+.names II35530 g27231
+0 1
+.names II35533 g27232
+0 1
+.names II18746 g11590
+0 1
+.names g2525 gbuf175
+1 1
+.names II18749 g11591
+0 1
+.names g2527 gbuf176
+1 1
+.names II18752 g11592
+0 1
+.names g2529 gbuf177
+1 1
+.names II18692 g11572
+0 1
+.names g2355 gbuf178
+1 1
+.names II18695 g11573
+0 1
+.names g2357 gbuf179
+1 1
+.names II18698 g11574
+0 1
+.names g2359 gbuf180
+1 1
+.names II18701 g11575
+0 1
+.names g2361 gbuf181
+1 1
+.names II18704 g11576
+0 1
+.names g2363 gbuf182
+1 1
+.names II20664 g13455
+0 1
+.names g2365 gbuf183
+1 1
+.names II25207 g19048
+0 1
+.names II39785 g30314
+0 1
+.names II39788 g30315
+0 1
+.names II39791 g30316
+0 1
+.names II39794 g30317
+0 1
+.names II39797 g30318
+0 1
+.names II39800 g30319
+0 1
+.names II25219 g19052
+0 1
+.names II25216 g19051
+0 1
+.names II25213 g19050
+0 1
+.names II25210 g19049
+0 1
+.names II32967 g25163
+0 1
+.names II35524 g27229
+0 1
+.names II18710 g11578
+0 1
+.names g2417 gbuf184
+1 1
+.names II18713 g11579
+0 1
+.names g2425 gbuf185
+1 1
+.names II18716 g11580
+0 1
+.names g2427 gbuf186
+1 1
+.names II18719 g11581
+0 1
+.names g2432 gbuf187
+1 1
+.names II18722 g11582
+0 1
+.names g2440 gbuf188
+1 1
+.names II18725 g11583
+0 1
+.names g2442 gbuf189
+1 1
+.names II18728 g11584
+0 1
+.names g2447 gbuf190
+1 1
+.names II18731 g11585
+0 1
+.names g2455 gbuf191
+1 1
+.names II18734 g11586
+0 1
+.names g2457 gbuf192
+1 1
+.names II18737 g11587
+0 1
+.names g2462 gbuf193
+1 1
+.names II18740 g11588
+0 1
+.names g2470 gbuf194
+1 1
+.names II18743 g11589
+0 1
+.names g2472 gbuf195
+1 1
+.names II20667 g13456
+0 1
+.names g2400 gbuf196
+1 1
+.names g2406 gbuf197
+1 1
+.names II20700 g13467
+0 1
+.names g2619 gbuf198
+1 1
+.names g2625 gbuf199
+1 1
+.names II30275 g23274
+0 1
+.names II27029 g20568
+0 1
+.names II27032 g20569
+0 1
+.names II22521 g16473
+0 1
+.names g2587 gbuf200
+1 1
+.names g2597 gbuf201
+1 1
+.names II18755 g11593
+0 1
+.names g2638 gbuf202
+1 1
+.names II18764 g11596
+0 1
+.names g2644 gbuf203
+1 1
+.names II18767 g11597
+0 1
+.names g2646 gbuf204
+1 1
+.names II18770 g11598
+0 1
+.names g2648 gbuf205
+1 1
+.names II18758 g11594
+0 1
+.names g2640 gbuf206
+1 1
+.names II18761 g11595
+0 1
+.names g2642 gbuf207
+1 1
+.names II20670 g13457
+0 1
+.names g2549 gbuf208
+1 1
+.names g2556 gbuf209
+1 1
+.names II31919 g24415
+0 1
+.names II31922 g24416
+0 1
+.names II31925 g24417
+0 1
+.names II32994 g25172
+0 1
+.names II32970 g25164
+0 1
+.names II32973 g25165
+0 1
+.names II32985 g25169
+0 1
+.names II32988 g25170
+0 1
+.names II32991 g25171
+0 1
+.names II31910 g24412
+0 1
+.names II31913 g24413
+0 1
+.names II31916 g24414
+0 1
+.names II32976 g25166
+0 1
+.names II32979 g25167
+0 1
+.names II32982 g25168
+0 1
+.names II22524 g16474
+0 1
+.names g2602 gbuf210
+1 1
+.names g2609 gbuf211
+1 1
+.names II25234 g19057
+0 1
+.names g2617 gbuf212
+1 1
+.names II39818 g30325
+0 1
+.names II25237 g19058
+0 1
+.names g2623 gbuf213
+1 1
+.names II25240 g19059
+0 1
+.names g2632 gbuf214
+1 1
+.names II37140 g28297
+0 1
+.names II37143 g28298
+0 1
+.names II37146 g28299
+0 1
+.names II37149 g28300
+0 1
+.names II37152 g28301
+0 1
+.names II37155 g28302
+0 1
+.names II37158 g28303
+0 1
+.names II37161 g28304
+0 1
+.names II37164 g28305
+0 1
+.names II37167 g28306
+0 1
+.names II37170 g28307
+0 1
+.names II37173 g28308
+0 1
+.names II33888 g26012
+0 1
+.names II33891 g26013
+0 1
+.names II33894 g26014
+0 1
+.names II33897 g26015
+0 1
+.names II33900 g26016
+0 1
+.names II33903 g26017
+0 1
+.names II38220 g29159
+0 1
+.names II38223 g29160
+0 1
+.names II38226 g29161
+0 1
+.names II38211 g29156
+0 1
+.names II38214 g29157
+0 1
+.names II38217 g29158
+0 1
+.names II35536 g27233
+0 1
+.names II35539 g27234
+0 1
+.names II35542 g27235
+0 1
+.names II15517 g8311
+0 1
+.names II31928 g24418
+0 1
+.names II25222 g19053
+0 1
+.names II25225 g19054
+0 1
+.names II25228 g19055
+0 1
+.names II25231 g19056
+0 1
+.names II39815 g30324
+0 1
+.names II39812 g30323
+0 1
+.names II39809 g30322
+0 1
+.names II39806 g30321
+0 1
+.names II39803 g30320
+0 1
+.names g2594 gbuf215
+1 1
+.names II20673 g13458
+0 1
+.names II20676 g13459
+0 1
+.names II20679 g13460
+0 1
+.names II20682 g13461
+0 1
+.names II20685 g13462
+0 1
+.names II20688 g13463
+0 1
+.names II20691 g13464
+0 1
+.names II20694 g13465
+0 1
+.names II33885 g26011
+0 1
+.names II20697 g13466
+0 1
+.names II20703 g13468
+0 1
+.names g2697 gbuf216
+1 1
+.names g2700 gbuf217
+1 1
+.names II27035 g20570
+0 1
+.names II28464 g21946
+0 1
+.names II30278 g23275
+0 1
+.names II31931 g24419
+0 1
+.names II32997 g25173
+0 1
+.names II33906 g26018
+0 1
+.names II34833 g26742
+0 1
+.names II35545 g27236
+0 1
+.names II36153 g27714
+0 1
+.names II37176 g28309
+0 1
+.names II37623 g28692
+0 1
+.names II38229 g29162
+0 1
+.names II30281 g23276
+0 1
+.names II30284 g23277
+0 1
+.names II30287 g23278
+0 1
+.names II30290 g23279
+0 1
+.names II30293 g23280
+0 1
+.names II30296 g23281
+0 1
+.names II30299 g23282
+0 1
+.names II30302 g23283
+0 1
+.names II30305 g23284
+0 1
+.names II30308 g23285
+0 1
+.names II30311 g23286
+0 1
+.names II30314 g23287
+0 1
+.names II30317 g23288
+0 1
+.names II30320 g23289
+0 1
+.names II30323 g23290
+0 1
+.names II30326 g23291
+0 1
+.names II30329 g23292
+0 1
+.names II30332 g23293
+0 1
+.names II30335 g23294
+0 1
+.names II30338 g23295
+0 1
+.names II30341 g23296
+0 1
+.names II30344 g23297
+0 1
+.names II30347 g23298
+0 1
+.names II30350 g23299
+0 1
+.names II30353 g23300
+0 1
+.names II30356 g23301
+0 1
+.names II30359 g23302
+0 1
+.names II30362 g23303
+0 1
+.names II30365 g23304
+0 1
+.names II30368 g23305
+0 1
+.names II30371 g23306
+0 1
+.names II30374 g23307
+0 1
+.names II30377 g23308
+0 1
+.names II30380 g23309
+0 1
+.names II30383 g23310
+0 1
+.names II30386 g23311
+0 1
+.names II34836 g26743
+0 1
+.names II34839 g26744
+0 1
+.names II34842 g26745
+0 1
+.names II31934 g24420
+0 1
+.names II31937 g24421
+0 1
+.names II31940 g24422
+0 1
+.names II30404 g23317
+0 1
+.names II30407 g23318
+0 1
+.names II28521 g21965
+0 1
+.names II38740 g29453
+0 1
+.names II38743 g29454
+0 1
+.names II38746 g29455
+0 1
+.names II38749 g29456
+0 1
+.names II38752 g29457
+0 1
+.names II38755 g29458
+0 1
+.names II38758 g29459
+0 1
+.names II38761 g29460
+0 1
+.names II39083 g29655
+0 1
+.names II39454 g29972
+0 1
+.names II39457 g29973
+0 1
+.names II39460 g29974
+0 1
+.names II39463 g29975
+0 1
+.names II39466 g29976
+0 1
+.names II39469 g29977
+0 1
+.names II39472 g29978
+0 1
+.names II39475 g29979
+0 1
+.names II39622 g30119
+0 1
+.names II40976 g30908
+0 1
+.names II40979 g30909
+0 1
+.names II40982 g30910
+0 1
+.names II40985 g30911
+0 1
+.names II40988 g30912
+0 1
+.names II40991 g30913
+0 1
+.names II40994 g30914
+0 1
+.names II40997 g30915
+0 1
+.names II41044 g30940
+0 1
+.names II41114 g30980
+0 1
+.names II41117 g30981
+0 1
+.names II41120 g30982
+0 1
+.names II41123 g30983
+0 1
+.names II41126 g30984
+0 1
+.names II41129 g30985
+0 1
+.names II41132 g30986
+0 1
+.names II41135 g30987
+0 1
+.names II41141 g30989
+0 1
+.names II34851 g26748
+0 1
+.names II35551 g27238
+0 1
+.names II33009 g25177
+0 1
+.names II33915 g26021
+0 1
+.names II34857 g26750
+0 1
+.names II35554 g27239
+0 1
+.names II36159 g27716
+0 1
+.names II31949 g24425
+0 1
+.names II33006 g25176
+0 1
+.names II33918 g26022
+0 1
+.names II34854 g26749
+0 1
+.names II22593 g16497
+0 1
+.names g3040 gbuf218
+1 1
+.names II22587 g16495
+0 1
+.names II27110 g20595
+0 1
+.names II27113 g20596
+0 1
+.names II27116 g20597
+0 1
+.names II27119 g20598
+0 1
+.names II27122 g20599
+0 1
+.names II27125 g20600
+0 1
+.names II27128 g20601
+0 1
+.names II27131 g20602
+0 1
+.names II27134 g20603
+0 1
+.names II27137 g20604
+0 1
+.names II28524 g21966
+0 1
+.names II27140 g20605
+0 1
+.names II27143 g20606
+0 1
+.names II27146 g20607
+0 1
+.names II27149 g20608
+0 1
+.names II27092 g20589
+0 1
+.names II27095 g20590
+0 1
+.names II27098 g20591
+0 1
+.names II27101 g20592
+0 1
+.names II27104 g20593
+0 1
+.names II28518 g21964
+0 1
+.names II27107 g20594
+0 1
+.names g563 II13089
+0 1
+.names II13089 g562
+0 1
+.names g1249 II13092
+0 1
+.names II13092 g1248
+0 1
+.names g1943 II13095
+0 1
+.names II13095 g1942
+0 1
+.names g2637 II13098
+0 1
+.names II13098 g2636
+0 1
+.names g1 II13101
+0 1
+.names II13101 g3235
+0 1
+.names g2 II13104
+0 1
+.names II13104 g3236
+0 1
+.names g5 II13107
+0 1
+.names II13107 g3237
+0 1
+.names g8 II13110
+0 1
+.names II13110 g3238
+0 1
+.names g11 II13113
+0 1
+.names II13113 g3239
+0 1
+.names g14 II13116
+0 1
+.names II13116 g3240
+0 1
+.names g17 II13119
+0 1
+.names II13119 g3241
+0 1
+.names g20 II13122
+0 1
+.names II13122 g3242
+0 1
+.names g23 II13125
+0 1
+.names II13125 g3243
+0 1
+.names g26 II13128
+0 1
+.names II13128 g3244
+0 1
+.names g27 II13131
+0 1
+.names II13131 g3245
+0 1
+.names g30 II13134
+0 1
+.names II13134 g3246
+0 1
+.names g33 II13137
+0 1
+.names II13137 g3247
+0 1
+.names g36 II13140
+0 1
+.names II13140 g3248
+0 1
+.names g39 II13143
+0 1
+.names II13143 g3249
+0 1
+.names g42 II13146
+0 1
+.names II13146 g3250
+0 1
+.names g45 II13149
+0 1
+.names II13149 g3251
+0 1
+.names g48 II13152
+0 1
+.names II13152 g3252
+0 1
+.names g51 II13155
+0 1
+.names II13155 g3253
+0 1
+.names g165 II13158
+0 1
+.names II13158 g3254
+0 1
+.names g308 II13161
+0 1
+.names II13161 g3304
+0 1
+.names g305 g3305
+0 1
+.names g401 II13165
+0 1
+.names II13165 g3306
+0 1
+.names g309 g3337
+0 1
+.names g550 II13169
+0 1
+.names II13169 g3338
+0 1
+.names g499 g3365
+0 1
+.names g629 II13173
+0 1
+.names II13173 g3366
+0 1
+.names g630 II13176
+0 1
+.names II13176 g3398
+0 1
+.names g853 II13179
+0 1
+.names II13179 g3410
+0 1
+.names g995 II13182
+0 1
+.names II13182 g3460
+0 1
+.names g992 g3461
+0 1
+.names g1088 II13186
+0 1
+.names II13186 g3462
+0 1
+.names g996 g3493
+0 1
+.names g1236 II13190
+0 1
+.names II13190 g3494
+0 1
+.names g1186 g3521
+0 1
+.names g1315 II13194
+0 1
+.names II13194 g3522
+0 1
+.names g1316 II13197
+0 1
+.names II13197 g3554
+0 1
+.names g1547 II13200
+0 1
+.names II13200 g3566
+0 1
+.names g1689 II13203
+0 1
+.names II13203 g3616
+0 1
+.names g1686 g3617
+0 1
+.names g1782 II13207
+0 1
+.names II13207 g3618
+0 1
+.names g1690 g3649
+0 1
+.names g1930 II13211
+0 1
+.names II13211 g3650
+0 1
+.names g1880 g3677
+0 1
+.names g2009 II13215
+0 1
+.names II13215 g3678
+0 1
+.names g2010 II13218
+0 1
+.names II13218 g3710
+0 1
+.names g2241 II13221
+0 1
+.names II13221 g3722
+0 1
+.names g2383 II13224
+0 1
+.names II13224 g3772
+0 1
+.names g2380 g3773
+0 1
+.names g2476 II13228
+0 1
+.names II13228 g3774
+0 1
+.names g2384 g3805
+0 1
+.names g2624 II13232
+0 1
+.names II13232 g3806
+0 1
+.names g2574 g3833
+0 1
+.names g2703 II13236
+0 1
+.names II13236 g3834
+0 1
+.names g2704 II13239
+0 1
+.names II13239 g3866
+0 1
+.names g2879 II13242
+0 1
+.names II13242 g3878
+0 1
+.names g2950 g3897
+0 1
+.names g2987 II13246
+0 1
+.names II13246 g3900
+0 1
+.names g3080 g3919
+0 1
+.names g150 g3922
+0 1
+.names g155 g3925
+0 1
+.names g157 g3928
+0 1
+.names g171 g3931
+0 1
+.names g176 g3934
+0 1
+.names g178 g3937
+0 1
+.names g408 g3940
+0 1
+.names g455 g3941
+0 1
+.names g699 g3942
+0 1
+.names g726 g3945
+0 1
+.names g835 g3948
+0 1
+.names g840 g3951
+0 1
+.names g842 g3954
+0 1
+.names g856 g3957
+0 1
+.names g861 g3960
+0 1
+.names g863 g3963
+0 1
+.names g1526 g3966
+0 1
+.names g1531 g3969
+0 1
+.names g1533 g3972
+0 1
+.names g1552 g3975
+0 1
+.names g1554 g3978
+0 1
+.names g2217 g3981
+0 1
+.names g2222 g3984
+0 1
+.names g2224 g3987
+0 1
+.names g2245 g3990
+0 1
+.names g2848 II13275
+0 1
+.names g2848 g3994
+0 1
+.names g3064 g3995
+0 1
+.names g3073 g3996
+0 1
+.names g45 g3997
+0 1
+.names g23 g3998
+0 1
+.names g3204 g3999
+0 1
+.names g153 g4000
+0 1
+.names g158 g4003
+0 1
+.names g160 g4006
+0 1
+.names g174 g4009
+0 1
+.names g179 g4012
+0 1
+.names g411 g4015
+0 1
+.names g417 g4016
+0 1
+.names g427 g4017
+0 1
+.names g700 g4020
+0 1
+.names g702 g4023
+0 1
+.names g727 g4026
+0 1
+.names g838 g4029
+0 1
+.names g843 g4032
+0 1
+.names g845 g4035
+0 1
+.names g859 g4038
+0 1
+.names g864 g4041
+0 1
+.names g866 g4044
+0 1
+.names g1095 g4047
+0 1
+.names g1142 g4048
+0 1
+.names g1385 g4049
+0 1
+.names g1412 g4052
+0 1
+.names g1529 g4055
+0 1
+.names g1534 g4058
+0 1
+.names g1536 g4061
+0 1
+.names g1550 g4064
+0 1
+.names g1555 g4067
+0 1
+.names g1557 g4070
+0 1
+.names g2220 g4073
+0 1
+.names g2225 g4076
+0 1
+.names g2227 g4079
+0 1
+.names g2246 g4082
+0 1
+.names g2248 g4085
+0 1
+.names g2836 II13316
+0 1
+.names g2836 g4089
+0 1
+.names g2864 II13320
+0 1
+.names g2864 g4091
+0 1
+.names g3074 g4092
+0 1
+.names g33 g4093
+0 1
+.names g3207 g4094
+0 1
+.names g130 g4095
+0 1
+.names g156 g4098
+0 1
+.names g161 g4101
+0 1
+.names g163 g4104
+0 1
+.names g177 g4107
+0 1
+.names g414 g4110
+0 1
+.names g420 g4111
+0 1
+.names g428 g4112
+0 1
+.names g698 g4115
+0 1
+.names g703 g4118
+0 1
+.names g705 g4121
+0 1
+.names g725 g4124
+0 1
+.names g841 g4127
+0 1
+.names g846 g4130
+0 1
+.names g848 g4133
+0 1
+.names g862 g4136
+0 1
+.names g867 g4139
+0 1
+.names g1098 g4142
+0 1
+.names g1104 g4143
+0 1
+.names g1114 g4144
+0 1
+.names g1386 g4147
+0 1
+.names g1388 g4150
+0 1
+.names g1413 g4153
+0 1
+.names g1532 g4156
+0 1
+.names g1537 g4159
+0 1
+.names g1539 g4162
+0 1
+.names g1553 g4165
+0 1
+.names g1558 g4168
+0 1
+.names g1560 g4171
+0 1
+.names g1789 g4174
+0 1
+.names g1836 g4175
+0 1
+.names g2079 g4176
+0 1
+.names g2106 g4179
+0 1
+.names g2223 g4182
+0 1
+.names g2228 g4185
+0 1
+.names g2230 g4188
+0 1
+.names g2244 g4191
+0 1
+.names g2249 g4194
+0 1
+.names g2251 g4197
+0 1
+.names g2851 II13366
+0 1
+.names g2851 g4201
+0 1
+.names g42 g4202
+0 1
+.names g20 g4203
+0 1
+.names g3188 g4204
+0 1
+.names g131 g4205
+0 1
+.names g133 g4208
+0 1
+.names g159 g4211
+0 1
+.names g164 g4214
+0 1
+.names g354 g4217
+0 1
+.names g423 g4220
+0 1
+.names g426 g4221
+0 1
+.names g429 g4224
+0 1
+.names g701 g4225
+0 1
+.names g706 g4228
+0 1
+.names g708 g4231
+0 1
+.names g818 g4234
+0 1
+.names g844 g4237
+0 1
+.names g849 g4240
+0 1
+.names g851 g4243
+0 1
+.names g865 g4246
+0 1
+.names g1101 g4249
+0 1
+.names g1107 g4250
+0 1
+.names g1115 g4251
+0 1
+.names g1384 g4254
+0 1
+.names g1389 g4257
+0 1
+.names g1391 g4260
+0 1
+.names g1411 g4263
+0 1
+.names g1535 g4266
+0 1
+.names g1540 g4269
+0 1
+.names g1542 g4272
+0 1
+.names g1556 g4275
+0 1
+.names g1561 g4278
+0 1
+.names g1792 g4281
+0 1
+.names g1798 g4282
+0 1
+.names g1808 g4283
+0 1
+.names g2080 g4286
+0 1
+.names g2082 g4289
+0 1
+.names g2107 g4292
+0 1
+.names g2226 g4295
+0 1
+.names g2231 g4298
+0 1
+.names g2233 g4301
+0 1
+.names g2247 g4304
+0 1
+.names g2252 g4307
+0 1
+.names g2254 g4310
+0 1
+.names g2483 g4313
+0 1
+.names g2530 g4314
+0 1
+.names g2773 g4315
+0 1
+.names g2800 g4318
+0 1
+.names g2839 II13417
+0 1
+.names g2839 g4322
+0 1
+.names g2867 II13421
+0 1
+.names g2867 g4324
+0 1
+.names g36 g4325
+0 1
+.names g181 g4326
+0 1
+.names g129 g4329
+0 1
+.names g134 g4332
+0 1
+.names g162 g4335
+0 1
+.names g101 II13430
+0 1
+.names II13430 g4338
+0 1
+.names g105 II13433
+0 1
+.names II13433 g4339
+0 1
+.names g343 g4340
+0 1
+.names g369 g4343
+0 1
+.names g432 g4346
+0 1
+.names g438 g4347
+0 1
+.names g704 g4348
+0 1
+.names g709 g4351
+0 1
+.names g711 g4354
+0 1
+.names g729 g4357
+0 1
+.names g819 g4360
+0 1
+.names g821 g4363
+0 1
+.names g847 g4366
+0 1
+.names g852 g4369
+0 1
+.names g1041 g4372
+0 1
+.names g1110 g4375
+0 1
+.names g1113 g4376
+0 1
+.names g1116 g4379
+0 1
+.names g1387 g4380
+0 1
+.names g1392 g4383
+0 1
+.names g1394 g4386
+0 1
+.names g1512 g4389
+0 1
+.names g1538 g4392
+0 1
+.names g1543 g4395
+0 1
+.names g1545 g4398
+0 1
+.names g1559 g4401
+0 1
+.names g1795 g4404
+0 1
+.names g1801 g4405
+0 1
+.names g1809 g4406
+0 1
+.names g2078 g4409
+0 1
+.names g2083 g4412
+0 1
+.names g2085 g4415
+0 1
+.names g2105 g4418
+0 1
+.names g2229 g4421
+0 1
+.names g2234 g4424
+0 1
+.names g2236 g4427
+0 1
+.names g2250 g4430
+0 1
+.names g2255 g4433
+0 1
+.names g2486 g4436
+0 1
+.names g2492 g4437
+0 1
+.names g2502 g4438
+0 1
+.names g2774 g4441
+0 1
+.names g2776 g4444
+0 1
+.names g2801 g4447
+0 1
+.names g2854 II13478
+0 1
+.names g2854 g4451
+0 1
+.names g17 g4452
+0 1
+.names g132 g4453
+0 1
+.names g309 g4456
+0 1
+.names g346 g4465
+0 1
+.names g358 g4468
+0 1
+.names g384 g4471
+0 1
+.names g435 g4474
+0 1
+.names g441 g4475
+0 1
+.names g576 g4476
+0 1
+.names g587 g4479
+0 1
+.names g707 g4480
+0 1
+.names g712 g4483
+0 1
+.names g714 g4486
+0 1
+.names g730 g4489
+0 1
+.names g732 g4492
+0 1
+.names g869 g4495
+0 1
+.names g817 g4498
+0 1
+.names g822 g4501
+0 1
+.names g850 g4504
+0 1
+.names g789 II13501
+0 1
+.names II13501 g4507
+0 1
+.names g793 II13504
+0 1
+.names II13504 g4508
+0 1
+.names g1030 g4509
+0 1
+.names g1056 g4512
+0 1
+.names g1119 g4515
+0 1
+.names g1125 g4516
+0 1
+.names g1390 g4517
+0 1
+.names g1395 g4520
+0 1
+.names g1397 g4523
+0 1
+.names g1415 g4526
+0 1
+.names g1513 g4529
+0 1
+.names g1515 g4532
+0 1
+.names g1541 g4535
+0 1
+.names g1546 g4538
+0 1
+.names g1735 g4541
+0 1
+.names g1804 g4544
+0 1
+.names g1807 g4545
+0 1
+.names g1810 g4548
+0 1
+.names g2081 g4549
+0 1
+.names g2086 g4552
+0 1
+.names g2088 g4555
+0 1
+.names g2206 g4558
+0 1
+.names g2232 g4561
+0 1
+.names g2237 g4564
+0 1
+.names g2239 g4567
+0 1
+.names g2253 g4570
+0 1
+.names g2489 g4573
+0 1
+.names g2495 g4574
+0 1
+.names g2503 g4575
+0 1
+.names g2772 g4578
+0 1
+.names g2777 g4581
+0 1
+.names g2779 g4584
+0 1
+.names g2799 g4587
+0 1
+.names g2870 II13538
+0 1
+.names g2870 g4591
+0 1
+.names g361 g4592
+0 1
+.names g373 g4595
+0 1
+.names g398 g4598
+0 1
+.names g444 g4601
+0 1
+.names g525 g4602
+0 1
+.names g577 g4603
+0 1
+.names g579 g4606
+0 1
+.names g590 g4609
+0 1
+.names g596 g4610
+0 1
+.names g710 g4611
+0 1
+.names g715 g4614
+0 1
+.names g717 g4617
+0 1
+.names g728 g4620
+0 1
+.names g733 g4623
+0 1
+.names g735 g4626
+0 1
+.names g820 g4629
+0 1
+.names g996 g4632
+0 1
+.names g1033 g4641
+0 1
+.names g1045 g4644
+0 1
+.names g1071 g4647
+0 1
+.names g1122 g4650
+0 1
+.names g1128 g4651
+0 1
+.names g1262 g4652
+0 1
+.names g1273 g4655
+0 1
+.names g1393 g4656
+0 1
+.names g1398 g4659
+0 1
+.names g1400 g4662
+0 1
+.names g1416 g4665
+0 1
+.names g1418 g4668
+0 1
+.names g1563 g4671
+0 1
+.names g1511 g4674
+0 1
+.names g1516 g4677
+0 1
+.names g1544 g4680
+0 1
+.names g1476 II13575
+0 1
+.names II13575 g4683
+0 1
+.names g1481 II13578
+0 1
+.names II13578 g4684
+0 1
+.names g1724 g4685
+0 1
+.names g1750 g4688
+0 1
+.names g1813 g4691
+0 1
+.names g1819 g4692
+0 1
+.names g2084 g4693
+0 1
+.names g2089 g4696
+0 1
+.names g2091 g4699
+0 1
+.names g2109 g4702
+0 1
+.names g2207 g4705
+0 1
+.names g2209 g4708
+0 1
+.names g2235 g4711
+0 1
+.names g2240 g4714
+0 1
+.names g2429 g4717
+0 1
+.names g2498 g4720
+0 1
+.names g2501 g4721
+0 1
+.names g2504 g4724
+0 1
+.names g2775 g4725
+0 1
+.names g2780 g4728
+0 1
+.names g2782 g4731
+0 1
+.names g11 g4734
+0 1
+.names g121 II13601
+0 1
+.names II13601 g4735
+0 1
+.names g125 II13604
+0 1
+.names II13604 g4736
+0 1
+.names g376 g4737
+0 1
+.names g388 g4740
+0 1
+.names g575 g4743
+0 1
+.names g580 g4746
+0 1
+.names g582 g4749
+0 1
+.names g593 g4752
+0 1
+.names g599 g4753
+0 1
+.names g713 g4754
+0 1
+.names g718 g4757
+0 1
+.names g720 g4760
+0 1
+.names g731 g4763
+0 1
+.names g736 g4766
+0 1
+.names g1048 g4769
+0 1
+.names g1060 g4772
+0 1
+.names g1085 g4775
+0 1
+.names g1131 g4778
+0 1
+.names g1211 g4779
+0 1
+.names g1263 g4780
+0 1
+.names g1265 g4783
+0 1
+.names g1276 g4786
+0 1
+.names g1282 g4787
+0 1
+.names g1396 g4788
+0 1
+.names g1401 g4791
+0 1
+.names g1403 g4794
+0 1
+.names g1414 g4797
+0 1
+.names g1419 g4800
+0 1
+.names g1421 g4803
+0 1
+.names g1514 g4806
+0 1
+.names g1690 g4809
+0 1
+.names g1727 g4818
+0 1
+.names g1739 g4821
+0 1
+.names g1765 g4824
+0 1
+.names g1816 g4827
+0 1
+.names g1822 g4828
+0 1
+.names g1956 g4829
+0 1
+.names g1967 g4832
+0 1
+.names g2087 g4833
+0 1
+.names g2092 g4836
+0 1
+.names g2094 g4839
+0 1
+.names g2110 g4842
+0 1
+.names g2112 g4845
+0 1
+.names g2257 g4848
+0 1
+.names g2205 g4851
+0 1
+.names g2210 g4854
+0 1
+.names g2238 g4857
+0 1
+.names g2170 II13652
+0 1
+.names II13652 g4860
+0 1
+.names g2175 II13655
+0 1
+.names II13655 g4861
+0 1
+.names g2418 g4862
+0 1
+.names g2444 g4865
+0 1
+.names g2507 g4868
+0 1
+.names g2513 g4869
+0 1
+.names g2778 g4870
+0 1
+.names g2783 g4873
+0 1
+.names g2785 g4876
+0 1
+.names g2803 g4879
+0 1
+.names g391 g4882
+0 1
+.names g448 g4885
+0 1
+.names g578 g4888
+0 1
+.names g583 g4891
+0 1
+.names g585 g4894
+0 1
+.names g602 g4897
+0 1
+.names g605 g4898
+0 1
+.names g716 g4899
+0 1
+.names g721 g4902
+0 1
+.names g723 g4905
+0 1
+.names g734 g4908
+0 1
+.names g809 II13677
+0 1
+.names II13677 g4911
+0 1
+.names g813 II13680
+0 1
+.names II13680 g4912
+0 1
+.names g1063 g4913
+0 1
+.names g1075 g4916
+0 1
+.names g1261 g4919
+0 1
+.names g1266 g4922
+0 1
+.names g1268 g4925
+0 1
+.names g1279 g4928
+0 1
+.names g1285 g4929
+0 1
+.names g1399 g4930
+0 1
+.names g1404 g4933
+0 1
+.names g1406 g4936
+0 1
+.names g1417 g4939
+0 1
+.names g1422 g4942
+0 1
+.names g1742 g4945
+0 1
+.names g1754 g4948
+0 1
+.names g1779 g4951
+0 1
+.names g1825 g4954
+0 1
+.names g1905 g4955
+0 1
+.names g1957 g4956
+0 1
+.names g1959 g4959
+0 1
+.names g1970 g4962
+0 1
+.names g1976 g4963
+0 1
+.names g2090 g4964
+0 1
+.names g2095 g4967
+0 1
+.names g2097 g4970
+0 1
+.names g2108 g4973
+0 1
+.names g2113 g4976
+0 1
+.names g2115 g4979
+0 1
+.names g2208 g4982
+0 1
+.names g2384 g4985
+0 1
+.names g2421 g4994
+0 1
+.names g2433 g4997
+0 1
+.names g2459 g5000
+0 1
+.names g2510 g5003
+0 1
+.names g2516 g5004
+0 1
+.names g2650 g5005
+0 1
+.names g2661 g5008
+0 1
+.names g2781 g5009
+0 1
+.names g2786 g5012
+0 1
+.names g2788 g5015
+0 1
+.names g2804 g5018
+0 1
+.names g2806 g5021
+0 1
+.names g449 g5024
+0 1
+.names g581 g5027
+0 1
+.names g586 g5030
+0 1
+.names g608 g5033
+0 1
+.names g614 g5034
+0 1
+.names g719 g5035
+0 1
+.names g724 g5038
+0 1
+.names g1078 g5041
+0 1
+.names g1135 g5044
+0 1
+.names g1264 g5047
+0 1
+.names g1269 g5050
+0 1
+.names g1271 g5053
+0 1
+.names g1288 g5056
+0 1
+.names g1291 g5057
+0 1
+.names g1402 g5058
+0 1
+.names g1407 g5061
+0 1
+.names g1409 g5064
+0 1
+.names g1420 g5067
+0 1
+.names g1501 II13742
+0 1
+.names II13742 g5070
+0 1
+.names g1506 II13745
+0 1
+.names II13745 g5071
+0 1
+.names g1757 g5072
+0 1
+.names g1769 g5075
+0 1
+.names g1955 g5078
+0 1
+.names g1960 g5081
+0 1
+.names g1962 g5084
+0 1
+.names g1973 g5087
+0 1
+.names g1979 g5088
+0 1
+.names g2093 g5089
+0 1
+.names g2098 g5092
+0 1
+.names g2100 g5095
+0 1
+.names g2111 g5098
+0 1
+.names g2116 g5101
+0 1
+.names g2436 g5104
+0 1
+.names g2448 g5107
+0 1
+.names g2473 g5110
+0 1
+.names g2519 g5113
+0 1
+.names g2599 g5114
+0 1
+.names g2651 g5115
+0 1
+.names g2653 g5118
+0 1
+.names g2664 g5121
+0 1
+.names g2670 g5122
+0 1
+.names g2784 g5123
+0 1
+.names g2789 g5126
+0 1
+.names g2791 g5129
+0 1
+.names g2802 g5132
+0 1
+.names g2807 g5135
+0 1
+.names g2809 g5138
+0 1
+.names g109 II13775
+0 1
+.names II13775 g5141
+0 1
+.names g447 g5142
+0 1
+.names g584 g5145
+0 1
+.names g611 g5148
+0 1
+.names g617 g5149
+0 1
+.names g722 g5150
+0 1
+.names g1136 g5153
+0 1
+.names g1267 g5156
+0 1
+.names g1272 g5159
+0 1
+.names g1294 g5162
+0 1
+.names g1300 g5163
+0 1
+.names g1405 g5164
+0 1
+.names g1410 g5167
+0 1
+.names g1772 g5170
+0 1
+.names g1829 g5173
+0 1
+.names g1958 g5176
+0 1
+.names g1963 g5179
+0 1
+.names g1965 g5182
+0 1
+.names g1982 g5185
+0 1
+.names g1985 g5186
+0 1
+.names g2096 g5187
+0 1
+.names g2101 g5190
+0 1
+.names g2103 g5193
+0 1
+.names g2114 g5196
+0 1
+.names g2195 II13801
+0 1
+.names II13801 g5199
+0 1
+.names g2200 II13804
+0 1
+.names II13804 g5200
+0 1
+.names g2451 g5201
+0 1
+.names g2463 g5204
+0 1
+.names g2649 g5207
+0 1
+.names g2654 g5210
+0 1
+.names g2656 g5213
+0 1
+.names g2667 g5216
+0 1
+.names g2673 g5217
+0 1
+.names g2787 g5218
+0 1
+.names g2792 g5221
+0 1
+.names g2794 g5224
+0 1
+.names g2805 g5227
+0 1
+.names g2810 g5230
+0 1
+.names g620 g5233
+0 1
+.names g797 II13820
+0 1
+.names II13820 g5234
+0 1
+.names g1134 g5235
+0 1
+.names g1270 g5238
+0 1
+.names g1297 g5241
+0 1
+.names g1303 g5242
+0 1
+.names g1408 g5243
+0 1
+.names g1830 g5246
+0 1
+.names g1961 g5249
+0 1
+.names g1966 g5252
+0 1
+.names g1988 g5255
+0 1
+.names g1994 g5256
+0 1
+.names g2099 g5257
+0 1
+.names g2104 g5260
+0 1
+.names g2466 g5263
+0 1
+.names g2523 g5266
+0 1
+.names g2652 g5269
+0 1
+.names g2657 g5272
+0 1
+.names g2659 g5275
+0 1
+.names g2676 g5278
+0 1
+.names g2679 g5279
+0 1
+.names g2790 g5280
+0 1
+.names g2795 g5283
+0 1
+.names g2797 g5286
+0 1
+.names g2808 g5289
+0 1
+.names g2857 g5292
+0 1
+.names g738 g5293
+0 1
+.names g1306 g5296
+0 1
+.names g1486 II13849
+0 1
+.names II13849 g5297
+0 1
+.names g1828 g5298
+0 1
+.names g1964 g5301
+0 1
+.names g1991 g5304
+0 1
+.names g1997 g5305
+0 1
+.names g2102 g5306
+0 1
+.names g2524 g5309
+0 1
+.names g2655 g5312
+0 1
+.names g2660 g5315
+0 1
+.names g2682 g5318
+0 1
+.names g2688 g5319
+0 1
+.names g2793 g5320
+0 1
+.names g2798 g5323
+0 1
+.names g2873 g5326
+0 1
+.names g739 g5327
+0 1
+.names g1424 g5330
+0 1
+.names g2000 g5333
+0 1
+.names g2180 II13868
+0 1
+.names II13868 g5334
+0 1
+.names g2522 g5335
+0 1
+.names g2658 g5338
+0 1
+.names g2685 g5341
+0 1
+.names g2691 g5342
+0 1
+.names g2796 g5343
+0 1
+.names g3106 g5346
+0 1
+.names g2877 g5349
+0 1
+.names g737 g5352
+0 1
+.names g1425 g5355
+0 1
+.names g2118 g5358
+0 1
+.names g2694 g5361
+0 1
+.names g2817 g5362
+0 1
+.names g3107 g5363
+0 1
+.names g2878 g5366
+0 1
+.names g1423 g5369
+0 1
+.names g2119 g5372
+0 1
+.names g2812 g5375
+0 1
+.names g2933 g5378
+0 1
+.names g3108 g5379
+0 1
+.names g2117 g5382
+0 1
+.names g2813 g5385
+0 1
+.names g3040 II13892
+0 1
+.names g3040 g5389
+0 1
+.names g343 II13896
+0 1
+.names II13896 g5390
+0 1
+.names g2811 g5391
+0 1
+.names g3054 g5394
+0 1
+.names g346 II13901
+0 1
+.names II13901 g5395
+0 1
+.names g358 II13904
+0 1
+.names II13904 g5396
+0 1
+.names g1030 II13907
+0 1
+.names II13907 g5397
+0 1
+.names g361 II13910
+0 1
+.names II13910 g5398
+0 1
+.names g373 II13913
+0 1
+.names II13913 g5399
+0 1
+.names g1033 II13916
+0 1
+.names II13916 g5400
+0 1
+.names g1045 II13919
+0 1
+.names II13919 g5401
+0 1
+.names g1724 II13922
+0 1
+.names II13922 g5402
+0 1
+.names g376 II13925
+0 1
+.names II13925 g5403
+0 1
+.names g388 II13928
+0 1
+.names II13928 g5404
+0 1
+.names g1048 II13931
+0 1
+.names II13931 g5405
+0 1
+.names g1060 II13934
+0 1
+.names II13934 g5406
+0 1
+.names g1727 II13937
+0 1
+.names II13937 g5407
+0 1
+.names g1739 II13940
+0 1
+.names II13940 g5408
+0 1
+.names g2418 II13943
+0 1
+.names II13943 g5409
+0 1
+.names g3079 g5410
+0 1
+.names g391 II13947
+0 1
+.names II13947 g5411
+0 1
+.names g1063 II13950
+0 1
+.names II13950 g5412
+0 1
+.names g1075 II13953
+0 1
+.names II13953 g5413
+0 1
+.names g1742 II13956
+0 1
+.names II13956 g5414
+0 1
+.names g1754 II13959
+0 1
+.names II13959 g5415
+0 1
+.names g2421 II13962
+0 1
+.names II13962 g5416
+0 1
+.names g2433 II13965
+0 1
+.names II13965 g5417
+0 1
+.names g1078 II13968
+0 1
+.names II13968 g5418
+0 1
+.names g1757 II13971
+0 1
+.names II13971 g5419
+0 1
+.names g1769 II13974
+0 1
+.names II13974 g5420
+0 1
+.names g2436 II13977
+0 1
+.names II13977 g5421
+0 1
+.names g2448 II13980
+0 1
+.names II13980 g5422
+0 1
+.names g2879 g5423
+0 1
+.names g1772 II13984
+0 1
+.names II13984 g5424
+0 1
+.names g2451 II13987
+0 1
+.names II13987 g5425
+0 1
+.names g2463 II13990
+0 1
+.names II13990 g5426
+0 1
+.names g2466 II13993
+0 1
+.names II13993 g5427
+0 1
+.names g3210 g5428
+0 1
+.names g3211 g5431
+0 1
+.names g3084 g5434
+0 1
+.names g276 II13999
+0 1
+.names g276 II14002
+0 1
+.names II14002 g5438
+0 1
+.names g3085 g5469
+0 1
+.names g963 II14006
+0 1
+.names g963 II14009
+0 1
+.names II14009 g5473
+0 1
+.names g3086 g5504
+0 1
+.names g3155 g5507
+0 1
+.names g499 II14014
+0 1
+.names II14014 g5508
+0 1
+.names g1657 II14017
+0 1
+.names g1657 II14020
+0 1
+.names II14020 g5512
+0 1
+.names g3087 g5543
+0 1
+.names g3164 g5546
+0 1
+.names g101 g5547
+0 1
+.names g105 g5548
+0 1
+.names g182 II14027
+0 1
+.names g182 II14030
+0 1
+.names II14030 g5550
+0 1
+.names g514 g5551
+0 1
+.names g1186 II14034
+0 1
+.names II14034 g5552
+0 1
+.names g2351 II14037
+0 1
+.names g2351 II14040
+0 1
+.names II14040 g5556
+0 1
+.names g3091 g5587
+0 1
+.names g3158 g5590
+0 1
+.names g3173 g5591
+0 1
+.names g515 g5592
+0 1
+.names g789 g5593
+0 1
+.names g793 g5594
+0 1
+.names g870 II14049
+0 1
+.names g870 II14052
+0 1
+.names II14052 g5596
+0 1
+.names g1200 g5597
+0 1
+.names g1880 II14056
+0 1
+.names II14056 g5598
+0 1
+.names g3092 g5601
+0 1
+.names g3167 g5604
+0 1
+.names g3182 g5605
+0 1
+.names g79 g5606
+0 1
+.names g1201 g5609
+0 1
+.names g1476 g5610
+0 1
+.names g1481 g5611
+0 1
+.names g1564 II14066
+0 1
+.names g1564 II14069
+0 1
+.names II14069 g5613
+0 1
+.names g1894 g5614
+0 1
+.names g2574 II14073
+0 1
+.names II14073 g5615
+0 1
+.names g3093 g5618
+0 1
+.names g3161 g5621
+0 1
+.names g3176 g5622
+0 1
+.names g70 g5623
+0 1
+.names g121 g5626
+0 1
+.names g125 g5627
+0 1
+.names g300 g5628
+0 1
+.names g325 II14083
+0 1
+.names g767 g5631
+0 1
+.names g1895 g5634
+0 1
+.names g2170 g5635
+0 1
+.names g2175 g5636
+0 1
+.names g2258 II14091
+0 1
+.names g2258 II14094
+0 1
+.names II14094 g5638
+0 1
+.names g2588 g5639
+0 1
+.names g3170 g5640
+0 1
+.names g3185 g5641
+0 1
+.names g61 g5642
+0 1
+.names g101 g5645
+0 1
+.names g213 g5646
+0 1
+.names g301 g5647
+0 1
+.names g331 II14104
+0 1
+.names g758 g5651
+0 1
+.names g809 g5654
+0 1
+.names g813 g5655
+0 1
+.names g987 g5656
+0 1
+.names g1012 II14113
+0 1
+.names g1453 g5659
+0 1
+.names g2589 g5662
+0 1
+.names g3179 g5663
+0 1
+.names g65 g5664
+0 1
+.names g105 g5665
+0 1
+.names g216 g5666
+0 1
+.names g222 g5667
+0 1
+.names g299 g5668
+0 1
+.names g302 g5675
+0 1
+.names g506 g5679
+0 1
+.names g749 g5680
+0 1
+.names g789 g5683
+0 1
+.names g900 g5684
+0 1
+.names g988 g5685
+0 1
+.names g1018 II14134
+0 1
+.names g1444 g5689
+0 1
+.names g1501 g5692
+0 1
+.names g1506 g5693
+0 1
+.names g1681 g5694
+0 1
+.names g1706 II14143
+0 1
+.names g2147 g5697
+0 1
+.names g3088 g5700
+0 1
+.names g3231 II14149
+0 1
+.names II14149 g5701
+0 1
+.names g56 g5702
+0 1
+.names g109 g5703
+0 1
+.names g219 g5704
+0 1
+.names g225 g5705
+0 1
+.names g231 g5706
+0 1
+.names g109 g5707
+0 1
+.names g303 g5708
+0 1
+.names g305 g5712
+0 1
+.names g113 II14163
+0 1
+.names II14163 g5713
+0 1
+.names g507 g5714
+0 1
+.names g541 g5715
+0 1
+.names g753 g5716
+0 1
+.names g793 g5717
+0 1
+.names g903 g5718
+0 1
+.names g909 g5719
+0 1
+.names g986 g5720
+0 1
+.names g989 g5727
+0 1
+.names g1192 g5731
+0 1
+.names g1435 g5732
+0 1
+.names g1476 g5735
+0 1
+.names g1594 g5736
+0 1
+.names g1682 g5737
+0 1
+.names g1712 II14182
+0 1
+.names g2138 g5741
+0 1
+.names g2195 g5744
+0 1
+.names g2200 g5745
+0 1
+.names g2375 g5746
+0 1
+.names g2400 II14191
+0 1
+.names g3212 II14195
+0 1
+.names II14195 g5749
+0 1
+.names g92 g5750
+0 1
+.names g52 g5751
+0 1
+.names g113 g5752
+0 1
+.names g228 g5753
+0 1
+.names g234 g5754
+0 1
+.names g240 g5755
+0 1
+.names g304 g5756
+0 1
+.names g508 g5759
+0 1
+.names g744 g5760
+0 1
+.names g797 g5761
+0 1
+.names g906 g5762
+0 1
+.names g912 g5763
+0 1
+.names g918 g5764
+0 1
+.names g797 g5765
+0 1
+.names g990 g5766
+0 1
+.names g992 g5770
+0 1
+.names g801 II14219
+0 1
+.names II14219 g5771
+0 1
+.names g1193 g5772
+0 1
+.names g1227 g5773
+0 1
+.names g1439 g5774
+0 1
+.names g1481 g5775
+0 1
+.names g1597 g5776
+0 1
+.names g1603 g5777
+0 1
+.names g1680 g5778
+0 1
+.names g1683 g5785
+0 1
+.names g1886 g5789
+0 1
+.names g2129 g5790
+0 1
+.names g2170 g5793
+0 1
+.names g2288 g5794
+0 1
+.names g2376 g5795
+0 1
+.names g2406 II14238
+0 1
+.names g3221 II14243
+0 1
+.names II14243 g5799
+0 1
+.names g3227 II14246
+0 1
+.names II14246 g5800
+0 1
+.names g3216 II14249
+0 1
+.names II14249 g5801
+0 1
+.names g83 g5802
+0 1
+.names g117 g5803
+0 1
+.names g237 g5804
+0 1
+.names g243 g5805
+0 1
+.names g249 g5806
+0 1
+.names g509 g5808
+0 1
+.names g780 g5809
+0 1
+.names g740 g5810
+0 1
+.names g801 g5811
+0 1
+.names g915 g5812
+0 1
+.names g921 g5813
+0 1
+.names g927 g5814
+0 1
+.names g991 g5815
+0 1
+.names g1194 g5818
+0 1
+.names g1430 g5819
+0 1
+.names g1486 g5820
+0 1
+.names g1600 g5821
+0 1
+.names g1606 g5822
+0 1
+.names g1612 g5823
+0 1
+.names g1486 g5824
+0 1
+.names g1684 g5825
+0 1
+.names g1686 g5829
+0 1
+.names g1491 II14280
+0 1
+.names II14280 g5830
+0 1
+.names g1887 g5831
+0 1
+.names g1921 g5832
+0 1
+.names g2133 g5833
+0 1
+.names g2175 g5834
+0 1
+.names g2291 g5835
+0 1
+.names g2297 g5836
+0 1
+.names g2374 g5837
+0 1
+.names g2377 g5844
+0 1
+.names g2580 g5848
+0 1
+.names g3228 II14295
+0 1
+.names II14295 g5849
+0 1
+.names g3217 II14298
+0 1
+.names II14298 g5850
+0 1
+.names g74 g5851
+0 1
+.names g121 g5852
+0 1
+.names g246 g5853
+0 1
+.names g252 g5854
+0 1
+.names g258 g5855
+0 1
+.names g97 II14306
+0 1
+.names II14306 g5856
+0 1
+.names g538 g5857
+0 1
+.names g771 g5858
+0 1
+.names g805 g5859
+0 1
+.names g924 g5860
+0 1
+.names g930 g5861
+0 1
+.names g936 g5862
+0 1
+.names g1195 g5864
+0 1
+.names g1466 g5865
+0 1
+.names g1426 g5866
+0 1
+.names g1491 g5867
+0 1
+.names g1609 g5868
+0 1
+.names g1615 g5869
+0 1
+.names g1621 g5870
+0 1
+.names g1685 g5871
+0 1
+.names g1888 g5874
+0 1
+.names g2124 g5875
+0 1
+.names g2180 g5876
+0 1
+.names g2294 g5877
+0 1
+.names g2300 g5878
+0 1
+.names g2306 g5879
+0 1
+.names g2180 g5880
+0 1
+.names g2378 g5881
+0 1
+.names g2380 g5885
+0 1
+.names g2185 II14338
+0 1
+.names II14338 g5886
+0 1
+.names g2581 g5887
+0 1
+.names g2615 g5888
+0 1
+.names g3219 II14343
+0 1
+.names II14343 g5889
+0 1
+.names g88 g5890
+0 1
+.names g125 g5893
+0 1
+.names g186 g5894
+0 1
+.names g255 g5895
+0 1
+.names g261 g5896
+0 1
+.names g267 g5897
+0 1
+.names g762 g5898
+0 1
+.names g809 g5899
+0 1
+.names g933 g5900
+0 1
+.names g939 g5901
+0 1
+.names g945 g5902
+0 1
+.names g785 II14357
+0 1
+.names II14357 g5903
+0 1
+.names g1224 g5904
+0 1
+.names g1457 g5905
+0 1
+.names g1496 g5906
+0 1
+.names g1618 g5907
+0 1
+.names g1624 g5908
+0 1
+.names g1630 g5909
+0 1
+.names g1889 g5911
+0 1
+.names g2160 g5912
+0 1
+.names g2120 g5913
+0 1
+.names g2185 g5914
+0 1
+.names g2303 g5915
+0 1
+.names g2309 g5916
+0 1
+.names g2315 g5917
+0 1
+.names g2379 g5918
+0 1
+.names g2582 g5921
+0 1
+.names g3234 II14378
+0 1
+.names II14378 g5922
+0 1
+.names g3223 II14381
+0 1
+.names II14381 g5923
+0 1
+.names g3218 II14384
+0 1
+.names II14384 g5924
+0 1
+.names g189 g5925
+0 1
+.names g195 g5926
+0 1
+.names g264 g5927
+0 1
+.names g270 g5928
+0 1
+.names g776 g5929
+0 1
+.names g813 g5932
+0 1
+.names g873 g5933
+0 1
+.names g942 g5934
+0 1
+.names g948 g5935
+0 1
+.names g954 g5936
+0 1
+.names g1448 g5937
+0 1
+.names g1501 g5938
+0 1
+.names g1627 g5939
+0 1
+.names g1633 g5940
+0 1
+.names g1639 g5941
+0 1
+.names g1471 II14402
+0 1
+.names II14402 g5942
+0 1
+.names g1918 g5943
+0 1
+.names g2151 g5944
+0 1
+.names g2190 g5945
+0 1
+.names g2312 g5946
+0 1
+.names g2318 g5947
+0 1
+.names g2324 g5948
+0 1
+.names g2583 g5950
+0 1
+.names g3233 II14413
+0 1
+.names II14413 g5951
+0 1
+.names g3222 II14416
+0 1
+.names II14416 g5952
+0 1
+.names g97 g5953
+0 1
+.names g192 g5954
+0 1
+.names g198 g5955
+0 1
+.names g204 g5956
+0 1
+.names g273 g5957
+0 1
+.names g117 II14424
+0 1
+.names II14424 g5958
+0 1
+.names g876 g5959
+0 1
+.names g882 g5960
+0 1
+.names g951 g5961
+0 1
+.names g957 g5962
+0 1
+.names g1462 g5963
+0 1
+.names g1506 g5966
+0 1
+.names g1567 g5967
+0 1
+.names g1636 g5968
+0 1
+.names g1642 g5969
+0 1
+.names g1648 g5970
+0 1
+.names g2142 g5971
+0 1
+.names g2195 g5972
+0 1
+.names g2321 g5973
+0 1
+.names g2327 g5974
+0 1
+.names g2333 g5975
+0 1
+.names g2165 II14442
+0 1
+.names II14442 g5976
+0 1
+.names g2612 g5977
+0 1
+.names g3230 II14446
+0 1
+.names II14446 g5978
+0 1
+.names g3224 II14449
+0 1
+.names II14449 g5979
+0 1
+.names g201 g5980
+0 1
+.names g207 g5981
+0 1
+.names g785 g5982
+0 1
+.names g879 g5983
+0 1
+.names g885 g5984
+0 1
+.names g891 g5985
+0 1
+.names g960 g5986
+0 1
+.names g805 II14459
+0 1
+.names II14459 g5987
+0 1
+.names g1570 g5988
+0 1
+.names g1576 g5989
+0 1
+.names g1645 g5990
+0 1
+.names g1651 g5991
+0 1
+.names g2156 g5992
+0 1
+.names g2200 g5995
+0 1
+.names g2261 g5996
+0 1
+.names g2330 g5997
+0 1
+.names g2336 g5998
+0 1
+.names g2342 g5999
+0 1
+.names g3080 II14472
+0 1
+.names II14472 g6000
+0 1
+.names g3225 II14475
+0 1
+.names II14475 g6014
+0 1
+.names g3213 II14478
+0 1
+.names II14478 g6015
+0 1
+.names g210 g6016
+0 1
+.names g888 g6017
+0 1
+.names g894 g6018
+0 1
+.names g1471 g6019
+0 1
+.names g1573 g6020
+0 1
+.names g1579 g6021
+0 1
+.names g1585 g6022
+0 1
+.names g1654 g6023
+0 1
+.names g1496 II14489
+0 1
+.names II14489 g6024
+0 1
+.names g2264 g6025
+0 1
+.names g2270 g6026
+0 1
+.names g2339 g6027
+0 1
+.names g2345 g6028
+0 1
+.names g3226 II14496
+0 1
+.names II14496 g6029
+0 1
+.names g3214 II14499
+0 1
+.names II14499 g6030
+0 1
+.names g471 II14502
+0 1
+.names II14502 g6031
+0 1
+.names g897 g6032
+0 1
+.names g1582 g6033
+0 1
+.names g1588 g6034
+0 1
+.names g2165 g6035
+0 1
+.names g2267 g6036
+0 1
+.names g2273 g6037
+0 1
+.names g2279 g6038
+0 1
+.names g2348 g6039
+0 1
+.names g2190 II14513
+0 1
+.names II14513 g6040
+0 1
+.names g3215 II14516
+0 1
+.names II14516 g6041
+0 1
+.names g1158 II14519
+0 1
+.names II14519 g6042
+0 1
+.names g1591 g6043
+0 1
+.names g2276 g6044
+0 1
+.names g2282 g6045
+0 1
+.names g1852 II14525
+0 1
+.names II14525 g6046
+0 1
+.names g2285 g6047
+0 1
+.names g3142 II14529
+0 1
+.names II14529 g6048
+0 1
+.names g354 II14532
+0 1
+.names II14532 g6051
+0 1
+.names g2546 II14535
+0 1
+.names II14535 g6052
+0 1
+.names g369 II14538
+0 1
+.names II14538 g6053
+0 1
+.names g455 II14541
+0 1
+.names II14541 g6054
+0 1
+.names g1041 II14544
+0 1
+.names II14544 g6055
+0 1
+.names g384 II14547
+0 1
+.names II14547 g6056
+0 1
+.names g458 II14550
+0 1
+.names II14550 g6057
+0 1
+.names g1056 II14553
+0 1
+.names II14553 g6058
+0 1
+.names g1142 II14556
+0 1
+.names II14556 g6059
+0 1
+.names g1735 II14559
+0 1
+.names II14559 g6060
+0 1
+.names g398 II14562
+0 1
+.names II14562 g6061
+0 1
+.names g461 II14565
+0 1
+.names II14565 g6062
+0 1
+.names g1071 II14568
+0 1
+.names II14568 g6063
+0 1
+.names g1145 II14571
+0 1
+.names II14571 g6064
+0 1
+.names g1750 II14574
+0 1
+.names II14574 g6065
+0 1
+.names g1836 II14577
+0 1
+.names II14577 g6066
+0 1
+.names g2429 II14580
+0 1
+.names II14580 g6067
+0 1
+.names g499 g6068
+0 1
+.names g465 II14584
+0 1
+.names II14584 g6079
+0 1
+.names g1085 II14587
+0 1
+.names II14587 g6080
+0 1
+.names g1148 II14590
+0 1
+.names II14590 g6081
+0 1
+.names g1765 II14593
+0 1
+.names II14593 g6082
+0 1
+.names g1839 II14596
+0 1
+.names II14596 g6083
+0 1
+.names g2444 II14599
+0 1
+.names II14599 g6084
+0 1
+.names g2530 II14602
+0 1
+.names II14602 g6085
+0 1
+.names g468 II14605
+0 1
+.names II14605 g6086
+0 1
+.names g1186 g6087
+0 1
+.names g1152 II14609
+0 1
+.names II14609 g6098
+0 1
+.names g1779 II14612
+0 1
+.names II14612 g6099
+0 1
+.names g1842 II14615
+0 1
+.names II14615 g6100
+0 1
+.names g2459 II14618
+0 1
+.names II14618 g6101
+0 1
+.names g2533 II14621
+0 1
+.names II14621 g6102
+0 1
+.names g1155 II14624
+0 1
+.names II14624 g6103
+0 1
+.names g1880 g6104
+0 1
+.names g1846 II14628
+0 1
+.names II14628 g6115
+0 1
+.names g2473 II14631
+0 1
+.names II14631 g6116
+0 1
+.names g2536 II14634
+0 1
+.names II14634 g6117
+0 1
+.names g1849 II14637
+0 1
+.names II14637 g6118
+0 1
+.names g2574 g6119
+0 1
+.names g2540 II14641
+0 1
+.names II14641 g6130
+0 1
+.names g3142 II14644
+0 1
+.names II14644 g6131
+0 1
+.names g2543 II14647
+0 1
+.names II14647 g6134
+0 1
+.names g525 II14650
+0 1
+.names II14650 g6135
+0 1
+.names g672 g6136
+0 1
+.names g3220 II14654
+0 1
+.names II14654 g6139
+0 1
+.names g524 g6140
+0 1
+.names g554 g6141
+0 1
+.names g679 g6142
+0 1
+.names g1211 II14660
+0 1
+.names II14660 g6145
+0 1
+.names g1358 g6146
+0 1
+.names g3097 g6149
+0 1
+.names g3147 II14665
+0 1
+.names II14665 g6153
+0 1
+.names g3232 II14668
+0 1
+.names II14668 g6156
+0 1
+.names g686 g6157
+0 1
+.names g1210 g6161
+0 1
+.names g1240 g6162
+0 1
+.names g1365 g6163
+0 1
+.names g1905 II14675
+0 1
+.names II14675 g6166
+0 1
+.names g2052 g6167
+0 1
+.names g3098 g6170
+0 1
+.names g557 g6173
+0 1
+.names g633 g6177
+0 1
+.names g692 g6180
+0 1
+.names g291 g6183
+0 1
+.names g1372 g6184
+0 1
+.names g1904 g6188
+0 1
+.names g1934 g6189
+0 1
+.names g2059 g6190
+0 1
+.names g2599 II14688
+0 1
+.names II14688 g6193
+0 1
+.names g2746 g6194
+0 1
+.names g3099 g6197
+0 1
+.names g542 g6200
+0 1
+.names g646 g6201
+0 1
+.names g289 g6204
+0 1
+.names g1243 g6205
+0 1
+.names g1319 g6209
+0 1
+.names g1378 g6212
+0 1
+.names g978 g6215
+0 1
+.names g2066 g6216
+0 1
+.names g2598 g6220
+0 1
+.names g2628 g6221
+0 1
+.names g2753 g6222
+0 1
+.names g2818 II14704
+0 1
+.names g2818 g6226
+0 1
+.names g3100 g6227
+0 1
+.names g3229 II14709
+0 1
+.names II14709 g6230
+0 1
+.names g138 II14712
+0 1
+.names g138 II14715
+0 1
+.names II14715 g6232
+0 1
+.names g510 g6281
+0 1
+.names g640 g6284
+0 1
+.names g287 g6288
+0 1
+.names g1228 g6289
+0 1
+.names g1332 g6290
+0 1
+.names g976 g6293
+0 1
+.names g1937 g6294
+0 1
+.names g2013 g6298
+0 1
+.names g2072 g6301
+0 1
+.names g1672 g6304
+0 1
+.names g2760 g6305
+0 1
+.names g14 g6309
+0 1
+.names g3101 g6310
+0 1
+.names g135 II14731
+0 1
+.names g135 II14734
+0 1
+.names II14734 g6314
+0 1
+.names g653 g6363
+0 1
+.names g285 g6367
+0 1
+.names g826 II14739
+0 1
+.names g826 II14742
+0 1
+.names II14742 g6369
+0 1
+.names g1196 g6418
+0 1
+.names g1326 g6421
+0 1
+.names g974 g6425
+0 1
+.names g1922 g6426
+0 1
+.names g2026 g6427
+0 1
+.names g1670 g6430
+0 1
+.names g2631 g6431
+0 1
+.names g2707 g6435
+0 1
+.names g2766 g6438
+0 1
+.names g2366 g6441
+0 1
+.names g2821 II14755
+0 1
+.names g2821 g6443
+0 1
+.names g3102 g6444
+0 1
+.names g405 II14760
+0 1
+.names g405 II14763
+0 1
+.names II14763 g6448
+0 1
+.names g545 II14766
+0 1
+.names g545 II14769
+0 1
+.names II14769 g6486
+0 1
+.names g544 g6512
+0 1
+.names g660 g6513
+0 1
+.names g283 g6517
+0 1
+.names g823 II14775
+0 1
+.names g823 II14778
+0 1
+.names II14778 g6519
+0 1
+.names g1339 g6568
+0 1
+.names g972 g6572
+0 1
+.names g1520 II14783
+0 1
+.names g1520 II14786
+0 1
+.names II14786 g6574
+0 1
+.names g1890 g6623
+0 1
+.names g2020 g6626
+0 1
+.names g1668 g6630
+0 1
+.names g2616 g6631
+0 1
+.names g2720 g6632
+0 1
+.names g2364 g6635
+0 1
+.names g1491 g6636
+0 1
+.names g5 g6637
+0 1
+.names g3103 g6638
+0 1
+.names g113 g6641
+0 1
+.names g551 II14799
+0 1
+.names g551 II14802
+0 1
+.names II14802 g6643
+0 1
+.names g464 g6672
+0 1
+.names g458 g6675
+0 1
+.names g559 g6676
+0 1
+.names g623 II14808
+0 1
+.names g623 II14811
+0 1
+.names II14811 g6678
+0 1
+.names g666 g6707
+0 1
+.names g281 g6711
+0 1
+.names g1092 II14816
+0 1
+.names g1092 II14819
+0 1
+.names II14819 g6713
+0 1
+.names g1231 II14822
+0 1
+.names g1231 II14825
+0 1
+.names II14825 g6751
+0 1
+.names g1230 g6776
+0 1
+.names g1346 g6777
+0 1
+.names g970 g6781
+0 1
+.names g1517 II14831
+0 1
+.names g1517 II14834
+0 1
+.names II14834 g6783
+0 1
+.names g2033 g6832
+0 1
+.names g1666 g6836
+0 1
+.names g2214 II14839
+0 1
+.names g2214 II14842
+0 1
+.names II14842 g6838
+0 1
+.names g2584 g6887
+0 1
+.names g2714 g6890
+0 1
+.names g2362 g6894
+0 1
+.names g2824 II14848
+0 1
+.names g2824 g6896
+0 1
+.names g1486 g6897
+0 1
+.names g2993 g6898
+0 1
+.names g3006 g6901
+0 1
+.names g3104 g6905
+0 1
+.names g484 g6908
+0 1
+.names g626 II14857
+0 1
+.names g626 II14860
+0 1
+.names II14860 g6912
+0 1
+.names g279 g6942
+0 1
+.names g801 g6943
+0 1
+.names g1237 II14865
+0 1
+.names g1237 II14868
+0 1
+.names II14868 g6945
+0 1
+.names g1151 g6974
+0 1
+.names g1145 g6977
+0 1
+.names g1245 g6978
+0 1
+.names g1309 II14874
+0 1
+.names g1309 II14877
+0 1
+.names II14877 g6980
+0 1
+.names g1352 g7009
+0 1
+.names g968 g7013
+0 1
+.names g1786 II14882
+0 1
+.names g1786 II14885
+0 1
+.names II14885 g7015
+0 1
+.names g1925 II14888
+0 1
+.names g1925 II14891
+0 1
+.names II14891 g7053
+0 1
+.names g1924 g7078
+0 1
+.names g2040 g7079
+0 1
+.names g1664 g7083
+0 1
+.names g2211 II14897
+0 1
+.names g2211 II14900
+0 1
+.names II14900 g7085
+0 1
+.names g2727 g7134
+0 1
+.names g2360 g7138
+0 1
+.names g1481 g7139
+0 1
+.names g2170 g7140
+0 1
+.names g2195 g7141
+0 1
+.names g8 g7142
+0 1
+.names g2998 g7143
+0 1
+.names g3013 g7146
+0 1
+.names g3105 g7149
+0 1
+.names g3136 g7152
+0 1
+.names g480 g7153
+0 1
+.names g461 g7156
+0 1
+.names g453 g7157
+0 1
+.names g1171 g7158
+0 1
+.names g1312 II14917
+0 1
+.names g1312 II14920
+0 1
+.names II14920 g7162
+0 1
+.names g966 g7192
+0 1
+.names g1491 g7193
+0 1
+.names g1931 II14925
+0 1
+.names g1931 II14928
+0 1
+.names II14928 g7195
+0 1
+.names g1845 g7224
+0 1
+.names g1839 g7227
+0 1
+.names g1939 g7228
+0 1
+.names g2003 II14934
+0 1
+.names g2003 II14937
+0 1
+.names II14937 g7230
+0 1
+.names g2046 g7259
+0 1
+.names g1662 g7263
+0 1
+.names g2480 II14942
+0 1
+.names g2480 II14945
+0 1
+.names II14945 g7265
+0 1
+.names g2619 II14948
+0 1
+.names g2619 II14951
+0 1
+.names II14951 g7303
+0 1
+.names g2618 g7328
+0 1
+.names g2734 g7329
+0 1
+.names g2358 g7333
+0 1
+.names g2827 II14957
+0 1
+.names g2827 g7335
+0 1
+.names g1476 g7336
+0 1
+.names g2190 g7337
+0 1
+.names g3002 g7338
+0 1
+.names g3024 g7342
+0 1
+.names g3139 g7345
+0 1
+.names g97 g7346
+0 1
+.names g490 g7347
+0 1
+.names g451 g7348
+0 1
+.names g1167 g7349
+0 1
+.names g1148 g7352
+0 1
+.names g1140 g7353
+0 1
+.names g1865 g7354
+0 1
+.names g2006 II14973
+0 1
+.names g2006 II14976
+0 1
+.names II14976 g7358
+0 1
+.names g1660 g7388
+0 1
+.names g2185 g7389
+0 1
+.names g2625 II14981
+0 1
+.names g2625 II14984
+0 1
+.names II14984 g7391
+0 1
+.names g2539 g7420
+0 1
+.names g2533 g7423
+0 1
+.names g2633 g7424
+0 1
+.names g2697 II14990
+0 1
+.names g2697 II14993
+0 1
+.names II14993 g7426
+0 1
+.names g2740 g7455
+0 1
+.names g2356 g7459
+0 1
+.names g1471 g7460
+0 1
+.names g2175 g7461
+0 1
+.names g2912 g7462
+0 1
+.names g2 g7465
+0 1
+.names g3010 g7466
+0 1
+.names g3036 g7471
+0 1
+.names g493 g7475
+0 1
+.names g785 g7476
+0 1
+.names g1177 g7477
+0 1
+.names g1138 g7478
+0 1
+.names g1861 g7479
+0 1
+.names g1842 g7482
+0 1
+.names g1834 g7483
+0 1
+.names g2559 g7484
+0 1
+.names g2700 II15012
+0 1
+.names g2700 II15015
+0 1
+.names II15015 g7488
+0 1
+.names g2354 g7518
+0 1
+.names g2830 II15019
+0 1
+.names g2830 g7520
+0 1
+.names g2200 g7521
+0 1
+.names g2917 g7522
+0 1
+.names g3018 g7527
+0 1
+.names g465 g7529
+0 1
+.names g496 g7530
+0 1
+.names g1180 g7531
+0 1
+.names g1471 g7532
+0 1
+.names g1871 g7533
+0 1
+.names g1832 g7534
+0 1
+.names g2555 g7535
+0 1
+.names g2536 g7538
+0 1
+.names g2528 g7539
+0 1
+.names g1506 g7540
+0 1
+.names g2180 g7541
+0 1
+.names g2883 g7542
+0 1
+.names g2920 g7545
+0 1
+.names g2990 g7548
+0 1
+.names g3028 g7549
+0 1
+.names g3114 g7553
+0 1
+.names g117 g7554
+0 1
+.names g1152 g7555
+0 1
+.names g1183 g7556
+0 1
+.names g1874 g7557
+0 1
+.names g2165 g7558
+0 1
+.names g2565 g7559
+0 1
+.names g2526 g7560
+0 1
+.names g1501 g7561
+0 1
+.names g2888 g7562
+0 1
+.names g2896 g7566
+0 1
+.names g3032 g7570
+0 1
+.names g3120 g7573
+0 1
+.names g3128 g7574
+0 1
+.names g468 g7576
+0 1
+.names g805 g7577
+0 1
+.names g1846 g7578
+0 1
+.names g1877 g7579
+0 1
+.names g2568 g7580
+0 1
+.names g1496 g7581
+0 1
+.names g2185 g7582
+0 1
+.names g2892 g7583
+0 1
+.names g2903 g7587
+0 1
+.names g1155 g7590
+0 1
+.names g1496 g7591
+0 1
+.names g2540 g7592
+0 1
+.names g2571 g7593
+0 1
+.names g2165 g7594
+0 1
+.names g2900 g7595
+0 1
+.names g2908 g7600
+0 1
+.names g3133 g7603
+0 1
+.names g471 g7604
+0 1
+.names g1849 g7605
+0 1
+.names g2190 g7606
+0 1
+.names g2924 g7607
+0 1
+.names g312 g7610
+0 1
+.names g1158 g7613
+0 1
+.names g2543 g7614
+0 1
+.names g3123 g7615
+0 1
+.names g313 g7616
+0 1
+.names g999 g7619
+0 1
+.names g1852 g7622
+0 1
+.names g314 g7623
+0 1
+.names g315 g7626
+0 1
+.names g403 g7629
+0 1
+.names g1000 g7632
+0 1
+.names g1693 g7635
+0 1
+.names g2546 g7638
+0 1
+.names g3094 g7639
+0 1
+.names g3125 g7642
+0 1
+.names g316 g7643
+0 1
+.names g318 g7646
+0 1
+.names g404 g7649
+0 1
+.names g1001 g7652
+0 1
+.names g1002 g7655
+0 1
+.names g1090 g7658
+0 1
+.names g1694 g7661
+0 1
+.names g2387 g7664
+0 1
+.names g3095 g7667
+0 1
+.names g317 g7670
+0 1
+.names g319 g7673
+0 1
+.names g402 g7676
+0 1
+.names g1003 g7679
+0 1
+.names g1005 g7682
+0 1
+.names g1091 g7685
+0 1
+.names g1695 g7688
+0 1
+.names g1696 g7691
+0 1
+.names g1784 g7694
+0 1
+.names g2388 g7697
+0 1
+.names g3096 g7700
+0 1
+.names g320 g7703
+0 1
+.names g1004 g7706
+0 1
+.names g1006 g7709
+0 1
+.names g1089 g7712
+0 1
+.names g1697 g7715
+0 1
+.names g1699 g7718
+0 1
+.names g1785 g7721
+0 1
+.names g2389 g7724
+0 1
+.names g2390 g7727
+0 1
+.names g2478 g7730
+0 1
+.names g1007 g7733
+0 1
+.names g1698 g7736
+0 1
+.names g1700 g7739
+0 1
+.names g1783 g7742
+0 1
+.names g2391 g7745
+0 1
+.names g2393 g7748
+0 1
+.names g2479 g7751
+0 1
+.names g322 g7754
+0 1
+.names g1701 g7757
+0 1
+.names g2392 g7760
+0 1
+.names g2394 g7763
+0 1
+.names g2477 g7766
+0 1
+.names g323 g7769
+0 1
+.names g659 g7772
+0 1
+.names g1009 g7776
+0 1
+.names g2395 g7779
+0 1
+.names g321 g7782
+0 1
+.names g1010 g7785
+0 1
+.names g1345 g7788
+0 1
+.names g1703 g7792
+0 1
+.names g1008 g7796
+0 1
+.names g1704 g7799
+0 1
+.names g2039 g7802
+0 1
+.names g2397 g7806
+0 1
+.names g1702 g7809
+0 1
+.names g2398 g7812
+0 1
+.names g2733 g7815
+0 1
+.names g479 g7819
+0 1
+.names g510 g7822
+0 1
+.names g2396 g7823
+0 1
+.names g2987 g7826
+0 1
+.names g478 g7827
+0 1
+.names g1166 g7830
+0 1
+.names g1196 g7833
+0 1
+.names g2953 g7834
+0 1
+.names g3044 g7837
+0 1
+.names g477 g7838
+0 1
+.names g630 g7841
+0 1
+.names g1165 g7842
+0 1
+.names g1860 g7845
+0 1
+.names g1890 g7848
+0 1
+.names g2956 g7849
+0 1
+.names g2981 g7852
+0 1
+.names g3045 g7856
+0 1
+.names g3055 g7857
+0 1
+.names g1164 g7858
+0 1
+.names g1316 g7861
+0 1
+.names g1859 g7862
+0 1
+.names g2554 g7865
+0 1
+.names g2584 g7868
+0 1
+.names g2959 g7869
+0 1
+.names g2874 g7872
+0 1
+.names g3046 g7877
+0 1
+.names g3056 g7878
+0 1
+.names g3065 g7879
+0 1
+.names g3201 g7880
+0 1
+.names g1858 g7888
+0 1
+.names g2010 g7891
+0 1
+.names g2553 g7892
+0 1
+.names g3047 g7897
+0 1
+.names g3057 g7898
+0 1
+.names g3066 g7899
+0 1
+.names g3075 g7900
+0 1
+.names g3151 II15222
+0 1
+.names II15222 g7901
+0 1
+.names g488 g7906
+0 1
+.names g474 II15226
+0 1
+.names g474 g7910
+0 1
+.names g499 II15230
+0 1
+.names II15230 g7911
+0 1
+.names g2552 g7912
+0 1
+.names g2704 g7915
+0 1
+.names g2935 g7916
+0 1
+.names g2963 g7919
+0 1
+.names g3048 g7924
+0 1
+.names g3058 g7925
+0 1
+.names g3067 g7926
+0 1
+.names g3076 g7927
+0 1
+.names g3204 g7928
+0 1
+.names g2950 II15256
+0 1
+.names II15256 g7936
+0 1
+.names g165 g7949
+0 1
+.names g142 g7950
+0 1
+.names g487 g7953
+0 1
+.names g481 II15262
+0 1
+.names g481 g7957
+0 1
+.names g1175 g7958
+0 1
+.names g1161 II15267
+0 1
+.names g1161 g7962
+0 1
+.names g1186 II15271
+0 1
+.names II15271 g7963
+0 1
+.names g2938 g7964
+0 1
+.names g2966 g7967
+0 1
+.names g3049 g7971
+0 1
+.names g3059 g7972
+0 1
+.names g3068 g7973
+0 1
+.names g3077 g7974
+0 1
+.names g39 g7975
+0 1
+.names g3109 II15288
+0 1
+.names II15288 g7976
+0 1
+.names g3191 g7989
+0 1
+.names g143 g7990
+0 1
+.names g145 g7993
+0 1
+.names g486 g7996
+0 1
+.names g485 g7999
+0 1
+.names g853 g8000
+0 1
+.names g830 g8001
+0 1
+.names g1174 g8004
+0 1
+.names g1168 II15299
+0 1
+.names g1168 g8008
+0 1
+.names g1869 g8009
+0 1
+.names g1855 II15304
+0 1
+.names g1855 g8013
+0 1
+.names g1880 II15308
+0 1
+.names II15308 g8014
+0 1
+.names g2941 g8015
+0 1
+.names g2969 g8018
+0 1
+.names g2930 II15313
+0 1
+.names g2930 g8022
+0 1
+.names g2842 II15317
+0 1
+.names g2842 g8024
+0 1
+.names g3050 g8025
+0 1
+.names g3060 g8026
+0 1
+.names g3069 g8027
+0 1
+.names g3078 g8028
+0 1
+.names g3083 g8029
+0 1
+.names g3117 II15326
+0 1
+.names g3117 II15329
+0 1
+.names II15329 g8031
+0 1
+.names g3194 g8044
+0 1
+.names g3207 g8045
+0 1
+.names g141 g8053
+0 1
+.names g146 g8056
+0 1
+.names g148 g8059
+0 1
+.names g169 g8062
+0 1
+.names g831 g8065
+0 1
+.names g833 g8068
+0 1
+.names g1173 g8071
+0 1
+.names g1172 g8074
+0 1
+.names g1547 g8075
+0 1
+.names g1524 g8076
+0 1
+.names g1868 g8079
+0 1
+.names g1862 II15345
+0 1
+.names g1862 g8083
+0 1
+.names g2563 g8084
+0 1
+.names g2549 II15350
+0 1
+.names g2549 g8088
+0 1
+.names g2574 II15354
+0 1
+.names II15354 g8089
+0 1
+.names g2944 g8090
+0 1
+.names g2972 g8093
+0 1
+.names g2858 II15359
+0 1
+.names g2858 g8097
+0 1
+.names g3051 g8098
+0 1
+.names g3061 g8099
+0 1
+.names g3070 g8100
+0 1
+.names g2997 g8101
+0 1
+.names g27 g8102
+0 1
+.names g185 g8103
+0 1
+.names g3129 II15369
+0 1
+.names g3129 II15372
+0 1
+.names II15372 g8107
+0 1
+.names g3197 g8120
+0 1
+.names g144 g8123
+0 1
+.names g149 g8126
+0 1
+.names g151 g8129
+0 1
+.names g170 g8132
+0 1
+.names g172 g8135
+0 1
+.names g829 g8138
+0 1
+.names g834 g8141
+0 1
+.names g836 g8144
+0 1
+.names g857 g8147
+0 1
+.names g1525 g8150
+0 1
+.names g1527 g8153
+0 1
+.names g1867 g8156
+0 1
+.names g1866 g8159
+0 1
+.names g2241 g8160
+0 1
+.names g2218 g8161
+0 1
+.names g2562 g8164
+0 1
+.names g2556 II15392
+0 1
+.names g2556 g8168
+0 1
+.names g2947 g8169
+0 1
+.names g2975 g8172
+0 1
+.names g2845 II15398
+0 1
+.names g2845 g8176
+0 1
+.names g3043 g8177
+0 1
+.names g3052 g8178
+0 1
+.names g3062 g8179
+0 1
+.names g3071 g8180
+0 1
+.names g48 g8181
+0 1
+.names g3198 g8182
+0 1
+.names g3188 g8183
+0 1
+.names g147 g8191
+0 1
+.names g152 g8194
+0 1
+.names g154 g8197
+0 1
+.names g168 g8200
+0 1
+.names g173 g8203
+0 1
+.names g175 g8206
+0 1
+.names g832 g8209
+0 1
+.names g837 g8212
+0 1
+.names g839 g8215
+0 1
+.names g858 g8218
+0 1
+.names g860 g8221
+0 1
+.names g1523 g8224
+0 1
+.names g1528 g8227
+0 1
+.names g1530 g8230
+0 1
+.names g1551 g8233
+0 1
+.names g2219 g8236
+0 1
+.names g2221 g8239
+0 1
+.names g2561 g8242
+0 1
+.names g2560 g8245
+0 1
+.names g2978 g8246
+0 1
+.names g2833 II15429
+0 1
+.names g2833 g8250
+0 1
+.names g2861 II15433
+0 1
+.names g2861 g8252
+0 1
+.names g3053 g8253
+0 1
+.names g3063 g8254
+0 1
+.names g3072 g8255
+0 1
+.names g30 g8256
+0 1
+.names g3201 g8257
+0 1
+.names g3235 II15442
+0 1
+.names g3236 II15445
+0 1
+.names g3237 II15448
+0 1
+.names g3238 II15451
+0 1
+.names g3239 II15454
+0 1
+.names g3240 II15457
+0 1
+.names g3241 II15460
+0 1
+.names g3242 II15463
+0 1
+.names g3243 II15466
+0 1
+.names g3244 II15469
+0 1
+.names g3245 II15472
+0 1
+.names g3246 II15475
+0 1
+.names g3247 II15478
+0 1
+.names g3248 II15481
+0 1
+.names g3249 II15484
+0 1
+.names g3250 II15487
+0 1
+.names g3251 II15490
+0 1
+.names g3252 II15493
+0 1
+.names g3253 g8276
+0 1
+.names g3305 g8277
+0 1
+.names g3337 g8278
+0 1
+.names g7911 II15499
+0 1
+.names g3365 g8285
+0 1
+.names g3461 g8286
+0 1
+.names g3493 g8287
+0 1
+.names g7963 II15505
+0 1
+.names g3521 g8294
+0 1
+.names g3617 g8295
+0 1
+.names g3649 g8296
+0 1
+.names g8014 II15511
+0 1
+.names g3677 g8303
+0 1
+.names g3773 g8304
+0 1
+.names g3805 g8305
+0 1
+.names g8089 II15517
+0 1
+.names g3833 g8312
+0 1
+.names g3897 g8313
+0 1
+.names g3919 g8317
+0 1
+.names g3254 II15523
+0 1
+.names II15523 g8321
+0 1
+.names g6314 II15526
+0 1
+.names II15526 g8324
+0 1
+.names g3410 II15532
+0 1
+.names II15532 g8330
+0 1
+.names g6519 II15535
+0 1
+.names II15535 g8333
+0 1
+.names g6369 II15538
+0 1
+.names II15538 g8336
+0 1
+.names g3410 II15543
+0 1
+.names II15543 g8341
+0 1
+.names g6783 II15546
+0 1
+.names II15546 g8344
+0 1
+.names g6574 II15549
+0 1
+.names II15549 g8347
+0 1
+.names g3566 II15553
+0 1
+.names II15553 g8351
+0 1
+.names g6783 II15556
+0 1
+.names II15556 g8354
+0 1
+.names g7015 II15559
+0 1
+.names II15559 g8357
+0 1
+.names g5778 II15562
+0 1
+.names II15562 g8360
+0 1
+.names g6838 II15565
+0 1
+.names II15565 g8363
+0 1
+.names g3722 II15568
+0 1
+.names II15568 g8366
+0 1
+.names g7085 II15571
+0 1
+.names II15571 g8369
+0 1
+.names g6838 II15574
+0 1
+.names II15574 g8372
+0 1
+.names g7265 II15577
+0 1
+.names II15577 g8375
+0 1
+.names g5837 II15580
+0 1
+.names II15580 g8378
+0 1
+.names g3254 II15584
+0 1
+.names II15584 g8382
+0 1
+.names g3410 II15590
+0 1
+.names II15590 g8388
+0 1
+.names g6519 II15593
+0 1
+.names II15593 g8391
+0 1
+.names g3566 II15599
+0 1
+.names II15599 g8397
+0 1
+.names g6783 II15602
+0 1
+.names II15602 g8400
+0 1
+.names g6574 II15605
+0 1
+.names II15605 g8403
+0 1
+.names g3566 II15610
+0 1
+.names II15610 g8408
+0 1
+.names g7085 II15613
+0 1
+.names II15613 g8411
+0 1
+.names g6838 II15616
+0 1
+.names II15616 g8414
+0 1
+.names g3722 II15620
+0 1
+.names II15620 g8418
+0 1
+.names g7085 II15623
+0 1
+.names II15623 g8421
+0 1
+.names g7265 II15626
+0 1
+.names II15626 g8424
+0 1
+.names g5837 II15629
+0 1
+.names II15629 g8427
+0 1
+.names g3410 II15636
+0 1
+.names II15636 g8434
+0 1
+.names g3566 II15642
+0 1
+.names II15642 g8440
+0 1
+.names g6783 II15645
+0 1
+.names II15645 g8443
+0 1
+.names g3722 II15651
+0 1
+.names II15651 g8449
+0 1
+.names g7085 II15654
+0 1
+.names II15654 g8452
+0 1
+.names g6838 II15657
+0 1
+.names II15657 g8455
+0 1
+.names g3722 II15662
+0 1
+.names II15662 g8460
+0 1
+.names g3566 II15671
+0 1
+.names II15671 g8469
+0 1
+.names g3722 II15677
+0 1
+.names II15677 g8475
+0 1
+.names g7085 II15680
+0 1
+.names II15680 g8478
+0 1
+.names g3722 II15696
+0 1
+.names II15696 g8494
+0 1
+.names g6139 g8514
+0 1
+.names g6156 g8530
+0 1
+.names g6230 g8568
+0 1
+.names g6000 II15771
+0 1
+.names II15771 g8569
+0 1
+.names g6000 II15779
+0 1
+.names II15779 g8575
+0 1
+.names g6000 II15784
+0 1
+.names II15784 g8578
+0 1
+.names g6000 II15787
+0 1
+.names II15787 g8579
+0 1
+.names g6281 g8580
+0 1
+.names g6418 g8587
+0 1
+.names g6623 g8594
+0 1
+.names g3338 II15794
+0 1
+.names II15794 g8602
+0 1
+.names g6887 g8605
+0 1
+.names g3494 II15800
+0 1
+.names II15800 g8614
+0 1
+.names g8107 II15803
+0 1
+.names II15803 g8617
+0 1
+.names g5550 II15806
+0 1
+.names II15806 g8620
+0 1
+.names g3338 II15810
+0 1
+.names II15810 g8622
+0 1
+.names g3650 II15815
+0 1
+.names II15815 g8627
+0 1
+.names g5596 II15818
+0 1
+.names II15818 g8630
+0 1
+.names g3494 II15822
+0 1
+.names II15822 g8632
+0 1
+.names g3806 II15827
+0 1
+.names II15827 g8637
+0 1
+.names g8031 II15830
+0 1
+.names II15830 g8640
+0 1
+.names g3338 II15833
+0 1
+.names II15833 g8643
+0 1
+.names g3366 II15836
+0 1
+.names II15836 g8646
+0 1
+.names g5613 II15839
+0 1
+.names II15839 g8649
+0 1
+.names g3650 II15843
+0 1
+.names II15843 g8651
+0 1
+.names g3878 II15847
+0 1
+.names II15847 g8655
+0 1
+.names g5627 II15850
+0 1
+.names II15850 g8658
+0 1
+.names g3494 II15853
+0 1
+.names II15853 g8659
+0 1
+.names g3522 II15856
+0 1
+.names II15856 g8662
+0 1
+.names g5638 II15859
+0 1
+.names II15859 g8665
+0 1
+.names g3806 II15863
+0 1
+.names II15863 g8667
+0 1
+.names g3878 II15866
+0 1
+.names II15866 g8670
+0 1
+.names g7976 II15869
+0 1
+.names II15869 g8673
+0 1
+.names g5655 II15873
+0 1
+.names II15873 g8677
+0 1
+.names g3650 II15876
+0 1
+.names II15876 g8678
+0 1
+.names g3678 II15879
+0 1
+.names II15879 g8681
+0 1
+.names g3878 II15882
+0 1
+.names II15882 g8684
+0 1
+.names g5693 II15887
+0 1
+.names II15887 g8689
+0 1
+.names g3806 II15890
+0 1
+.names II15890 g8690
+0 1
+.names g3834 II15893
+0 1
+.names II15893 g8693
+0 1
+.names g3878 II15896
+0 1
+.names II15896 g8696
+0 1
+.names g5626 II15899
+0 1
+.names II15899 g8699
+0 1
+.names g6486 II15902
+0 1
+.names II15902 g8700
+0 1
+.names g5745 II15909
+0 1
+.names II15909 g8707
+0 1
+.names g3878 II15912
+0 1
+.names II15912 g8708
+0 1
+.names g3878 II15915
+0 1
+.names II15915 g8711
+0 1
+.names g6643 II15918
+0 1
+.names II15918 g8714
+0 1
+.names g5654 II15922
+0 1
+.names II15922 g8718
+0 1
+.names g6751 II15925
+0 1
+.names II15925 g8719
+0 1
+.names g5423 II15932
+0 1
+.names II15932 g8726
+0 1
+.names g3878 II15935
+0 1
+.names II15935 g8745
+0 1
+.names g3338 II15938
+0 1
+.names II15938 g8748
+0 1
+.names g6945 II15942
+0 1
+.names II15942 g8752
+0 1
+.names g5692 II15946
+0 1
+.names II15946 g8756
+0 1
+.names g7053 II15949
+0 1
+.names II15949 g8757
+0 1
+.names g3878 II15955
+0 1
+.names II15955 g8763
+0 1
+.names g3878 II15958
+0 1
+.names II15958 g8766
+0 1
+.names g6051 II15961
+0 1
+.names II15961 g8769
+0 1
+.names g7554 II15964
+0 1
+.names II15964 g8770
+0 1
+.names g3494 II15967
+0 1
+.names II15967 g8771
+0 1
+.names g7195 II15971
+0 1
+.names II15971 g8775
+0 1
+.names g5744 II15975
+0 1
+.names II15975 g8779
+0 1
+.names g7303 II15978
+0 1
+.names II15978 g8780
+0 1
+.names g3878 II15983
+0 1
+.names II15983 g8785
+0 1
+.names g3878 II15986
+0 1
+.names II15986 g8788
+0 1
+.names g6053 II15989
+0 1
+.names II15989 g8791
+0 1
+.names g6055 II15992
+0 1
+.names II15992 g8792
+0 1
+.names g7577 II15995
+0 1
+.names II15995 g8793
+0 1
+.names g3650 II15998
+0 1
+.names II15998 g8794
+0 1
+.names g7391 II16002
+0 1
+.names II16002 g8798
+0 1
+.names g3878 II16006
+0 1
+.names II16006 g8802
+0 1
+.names g3878 II16009
+0 1
+.names II16009 g8805
+0 1
+.names g5390 II16012
+0 1
+.names II16012 g8808
+0 1
+.names g6056 II16015
+0 1
+.names II16015 g8809
+0 1
+.names g6058 II16018
+0 1
+.names II16018 g8810
+0 1
+.names g6060 II16021
+0 1
+.names II16021 g8811
+0 1
+.names g7591 II16024
+0 1
+.names II16024 g8812
+0 1
+.names g3806 II16027
+0 1
+.names II16027 g8813
+0 1
+.names g3878 II16031
+0 1
+.names II16031 g8817
+0 1
+.names g5396 II16034
+0 1
+.names II16034 g8820
+0 1
+.names g6061 II16037
+0 1
+.names II16037 g8821
+0 1
+.names g4602 g8822
+0 1
+.names g6486 II16041
+0 1
+.names II16041 g8823
+0 1
+.names g5397 II16044
+0 1
+.names II16044 g8824
+0 1
+.names g6063 II16047
+0 1
+.names II16047 g8825
+0 1
+.names g6065 II16050
+0 1
+.names II16050 g8826
+0 1
+.names g6067 II16053
+0 1
+.names II16053 g8827
+0 1
+.names g7606 II16056
+0 1
+.names II16056 g8828
+0 1
+.names g3878 II16059
+0 1
+.names II16059 g8829
+0 1
+.names g3900 II16062
+0 1
+.names II16062 g8832
+0 1
+.names g7936 II16065
+0 1
+.names II16065 g8835
+0 1
+.names g5438 II16068
+0 1
+.names II16068 g8836
+0 1
+.names g5395 II16071
+0 1
+.names II16071 g8839
+0 1
+.names g5399 II16074
+0 1
+.names II16074 g8840
+0 1
+.names g6086 II16079
+0 1
+.names II16079 g8843
+0 1
+.names g5401 II16082
+0 1
+.names II16082 g8844
+0 1
+.names g6080 II16085
+0 1
+.names II16085 g8845
+0 1
+.names g4779 g8846
+0 1
+.names g6751 II16089
+0 1
+.names II16089 g8847
+0 1
+.names g5402 II16092
+0 1
+.names II16092 g8850
+0 1
+.names g6082 II16095
+0 1
+.names II16095 g8851
+0 1
+.names g6084 II16098
+0 1
+.names II16098 g8852
+0 1
+.names g3878 II16101
+0 1
+.names II16101 g8853
+0 1
+.names g6448 II16104
+0 1
+.names II16104 g8856
+0 1
+.names g5398 II16107
+0 1
+.names II16107 g8859
+0 1
+.names g5404 II16110
+0 1
+.names II16110 g8860
+0 1
+.names g7936 II16114
+0 1
+.names II16114 g8862
+0 1
+.names g5473 II16117
+0 1
+.names II16117 g8863
+0 1
+.names g5400 II16120
+0 1
+.names II16120 g8866
+0 1
+.names g5406 II16123
+0 1
+.names II16123 g8867
+0 1
+.names g6103 II16128
+0 1
+.names II16128 g8870
+0 1
+.names g5408 II16131
+0 1
+.names II16131 g8871
+0 1
+.names g6099 II16134
+0 1
+.names II16134 g8872
+0 1
+.names g4955 g8873
+0 1
+.names g7053 II16138
+0 1
+.names II16138 g8874
+0 1
+.names g5409 II16141
+0 1
+.names II16141 g8877
+0 1
+.names g6101 II16144
+0 1
+.names II16144 g8878
+0 1
+.names g3878 II16147
+0 1
+.names II16147 g8879
+0 1
+.names g3900 II16150
+0 1
+.names II16150 g8882
+0 1
+.names g3306 II16153
+0 1
+.names II16153 g8885
+0 1
+.names g5438 II16156
+0 1
+.names II16156 g8888
+0 1
+.names g5403 II16159
+0 1
+.names II16159 g8891
+0 1
+.names g6031 II16163
+0 1
+.names II16163 g8893
+0 1
+.names g6713 II16166
+0 1
+.names II16166 g8894
+0 1
+.names g5405 II16169
+0 1
+.names II16169 g8897
+0 1
+.names g5413 II16172
+0 1
+.names II16172 g8898
+0 1
+.names g7936 II16176
+0 1
+.names II16176 g8900
+0 1
+.names g5512 II16179
+0 1
+.names II16179 g8901
+0 1
+.names g5407 II16182
+0 1
+.names II16182 g8904
+0 1
+.names g5415 II16185
+0 1
+.names II16185 g8905
+0 1
+.names g6118 II16190
+0 1
+.names II16190 g8908
+0 1
+.names g5417 II16193
+0 1
+.names II16193 g8909
+0 1
+.names g6116 II16196
+0 1
+.names II16196 g8910
+0 1
+.names g5114 g8911
+0 1
+.names g7303 II16200
+0 1
+.names II16200 g8912
+0 1
+.names g3878 II16203
+0 1
+.names II16203 g8915
+0 1
+.names g6448 II16206
+0 1
+.names II16206 g8918
+0 1
+.names g5438 II16209
+0 1
+.names II16209 g8921
+0 1
+.names g5411 II16212
+0 1
+.names II16212 g8924
+0 1
+.names g3462 II16215
+0 1
+.names II16215 g8925
+0 1
+.names g5473 II16218
+0 1
+.names II16218 g8928
+0 1
+.names g5412 II16221
+0 1
+.names II16221 g8931
+0 1
+.names g6042 II16225
+0 1
+.names II16225 g8933
+0 1
+.names g7015 II16228
+0 1
+.names II16228 g8934
+0 1
+.names g5414 II16231
+0 1
+.names II16231 g8937
+0 1
+.names g5420 II16234
+0 1
+.names II16234 g8938
+0 1
+.names g7936 II16238
+0 1
+.names II16238 g8940
+0 1
+.names g5556 II16241
+0 1
+.names II16241 g8941
+0 1
+.names g5416 II16244
+0 1
+.names II16244 g8944
+0 1
+.names g5422 II16247
+0 1
+.names II16247 g8945
+0 1
+.names g6134 II16252
+0 1
+.names II16252 g8948
+0 1
+.names g3900 II16255
+0 1
+.names II16255 g8949
+0 1
+.names g3306 II16258
+0 1
+.names II16258 g8952
+0 1
+.names g6448 II16261
+0 1
+.names II16261 g8955
+0 1
+.names g6713 II16264
+0 1
+.names II16264 g8958
+0 1
+.names g5473 II16267
+0 1
+.names II16267 g8961
+0 1
+.names g5418 II16270
+0 1
+.names II16270 g8964
+0 1
+.names g3618 II16273
+0 1
+.names II16273 g8965
+0 1
+.names g5512 II16276
+0 1
+.names II16276 g8968
+0 1
+.names g5419 II16279
+0 1
+.names II16279 g8971
+0 1
+.names g6046 II16283
+0 1
+.names II16283 g8973
+0 1
+.names g7265 II16286
+0 1
+.names II16286 g8974
+0 1
+.names g5421 II16289
+0 1
+.names II16289 g8977
+0 1
+.names g5426 II16292
+0 1
+.names II16292 g8978
+0 1
+.names g3306 II16296
+0 1
+.names II16296 g8980
+0 1
+.names g6486 g8983
+0 1
+.names g3462 II16300
+0 1
+.names II16300 g8984
+0 1
+.names g6713 II16303
+0 1
+.names II16303 g8987
+0 1
+.names g7015 II16306
+0 1
+.names II16306 g8990
+0 1
+.names g5512 II16309
+0 1
+.names II16309 g8993
+0 1
+.names g5424 II16312
+0 1
+.names II16312 g8996
+0 1
+.names g3774 II16315
+0 1
+.names II16315 g8997
+0 1
+.names g5556 II16318
+0 1
+.names II16318 g9000
+0 1
+.names g5425 II16321
+0 1
+.names II16321 g9003
+0 1
+.names g6052 II16325
+0 1
+.names II16325 g9005
+0 1
+.names g3900 II16328
+0 1
+.names II16328 g9006
+0 1
+.names g3462 II16332
+0 1
+.names II16332 g9010
+0 1
+.names g3618 II16335
+0 1
+.names II16335 g9013
+0 1
+.names g7015 II16338
+0 1
+.names II16338 g9016
+0 1
+.names g7265 II16341
+0 1
+.names II16341 g9019
+0 1
+.names g5556 II16344
+0 1
+.names II16344 g9022
+0 1
+.names g5427 II16347
+0 1
+.names II16347 g9025
+0 1
+.names g5679 g9027
+0 1
+.names g3618 II16354
+0 1
+.names II16354 g9035
+0 1
+.names g3774 II16357
+0 1
+.names II16357 g9038
+0 1
+.names g7265 II16360
+0 1
+.names II16360 g9041
+0 1
+.names g3900 II16363
+0 1
+.names II16363 g9044
+0 1
+.names g5731 g9050
+0 1
+.names g3774 II16372
+0 1
+.names II16372 g9058
+0 1
+.names g5789 g9067
+0 1
+.names g5848 g9084
+0 1
+.names g3366 II16432
+0 1
+.names II16432 g9128
+0 1
+.names g3522 II16438
+0 1
+.names II16438 g9134
+0 1
+.names g3678 II16444
+0 1
+.names II16444 g9140
+0 1
+.names g3834 II16450
+0 1
+.names II16450 g9146
+0 1
+.names g7936 II16453
+0 1
+.names II16453 g9149
+0 1
+.names g5893 g9150
+0 1
+.names g7936 II16457
+0 1
+.names II16457 g9159
+0 1
+.names g6170 g9160
+0 1
+.names g5852 g9161
+0 1
+.names g5438 II16462
+0 1
+.names II16462 g9170
+0 1
+.names g6000 II16465
+0 1
+.names II16465 g9173
+0 1
+.names g5932 g9174
+0 1
+.names g7936 II16469
+0 1
+.names II16469 g9183
+0 1
+.names g7901 II16472
+0 1
+.names II16472 g9184
+0 1
+.names g5803 g9187
+0 1
+.names g6448 II16476
+0 1
+.names II16476 g9196
+0 1
+.names g5438 II16479
+0 1
+.names II16479 g9199
+0 1
+.names g6000 II16482
+0 1
+.names II16482 g9202
+0 1
+.names g5899 g9203
+0 1
+.names g5473 II16486
+0 1
+.names II16486 g9212
+0 1
+.names g6000 II16489
+0 1
+.names II16489 g9215
+0 1
+.names g5966 g9216
+0 1
+.names g7936 II16493
+0 1
+.names II16493 g9225
+0 1
+.names g5434 g9226
+0 1
+.names g5587 g9227
+0 1
+.names g7667 g9228
+0 1
+.names g7901 II16499
+0 1
+.names II16499 g9229
+0 1
+.names g5752 g9232
+0 1
+.names g3306 II16504
+0 1
+.names II16504 g9242
+0 1
+.names g6448 II16507
+0 1
+.names II16507 g9245
+0 1
+.names g5859 g9248
+0 1
+.names g6713 II16511
+0 1
+.names II16511 g9257
+0 1
+.names g5473 II16514
+0 1
+.names II16514 g9260
+0 1
+.names g6000 II16517
+0 1
+.names II16517 g9263
+0 1
+.names g5938 g9264
+0 1
+.names g5512 II16521
+0 1
+.names II16521 g9273
+0 1
+.names g6000 II16524
+0 1
+.names II16524 g9276
+0 1
+.names g5995 g9277
+0 1
+.names g6197 g9286
+0 1
+.names g6638 g9287
+0 1
+.names g5363 g9288
+0 1
+.names g5379 g9289
+0 1
+.names g7901 II16532
+0 1
+.names II16532 g9290
+0 1
+.names g5703 g9293
+0 1
+.names g3306 II16538
+0 1
+.names II16538 g9303
+0 1
+.names g5438 II16541
+0 1
+.names II16541 g9306
+0 1
+.names g6054 II16544
+0 1
+.names II16544 g9309
+0 1
+.names g5811 g9310
+0 1
+.names g3462 II16549
+0 1
+.names II16549 g9320
+0 1
+.names g6713 II16552
+0 1
+.names II16552 g9323
+0 1
+.names g5906 g9326
+0 1
+.names g7015 II16556
+0 1
+.names II16556 g9335
+0 1
+.names g5512 II16559
+0 1
+.names II16559 g9338
+0 1
+.names g6000 II16562
+0 1
+.names II16562 g9341
+0 1
+.names g5972 g9342
+0 1
+.names g5556 II16566
+0 1
+.names II16566 g9351
+0 1
+.names g6000 II16569
+0 1
+.names II16569 g9354
+0 1
+.names g7639 g9355
+0 1
+.names g5665 g9356
+0 1
+.names g6448 II16578
+0 1
+.names II16578 g9368
+0 1
+.names g5438 II16581
+0 1
+.names II16581 g9371
+0 1
+.names g5761 g9374
+0 1
+.names g3462 II16587
+0 1
+.names II16587 g9384
+0 1
+.names g5473 II16590
+0 1
+.names II16590 g9387
+0 1
+.names g6059 II16593
+0 1
+.names II16593 g9390
+0 1
+.names g5867 g9391
+0 1
+.names g3618 II16598
+0 1
+.names II16598 g9401
+0 1
+.names g7015 II16601
+0 1
+.names II16601 g9404
+0 1
+.names g5945 g9407
+0 1
+.names g7265 II16605
+0 1
+.names II16605 g9416
+0 1
+.names g5556 II16608
+0 1
+.names II16608 g9419
+0 1
+.names g6000 II16611
+0 1
+.names II16611 g9422
+0 1
+.names g5428 g9423
+0 1
+.names g5469 g9424
+0 1
+.names g5346 g9425
+0 1
+.names g5543 g9426
+0 1
+.names g5645 g9427
+0 1
+.names g3306 II16624
+0 1
+.names II16624 g9443
+0 1
+.names g6448 II16627
+0 1
+.names II16627 g9446
+0 1
+.names g6057 II16630
+0 1
+.names II16630 g9449
+0 1
+.names g6486 II16633
+0 1
+.names II16633 g9450
+0 1
+.names g5717 g9453
+0 1
+.names g6713 II16641
+0 1
+.names II16641 g9465
+0 1
+.names g5473 II16644
+0 1
+.names II16644 g9468
+0 1
+.names g5820 g9471
+0 1
+.names g3618 II16650
+0 1
+.names II16650 g9481
+0 1
+.names g5512 II16653
+0 1
+.names II16653 g9484
+0 1
+.names g6066 II16656
+0 1
+.names II16656 g9487
+0 1
+.names g5914 g9488
+0 1
+.names g3774 II16661
+0 1
+.names II16661 g9498
+0 1
+.names g7265 II16664
+0 1
+.names II16664 g9501
+0 1
+.names g6149 g9504
+0 1
+.names g6227 g9505
+0 1
+.names g6444 g9506
+0 1
+.names g5953 g9507
+0 1
+.names g3306 II16677
+0 1
+.names II16677 g9524
+0 1
+.names g5508 g9527
+0 1
+.names g6643 II16681
+0 1
+.names II16681 g9528
+0 1
+.names g6486 II16684
+0 1
+.names II16684 g9531
+0 1
+.names g5683 g9569
+0 1
+.names g3462 II16694
+0 1
+.names II16694 g9585
+0 1
+.names g6713 II16697
+0 1
+.names II16697 g9588
+0 1
+.names g6064 II16700
+0 1
+.names II16700 g9591
+0 1
+.names g6751 II16703
+0 1
+.names II16703 g9592
+0 1
+.names g5775 g9595
+0 1
+.names g7015 II16711
+0 1
+.names II16711 g9607
+0 1
+.names g5512 II16714
+0 1
+.names II16714 g9610
+0 1
+.names g5876 g9613
+0 1
+.names g3774 II16720
+0 1
+.names II16720 g9623
+0 1
+.names g5556 II16723
+0 1
+.names II16723 g9626
+0 1
+.names g6085 II16726
+0 1
+.names II16726 g9629
+0 1
+.names g6062 II16741
+0 1
+.names II16741 g9640
+0 1
+.names g3338 II16744
+0 1
+.names II16744 g9641
+0 1
+.names g6643 II16747
+0 1
+.names II16747 g9644
+0 1
+.names g5982 g9649
+0 1
+.names g3462 II16759
+0 1
+.names II16759 g9666
+0 1
+.names g5552 g9669
+0 1
+.names g6945 II16763
+0 1
+.names II16763 g9670
+0 1
+.names g6751 II16766
+0 1
+.names II16766 g9673
+0 1
+.names g5735 g9711
+0 1
+.names g3618 II16776
+0 1
+.names II16776 g9727
+0 1
+.names g7015 II16779
+0 1
+.names II16779 g9730
+0 1
+.names g6083 II16782
+0 1
+.names II16782 g9733
+0 1
+.names g7053 II16785
+0 1
+.names II16785 g9734
+0 1
+.names g5834 g9737
+0 1
+.names g7265 II16793
+0 1
+.names II16793 g9749
+0 1
+.names g5556 II16796
+0 1
+.names II16796 g9752
+0 1
+.names g5431 g9755
+0 1
+.names g5504 g9756
+0 1
+.names g5601 g9757
+0 1
+.names g5618 g9758
+0 1
+.names g3338 II16811
+0 1
+.names II16811 g9767
+0 1
+.names g6486 II16814
+0 1
+.names II16814 g9770
+0 1
+.names g6081 II16832
+0 1
+.names II16832 g9786
+0 1
+.names g3494 II16835
+0 1
+.names II16835 g9787
+0 1
+.names g6945 II16838
+0 1
+.names II16838 g9790
+0 1
+.names g6019 g9795
+0 1
+.names g3618 II16850
+0 1
+.names II16850 g9812
+0 1
+.names g5598 g9815
+0 1
+.names g7195 II16854
+0 1
+.names II16854 g9816
+0 1
+.names g7053 II16857
+0 1
+.names II16857 g9819
+0 1
+.names g5793 g9857
+0 1
+.names g3774 II16867
+0 1
+.names II16867 g9873
+0 1
+.names g7265 II16870
+0 1
+.names II16870 g9876
+0 1
+.names g6102 II16873
+0 1
+.names II16873 g9879
+0 1
+.names g7303 II16876
+0 1
+.names II16876 g9880
+0 1
+.names g6310 g9884
+0 1
+.names g6905 g9885
+0 1
+.names g7149 g9886
+0 1
+.names g6643 II16897
+0 1
+.names II16897 g9895
+0 1
+.names g6486 II16900
+0 1
+.names II16900 g9898
+0 1
+.names g3494 II16915
+0 1
+.names II16915 g9913
+0 1
+.names g6751 II16918
+0 1
+.names II16918 g9916
+0 1
+.names g6100 II16936
+0 1
+.names II16936 g9932
+0 1
+.names g3650 II16939
+0 1
+.names II16939 g9933
+0 1
+.names g7195 II16942
+0 1
+.names II16942 g9936
+0 1
+.names g6035 g9941
+0 1
+.names g3774 II16954
+0 1
+.names II16954 g9958
+0 1
+.names g5615 g9961
+0 1
+.names g7391 II16958
+0 1
+.names II16958 g9962
+0 1
+.names g7303 II16961
+0 1
+.names II16961 g9965
+0 1
+.names g3900 II16972
+0 1
+.names II16972 g10004
+0 1
+.names g5292 g10015
+0 1
+.names g7936 II16984
+0 1
+.names II16984 g10016
+0 1
+.names g6079 II16987
+0 1
+.names II16987 g10017
+0 1
+.names g3338 II16990
+0 1
+.names II16990 g10018
+0 1
+.names g6643 II16993
+0 1
+.names II16993 g10021
+0 1
+.names g6945 II17009
+0 1
+.names II17009 g10049
+0 1
+.names g6751 II17012
+0 1
+.names II17012 g10052
+0 1
+.names g3650 II17027
+0 1
+.names II17027 g10067
+0 1
+.names g7053 II17030
+0 1
+.names II17030 g10070
+0 1
+.names g6117 II17048
+0 1
+.names II17048 g10086
+0 1
+.names g3806 II17051
+0 1
+.names II17051 g10087
+0 1
+.names g7391 II17054
+0 1
+.names II17054 g10090
+0 1
+.names g3900 II17066
+0 1
+.names II17066 g10096
+0 1
+.names g7700 g10099
+0 1
+.names g3151 g3142 g3147 g7528
+000 1
+.names g7528 II17070
+0 1
+.names II17070 g10100
+0 1
+.names g3338 II17081
+0 1
+.names II17081 g10109
+0 1
+.names g5326 g10124
+0 1
+.names g7936 II17097
+0 1
+.names II17097 g10125
+0 1
+.names g6098 II17100
+0 1
+.names II17100 g10126
+0 1
+.names g3494 II17103
+0 1
+.names II17103 g10127
+0 1
+.names g6945 II17106
+0 1
+.names II17106 g10130
+0 1
+.names g7195 II17122
+0 1
+.names II17122 g10158
+0 1
+.names g7053 II17125
+0 1
+.names II17125 g10161
+0 1
+.names g3806 II17140
+0 1
+.names II17140 g10176
+0 1
+.names g7303 II17143
+0 1
+.names II17143 g10179
+0 1
+.names g3900 II17159
+0 1
+.names II17159 g10189
+0 1
+.names g3494 II17184
+0 1
+.names II17184 g10214
+0 1
+.names g5349 g10229
+0 1
+.names g7936 II17200
+0 1
+.names II17200 g10230
+0 1
+.names g6115 II17203
+0 1
+.names II17203 g10231
+0 1
+.names g3650 II17206
+0 1
+.names II17206 g10232
+0 1
+.names g7195 II17209
+0 1
+.names II17209 g10235
+0 1
+.names g7391 II17225
+0 1
+.names II17225 g10263
+0 1
+.names g7303 II17228
+0 1
+.names II17228 g10266
+0 1
+.names g3900 II17235
+0 1
+.names II17235 g10273
+0 1
+.names g3900 II17238
+0 1
+.names II17238 g10276
+0 1
+.names g3650 II17278
+0 1
+.names II17278 g10316
+0 1
+.names g5366 g10331
+0 1
+.names g7936 II17294
+0 1
+.names II17294 g10332
+0 1
+.names g6130 II17297
+0 1
+.names II17297 g10333
+0 1
+.names g3806 II17300
+0 1
+.names II17300 g10334
+0 1
+.names g7391 II17303
+0 1
+.names II17303 g10337
+0 1
+.names g3900 II17311
+0 1
+.names II17311 g10357
+0 1
+.names g3806 II17363
+0 1
+.names II17363 g10409
+0 1
+.names g3900 II17370
+0 1
+.names II17370 g10416
+0 1
+.names g3900 II17373
+0 1
+.names II17373 g10419
+0 1
+.names g7910 g10424
+0 1
+.names g7826 g10481
+0 1
+.names g3900 II17433
+0 1
+.names II17433 g10482
+0 1
+.names g7957 g10486
+0 1
+.names g7962 g10500
+0 1
+.names g3900 II17483
+0 1
+.names II17483 g10542
+0 1
+.names g3900 II17486
+0 1
+.names II17486 g10545
+0 1
+.names g7999 g10549
+0 1
+.names g8008 g10560
+0 1
+.names g8013 g10574
+0 1
+.names g3900 II17527
+0 1
+.names II17527 g10601
+0 1
+.names g8074 g10606
+0 1
+.names g8083 g10617
+0 1
+.names g8088 g10631
+0 1
+.names g3900 II17557
+0 1
+.names II17557 g10646
+0 1
+.names g8159 g10653
+0 1
+.names g8168 g10664
+0 1
+.names g8245 g10683
+0 1
+.names g4326 g10694
+0 1
+.names g4495 g10714
+0 1
+.names g6173 g10730
+0 1
+.names g4671 g10735
+0 1
+.names g6205 g10749
+0 1
+.names g4848 g10754
+0 1
+.names g6048 g10765
+0 1
+.names g6676 g10766
+0 1
+.names g6294 g10767
+0 1
+.names g6978 g10772
+0 1
+.names g6431 g10773
+0 1
+.names g2984 g2985 g7575
+00 1
+.names g7575 II17627
+0 1
+.names II17627 g10779
+0 1
+.names g7228 g10783
+0 1
+.names g6183 II17632
+0 1
+.names II17632 g10787
+0 1
+.names g7424 g10788
+0 1
+.names g6204 II17637
+0 1
+.names II17637 g10792
+0 1
+.names g6215 II17641
+0 1
+.names II17641 g10796
+0 1
+.names g6288 II17645
+0 1
+.names II17645 g10800
+0 1
+.names g6293 II17649
+0 1
+.names II17649 g10804
+0 1
+.names g6304 II17653
+0 1
+.names II17653 g10808
+0 1
+.names g5701 g10809
+0 1
+.names g6367 II17658
+0 1
+.names II17658 g10813
+0 1
+.names g6425 II17662
+0 1
+.names II17662 g10817
+0 1
+.names g6430 II17666
+0 1
+.names II17666 g10821
+0 1
+.names g6441 II17670
+0 1
+.names II17670 g10825
+0 1
+.names g8107 II17673
+0 1
+.names II17673 g10826
+0 1
+.names g5749 g10829
+0 1
+.names g6517 II17677
+0 1
+.names II17677 g10830
+0 1
+.names g6572 II17681
+0 1
+.names II17681 g10834
+0 1
+.names g6630 II17685
+0 1
+.names II17685 g10838
+0 1
+.names g6635 II17689
+0 1
+.names II17689 g10842
+0 1
+.names g8107 II17692
+0 1
+.names II17692 g10843
+0 1
+.names g5799 g10846
+0 1
+.names g5800 g10847
+0 1
+.names g5801 g10848
+0 1
+.names g6711 II17698
+0 1
+.names II17698 g10849
+0 1
+.names g6781 II17701
+0 1
+.names II17701 g10850
+0 1
+.names g6836 II17705
+0 1
+.names II17705 g10854
+0 1
+.names g6894 II17709
+0 1
+.names II17709 g10858
+0 1
+.names g8031 II17712
+0 1
+.names II17712 g10859
+0 1
+.names g8107 II17715
+0 1
+.names II17715 g10862
+0 1
+.names g6131 g10865
+0 1
+.names g5849 g10866
+0 1
+.names g5850 g10867
+0 1
+.names g6641 II17721
+0 1
+.names II17721 g10868
+0 1
+.names g6942 II17724
+0 1
+.names II17724 g10869
+0 1
+.names g7013 II17727
+0 1
+.names II17727 g10870
+0 1
+.names g7083 II17730
+0 1
+.names II17730 g10871
+0 1
+.names g7138 II17734
+0 1
+.names II17734 g10875
+0 1
+.names g6000 II17737
+0 1
+.names II17737 g10876
+0 1
+.names g8031 II17740
+0 1
+.names II17740 g10877
+0 1
+.names g8107 II17743
+0 1
+.names II17743 g10880
+0 1
+.names g8107 II17746
+0 1
+.names II17746 g10883
+0 1
+.names g5889 g10886
+0 1
+.names g7157 II17750
+0 1
+.names II17750 g10887
+0 1
+.names g6943 II17753
+0 1
+.names II17753 g10888
+0 1
+.names g7192 II17756
+0 1
+.names II17756 g10889
+0 1
+.names g7263 II17759
+0 1
+.names II17759 g10890
+0 1
+.names g7333 II17762
+0 1
+.names II17762 g10891
+0 1
+.names g7976 II17765
+0 1
+.names II17765 g10892
+0 1
+.names g8031 II17768
+0 1
+.names II17768 g10895
+0 1
+.names g8107 II17771
+0 1
+.names II17771 g10898
+0 1
+.names g8107 II17774
+0 1
+.names II17774 g10901
+0 1
+.names g5922 g10904
+0 1
+.names g5923 g10905
+0 1
+.names g5924 g10906
+0 1
+.names g7348 II17780
+0 1
+.names II17780 g10907
+0 1
+.names g7353 II17783
+0 1
+.names II17783 g10908
+0 1
+.names g7193 II17786
+0 1
+.names II17786 g10909
+0 1
+.names g7388 II17789
+0 1
+.names II17789 g10910
+0 1
+.names g7459 II17792
+0 1
+.names II17792 g10911
+0 1
+.names g7976 II17795
+0 1
+.names II17795 g10912
+0 1
+.names g8031 II17798
+0 1
+.names II17798 g10915
+0 1
+.names g8107 II17801
+0 1
+.names II17801 g10918
+0 1
+.names g8031 II17804
+0 1
+.names II17804 g10921
+0 1
+.names g8107 II17807
+0 1
+.names II17807 g10924
+0 1
+.names g6153 g10927
+0 1
+.names g5951 g10928
+0 1
+.names g5952 g10929
+0 1
+.names g5707 II17813
+0 1
+.names II17813 g10930
+0 1
+.names g7346 II17816
+0 1
+.names II17816 g10931
+0 1
+.names g6448 II17819
+0 1
+.names II17819 g10932
+0 1
+.names g7478 II17822
+0 1
+.names II17822 g10933
+0 1
+.names g7483 II17825
+0 1
+.names II17825 g10934
+0 1
+.names g7389 II17828
+0 1
+.names II17828 g10935
+0 1
+.names g7518 II17831
+0 1
+.names II17831 g10936
+0 1
+.names g7976 II17834
+0 1
+.names II17834 g10937
+0 1
+.names g8031 II17837
+0 1
+.names II17837 g10940
+0 1
+.names g8107 II17840
+0 1
+.names II17840 g10943
+0 1
+.names g8031 II17843
+0 1
+.names II17843 g10946
+0 1
+.names g8107 II17846
+0 1
+.names II17846 g10949
+0 1
+.names g8103 II17849
+0 1
+.names II17849 g10952
+0 1
+.names g5978 g10961
+0 1
+.names g5979 g10962
+0 1
+.names g6232 II17854
+0 1
+.names II17854 g10963
+0 1
+.names g6448 II17857
+0 1
+.names II17857 g10966
+0 1
+.names g5765 II17860
+0 1
+.names II17860 g10967
+0 1
+.names g7476 II17863
+0 1
+.names II17863 g10968
+0 1
+.names g6713 II17866
+0 1
+.names II17866 g10969
+0 1
+.names g7534 II17869
+0 1
+.names II17869 g10972
+0 1
+.names g7539 II17872
+0 1
+.names II17872 g10973
+0 1
+.names g7976 II17875
+0 1
+.names II17875 g10974
+0 1
+.names g8031 II17878
+0 1
+.names II17878 g10977
+0 1
+.names g7976 II17881
+0 1
+.names II17881 g10980
+0 1
+.names g8031 II17884
+0 1
+.names II17884 g10983
+0 1
+.names g6014 g10986
+0 1
+.names g6015 g10987
+0 1
+.names g6314 II17889
+0 1
+.names II17889 g10988
+0 1
+.names g6232 II17892
+0 1
+.names II17892 g10991
+0 1
+.names g6448 II17895
+0 1
+.names II17895 g10994
+0 1
+.names g6643 II17898
+0 1
+.names II17898 g10995
+0 1
+.names g6369 II17901
+0 1
+.names II17901 g10996
+0 1
+.names g6713 II17904
+0 1
+.names II17904 g10999
+0 1
+.names g5824 II17907
+0 1
+.names II17907 g11002
+0 1
+.names g7532 II17910
+0 1
+.names II17910 g11003
+0 1
+.names g7015 II17913
+0 1
+.names II17913 g11004
+0 1
+.names g7560 II17916
+0 1
+.names II17916 g11007
+0 1
+.names g7976 II17919
+0 1
+.names II17919 g11008
+0 1
+.names g8031 II17922
+0 1
+.names II17922 g11011
+0 1
+.names g7976 II17925
+0 1
+.names II17925 g11014
+0 1
+.names g8031 II17928
+0 1
+.names II17928 g11017
+0 1
+.names g6029 g11020
+0 1
+.names g6030 g11021
+0 1
+.names g3254 II17933
+0 1
+.names II17933 g11022
+0 1
+.names g6314 II17936
+0 1
+.names II17936 g11025
+0 1
+.names g6232 II17939
+0 1
+.names II17939 g11028
+0 1
+.names g5548 II17942
+0 1
+.names II17942 g11031
+0 1
+.names g5668 II17945
+0 1
+.names II17945 g11032
+0 1
+.names g6643 II17948
+0 1
+.names II17948 g11035
+0 1
+.names g6519 II17951
+0 1
+.names II17951 g11036
+0 1
+.names g6369 II17954
+0 1
+.names II17954 g11039
+0 1
+.names g6713 II17957
+0 1
+.names II17957 g11042
+0 1
+.names g6945 II17960
+0 1
+.names II17960 g11045
+0 1
+.names g6574 II17963
+0 1
+.names II17963 g11048
+0 1
+.names g7015 II17966
+0 1
+.names II17966 g11051
+0 1
+.names g5880 II17969
+0 1
+.names II17969 g11054
+0 1
+.names g7558 II17972
+0 1
+.names II17972 g11055
+0 1
+.names g7265 II17975
+0 1
+.names II17975 g11056
+0 1
+.names g2992 g2991 g7795
+00 1
+.names g7795 II17978
+0 1
+.names II17978 g11059
+0 1
+.names g7976 II17981
+0 1
+.names II17981 g11063
+0 1
+.names g7976 II17984
+0 1
+.names II17984 g11066
+0 1
+.names g8257 g11069
+0 1
+.names g6041 g11078
+0 1
+.names g3254 II17989
+0 1
+.names II17989 g11079
+0 1
+.names g6314 II17992
+0 1
+.names II17992 g11082
+0 1
+.names g6232 II17995
+0 1
+.names II17995 g11085
+0 1
+.names g5668 II17998
+0 1
+.names II17998 g11088
+0 1
+.names g6643 II18001
+0 1
+.names II18001 g11091
+0 1
+.names g3410 II18004
+0 1
+.names II18004 g11092
+0 1
+.names g6519 II18007
+0 1
+.names II18007 g11095
+0 1
+.names g6369 II18010
+0 1
+.names II18010 g11098
+0 1
+.names g5594 II18013
+0 1
+.names II18013 g11101
+0 1
+.names g5720 II18016
+0 1
+.names II18016 g11102
+0 1
+.names g6945 II18019
+0 1
+.names II18019 g11105
+0 1
+.names g6783 II18022
+0 1
+.names II18022 g11108
+0 1
+.names g6574 II18025
+0 1
+.names II18025 g11111
+0 1
+.names g7015 II18028
+0 1
+.names II18028 g11114
+0 1
+.names g7195 II18031
+0 1
+.names II18031 g11117
+0 1
+.names g6838 II18034
+0 1
+.names II18034 g11120
+0 1
+.names g7265 II18037
+0 1
+.names II18037 g11123
+0 1
+.names g7976 II18040
+0 1
+.names II18040 g11126
+0 1
+.names g7976 II18043
+0 1
+.names II18043 g11129
+0 1
+.names g3254 II18046
+0 1
+.names II18046 g11132
+0 1
+.names g6314 II18049
+0 1
+.names II18049 g11135
+0 1
+.names g6232 II18052
+0 1
+.names II18052 g11138
+0 1
+.names g5668 II18055
+0 1
+.names II18055 g11141
+0 1
+.names g6643 II18058
+0 1
+.names II18058 g11144
+0 1
+.names g3410 II18061
+0 1
+.names II18061 g11145
+0 1
+.names g6519 II18064
+0 1
+.names II18064 g11148
+0 1
+.names g6369 II18067
+0 1
+.names II18067 g11151
+0 1
+.names g5720 II18070
+0 1
+.names II18070 g11154
+0 1
+.names g6945 II18073
+0 1
+.names II18073 g11157
+0 1
+.names g3566 II18076
+0 1
+.names II18076 g11160
+0 1
+.names g6783 II18079
+0 1
+.names II18079 g11163
+0 1
+.names g6574 II18082
+0 1
+.names II18082 g11166
+0 1
+.names g5611 II18085
+0 1
+.names II18085 g11169
+0 1
+.names g5778 II18088
+0 1
+.names II18088 g11170
+0 1
+.names g7195 II18091
+0 1
+.names II18091 g11173
+0 1
+.names g7085 II18094
+0 1
+.names II18094 g11176
+0 1
+.names g6838 II18097
+0 1
+.names II18097 g11179
+0 1
+.names g7265 II18100
+0 1
+.names II18100 g11182
+0 1
+.names g7391 II18103
+0 1
+.names II18103 g11185
+0 1
+.names g3999 g11190
+0 1
+.names g3254 II18121
+0 1
+.names II18121 g11199
+0 1
+.names g6314 II18124
+0 1
+.names II18124 g11202
+0 1
+.names g6232 II18127
+0 1
+.names II18127 g11205
+0 1
+.names g5547 II18130
+0 1
+.names II18130 g11208
+0 1
+.names g6448 II18133
+0 1
+.names II18133 g11209
+0 1
+.names g5668 II18136
+0 1
+.names II18136 g11210
+0 1
+.names g6643 II18139
+0 1
+.names II18139 g11213
+0 1
+.names g3410 II18142
+0 1
+.names II18142 g11216
+0 1
+.names g6519 II18145
+0 1
+.names II18145 g11219
+0 1
+.names g6369 II18148
+0 1
+.names II18148 g11222
+0 1
+.names g5720 II18151
+0 1
+.names II18151 g11225
+0 1
+.names g6945 II18154
+0 1
+.names II18154 g11228
+0 1
+.names g3566 II18157
+0 1
+.names II18157 g11231
+0 1
+.names g6783 II18160
+0 1
+.names II18160 g11234
+0 1
+.names g6574 II18163
+0 1
+.names II18163 g11237
+0 1
+.names g5778 II18166
+0 1
+.names II18166 g11240
+0 1
+.names g7195 II18169
+0 1
+.names II18169 g11243
+0 1
+.names g3722 II18172
+0 1
+.names II18172 g11246
+0 1
+.names g7085 II18175
+0 1
+.names II18175 g11249
+0 1
+.names g6838 II18178
+0 1
+.names II18178 g11252
+0 1
+.names g5636 II18181
+0 1
+.names II18181 g11255
+0 1
+.names g5837 II18184
+0 1
+.names II18184 g11256
+0 1
+.names g7391 II18187
+0 1
+.names II18187 g11259
+0 1
+.names g6232 II18211
+0 1
+.names II18211 g11265
+0 1
+.names g3254 II18214
+0 1
+.names II18214 g11268
+0 1
+.names g6314 II18217
+0 1
+.names II18217 g11271
+0 1
+.names g6232 II18220
+0 1
+.names II18220 g11274
+0 1
+.names g6448 II18223
+0 1
+.names II18223 g11277
+0 1
+.names g5668 II18226
+0 1
+.names II18226 g11278
+0 1
+.names g3410 II18229
+0 1
+.names II18229 g11281
+0 1
+.names g6519 II18232
+0 1
+.names II18232 g11284
+0 1
+.names g6369 II18235
+0 1
+.names II18235 g11287
+0 1
+.names g5593 II18238
+0 1
+.names II18238 g11290
+0 1
+.names g6713 II18241
+0 1
+.names II18241 g11291
+0 1
+.names g5720 II18244
+0 1
+.names II18244 g11294
+0 1
+.names g6945 II18247
+0 1
+.names II18247 g11297
+0 1
+.names g3566 II18250
+0 1
+.names II18250 g11300
+0 1
+.names g6783 II18253
+0 1
+.names II18253 g11303
+0 1
+.names g6574 II18256
+0 1
+.names II18256 g11306
+0 1
+.names g5778 II18259
+0 1
+.names II18259 g11309
+0 1
+.names g7195 II18262
+0 1
+.names II18262 g11312
+0 1
+.names g3722 II18265
+0 1
+.names II18265 g11315
+0 1
+.names g7085 II18268
+0 1
+.names II18268 g11318
+0 1
+.names g6838 II18271
+0 1
+.names II18271 g11321
+0 1
+.names g5837 II18274
+0 1
+.names II18274 g11324
+0 1
+.names g7391 II18277
+0 1
+.names II18277 g11327
+0 1
+.names g4094 g11332
+0 1
+.names g6314 II18295
+0 1
+.names II18295 g11341
+0 1
+.names g6232 II18298
+0 1
+.names II18298 g11344
+0 1
+.names g3254 II18302
+0 1
+.names II18302 g11348
+0 1
+.names g6314 II18305
+0 1
+.names II18305 g11351
+0 1
+.names g6448 II18308
+0 1
+.names II18308 g11354
+0 1
+.names g5668 II18311
+0 1
+.names II18311 g11355
+0 1
+.names g6369 II18314
+0 1
+.names II18314 g11358
+0 1
+.names g3410 II18317
+0 1
+.names II18317 g11361
+0 1
+.names g6519 II18320
+0 1
+.names II18320 g11364
+0 1
+.names g6369 II18323
+0 1
+.names II18323 g11367
+0 1
+.names g6713 II18326
+0 1
+.names II18326 g11370
+0 1
+.names g5720 II18329
+0 1
+.names II18329 g11373
+0 1
+.names g3566 II18332
+0 1
+.names II18332 g11376
+0 1
+.names g6783 II18335
+0 1
+.names II18335 g11379
+0 1
+.names g6574 II18338
+0 1
+.names II18338 g11382
+0 1
+.names g5610 II18341
+0 1
+.names II18341 g11385
+0 1
+.names g7015 II18344
+0 1
+.names II18344 g11386
+0 1
+.names g5778 II18347
+0 1
+.names II18347 g11389
+0 1
+.names g7195 II18350
+0 1
+.names II18350 g11392
+0 1
+.names g3722 II18353
+0 1
+.names II18353 g11395
+0 1
+.names g7085 II18356
+0 1
+.names II18356 g11398
+0 1
+.names g6838 II18359
+0 1
+.names II18359 g11401
+0 1
+.names g5837 II18362
+0 1
+.names II18362 g11404
+0 1
+.names g7391 II18365
+0 1
+.names II18365 g11407
+0 1
+.names g3254 II18375
+0 1
+.names II18375 g11411
+0 1
+.names g6314 II18378
+0 1
+.names II18378 g11414
+0 1
+.names g6232 II18381
+0 1
+.names II18381 g11417
+0 1
+.names g3254 II18386
+0 1
+.names II18386 g11422
+0 1
+.names g6519 II18389
+0 1
+.names II18389 g11425
+0 1
+.names g6369 II18392
+0 1
+.names II18392 g11428
+0 1
+.names g3410 II18396
+0 1
+.names II18396 g11432
+0 1
+.names g6519 II18399
+0 1
+.names II18399 g11435
+0 1
+.names g6713 II18402
+0 1
+.names II18402 g11438
+0 1
+.names g5720 II18405
+0 1
+.names II18405 g11441
+0 1
+.names g6574 II18408
+0 1
+.names II18408 g11444
+0 1
+.names g3566 II18411
+0 1
+.names II18411 g11447
+0 1
+.names g6783 II18414
+0 1
+.names II18414 g11450
+0 1
+.names g6574 II18417
+0 1
+.names II18417 g11453
+0 1
+.names g7015 II18420
+0 1
+.names II18420 g11456
+0 1
+.names g5778 II18423
+0 1
+.names II18423 g11459
+0 1
+.names g3722 II18426
+0 1
+.names II18426 g11462
+0 1
+.names g7085 II18429
+0 1
+.names II18429 g11465
+0 1
+.names g6838 II18432
+0 1
+.names II18432 g11468
+0 1
+.names g5635 II18435
+0 1
+.names II18435 g11471
+0 1
+.names g7265 II18438
+0 1
+.names II18438 g11472
+0 1
+.names g5837 II18441
+0 1
+.names II18441 g11475
+0 1
+.names g7391 II18444
+0 1
+.names II18444 g11478
+0 1
+.names g4204 g11481
+0 1
+.names g8276 g11490
+0 1
+.names g10868 II18449
+0 1
+.names g10930 II18452
+0 1
+.names g11031 II18455
+0 1
+.names g11208 II18458
+0 1
+.names g10931 II18461
+0 1
+.names g8620 II18464
+0 1
+.names g8769 II18467
+0 1
+.names g8808 II18470
+0 1
+.names g8839 II18473
+0 1
+.names g8791 II18476
+0 1
+.names g8820 II18479
+0 1
+.names g8859 II18482
+0 1
+.names g8809 II18485
+0 1
+.names g8840 II18488
+0 1
+.names g8891 II18491
+0 1
+.names g8821 II18494
+0 1
+.names g8860 II18497
+0 1
+.names g8924 II18500
+0 1
+.names g8658 II18503
+0 1
+.names g8699 II18506
+0 1
+.names g8770 II18509
+0 1
+.names g9309 II18512
+0 1
+.names g8843 II18515
+0 1
+.names g8893 II18518
+0 1
+.names g9449 II18521
+0 1
+.names g9640 II18524
+0 1
+.names g10017 II18527
+0 1
+.names g10888 II18530
+0 1
+.names g10967 II18533
+0 1
+.names g11101 II18536
+0 1
+.names g11290 II18539
+0 1
+.names g10968 II18542
+0 1
+.names g8630 II18545
+0 1
+.names g8792 II18548
+0 1
+.names g8824 II18551
+0 1
+.names g8866 II18554
+0 1
+.names g8810 II18557
+0 1
+.names g8844 II18560
+0 1
+.names g8897 II18563
+0 1
+.names g8825 II18566
+0 1
+.names g8867 II18569
+0 1
+.names g8931 II18572
+0 1
+.names g8845 II18575
+0 1
+.names g8898 II18578
+0 1
+.names g8964 II18581
+0 1
+.names g8677 II18584
+0 1
+.names g8718 II18587
+0 1
+.names g8793 II18590
+0 1
+.names g9390 II18593
+0 1
+.names g8870 II18596
+0 1
+.names g8933 II18599
+0 1
+.names g9591 II18602
+0 1
+.names g9786 II18605
+0 1
+.names g10126 II18608
+0 1
+.names g10909 II18611
+0 1
+.names g11002 II18614
+0 1
+.names g11169 II18617
+0 1
+.names g11385 II18620
+0 1
+.names g11003 II18623
+0 1
+.names g8649 II18626
+0 1
+.names g8811 II18629
+0 1
+.names g8850 II18632
+0 1
+.names g8904 II18635
+0 1
+.names g8826 II18638
+0 1
+.names g8871 II18641
+0 1
+.names g8937 II18644
+0 1
+.names g8851 II18647
+0 1
+.names g8905 II18650
+0 1
+.names g8971 II18653
+0 1
+.names g8872 II18656
+0 1
+.names g8938 II18659
+0 1
+.names g8996 II18662
+0 1
+.names g8689 II18665
+0 1
+.names g8756 II18668
+0 1
+.names g8812 II18671
+0 1
+.names g9487 II18674
+0 1
+.names g8908 II18677
+0 1
+.names g8973 II18680
+0 1
+.names g9733 II18683
+0 1
+.names g9932 II18686
+0 1
+.names g10231 II18689
+0 1
+.names g10935 II18692
+0 1
+.names g11054 II18695
+0 1
+.names g11255 II18698
+0 1
+.names g11471 II18701
+0 1
+.names g11055 II18704
+0 1
+.names g8665 II18707
+0 1
+.names g8827 II18710
+0 1
+.names g8877 II18713
+0 1
+.names g8944 II18716
+0 1
+.names g8852 II18719
+0 1
+.names g8909 II18722
+0 1
+.names g8977 II18725
+0 1
+.names g8878 II18728
+0 1
+.names g8945 II18731
+0 1
+.names g9003 II18734
+0 1
+.names g8910 II18737
+0 1
+.names g8978 II18740
+0 1
+.names g9025 II18743
+0 1
+.names g8707 II18746
+0 1
+.names g8779 II18749
+0 1
+.names g8828 II18752
+0 1
+.names g9629 II18755
+0 1
+.names g8948 II18758
+0 1
+.names g9005 II18761
+0 1
+.names g9879 II18764
+0 1
+.names g10086 II18767
+0 1
+.names g10333 II18770
+0 1
+.names g10830 II18773
+0 1
+.names II18773 g11599
+0 1
+.names g9050 II18777
+0 1
+.names II18777 g11603
+0 1
+.names g10870 II18780
+0 1
+.names II18780 g11606
+0 1
+.names g9067 II18784
+0 1
+.names II18784 g11608
+0 1
+.names g10910 II18787
+0 1
+.names II18787 g11611
+0 1
+.names g9084 II18791
+0 1
+.names II18791 g11613
+0 1
+.names g10973 II18794
+0 1
+.names II18794 g11616
+0 1
+.names g10601 g11620
+0 1
+.names g10961 g11623
+0 1
+.names g10813 II18810
+0 1
+.names II18810 g11628
+0 1
+.names g10850 II18813
+0 1
+.names II18813 g11629
+0 1
+.names g9067 II18817
+0 1
+.names II18817 g11633
+0 1
+.names g10890 II18820
+0 1
+.names II18820 g11636
+0 1
+.names g9084 II18824
+0 1
+.names II18824 g11638
+0 1
+.names g10936 II18827
+0 1
+.names II18827 g11641
+0 1
+.names g10646 g11642
+0 1
+.names g10834 II18835
+0 1
+.names II18835 g11651
+0 1
+.names g10871 II18838
+0 1
+.names II18838 g11652
+0 1
+.names g9084 II18842
+0 1
+.names II18842 g11656
+0 1
+.names g10911 II18845
+0 1
+.names II18845 g11659
+0 1
+.names g10854 II18854
+0 1
+.names II18854 g11670
+0 1
+.names g10891 II18857
+0 1
+.names II18857 g11671
+0 1
+.names g10875 II18866
+0 1
+.names II18866 g11682
+0 1
+.names g10928 g11706
+0 1
+.names g10826 g11732
+0 1
+.names g10843 g11734
+0 1
+.names g10859 g11735
+0 1
+.names g10862 g11736
+0 1
+.names g10809 g11737
+0 1
+.names g10877 g11740
+0 1
+.names g10880 g11741
+0 1
+.names g10883 g11742
+0 1
+.names g8530 g11743
+0 1
+.names g10892 g11745
+0 1
+.names g10895 g11746
+0 1
+.names g10898 g11747
+0 1
+.names g10901 g11748
+0 1
+.names g7595 g7600 II17599 g10711
+111 1
+.names g10711 II18929
+0 1
+.names II18929 g11749
+0 1
+.names g8514 g11758
+0 1
+.names g10912 g11761
+0 1
+.names g10915 g11762
+0 1
+.names g10918 g11763
+0 1
+.names g10921 g11764
+0 1
+.names g10924 g11765
+0 1
+.names g10886 g11766
+0 1
+.names g9149 II18943
+0 1
+.names II18943 g11769
+0 1
+.names g10932 g11770
+0 1
+.names g10937 g11774
+0 1
+.names g10940 g11775
+0 1
+.names g10943 g11776
+0 1
+.names g10946 g11777
+0 1
+.names g10949 g11778
+0 1
+.names g10906 g11779
+0 1
+.names g10963 g11782
+0 1
+.names g10966 g11783
+0 1
+.names g9159 II18962
+0 1
+.names II18962 g11786
+0 1
+.names g10969 g11787
+0 1
+.names g8726 II18969
+0 1
+.names II18969 g11791
+0 1
+.names g10974 g11794
+0 1
+.names g10977 g11795
+0 1
+.names g10980 g11796
+0 1
+.names g10983 g11797
+0 1
+.names g10867 g11798
+0 1
+.names g10988 g11801
+0 1
+.names g10991 g11802
+0 1
+.names g10994 g11803
+0 1
+.names g10995 g11804
+0 1
+.names g10996 g11808
+0 1
+.names g10999 g11809
+0 1
+.names g9183 II18990
+0 1
+.names II18990 g11812
+0 1
+.names g11004 g11813
+0 1
+.names g11008 g11817
+0 1
+.names g11011 g11818
+0 1
+.names g11014 g11819
+0 1
+.names g11017 g11820
+0 1
+.names g10848 g11821
+0 1
+.names g11022 g11824
+0 1
+.names g11025 g11825
+0 1
+.names g11028 g11826
+0 1
+.names g11032 g11827
+0 1
+.names g11035 g11829
+0 1
+.names g11036 g11834
+0 1
+.names g11039 g11835
+0 1
+.names g11042 g11836
+0 1
+.names g11045 g11837
+0 1
+.names g11048 g11841
+0 1
+.names g11051 g11842
+0 1
+.names g9225 II19025
+0 1
+.names II19025 g11845
+0 1
+.names g11056 g11846
+0 1
+.names g8726 II19030
+0 1
+.names II19030 g11848
+0 1
+.names g11063 g11852
+0 1
+.names g11066 g11853
+0 1
+.names g11078 g11854
+0 1
+.names g11079 g11856
+0 1
+.names g11082 g11857
+0 1
+.names g11085 g11858
+0 1
+.names g11088 g11859
+0 1
+.names g11091 g11862
+0 1
+.names g11092 g11866
+0 1
+.names g11095 g11867
+0 1
+.names g11098 g11868
+0 1
+.names g11102 g11869
+0 1
+.names g11105 g11871
+0 1
+.names g11108 g11876
+0 1
+.names g11111 g11877
+0 1
+.names g11114 g11878
+0 1
+.names g11117 g11879
+0 1
+.names g11120 g11883
+0 1
+.names g11123 g11884
+0 1
+.names g11126 g11886
+0 1
+.names g11129 g11887
+0 1
+.names g11021 g11888
+0 1
+.names g11132 g11891
+0 1
+.names g11135 g11892
+0 1
+.names g11138 g11893
+0 1
+.names g11141 g11894
+0 1
+.names g11144 g11895
+0 1
+.names g11145 g11898
+0 1
+.names g11148 g11899
+0 1
+.names g11151 g11900
+0 1
+.names g11154 g11901
+0 1
+.names g11157 g11904
+0 1
+.names g11160 g11908
+0 1
+.names g11163 g11909
+0 1
+.names g11166 g11910
+0 1
+.names g11170 g11911
+0 1
+.names g11173 g11913
+0 1
+.names g11176 g11918
+0 1
+.names g11179 g11919
+0 1
+.names g11182 g11920
+0 1
+.names g11185 g11921
+0 1
+.names g8726 II19105
+0 1
+.names II19105 g11923
+0 1
+.names g10987 g11927
+0 1
+.names g11199 g11929
+0 1
+.names g11202 g11930
+0 1
+.names g11205 g11931
+0 1
+.names g11209 g11932
+0 1
+.names g11210 g11933
+0 1
+.names g11213 g11936
+0 1
+.names g9202 II19119
+0 1
+.names II19119 g11937
+0 1
+.names g11216 g11941
+0 1
+.names g11219 g11942
+0 1
+.names g11222 g11943
+0 1
+.names g11225 g11944
+0 1
+.names g11228 g11945
+0 1
+.names g11231 g11948
+0 1
+.names g11234 g11949
+0 1
+.names g11237 g11950
+0 1
+.names g11240 g11951
+0 1
+.names g11243 g11954
+0 1
+.names g11246 g11958
+0 1
+.names g11249 g11959
+0 1
+.names g11252 g11960
+0 1
+.names g11256 g11961
+0 1
+.names g11259 g11963
+0 1
+.names g11265 g11968
+0 1
+.names g11268 g11969
+0 1
+.names g11271 g11970
+0 1
+.names g11274 g11971
+0 1
+.names g11277 g11972
+0 1
+.names g11278 g11973
+0 1
+.names g10549 II19160
+0 1
+.names II19160 g11976
+0 1
+.names g11281 g11982
+0 1
+.names g11284 g11983
+0 1
+.names g11287 g11984
+0 1
+.names g11291 g11985
+0 1
+.names g11294 g11986
+0 1
+.names g11297 g11989
+0 1
+.names g9263 II19174
+0 1
+.names II19174 g11990
+0 1
+.names g11300 g11994
+0 1
+.names g11303 g11995
+0 1
+.names g11306 g11996
+0 1
+.names g11309 g11997
+0 1
+.names g11312 g11998
+0 1
+.names g11315 g12001
+0 1
+.names g11318 g12002
+0 1
+.names g11321 g12003
+0 1
+.names g11324 g12004
+0 1
+.names g11327 g12007
+0 1
+.names g8726 II19195
+0 1
+.names II19195 g12009
+0 1
+.names g10772 g12013
+0 1
+.names g10100 g12017
+0 1
+.names g11341 g12020
+0 1
+.names g11344 g12021
+0 1
+.names g11348 g12022
+0 1
+.names g11351 g12023
+0 1
+.names g11354 g12024
+0 1
+.names g11355 g12025
+0 1
+.names g10424 II19208
+0 1
+.names II19208 g12027
+0 1
+.names g10486 II19211
+0 1
+.names II19211 g12030
+0 1
+.names g11358 g12037
+0 1
+.names g11361 g12038
+0 1
+.names g11364 g12039
+0 1
+.names g11367 g12040
+0 1
+.names g11370 g12041
+0 1
+.names g11373 g12042
+0 1
+.names g10606 II19226
+0 1
+.names II19226 g12045
+0 1
+.names g11376 g12051
+0 1
+.names g11379 g12052
+0 1
+.names g11382 g12053
+0 1
+.names g11386 g12054
+0 1
+.names g11389 g12055
+0 1
+.names g11392 g12058
+0 1
+.names g9341 II19240
+0 1
+.names II19240 g12059
+0 1
+.names g11395 g12063
+0 1
+.names g11398 g12064
+0 1
+.names g11401 g12065
+0 1
+.names g11404 g12066
+0 1
+.names g11407 g12067
+0 1
+.names g10783 g12071
+0 1
+.names g11411 g12075
+0 1
+.names g11414 g12076
+0 1
+.names g11417 g12077
+0 1
+.names g11422 g12078
+0 1
+.names g11425 g12084
+0 1
+.names g11428 g12085
+0 1
+.names g11432 g12086
+0 1
+.names g11435 g12087
+0 1
+.names g11438 g12088
+0 1
+.names g11441 g12089
+0 1
+.names g10500 II19271
+0 1
+.names II19271 g12091
+0 1
+.names g10560 II19274
+0 1
+.names II19274 g12094
+0 1
+.names g11444 g12101
+0 1
+.names g11447 g12102
+0 1
+.names g11450 g12103
+0 1
+.names g11453 g12104
+0 1
+.names g11456 g12105
+0 1
+.names g11459 g12106
+0 1
+.names g10653 II19289
+0 1
+.names II19289 g12109
+0 1
+.names g11462 g12115
+0 1
+.names g11465 g12116
+0 1
+.names g11468 g12117
+0 1
+.names g11472 g12118
+0 1
+.names g11475 g12119
+0 1
+.names g11478 g12122
+0 1
+.names g9422 II19303
+0 1
+.names II19303 g12123
+0 1
+.names g8726 II19307
+0 1
+.names II19307 g12125
+0 1
+.names g10788 g12130
+0 1
+.names g8321 g12134
+0 1
+.names g8324 g12135
+0 1
+.names g10424 II19315
+0 1
+.names II19315 g12136
+0 1
+.names g10486 II19318
+0 1
+.names II19318 g12139
+0 1
+.names g10549 II19321
+0 1
+.names II19321 g12142
+0 1
+.names g8330 g12147
+0 1
+.names g8333 g12148
+0 1
+.names g8336 g12149
+0 1
+.names g8341 g12150
+0 1
+.names g8344 g12156
+0 1
+.names g8347 g12157
+0 1
+.names g8351 g12158
+0 1
+.names g8354 g12159
+0 1
+.names g8357 g12160
+0 1
+.names g8360 g12161
+0 1
+.names g10574 II19342
+0 1
+.names II19342 g12163
+0 1
+.names g10617 II19345
+0 1
+.names II19345 g12166
+0 1
+.names g8363 g12173
+0 1
+.names g8366 g12174
+0 1
+.names g8369 g12175
+0 1
+.names g8372 g12176
+0 1
+.names g8375 g12177
+0 1
+.names g8378 g12178
+0 1
+.names g10683 II19360
+0 1
+.names II19360 g12181
+0 1
+.names g8285 g12187
+0 1
+.names g8382 g12191
+0 1
+.names g8388 g12196
+0 1
+.names g8391 g12197
+0 1
+.names g10500 II19374
+0 1
+.names II19374 g12198
+0 1
+.names g10560 II19377
+0 1
+.names II19377 g12201
+0 1
+.names g10606 II19380
+0 1
+.names II19380 g12204
+0 1
+.names g8397 g12209
+0 1
+.names g8400 g12210
+0 1
+.names g8403 g12211
+0 1
+.names g8408 g12212
+0 1
+.names g8411 g12218
+0 1
+.names g8414 g12219
+0 1
+.names g8418 g12220
+0 1
+.names g8421 g12221
+0 1
+.names g8424 g12222
+0 1
+.names g8427 g12223
+0 1
+.names g10631 II19401
+0 1
+.names II19401 g12225
+0 1
+.names g10664 II19404
+0 1
+.names II19404 g12228
+0 1
+.names g8294 g12235
+0 1
+.names g10486 II19412
+0 1
+.names II19412 g12239
+0 1
+.names g10549 II19415
+0 1
+.names II19415 g12242
+0 1
+.names g8434 g12246
+0 1
+.names g8440 g12251
+0 1
+.names g8443 g12252
+0 1
+.names g10574 II19426
+0 1
+.names II19426 g12253
+0 1
+.names g10617 II19429
+0 1
+.names II19429 g12256
+0 1
+.names g10653 II19432
+0 1
+.names II19432 g12259
+0 1
+.names g8449 g12264
+0 1
+.names g8452 g12265
+0 1
+.names g8455 g12266
+0 1
+.names g8460 g12267
+0 1
+.names g8303 g12275
+0 1
+.names g10424 II19449
+0 1
+.names II19449 g12279
+0 1
+.names g10560 II19452
+0 1
+.names II19452 g12282
+0 1
+.names g10606 II19455
+0 1
+.names II19455 g12285
+0 1
+.names g8469 g12289
+0 1
+.names g8475 g12294
+0 1
+.names g8478 g12295
+0 1
+.names g10631 II19466
+0 1
+.names II19466 g12296
+0 1
+.names g10664 II19469
+0 1
+.names II19469 g12299
+0 1
+.names g10683 II19472
+0 1
+.names II19472 g12302
+0 1
+.names g8312 g12308
+0 1
+.names g10549 II19479
+0 1
+.names II19479 g12312
+0 1
+.names g10500 II19482
+0 1
+.names II19482 g12315
+0 1
+.names g10617 II19485
+0 1
+.names II19485 g12318
+0 1
+.names g10653 II19488
+0 1
+.names II19488 g12321
+0 1
+.names g8494 g12325
+0 1
+.names g10829 g12332
+0 1
+.names g10424 II19500
+0 1
+.names II19500 g12333
+0 1
+.names g10486 II19503
+0 1
+.names II19503 g12336
+0 1
+.names g10606 II19507
+0 1
+.names II19507 g12340
+0 1
+.names g10574 II19510
+0 1
+.names II19510 g12343
+0 1
+.names g10664 II19513
+0 1
+.names II19513 g12346
+0 1
+.names g10683 II19516
+0 1
+.names II19516 g12349
+0 1
+.names g8182 g8120 g8044 g7989 g8381
+0--- 1
+-0-- 1
+--0- 1
+---0 1
+.names g8381 g12354
+0 1
+.names g10866 g12362
+0 1
+.names g10500 II19523
+0 1
+.names II19523 g12363
+0 1
+.names g10560 II19526
+0 1
+.names II19526 g12366
+0 1
+.names g10653 II19530
+0 1
+.names II19530 g12370
+0 1
+.names g10631 II19533
+0 1
+.names II19533 g12373
+0 1
+.names g10847 g12378
+0 1
+.names g10549 II19539
+0 1
+.names II19539 g12379
+0 1
+.names g10574 II19542
+0 1
+.names II19542 g12382
+0 1
+.names g10617 II19545
+0 1
+.names II19545 g12385
+0 1
+.names g10683 II19549
+0 1
+.names II19549 g12389
+0 1
+.names g3198 g8120 g3194 g3191 g8430
+0000 1
+.names g8430 II19552
+0 1
+.names II19552 g12392
+0 1
+.names g11020 g12408
+0 1
+.names g10606 II19557
+0 1
+.names II19557 g12409
+0 1
+.names g10631 II19560
+0 1
+.names II19560 g12412
+0 1
+.names g10664 II19563
+0 1
+.names II19563 g12415
+0 1
+.names g10986 g12420
+0 1
+.names g10653 II19569
+0 1
+.names II19569 g12421
+0 1
+.names g10962 g12424
+0 1
+.names g8835 II19573
+0 1
+.names II19573 g12425
+0 1
+.names g10683 II19576
+0 1
+.names II19576 g12426
+0 1
+.names g10905 g12430
+0 1
+.names g8862 II19582
+0 1
+.names II19582 g12432
+0 1
+.names g10929 g12434
+0 1
+.names g9173 II19587
+0 1
+.names II19587 g12435
+0 1
+.names g8900 II19591
+0 1
+.names II19591 g12437
+0 1
+.names g10846 g12438
+0 1
+.names g5711 g5758 g5807 g10810
+000 1
+.names g10810 II19595
+0 1
+.names II19595 g12439
+0 1
+.names g9215 II19598
+0 1
+.names II19598 g12440
+0 1
+.names g8940 II19602
+0 1
+.names II19602 g12442
+0 1
+.names g5678 g5710 g5757 g10797
+000 1
+.names g10797 II19605
+0 1
+.names II19605 g12443
+0 1
+.names g5769 g5817 g5863 g10831
+000 1
+.names g10831 II19608
+0 1
+.names II19608 g12444
+0 1
+.names g9276 II19611
+0 1
+.names II19611 g12445
+0 1
+.names g5650 g5677 g5709 g10789
+000 1
+.names g10789 II19615
+0 1
+.names II19615 g12447
+0 1
+.names g5730 g5768 g5816 g10814
+000 1
+.names g10814 II19618
+0 1
+.names II19618 g12448
+0 1
+.names g5828 g5873 g5910 g10851
+000 1
+.names g10851 II19621
+0 1
+.names II19621 g12449
+0 1
+.names g9354 II19624
+0 1
+.names II19624 g12450
+0 1
+.names g5630 g5649 g5676 g10784
+000 1
+.names g10784 II19628
+0 1
+.names II19628 g12452
+0 1
+.names g5688 g5729 g5767 g10801
+000 1
+.names g10801 II19631
+0 1
+.names II19631 g12453
+0 1
+.names g5788 g5827 g5872 g10835
+000 1
+.names g10835 II19634
+0 1
+.names II19634 g12454
+0 1
+.names g5884 g5920 g5949 g10872
+000 1
+.names g10872 II19637
+0 1
+.names II19637 g12455
+0 1
+.names g8602 g12456
+0 1
+.names g5658 g5687 g5728 g10793
+000 1
+.names g10793 II19642
+0 1
+.names II19642 g12460
+0 1
+.names g5740 g5787 g5826 g10818
+000 1
+.names g10818 II19645
+0 1
+.names II19645 g12461
+0 1
+.names g5847 g5883 g5919 g10855
+000 1
+.names g10855 II19648
+0 1
+.names II19648 g12462
+0 1
+.names g10730 g12463
+0 1
+.names g8614 g12466
+0 1
+.names g5696 g5739 g5786 g10805
+000 1
+.names g10805 II19654
+0 1
+.names II19654 g12470
+0 1
+.names g5798 g5846 g5882 g10839
+000 1
+.names g10839 II19657
+0 1
+.names II19657 g12471
+0 1
+.names g8617 g12472
+0 1
+.names g8580 g12473
+0 1
+.names g8622 g12476
+0 1
+.names g10749 g12478
+0 1
+.names g8627 g12481
+0 1
+.names g5748 g5797 g5845 g10822
+000 1
+.names g10822 II19667
+0 1
+.names II19667 g12485
+0 1
+.names g8587 g12490
+0 1
+.names g8632 g12493
+0 1
+.names g10767 g12495
+0 1
+.names g8637 g12498
+0 1
+.names g8640 g12502
+0 1
+.names g8643 g12504
+0 1
+.names g8646 g12505
+0 1
+.names g8594 g12510
+0 1
+.names g8651 g12513
+0 1
+.names g10773 g12515
+0 1
+.names g8655 g12518
+0 1
+.names g10016 II19689
+0 1
+.names II19689 g12519
+0 1
+.names g8659 g12521
+0 1
+.names g8662 g12522
+0 1
+.names g8605 g12527
+0 1
+.names g8667 g12530
+0 1
+.names g8670 g12532
+0 1
+.names g8673 g12533
+0 1
+.names g10125 II19702
+0 1
+.names II19702 g12534
+0 1
+.names g8678 g12536
+0 1
+.names g8681 g12537
+0 1
+.names g8684 g12542
+0 1
+.names g10230 II19711
+0 1
+.names II19711 g12543
+0 1
+.names g8690 g12545
+0 1
+.names g8693 g12546
+0 1
+.names g8696 g12547
+0 1
+.names g8726 II19718
+0 1
+.names II19718 g12548
+0 1
+.names g8700 g12551
+0 1
+.names g10332 II19722
+0 1
+.names II19722 g12552
+0 1
+.names g8708 g12553
+0 1
+.names g8711 g12554
+0 1
+.names g8726 II19727
+0 1
+.names II19727 g12555
+0 1
+.names g8714 g12558
+0 1
+.names g8719 g12559
+0 1
+.names g8745 g12560
+0 1
+.names g8726 II19733
+0 1
+.names II19733 g12561
+0 1
+.names g9184 II19736
+0 1
+.names II19736 g12564
+0 1
+.names g10694 II19739
+0 1
+.names II19739 g12565
+0 1
+.names g8748 g12596
+0 1
+.names g8752 g12597
+0 1
+.names g8757 g12598
+0 1
+.names g8763 g12599
+0 1
+.names g8766 g12600
+0 1
+.names g8726 II19747
+0 1
+.names II19747 g12601
+0 1
+.names g8726 II19750
+0 1
+.names II19750 g12604
+0 1
+.names g9229 II19753
+0 1
+.names II19753 g12607
+0 1
+.names g10424 II19756
+0 1
+.names II19756 g12608
+0 1
+.names g10714 II19759
+0 1
+.names II19759 g12611
+0 1
+.names g8771 g12642
+0 1
+.names g8775 g12643
+0 1
+.names g8780 g12644
+0 1
+.names g8785 g12645
+0 1
+.names g8788 g12646
+0 1
+.names g8726 II19767
+0 1
+.names II19767 g12647
+0 1
+.names g7772 g3366 g10038
+0- 1
+-0 1
+.names g10038 II19771
+0 1
+.names II19771 g12651
+0 1
+.names g10500 II19774
+0 1
+.names II19774 g12654
+0 1
+.names g10735 II19777
+0 1
+.names II19777 g12657
+0 1
+.names g8794 g12688
+0 1
+.names g8798 g12689
+0 1
+.names g8802 g12690
+0 1
+.names g8805 g12691
+0 1
+.names g8726 II19784
+0 1
+.names II19784 g12692
+0 1
+.names g8726 II19787
+0 1
+.names II19787 g12695
+0 1
+.names g10486 II19791
+0 1
+.names II19791 g12699
+0 1
+.names g3398 g6678 g10676
+0- 1
+-0 1
+.names g10676 II19794
+0 1
+.names II19794 g12702
+0 1
+.names g7788 g3522 g10147
+0- 1
+-0 1
+.names g10147 II19797
+0 1
+.names II19797 g12705
+0 1
+.names g10574 II19800
+0 1
+.names II19800 g12708
+0 1
+.names g10754 II19803
+0 1
+.names II19803 g12711
+0 1
+.names g8813 g12742
+0 1
+.names g8817 g12743
+0 1
+.names g8726 II19808
+0 1
+.names II19808 g12744
+0 1
+.names g8823 g12748
+0 1
+.names g3398 g6912 g10649
+0- 1
+-0 1
+.names g10649 II19813
+0 1
+.names II19813 g12749
+0 1
+.names g3398 g6678 g10703
+0- 1
+-0 1
+.names g10703 II19816
+0 1
+.names II19816 g12752
+0 1
+.names g10560 II19820
+0 1
+.names II19820 g12756
+0 1
+.names g3554 g6980 g10705
+0- 1
+-0 1
+.names g10705 II19823
+0 1
+.names II19823 g12759
+0 1
+.names g7802 g3678 g10252
+0- 1
+-0 1
+.names g10252 II19826
+0 1
+.names II19826 g12762
+0 1
+.names g10631 II19829
+0 1
+.names II19829 g12765
+0 1
+.names g8829 g12768
+0 1
+.names g8726 II19833
+0 1
+.names II19833 g12769
+0 1
+.names g8726 II19836
+0 1
+.names II19836 g12772
+0 1
+.names g8832 g12775
+0 1
+.names g10766 g12776
+0 1
+.names g8836 g12782
+0 1
+.names g3398 g3366 g8533
+0- 1
+-0 1
+.names g8533 II19844
+0 1
+.names II19844 g12783
+0 1
+.names g3398 g6912 g10677
+0- 1
+-0 1
+.names g10677 II19847
+0 1
+.names II19847 g12786
+0 1
+.names g8847 g12790
+0 1
+.names g3554 g7162 g10679
+0- 1
+-0 1
+.names g10679 II19852
+0 1
+.names II19852 g12791
+0 1
+.names g3554 g6980 g10723
+0- 1
+-0 1
+.names g10723 II19855
+0 1
+.names II19855 g12794
+0 1
+.names g10617 II19859
+0 1
+.names II19859 g12798
+0 1
+.names g3710 g7230 g10725
+0- 1
+-0 1
+.names g10725 II19862
+0 1
+.names II19862 g12801
+0 1
+.names g7815 g3834 g10354
+0- 1
+-0 1
+.names g10354 II19865
+0 1
+.names II19865 g12804
+0 1
+.names g8853 g12807
+0 1
+.names g8726 II19869
+0 1
+.names II19869 g12808
+0 1
+.names g8317 II19872
+0 1
+.names II19872 g12811
+0 1
+.names g8856 g12815
+0 1
+.names g3398 g3366 g8547
+0- 1
+-0 1
+.names g8547 II19877
+0 1
+.names II19877 g12816
+0 1
+.names g8863 g12821
+0 1
+.names g3554 g3522 g8550
+0- 1
+-0 1
+.names g8550 II19883
+0 1
+.names II19883 g12822
+0 1
+.names g3554 g7162 g10706
+0- 1
+-0 1
+.names g10706 II19886
+0 1
+.names II19886 g12825
+0 1
+.names g8874 g12829
+0 1
+.names g3710 g7358 g10708
+0- 1
+-0 1
+.names g10708 II19891
+0 1
+.names II19891 g12830
+0 1
+.names g3710 g7230 g10744
+0- 1
+-0 1
+.names g10744 II19894
+0 1
+.names II19894 g12833
+0 1
+.names g10664 II19898
+0 1
+.names II19898 g12837
+0 1
+.names g3866 g7426 g10746
+0- 1
+-0 1
+.names g10746 II19901
+0 1
+.names II19901 g12840
+0 1
+.names g8879 g12843
+0 1
+.names g8726 II19905
+0 1
+.names II19905 g12844
+0 1
+.names g8882 g12847
+0 1
+.names g11059 g12848
+0 1
+.names g8885 g12850
+0 1
+.names g8888 g12851
+0 1
+.names g8894 g12853
+0 1
+.names g3554 g3522 g8560
+0- 1
+-0 1
+.names g8560 II19915
+0 1
+.names II19915 g12854
+0 1
+.names g8901 g12859
+0 1
+.names g3710 g3678 g8563
+0- 1
+-0 1
+.names g8563 II19921
+0 1
+.names II19921 g12860
+0 1
+.names g3710 g7358 g10726
+0- 1
+-0 1
+.names g10726 II19924
+0 1
+.names II19924 g12863
+0 1
+.names g8912 g12867
+0 1
+.names g3866 g7488 g10728
+0- 1
+-0 1
+.names g10728 II19929
+0 1
+.names II19929 g12868
+0 1
+.names g3866 g7426 g10763
+0- 1
+-0 1
+.names g10763 II19932
+0 1
+.names II19932 g12871
+0 1
+.names g8915 g12874
+0 1
+.names g10779 g12875
+0 1
+.names g8918 g12881
+0 1
+.names g8921 g12882
+0 1
+.names g8925 g12891
+0 1
+.names g8928 g12892
+0 1
+.names g8934 g12894
+0 1
+.names g3710 g3678 g8571
+0- 1
+-0 1
+.names g8571 II19952
+0 1
+.names II19952 g12895
+0 1
+.names g8941 g12900
+0 1
+.names g3866 g3834 g8574
+0- 1
+-0 1
+.names g8574 II19958
+0 1
+.names II19958 g12901
+0 1
+.names g3866 g7488 g10747
+0- 1
+-0 1
+.names g10747 II19961
+0 1
+.names II19961 g12904
+0 1
+.names g8949 g12907
+0 1
+.names g10904 g12909
+0 1
+.names g8952 g12914
+0 1
+.names g8955 g12915
+0 1
+.names g8958 g12921
+0 1
+.names g8961 g12922
+0 1
+.names g8965 g12931
+0 1
+.names g8968 g12932
+0 1
+.names g8974 g12934
+0 1
+.names g3866 g3834 g8577
+0- 1
+-0 1
+.names g8577 II19986
+0 1
+.names II19986 g12935
+0 1
+.names g8980 g12940
+0 1
+.names g8984 g12943
+0 1
+.names g8987 g12944
+0 1
+.names g8990 g12950
+0 1
+.names g8993 g12951
+0 1
+.names g8997 g12960
+0 1
+.names g9000 g12961
+0 1
+.names g8313 II20009
+0 1
+.names II20009 g12962
+0 1
+.names g9006 g12965
+0 1
+.names g9010 g12969
+0 1
+.names g9013 g12972
+0 1
+.names g9016 g12973
+0 1
+.names g9019 g12979
+0 1
+.names g9022 g12980
+0 1
+.names g9035 g12993
+0 1
+.names g9038 g12996
+0 1
+.names g9041 g12997
+0 1
+.names g9044 g12998
+0 1
+.names g9058 g13003
+0 1
+.names g7466 g7342 II17429 g10480
+111 1
+.names g10480 II20062
+0 1
+.names II20062 g13011
+0 1
+.names g10810 g13025
+0 1
+.names g10797 g13033
+0 1
+.names g10831 g13036
+0 1
+.names g10789 g13043
+0 1
+.names g10814 g13046
+0 1
+.names g10851 g13049
+0 1
+.names g10784 g13057
+0 1
+.names g10801 g13060
+0 1
+.names g10835 g13063
+0 1
+.names g10872 g13066
+0 1
+.names g10876 II20117
+0 1
+.names II20117 g13070
+0 1
+.names g10793 g13073
+0 1
+.names g10818 g13076
+0 1
+.names g10855 g13079
+0 1
+.names g10805 g13092
+0 1
+.names g10839 g13095
+0 1
+.names g9128 g13101
+0 1
+.names g10822 g13107
+0 1
+.names g9134 g13117
+0 1
+.names g9140 g13130
+0 1
+.names g9146 g13141
+0 1
+.names g9170 g13148
+0 1
+.names g9184 g13151
+0 1
+.names g9196 g13152
+0 1
+.names g9199 g13153
+0 1
+.names g9212 g13154
+0 1
+.names g9229 g13157
+0 1
+.names g9242 g13158
+0 1
+.names g9245 g13159
+0 1
+.names g9257 g13161
+0 1
+.names g9260 g13162
+0 1
+.names g9273 g13163
+0 1
+.names g9290 g13166
+0 1
+.names g9303 g13167
+0 1
+.names g9306 g13168
+0 1
+.names g9320 g13169
+0 1
+.names g9323 g13170
+0 1
+.names g9335 g13172
+0 1
+.names g9338 g13173
+0 1
+.names g9351 g13174
+0 1
+.names g9368 g13176
+0 1
+.names g9371 g13177
+0 1
+.names g9384 g13178
+0 1
+.names g9387 g13179
+0 1
+.names g9401 g13180
+0 1
+.names g9404 g13181
+0 1
+.names g9416 g13183
+0 1
+.names g9419 g13184
+0 1
+.names g9443 g13185
+0 1
+.names g9446 g13186
+0 1
+.names g9450 g13187
+0 1
+.names g9465 g13188
+0 1
+.names g9468 g13189
+0 1
+.names g9481 g13190
+0 1
+.names g9484 g13191
+0 1
+.names g9498 g13192
+0 1
+.names g9501 g13193
+0 1
+.names g9524 g13195
+0 1
+.names g9528 g13196
+0 1
+.names g9531 g13197
+0 1
+.names g9585 g13198
+0 1
+.names g9588 g13199
+0 1
+.names g9592 g13200
+0 1
+.names g9607 g13201
+0 1
+.names g9610 g13202
+0 1
+.names g9623 g13203
+0 1
+.names g9626 g13204
+0 1
+.names g9641 g13205
+0 1
+.names g9644 g13206
+0 1
+.names g9666 g13207
+0 1
+.names g9670 g13208
+0 1
+.names g9673 g13209
+0 1
+.names g9727 g13210
+0 1
+.names g9730 g13211
+0 1
+.names g9734 g13212
+0 1
+.names g9749 g13213
+0 1
+.names g9752 g13214
+0 1
+.names g9027 II20264
+0 1
+.names II20264 g13215
+0 1
+.names g9767 g13218
+0 1
+.names g9770 g13219
+0 1
+.names g9787 g13220
+0 1
+.names g9790 g13221
+0 1
+.names g9812 g13222
+0 1
+.names g9816 g13223
+0 1
+.names g9819 g13224
+0 1
+.names g9873 g13225
+0 1
+.names g9876 g13226
+0 1
+.names g9880 g13227
+0 1
+.names g9027 II20278
+0 1
+.names II20278 g13229
+0 1
+.names g9895 g13232
+0 1
+.names g9898 g13233
+0 1
+.names g9050 II20283
+0 1
+.names II20283 g13234
+0 1
+.names g9913 g13237
+0 1
+.names g9916 g13238
+0 1
+.names g9933 g13239
+0 1
+.names g9936 g13240
+0 1
+.names g9958 g13241
+0 1
+.names g9962 g13242
+0 1
+.names g9965 g13243
+0 1
+.names g10004 g13244
+0 1
+.names g10015 II20295
+0 1
+.names II20295 g13246
+0 1
+.names g10800 II20299
+0 1
+.names II20299 g13248
+0 1
+.names g10018 g13249
+0 1
+.names g10021 g13250
+0 1
+.names g9050 II20305
+0 1
+.names II20305 g13252
+0 1
+.names g10049 g13255
+0 1
+.names g10052 g13256
+0 1
+.names g9067 II20310
+0 1
+.names II20310 g13257
+0 1
+.names g10067 g13260
+0 1
+.names g10070 g13261
+0 1
+.names g10087 g13262
+0 1
+.names g10090 g13263
+0 1
+.names g10096 g13264
+0 1
+.names g8568 g13265
+0 1
+.names g10792 II20320
+0 1
+.names II20320 g13267
+0 1
+.names g10109 g13268
+0 1
+.names g10124 II20324
+0 1
+.names II20324 g13269
+0 1
+.names g10817 II20328
+0 1
+.names II20328 g13271
+0 1
+.names g10127 g13272
+0 1
+.names g10130 g13273
+0 1
+.names g9067 II20334
+0 1
+.names II20334 g13275
+0 1
+.names g10158 g13278
+0 1
+.names g10161 g13279
+0 1
+.names g9084 II20339
+0 1
+.names II20339 g13280
+0 1
+.names g10176 g13283
+0 1
+.names g10179 g13284
+0 1
+.names g10189 g13285
+0 1
+.names g10787 II20347
+0 1
+.names II20347 g13290
+0 1
+.names g10804 II20351
+0 1
+.names II20351 g13292
+0 1
+.names g10214 g13293
+0 1
+.names g10229 II20355
+0 1
+.names II20355 g13294
+0 1
+.names g10838 II20359
+0 1
+.names II20359 g13296
+0 1
+.names g10232 g13297
+0 1
+.names g10235 g13298
+0 1
+.names g9084 II20365
+0 1
+.names II20365 g13300
+0 1
+.names g10263 g13303
+0 1
+.names g10266 g13304
+0 1
+.names g10273 g13308
+0 1
+.names g10276 g13309
+0 1
+.names g8569 II20376
+0 1
+.names II20376 g13317
+0 1
+.names g11213 II20379
+0 1
+.names II20379 g13318
+0 1
+.names g10907 II20382
+0 1
+.names II20382 g13319
+0 1
+.names g10796 II20386
+0 1
+.names II20386 g13321
+0 1
+.names g10821 II20390
+0 1
+.names II20390 g13323
+0 1
+.names g10316 g13324
+0 1
+.names g10331 II20394
+0 1
+.names II20394 g13325
+0 1
+.names g10858 II20398
+0 1
+.names II20398 g13327
+0 1
+.names g10334 g13328
+0 1
+.names g10337 g13329
+0 1
+.names g10357 g13330
+0 1
+.names g9027 II20407
+0 1
+.names II20407 g13336
+0 1
+.names g10887 II20410
+0 1
+.names II20410 g13339
+0 1
+.names g8575 II20414
+0 1
+.names II20414 g13341
+0 1
+.names g10933 II20417
+0 1
+.names II20417 g13342
+0 1
+.names g10808 II20421
+0 1
+.names II20421 g13344
+0 1
+.names g10842 II20425
+0 1
+.names II20425 g13346
+0 1
+.names g10409 g13347
+0 1
+.names g10416 g13351
+0 1
+.names g10419 g13352
+0 1
+.names g9027 II20441
+0 1
+.names II20441 g13356
+0 1
+.names g10869 II20444
+0 1
+.names II20444 g13359
+0 1
+.names g9050 II20448
+0 1
+.names II20448 g13361
+0 1
+.names g10908 II20451
+0 1
+.names II20451 g13364
+0 1
+.names g8578 II20455
+0 1
+.names II20455 g13366
+0 1
+.names g10972 II20458
+0 1
+.names II20458 g13367
+0 1
+.names g10825 II20462
+0 1
+.names II20462 g13369
+0 1
+.names g10482 g13373
+0 1
+.names g9027 II20476
+0 1
+.names II20476 g13381
+0 1
+.names g10849 II20479
+0 1
+.names II20479 g13384
+0 1
+.names g9050 II20483
+0 1
+.names II20483 g13386
+0 1
+.names g10889 II20486
+0 1
+.names II20486 g13389
+0 1
+.names g9067 II20490
+0 1
+.names II20490 g13391
+0 1
+.names g10934 II20493
+0 1
+.names II20493 g13394
+0 1
+.names g8579 II20497
+0 1
+.names II20497 g13396
+0 1
+.names g11007 II20500
+0 1
+.names II20500 g13397
+0 1
+.names g10542 g13398
+0 1
+.names g10545 g13400
+0 1
+.names g11769 II20514
+0 1
+.names g12425 II20517
+0 1
+.names g13246 II20520
+0 1
+.names g13317 II20523
+0 1
+.names g12519 II20526
+0 1
+.names g13319 II20529
+0 1
+.names g13339 II20532
+0 1
+.names g13359 II20535
+0 1
+.names g13384 II20538
+0 1
+.names g11599 II20541
+0 1
+.names g11628 II20544
+0 1
+.names g13248 II20547
+0 1
+.names g13267 II20550
+0 1
+.names g13290 II20553
+0 1
+.names g12435 II20556
+0 1
+.names g11937 II20559
+0 1
+.names g11786 II20562
+0 1
+.names g12432 II20565
+0 1
+.names g13269 II20568
+0 1
+.names g13341 II20571
+0 1
+.names g12534 II20574
+0 1
+.names g13342 II20577
+0 1
+.names g13364 II20580
+0 1
+.names g13389 II20583
+0 1
+.names g11606 II20586
+0 1
+.names g11629 II20589
+0 1
+.names g11651 II20592
+0 1
+.names g13271 II20595
+0 1
+.names g13292 II20598
+0 1
+.names g13321 II20601
+0 1
+.names g12440 II20604
+0 1
+.names g11990 II20607
+0 1
+.names g11812 II20610
+0 1
+.names g12437 II20613
+0 1
+.names g13294 II20616
+0 1
+.names g13366 II20619
+0 1
+.names g12543 II20622
+0 1
+.names g13367 II20625
+0 1
+.names g13394 II20628
+0 1
+.names g11611 II20631
+0 1
+.names g11636 II20634
+0 1
+.names g11652 II20637
+0 1
+.names g11670 II20640
+0 1
+.names g13296 II20643
+0 1
+.names g13323 II20646
+0 1
+.names g13344 II20649
+0 1
+.names g12445 II20652
+0 1
+.names g12059 II20655
+0 1
+.names g11845 II20658
+0 1
+.names g12442 II20661
+0 1
+.names g13325 II20664
+0 1
+.names g13396 II20667
+0 1
+.names g12552 II20670
+0 1
+.names g13397 II20673
+0 1
+.names g11616 II20676
+0 1
+.names g11641 II20679
+0 1
+.names g11659 II20682
+0 1
+.names g11671 II20685
+0 1
+.names g11682 II20688
+0 1
+.names g13327 II20691
+0 1
+.names g13346 II20694
+0 1
+.names g13369 II20697
+0 1
+.names g12450 II20700
+0 1
+.names g12123 II20703
+0 1
+.names g11490 II20706
+0 1
+.names II20706 g13469
+0 1
+.names g13070 II20709
+0 1
+.names g8841 g8861 g8892 g13228
+000 1
+.names g13228 g13519
+0 1
+.names g8868 g8899 g8932 g13251
+000 1
+.names g13251 g13530
+0 1
+.names g8906 g8939 g8972 g13274
+000 1
+.names g13274 g13541
+0 1
+.names g8946 g8979 g9004 g13299
+000 1
+.names g13299 g13552
+0 1
+.names g10423 g10485 g10548 g12192
+000 1
+.names g12192 g13565
+0 1
+.names g9063 g9077 g9093 g11627
+000 1
+.names g11627 g13568
+0 1
+.names g8676 g8687 g8703 g13149
+000 1
+.names g13149 II20791
+0 1
+.names II20791 g13571
+0 1
+.names g8601 g8612 g8621 g13111
+000 1
+.names g13111 II20794
+0 1
+.names II20794 g13572
+0 1
+.names g10499 g10559 g10605 g12247
+000 1
+.names g12247 g13573
+0 1
+.names g9080 g9096 g9105 g11650
+000 1
+.names g11650 g13576
+0 1
+.names g8688 g8705 g8722 g13155
+000 1
+.names g13155 II20799
+0 1
+.names II20799 g13579
+0 1
+.names g8704 g8717 g8751 g13160
+000 1
+.names g13160 II20802
+0 1
+.names II20802 g13580
+0 1
+.names g8613 g8625 g8631 g13124
+000 1
+.names g13124 II20805
+0 1
+.names II20805 g13581
+0 1
+.names g10573 g10616 g10652 g12290
+000 1
+.names g12290 g13582
+0 1
+.names g9099 g9108 g9115 g11669
+000 1
+.names g11669 g13585
+0 1
+.names g8706 g8724 g8760 g13164
+000 1
+.names g13164 II20810
+0 1
+.names II20810 g13588
+0 1
+.names g13265 II20813
+0 1
+.names II20813 g13589
+0 1
+.names g10108 g10198 g10283 g12487
+000 1
+.names g12487 II20816
+0 1
+.names II20816 g13598
+0 1
+.names g8723 g8755 g8774 g13171
+000 1
+.names g13171 II20820
+0 1
+.names II20820 g13600
+0 1
+.names g8626 g8635 g8650 g13135
+000 1
+.names g13135 II20823
+0 1
+.names II20823 g13601
+0 1
+.names g10630 g10663 g10682 g12326
+000 1
+.names g12326 g13602
+0 1
+.names g9111 g9118 g9123 g11681
+000 1
+.names g11681 g13605
+0 1
+.names g8725 g8762 g8783 g13175
+000 1
+.names g13175 II20828
+0 1
+.names II20828 g13608
+0 1
+.names g10213 g10300 g10376 g12507
+000 1
+.names g12507 II20832
+0 1
+.names II20832 g13610
+0 1
+.names g8761 g8778 g8797 g13182
+000 1
+.names g13182 II20836
+0 1
+.names II20836 g13612
+0 1
+.names g8636 g8654 g8666 g13143
+000 1
+.names g13143 II20839
+0 1
+.names II20839 g13613
+0 1
+.names g9119 g9124 g9127 g11690
+000 1
+.names g11690 g13614
+0 1
+.names g10315 g10393 g10455 g12524
+000 1
+.names g12524 II20844
+0 1
+.names II20844 g13620
+0 1
+.names g8784 g8801 g8816 g13194
+000 1
+.names g13194 II20848
+0 1
+.names II20848 g13622
+0 1
+.names g9009 g9033 g9048 g12457
+000 1
+.names g12457 II20852
+0 1
+.names II20852 g13624
+0 1
+.names g9125 g9131 g9133 g11697
+000 1
+.names g11697 g13626
+0 1
+.names g10408 g10472 g10531 g12539
+000 1
+.names g12539 II20858
+0 1
+.names II20858 g13632
+0 1
+.names g9034 g9056 g9065 g12467
+000 1
+.names g12467 II20863
+0 1
+.names II20863 g13635
+0 1
+.names g9132 g9137 g9139 g11703
+000 1
+.names g11703 g13637
+0 1
+.names g13215 g13644
+0 1
+.names g9057 g9073 g9082 g12482
+000 1
+.names g12482 II20873
+0 1
+.names II20873 g13647
+0 1
+.names g9138 g9143 g9145 g11711
+000 1
+.names g11711 g13649
+0 1
+.names g12452 g13657
+0 1
+.names g13229 g13669
+0 1
+.names g13234 g13670
+0 1
+.names g9074 g9090 g9101 g12499
+000 1
+.names g12499 II20886
+0 1
+.names II20886 g13673
+0 1
+.names g12447 g13677
+0 1
+.names g12460 g13687
+0 1
+.names g13252 g13699
+0 1
+.names g13257 g13700
+0 1
+.names g12443 g13706
+0 1
+.names g12453 g13714
+0 1
+.names g12470 g13724
+0 1
+.names g13275 g13736
+0 1
+.names g13280 g13737
+0 1
+.names g7471 g7570 II20100 g13055
+111 1
+.names g13055 II20909
+0 1
+.names II20909 g13741
+0 1
+.names g12439 g13750
+0 1
+.names g12448 g13756
+0 1
+.names g12461 g13764
+0 1
+.names g12485 g13774
+0 1
+.names g13300 g13786
+0 1
+.names g12444 g13791
+0 1
+.names g12454 g13797
+0 1
+.names g12471 g13805
+0 1
+.names g13336 g13817
+0 1
+.names g12449 g13819
+0 1
+.names g12462 g13825
+0 1
+.names g13356 g13836
+0 1
+.names g13361 g13838
+0 1
+.names g12455 g13840
+0 1
+.names g9241 g9301 g9364 g11744
+000 1
+.names g11744 g13848
+0 1
+.names g13381 g13849
+0 1
+.names g13386 g13850
+0 1
+.names g13391 g13852
+0 1
+.names g9302 g9365 g9438 g11759
+000 1
+.names g11759 g13856
+0 1
+.names g9319 g9382 g9461 g11760
+000 1
+.names g11760 g13857
+0 1
+.names g11603 g13858
+0 1
+.names g11608 g13859
+0 1
+.names g11613 g13861
+0 1
+.names g10481 g9144 g11713
+0- 1
+-0 1
+.names g11713 II20959
+0 1
+.names II20959 g13863
+0 1
+.names g9366 g9439 g9518 g11767
+000 1
+.names g11767 g13864
+0 1
+.names g9383 g9462 g9580 g11772
+000 1
+.names g11772 g13866
+0 1
+.names g9400 g9479 g9603 g11773
+000 1
+.names g11773 g13867
+0 1
+.names g11633 g13868
+0 1
+.names g11638 g13869
+0 1
+.names g9440 g9519 g9630 g11780
+000 1
+.names g11780 g13872
+0 1
+.names g11347 g11420 g8327 g12698
+000 1
+.names g12698 g13873
+0 1
+.names g9463 g9581 g9660 g11784
+000 1
+.names g11784 g13879
+0 1
+.names g9480 g9604 g9722 g11789
+000 1
+.names g11789 g13881
+0 1
+.names g9497 g9621 g9745 g11790
+000 1
+.names g11790 g13882
+0 1
+.names g11656 g13883
+0 1
+.names g9520 g9631 g9759 g11799
+000 1
+.names g11799 g13885
+0 1
+.names g11421 g8328 g8385 g12747
+000 1
+.names g12747 g13886
+0 1
+.names g9582 g9661 g9776 g11806
+000 1
+.names g11806 g13894
+0 1
+.names g11431 g8339 g8394 g12755
+000 1
+.names g12755 g13895
+0 1
+.names g9605 g9723 g9806 g11810
+000 1
+.names g11810 g13901
+0 1
+.names g9622 g9746 g9868 g11815
+000 1
+.names g11815 g13903
+0 1
+.names g9632 g9760 g9888 g11822
+000 1
+.names g11822 g13906
+0 1
+.names g8329 g8386 g8431 g12781
+000 1
+.names g12781 g13907
+0 1
+.names g9647 g9773 g9901 g11830
+000 1
+.names g11830 g13918
+0 1
+.names g9648 g9775 g9904 g11831
+000 1
+.names g11831 g13922
+0 1
+.names g9662 g9777 g9905 g11832
+000 1
+.names g11832 g13926
+0 1
+.names g8340 g8395 g8437 g12789
+000 1
+.names g12789 g13927
+0 1
+.names g9724 g9807 g9922 g11839
+000 1
+.names g11839 g13935
+0 1
+.names g8350 g8406 g8446 g12797
+000 1
+.names g12797 g13936
+0 1
+.names g9747 g9869 g9952 g11843
+000 1
+.names g11843 g13942
+0 1
+.names g9761 g9889 g10009 g11855
+000 1
+.names g11855 g13945
+0 1
+.names g8387 g8432 g8463 g12814
+000 1
+.names g12814 g13946
+0 1
+.names g8278 g5438 g12503
+0- 1
+-0 1
+.names g12503 II21012
+0 1
+.names II21012 g13954
+0 1
+.names g9774 g9902 g10035 g11863
+000 1
+.names g11863 g13958
+0 1
+.names g9778 g9906 g10042 g11864
+000 1
+.names g11864 g13962
+0 1
+.names g8396 g8438 g8466 g12820
+000 1
+.names g12820 g13963
+0 1
+.names g9793 g9919 g10055 g11872
+000 1
+.names g11872 g13974
+0 1
+.names g9794 g9921 g10058 g11873
+000 1
+.names g11873 g13978
+0 1
+.names g9808 g9923 g10059 g11874
+000 1
+.names g11874 g13982
+0 1
+.names g8407 g8447 g8472 g12828
+000 1
+.names g12828 g13983
+0 1
+.names g9870 g9953 g10076 g11881
+000 1
+.names g11881 g13991
+0 1
+.names g8417 g8458 g8481 g12836
+000 1
+.names g12836 g13992
+0 1
+.names g9887 g10007 g10101 g11889
+000 1
+.names g11889 g13999
+0 1
+.names g9890 g10010 g10103 g11890
+000 1
+.names g11890 g14000
+0 1
+.names g8433 g8464 g8485 g12849
+000 1
+.names g12849 g14001
+0 1
+.names g8278 g6448 g12486
+0- 1
+-0 1
+.names g12486 II21037
+0 1
+.names II21037 g14008
+0 1
+.names g9903 g10036 g10112 g11896
+000 1
+.names g11896 g14011
+0 1
+.names g9907 g10043 g10118 g11897
+000 1
+.names g11897 g14015
+0 1
+.names g8439 g8467 g8488 g12852
+000 1
+.names g12852 g14016
+0 1
+.names g8287 g5473 g12520
+0- 1
+-0 1
+.names g12520 II21045
+0 1
+.names II21045 g14024
+0 1
+.names g9920 g10056 g10144 g11905
+000 1
+.names g11905 g14028
+0 1
+.names g9924 g10060 g10151 g11906
+000 1
+.names g11906 g14032
+0 1
+.names g8448 g8473 g8491 g12858
+000 1
+.names g12858 g14033
+0 1
+.names g9939 g10073 g10164 g11914
+000 1
+.names g11914 g14044
+0 1
+.names g9940 g10075 g10167 g11915
+000 1
+.names g11915 g14048
+0 1
+.names g9954 g10077 g10168 g11916
+000 1
+.names g11916 g14052
+0 1
+.names g8459 g8482 g8497 g12866
+000 1
+.names g12866 g14053
+0 1
+.names g10008 g10102 g10192 g11928
+000 1
+.names g11928 g14061
+0 1
+.names g8465 g8486 g8502 g12880
+000 1
+.names g12880 g14062
+0 1
+.names g8278 g3306 g13147
+0- 1
+-0 1
+.names g13147 II21064
+0 1
+.names II21064 g14068
+0 1
+.names g10011 g10104 g10193 g11934
+000 1
+.names g11934 g14071
+0 1
+.names g10014 g10106 g10196 g11935
+000 1
+.names g11935 g14079
+0 1
+.names g10037 g10113 g10201 g11938
+000 1
+.names g11938 g14086
+0 1
+.names g10041 g10116 g10206 g11939
+000 1
+.names g11939 g14090
+0 1
+.names g10044 g10119 g10208 g11940
+000 1
+.names g11940 g14091
+0 1
+.names g8468 g8489 g8505 g12890
+000 1
+.names g12890 g14092
+0 1
+.names g8287 g6713 g12506
+0- 1
+-0 1
+.names g12506 II21075
+0 1
+.names II21075 g14099
+0 1
+.names g10057 g10145 g10217 g11946
+000 1
+.names g11946 g14102
+0 1
+.names g10061 g10152 g10223 g11947
+000 1
+.names g11947 g14106
+0 1
+.names g8474 g8492 g8508 g12893
+000 1
+.names g12893 g14107
+0 1
+.names g8296 g5512 g12535
+0- 1
+-0 1
+.names g12535 II21083
+0 1
+.names II21083 g14115
+0 1
+.names g10074 g10165 g10249 g11955
+000 1
+.names g11955 g14119
+0 1
+.names g10078 g10169 g10256 g11956
+000 1
+.names g11956 g14123
+0 1
+.names g8483 g8498 g8511 g12899
+000 1
+.names g12899 g14124
+0 1
+.names g10093 g10182 g10269 g11964
+000 1
+.names g11964 g14135
+0 1
+.names g10094 g10184 g10272 g11965
+000 1
+.names g11965 g14139
+0 1
+.names g11749 II21096
+0 1
+.names II21096 g14144
+0 1
+.names g8484 g8500 g8515 g12912
+000 1
+.names g12912 g14148
+0 1
+.names g8487 g8503 g8518 g12913
+000 1
+.names g12913 g14153
+0 1
+.names g10105 g10194 g10279 g11974
+000 1
+.names g11974 g14158
+0 1
+.names g10107 g10197 g10282 g11975
+000 1
+.names g11975 g14165
+0 1
+.names g10114 g10202 g10288 g11979
+000 1
+.names g11979 g14171
+0 1
+.names g10115 g10204 g10291 g11980
+000 1
+.names g11980 g14175
+0 1
+.names g10117 g10207 g10294 g11981
+000 1
+.names g11981 g14176
+0 1
+.names g8490 g8506 g8521 g12920
+000 1
+.names g12920 g14177
+0 1
+.names g8287 g3462 g13150
+0- 1
+-0 1
+.names g13150 II21108
+0 1
+.names II21108 g14183
+0 1
+.names g10120 g10209 g10295 g11987
+000 1
+.names g11987 g14186
+0 1
+.names g10123 g10211 g10298 g11988
+000 1
+.names g11988 g14194
+0 1
+.names g10146 g10218 g10303 g11991
+000 1
+.names g11991 g14201
+0 1
+.names g10150 g10221 g10308 g11992
+000 1
+.names g11992 g14205
+0 1
+.names g10153 g10224 g10310 g11993
+000 1
+.names g11993 g14206
+0 1
+.names g8493 g8509 g8524 g12930
+000 1
+.names g12930 g14207
+0 1
+.names g8296 g7015 g12523
+0- 1
+-0 1
+.names g12523 II21119
+0 1
+.names II21119 g14214
+0 1
+.names g10166 g10250 g10319 g11999
+000 1
+.names g11999 g14217
+0 1
+.names g10170 g10257 g10325 g12000
+000 1
+.names g12000 g14221
+0 1
+.names g8499 g8512 g8527 g12933
+000 1
+.names g12933 g14222
+0 1
+.names g8305 g5556 g12544
+0- 1
+-0 1
+.names g12544 II21127
+0 1
+.names II21127 g14230
+0 1
+.names g10183 g10270 g10351 g12008
+000 1
+.names g12008 g14234
+0 1
+.names g8501 g8516 g8531 g12939
+000 1
+.names g12939 g14238
+0 1
+.names g10195 g10280 g10360 g12026
+000 1
+.names g12026 g14244
+0 1
+.names g10200 g10286 g10365 g12034
+000 1
+.names g12034 g14249
+0 1
+.names g10203 g10289 g10367 g12035
+000 1
+.names g12035 g14252
+0 1
+.names g10205 g10292 g10370 g12036
+000 1
+.names g12036 g14256
+0 1
+.names g11749 II21137
+0 1
+.names II21137 g14259
+0 1
+.names g8504 g8519 g8534 g12941
+000 1
+.names g12941 g14263
+0 1
+.names g8507 g8522 g8537 g12942
+000 1
+.names g12942 g14268
+0 1
+.names g10210 g10296 g10372 g12043
+000 1
+.names g12043 g14273
+0 1
+.names g10212 g10299 g10375 g12044
+000 1
+.names g12044 g14280
+0 1
+.names g10219 g10304 g10381 g12048
+000 1
+.names g12048 g14286
+0 1
+.names g10220 g10306 g10384 g12049
+000 1
+.names g12049 g14290
+0 1
+.names g10222 g10309 g10387 g12050
+000 1
+.names g12050 g14291
+0 1
+.names g8510 g8525 g8540 g12949
+000 1
+.names g12949 g14292
+0 1
+.names g8296 g3618 g13156
+0- 1
+-0 1
+.names g13156 II21149
+0 1
+.names II21149 g14298
+0 1
+.names g10225 g10311 g10388 g12056
+000 1
+.names g12056 g14301
+0 1
+.names g10228 g10313 g10391 g12057
+000 1
+.names g12057 g14309
+0 1
+.names g10251 g10320 g10396 g12060
+000 1
+.names g12060 g14316
+0 1
+.names g10255 g10323 g10401 g12061
+000 1
+.names g12061 g14320
+0 1
+.names g10258 g10326 g10403 g12062
+000 1
+.names g12062 g14321
+0 1
+.names g8513 g8528 g8543 g12959
+000 1
+.names g12959 g14322
+0 1
+.names g8305 g7265 g12538
+0- 1
+-0 1
+.names g12538 II21160
+0 1
+.names II21160 g14329
+0 1
+.names g10271 g10352 g10412 g12068
+000 1
+.names g12068 g14332
+0 1
+.names g10693 g2883 g7562 g10711 g13110
+1111 1
+.names g13110 II21165
+0 1
+.names II21165 g14337
+0 1
+.names g8517 g8532 g8546 g12967
+000 1
+.names g12967 g14342
+0 1
+.names g10281 g10361 g10422 g12079
+000 1
+.names g12079 g14347
+0 1
+.names g10287 g10366 g10433 g12081
+000 1
+.names g12081 g14352
+0 1
+.names g10290 g10368 g10435 g12082
+000 1
+.names g12082 g14355
+0 1
+.names g10293 g10371 g10438 g12083
+000 1
+.names g12083 g14359
+0 1
+.names g8520 g8535 g8548 g12968
+000 1
+.names g12968 g14360
+0 1
+.names g10297 g10373 g10439 g12090
+000 1
+.names g12090 g14366
+0 1
+.names g10302 g10379 g10444 g12098
+000 1
+.names g12098 g14371
+0 1
+.names g10305 g10382 g10446 g12099
+000 1
+.names g12099 g14374
+0 1
+.names g10307 g10385 g10449 g12100
+000 1
+.names g12100 g14378
+0 1
+.names g11749 II21178
+0 1
+.names II21178 g14381
+0 1
+.names g8523 g8538 g8551 g12970
+000 1
+.names g12970 g14385
+0 1
+.names g8526 g8541 g8554 g12971
+000 1
+.names g12971 g14390
+0 1
+.names g10312 g10389 g10451 g12107
+000 1
+.names g12107 g14395
+0 1
+.names g10314 g10392 g10454 g12108
+000 1
+.names g12108 g14402
+0 1
+.names g10321 g10397 g10460 g12112
+000 1
+.names g12112 g14408
+0 1
+.names g10322 g10399 g10463 g12113
+000 1
+.names g12113 g14412
+0 1
+.names g10324 g10402 g10466 g12114
+000 1
+.names g12114 g14413
+0 1
+.names g8529 g8544 g8557 g12978
+000 1
+.names g12978 g14414
+0 1
+.names g8305 g3774 g13165
+0- 1
+-0 1
+.names g13165 II21190
+0 1
+.names II21190 g14420
+0 1
+.names g10327 g10404 g10467 g12120
+000 1
+.names g12120 g14423
+0 1
+.names g10330 g10406 g10470 g12121
+000 1
+.names g12121 g14431
+0 1
+.names g10353 g10413 g10475 g12124
+000 1
+.names g12124 g14438
+0 1
+.names g9367 g9441 g9521 g11768
+000 1
+.names g11768 g14442
+0 1
+.names g10369 g10436 g10496 g12146
+000 1
+.names g12146 g14450
+0 1
+.names g8536 g8549 g8559 g12991
+000 1
+.names g12991 g14454
+0 1
+.names g10374 g10440 g10498 g12151
+000 1
+.names g12151 g14459
+0 1
+.names g10380 g10445 g10509 g12153
+000 1
+.names g12153 g14464
+0 1
+.names g10383 g10447 g10511 g12154
+000 1
+.names g12154 g14467
+0 1
+.names g10386 g10450 g10514 g12155
+000 1
+.names g12155 g14471
+0 1
+.names g8539 g8552 g8561 g12992
+000 1
+.names g12992 g14472
+0 1
+.names g10390 g10452 g10515 g12162
+000 1
+.names g12162 g14478
+0 1
+.names g10395 g10458 g10520 g12170
+000 1
+.names g12170 g14483
+0 1
+.names g10398 g10461 g10522 g12171
+000 1
+.names g12171 g14486
+0 1
+.names g10400 g10464 g10525 g12172
+000 1
+.names g12172 g14490
+0 1
+.names g11749 II21208
+0 1
+.names II21208 g14493
+0 1
+.names g8542 g8555 g8564 g12994
+000 1
+.names g12994 g14497
+0 1
+.names g8545 g8558 g8567 g12995
+000 1
+.names g12995 g14502
+0 1
+.names g10405 g10468 g10527 g12179
+000 1
+.names g12179 g14507
+0 1
+.names g10407 g10471 g10530 g12180
+000 1
+.names g12180 g14514
+0 1
+.names g10414 g10476 g10536 g12184
+000 1
+.names g12184 g14520
+0 1
+.names g10415 g10478 g10539 g12185
+000 1
+.names g12185 g14524
+0 1
+.names g10437 g10497 g10558 g12195
+000 1
+.names g12195 g14525
+0 1
+.names g9464 g9583 g9663 g11785
+000 1
+.names g11785 g14529
+0 1
+.names g10448 g10512 g10570 g12208
+000 1
+.names g12208 g14537
+0 1
+.names g8553 g8562 g8570 g13001
+000 1
+.names g13001 g14541
+0 1
+.names g10453 g10516 g10572 g12213
+000 1
+.names g12213 g14546
+0 1
+.names g10459 g10521 g10583 g12215
+000 1
+.names g12215 g14551
+0 1
+.names g10462 g10523 g10585 g12216
+000 1
+.names g12216 g14554
+0 1
+.names g10465 g10526 g10588 g12217
+000 1
+.names g12217 g14558
+0 1
+.names g8556 g8565 g8572 g13002
+000 1
+.names g13002 g14559
+0 1
+.names g10469 g10528 g10589 g12224
+000 1
+.names g12224 g14565
+0 1
+.names g10474 g10534 g10594 g12232
+000 1
+.names g12232 g14570
+0 1
+.names g10477 g10537 g10596 g12233
+000 1
+.names g12233 g14573
+0 1
+.names g10479 g10540 g10599 g12234
+000 1
+.names g12234 g14577
+0 1
+.names g10513 g10571 g10615 g12250
+000 1
+.names g12250 g14580
+0 1
+.names g9606 g9725 g9809 g11811
+000 1
+.names g11811 g14584
+0 1
+.names g10524 g10586 g10627 g12263
+000 1
+.names g12263 g14592
+0 1
+.names g8566 g8573 g8576 g13022
+000 1
+.names g13022 g14596
+0 1
+.names g10529 g10590 g10629 g12268
+000 1
+.names g12268 g14601
+0 1
+.names g10535 g10595 g10640 g12270
+000 1
+.names g12270 g14606
+0 1
+.names g10538 g10597 g10642 g12271
+000 1
+.names g12271 g14609
+0 1
+.names g10541 g10600 g10645 g12272
+000 1
+.names g12272 g14613
+0 1
+.names g10587 g10628 g10662 g12293
+000 1
+.names g12293 g14614
+0 1
+.names g9748 g9871 g9955 g11844
+000 1
+.names g11844 g14618
+0 1
+.names g10598 g10643 g10674 g12306
+000 1
+.names g12306 g14626
+0 1
+.names g9026 g9047 g9061 g13378
+000 1
+.names g13378 II21241
+0 1
+.names II21241 g14630
+0 1
+.names g10644 g10675 g10692 g12329
+000 1
+.names g12329 g14637
+0 1
+.names g9635 g9763 g9891 g11823
+000 1
+.names g11823 g14641
+0 1
+.names g9062 g9075 g9091 g11624
+000 1
+.names g11624 II21246
+0 1
+.names II21246 g14642
+0 1
+.names g9049 g9064 g9078 g11600
+000 1
+.names g11600 II21249
+0 1
+.names II21249 g14650
+0 1
+.names g9076 g9092 g9102 g11644
+000 1
+.names g11644 II21252
+0 1
+.names II21252 g14657
+0 1
+.names g9781 g9909 g10045 g11865
+000 1
+.names g11865 g14668
+0 1
+.names g9079 g9094 g9103 g11647
+000 1
+.names g11647 II21256
+0 1
+.names II21256 g14669
+0 1
+.names g9066 g9081 g9097 g11630
+000 1
+.names g11630 II21259
+0 1
+.names II21259 g14677
+0 1
+.names g11713 II21262
+0 1
+.names II21262 g14684
+0 1
+.names g10495 g10557 g10604 g12245
+000 1
+.names g12245 g14685
+0 1
+.names g9095 g9104 g9112 g11663
+000 1
+.names g11663 II21267
+0 1
+.names II21267 g14691
+0 1
+.names g9927 g10063 g10154 g11907
+000 1
+.names g11907 g14702
+0 1
+.names g9098 g9106 g9113 g11666
+000 1
+.names g11666 II21271
+0 1
+.names II21271 g14703
+0 1
+.names g9083 g9100 g9109 g11653
+000 1
+.names g11653 II21274
+0 1
+.names II21274 g14711
+0 1
+.names g12430 II21277
+0 1
+.names II21277 g14718
+0 1
+.names g10569 g10614 g10651 g12288
+000 1
+.names g12288 g14719
+0 1
+.names g9107 g9114 g9120 g11675
+000 1
+.names g11675 II21282
+0 1
+.names II21282 g14725
+0 1
+.names g10081 g10172 g10259 g11957
+000 1
+.names g11957 g14736
+0 1
+.names g9110 g9116 g9121 g11678
+000 1
+.names g11678 II21286
+0 1
+.names II21286 g14737
+0 1
+.names g12434 II21289
+0 1
+.names II21289 g14745
+0 1
+.names g11888 II21292
+0 1
+.names II21292 g14746
+0 1
+.names g10626 g10661 g10681 g12324
+000 1
+.names g12324 g14747
+0 1
+.names g9117 g9122 g9126 g11687
+000 1
+.names g11687 II21297
+0 1
+.names II21297 g14753
+0 1
+.names g11791 g14764
+0 1
+.names g12438 II21301
+0 1
+.names II21301 g14765
+0 1
+.names g11927 II21304
+0 1
+.names II21304 g14766
+0 1
+.names g10673 g10691 g10710 g12352
+000 1
+.names g12352 g14768
+0 1
+.names g12332 II21310
+0 1
+.names II21310 g14774
+0 1
+.names g11743 II21313
+0 1
+.names II21313 g14775
+0 1
+.names g10199 g10284 g10362 g12033
+000 1
+.names g12033 g14776
+0 1
+.names g11848 g14794
+0 1
+.names g12362 II21318
+0 1
+.names II21318 g14795
+0 1
+.names g11758 II21321
+0 1
+.names II21321 g14796
+0 1
+.names g10285 g10363 g10430 g12080
+000 1
+.names g12080 g14797
+0 1
+.names g10301 g10377 g10441 g12097
+000 1
+.names g12097 g14811
+0 1
+.names g12378 II21326
+0 1
+.names II21326 g14829
+0 1
+.names g11766 II21329
+0 1
+.names II21329 g14830
+0 1
+.names g9639 g9764 g9892 g11828
+000 1
+.names g11828 g14831
+0 1
+.names g10364 g10431 g10492 g12145
+000 1
+.names g12145 g14837
+0 1
+.names g10378 g10442 g10506 g12152
+000 1
+.names g12152 g14849
+0 1
+.names g10394 g10456 g10517 g12169
+000 1
+.names g12169 g14863
+0 1
+.names g11923 g14881
+0 1
+.names g12408 II21337
+0 1
+.names II21337 g14882
+0 1
+.names g11779 II21340
+0 1
+.names II21340 g14883
+0 1
+.names g9765 g9893 g10012 g11860
+000 1
+.names g11860 g14885
+0 1
+.names g10432 g10493 g10555 g12193
+000 1
+.names g12193 g14895
+0 1
+.names g9785 g9910 g10046 g11870
+000 1
+.names g11870 g14904
+0 1
+.names g10443 g10507 g10566 g12207
+000 1
+.names g12207 g14910
+0 1
+.names g10457 g10518 g10580 g12214
+000 1
+.names g12214 g14922
+0 1
+.names g10473 g10532 g10591 g12231
+000 1
+.names g12231 g14936
+0 1
+.names g12420 II21351
+0 1
+.names II21351 g14954
+0 1
+.names g11798 II21354
+0 1
+.names II21354 g14955
+0 1
+.names g11976 g14959
+0 1
+.names g9534 g6678 g13026
+0- 1
+-0 1
+.names g13026 II21361
+0 1
+.names II21361 g14960
+0 1
+.names g9534 g6678 g13028
+0- 1
+-0 1
+.names g13028 II21364
+0 1
+.names II21364 g14963
+0 1
+.names g9911 g10047 g10121 g11902
+000 1
+.names g11902 g14966
+0 1
+.names g10508 g10567 g10612 g12248
+000 1
+.names g12248 g14976
+0 1
+.names g9931 g10064 g10155 g11912
+000 1
+.names g11912 g14985
+0 1
+.names g10519 g10581 g10623 g12262
+000 1
+.names g12262 g14991
+0 1
+.names g10533 g10592 g10637 g12269
+000 1
+.names g12269 g15003
+0 1
+.names g12009 g15017
+0 1
+.names g12424 II21374
+0 1
+.names II21374 g15018
+0 1
+.names g11821 II21377
+0 1
+.names II21377 g15019
+0 1
+.names g13157 II21381
+0 1
+.names II21381 g15021
+0 1
+.names g9442 g9522 g9633 g11781
+000 1
+.names g11781 g15022
+0 1
+.names g12027 g15032
+0 1
+.names g12030 g15033
+0 1
+.names g10038 g6284 g12883
+00 1
+.names g12883 II21389
+0 1
+.names II21389 g15034
+0 1
+.names g9534 g6912 g13020
+0- 1
+-0 1
+.names g13020 II21392
+0 1
+.names II21392 g15037
+0 1
+.names g9534 g6678 g13034
+0- 1
+-0 1
+.names g13034 II21395
+0 1
+.names II21395 g15040
+0 1
+.names g9534 g6912 g13021
+0- 1
+-0 1
+.names g13021 II21398
+0 1
+.names II21398 g15043
+0 1
+.names g12045 g15048
+0 1
+.names g9676 g6980 g13037
+0- 1
+-0 1
+.names g13037 II21404
+0 1
+.names II21404 g15049
+0 1
+.names g9676 g6980 g13039
+0- 1
+-0 1
+.names g13039 II21407
+0 1
+.names II21407 g15052
+0 1
+.names g10065 g10156 g10226 g11952
+000 1
+.names g11952 g15055
+0 1
+.names g10582 g10624 g10659 g12291
+000 1
+.names g12291 g15065
+0 1
+.names g10085 g10173 g10260 g11962
+000 1
+.names g11962 g15074
+0 1
+.names g10593 g10638 g10670 g12305
+000 1
+.names g12305 g15080
+0 1
+.names g11854 II21415
+0 1
+.names II21415 g15092
+0 1
+.names g13166 II21420
+0 1
+.names II21420 g15095
+0 1
+.names g9523 g9634 g9762 g11800
+000 1
+.names g11800 g15096
+0 1
+.names g9534 g3366 g11661
+0- 1
+-0 1
+.names g11661 II21426
+0 1
+.names II21426 g15106
+0 1
+.names g9534 g6912 g13027
+0- 1
+-0 1
+.names g13027 II21429
+0 1
+.names II21429 g15109
+0 1
+.names g9534 g6678 g13044
+0- 1
+-0 1
+.names g13044 II21432
+0 1
+.names II21432 g15112
+0 1
+.names g9534 g3366 g11662
+0- 1
+-0 1
+.names g11662 II21435
+0 1
+.names II21435 g15115
+0 1
+.names g9584 g9664 g9779 g11807
+000 1
+.names g11807 g15118
+0 1
+.names g12091 g15128
+0 1
+.names g12094 g15129
+0 1
+.names g10147 g6421 g12923
+00 1
+.names g12923 II21443
+0 1
+.names II21443 g15130
+0 1
+.names g9676 g7162 g13029
+0- 1
+-0 1
+.names g13029 II21446
+0 1
+.names II21446 g15133
+0 1
+.names g9676 g6980 g13047
+0- 1
+-0 1
+.names g13047 II21449
+0 1
+.names II21449 g15136
+0 1
+.names g9676 g7162 g13030
+0- 1
+-0 1
+.names g13030 II21452
+0 1
+.names II21452 g15139
+0 1
+.names g12109 g15144
+0 1
+.names g9822 g7230 g13050
+0- 1
+-0 1
+.names g13050 II21458
+0 1
+.names II21458 g15145
+0 1
+.names g9822 g7230 g13052
+0- 1
+-0 1
+.names g13052 II21461
+0 1
+.names II21461 g15148
+0 1
+.names g10174 g10261 g10328 g12005
+000 1
+.names g12005 g15151
+0 1
+.names g10639 g10671 g10689 g12327
+000 1
+.names g12327 g15161
+0 1
+.names g12125 g15170
+0 1
+.names g12136 g15174
+0 1
+.names g12139 g15175
+0 1
+.names g12142 g15176
+0 1
+.names g10650 g10678 g10704 g12339
+000 1
+.names g12339 g15177
+0 1
+.names g9534 g3366 g11672
+0- 1
+-0 1
+.names g11672 II21476
+0 1
+.names II21476 g15179
+0 1
+.names g9534 g6912 g13035
+0- 1
+-0 1
+.names g13035 II21479
+0 1
+.names II21479 g15182
+0 1
+.names g9534 g6678 g13058
+0- 1
+-0 1
+.names g13058 II21482
+0 1
+.names II21482 g15185
+0 1
+.names g9665 g9780 g9908 g11833
+000 1
+.names g11833 g15188
+0 1
+.names g9676 g3522 g11673
+0- 1
+-0 1
+.names g11673 II21488
+0 1
+.names II21488 g15198
+0 1
+.names g9676 g7162 g13038
+0- 1
+-0 1
+.names g13038 II21491
+0 1
+.names II21491 g15201
+0 1
+.names g9676 g6980 g13061
+0- 1
+-0 1
+.names g13061 II21494
+0 1
+.names II21494 g15204
+0 1
+.names g9676 g3522 g11674
+0- 1
+-0 1
+.names g11674 II21497
+0 1
+.names II21497 g15207
+0 1
+.names g9726 g9810 g9925 g11840
+000 1
+.names g11840 g15210
+0 1
+.names g12163 g15220
+0 1
+.names g12166 g15221
+0 1
+.names g10252 g6626 g12952
+00 1
+.names g12952 II21505
+0 1
+.names II21505 g15222
+0 1
+.names g9822 g7358 g13040
+0- 1
+-0 1
+.names g13040 II21508
+0 1
+.names II21508 g15225
+0 1
+.names g9822 g7230 g13064
+0- 1
+-0 1
+.names g13064 II21511
+0 1
+.names II21511 g15228
+0 1
+.names g9822 g7358 g13041
+0- 1
+-0 1
+.names g13041 II21514
+0 1
+.names II21514 g15231
+0 1
+.names g12181 g15236
+0 1
+.names g9968 g7426 g13067
+0- 1
+-0 1
+.names g13067 II21520
+0 1
+.names II21520 g15237
+0 1
+.names g9968 g7426 g13069
+0- 1
+-0 1
+.names g13069 II21523
+0 1
+.names II21523 g15240
+0 1
+.names g9534 g3366 g11683
+0- 1
+-0 1
+.names g11683 II21531
+0 1
+.names II21531 g15248
+0 1
+.names g9534 g6912 g13045
+0- 1
+-0 1
+.names g13045 II21534
+0 1
+.names II21534 g15251
+0 1
+.names g9534 g6678 g13071
+0- 1
+-0 1
+.names g13071 II21537
+0 1
+.names II21537 g15254
+0 1
+.names g12198 g15260
+0 1
+.names g12201 g15261
+0 1
+.names g12204 g15262
+0 1
+.names g10680 g10707 g10724 g12369
+000 1
+.names g12369 g15263
+0 1
+.names g9676 g3522 g11684
+0- 1
+-0 1
+.names g11684 II21548
+0 1
+.names II21548 g15265
+0 1
+.names g9676 g7162 g13048
+0- 1
+-0 1
+.names g13048 II21551
+0 1
+.names II21551 g15268
+0 1
+.names g9676 g6980 g13074
+0- 1
+-0 1
+.names g13074 II21554
+0 1
+.names II21554 g15271
+0 1
+.names g9811 g9926 g10062 g11875
+000 1
+.names g11875 g15274
+0 1
+.names g9822 g3678 g11685
+0- 1
+-0 1
+.names g11685 II21560
+0 1
+.names II21560 g15284
+0 1
+.names g9822 g7358 g13051
+0- 1
+-0 1
+.names g13051 II21563
+0 1
+.names II21563 g15287
+0 1
+.names g9822 g7230 g13077
+0- 1
+-0 1
+.names g13077 II21566
+0 1
+.names II21566 g15290
+0 1
+.names g9822 g3678 g11686
+0- 1
+-0 1
+.names g11686 II21569
+0 1
+.names II21569 g15293
+0 1
+.names g9872 g9956 g10079 g11882
+000 1
+.names g11882 g15296
+0 1
+.names g12225 g15306
+0 1
+.names g12228 g15307
+0 1
+.names g10354 g6890 g12981
+00 1
+.names g12981 II21577
+0 1
+.names II21577 g15308
+0 1
+.names g9968 g7488 g13053
+0- 1
+-0 1
+.names g13053 II21580
+0 1
+.names II21580 g15311
+0 1
+.names g9968 g7426 g13080
+0- 1
+-0 1
+.names g13080 II21583
+0 1
+.names II21583 g15314
+0 1
+.names g9968 g7488 g13054
+0- 1
+-0 1
+.names g13054 II21586
+0 1
+.names II21586 g15317
+0 1
+.names g12239 g15322
+0 1
+.names g12242 g15323
+0 1
+.names g9534 g3366 g11691
+0- 1
+-0 1
+.names g11691 II21595
+0 1
+.names II21595 g15326
+0 1
+.names g9534 g6912 g13059
+0- 1
+-0 1
+.names g13059 II21598
+0 1
+.names II21598 g15329
+0 1
+.names g9534 g6678 g13087
+0- 1
+-0 1
+.names g13087 II21601
+0 1
+.names II21601 g15332
+0 1
+.names g9676 g3522 g11692
+0- 1
+-0 1
+.names g11692 II21609
+0 1
+.names II21609 g15340
+0 1
+.names g9676 g7162 g13062
+0- 1
+-0 1
+.names g13062 II21612
+0 1
+.names II21612 g15343
+0 1
+.names g9676 g6980 g13090
+0- 1
+-0 1
+.names g13090 II21615
+0 1
+.names II21615 g15346
+0 1
+.names g12253 g15352
+0 1
+.names g12256 g15353
+0 1
+.names g12259 g15354
+0 1
+.names g10709 g10727 g10745 g12388
+000 1
+.names g12388 g15355
+0 1
+.names g9822 g3678 g11693
+0- 1
+-0 1
+.names g11693 II21626
+0 1
+.names II21626 g15357
+0 1
+.names g9822 g7358 g13065
+0- 1
+-0 1
+.names g13065 II21629
+0 1
+.names II21629 g15360
+0 1
+.names g9822 g7230 g13093
+0- 1
+-0 1
+.names g13093 II21632
+0 1
+.names II21632 g15363
+0 1
+.names g9957 g10080 g10171 g11917
+000 1
+.names g11917 g15366
+0 1
+.names g9968 g3834 g11694
+0- 1
+-0 1
+.names g11694 II21638
+0 1
+.names II21638 g15376
+0 1
+.names g9968 g7488 g13068
+0- 1
+-0 1
+.names g13068 II21641
+0 1
+.names II21641 g15379
+0 1
+.names g9968 g7426 g13096
+0- 1
+-0 1
+.names g13096 II21644
+0 1
+.names II21644 g15382
+0 1
+.names g9968 g3834 g11695
+0- 1
+-0 1
+.names g11695 II21647
+0 1
+.names II21647 g15385
+0 1
+.names g12279 g15390
+0 1
+.names g9534 g3366 g11696
+0- 1
+-0 1
+.names g11696 II21655
+0 1
+.names II21655 g15393
+0 1
+.names g9534 g6912 g13072
+0- 1
+-0 1
+.names g13072 II21658
+0 1
+.names II21658 g15396
+0 1
+.names g9534 g6678 g13098
+0- 1
+-0 1
+.names g13098 II21661
+0 1
+.names II21661 g15399
+0 1
+.names g9534 g6678 g13100
+0- 1
+-0 1
+.names g13100 II21666
+0 1
+.names II21666 g15404
+0 1
+.names g12282 g15408
+0 1
+.names g12285 g15409
+0 1
+.names g9676 g3522 g11698
+0- 1
+-0 1
+.names g11698 II21674
+0 1
+.names II21674 g15412
+0 1
+.names g9676 g7162 g13075
+0- 1
+-0 1
+.names g13075 II21677
+0 1
+.names II21677 g15415
+0 1
+.names g9676 g6980 g13102
+0- 1
+-0 1
+.names g13102 II21680
+0 1
+.names II21680 g15418
+0 1
+.names g9822 g3678 g11699
+0- 1
+-0 1
+.names g11699 II21688
+0 1
+.names II21688 g15426
+0 1
+.names g9822 g7358 g13078
+0- 1
+-0 1
+.names g13078 II21691
+0 1
+.names II21691 g15429
+0 1
+.names g9822 g7230 g13105
+0- 1
+-0 1
+.names g13105 II21694
+0 1
+.names II21694 g15432
+0 1
+.names g12296 g15438
+0 1
+.names g12299 g15439
+0 1
+.names g12302 g15440
+0 1
+.names g10729 g10748 g10764 g12418
+000 1
+.names g12418 g15441
+0 1
+.names g9968 g3834 g11700
+0- 1
+-0 1
+.names g11700 II21705
+0 1
+.names II21705 g15443
+0 1
+.names g9968 g7488 g13081
+0- 1
+-0 1
+.names g13081 II21708
+0 1
+.names II21708 g15446
+0 1
+.names g9968 g7426 g13108
+0- 1
+-0 1
+.names g13108 II21711
+0 1
+.names II21711 g15449
+0 1
+.names g12312 g15458
+0 1
+.names g9534 g3366 g11701
+0- 1
+-0 1
+.names g11701 II21720
+0 1
+.names II21720 g15461
+0 1
+.names g9534 g6912 g13088
+0- 1
+-0 1
+.names g13088 II21723
+0 1
+.names II21723 g15464
+0 1
+.names g9534 g6678 g13112
+0- 1
+-0 1
+.names g13112 II21726
+0 1
+.names II21726 g15467
+0 1
+.names g9534 g6912 g13089
+0- 1
+-0 1
+.names g13089 II21730
+0 1
+.names II21730 g15471
+0 1
+.names g12315 g15474
+0 1
+.names g9676 g3522 g11702
+0- 1
+-0 1
+.names g11702 II21736
+0 1
+.names II21736 g15477
+0 1
+.names g9676 g7162 g13091
+0- 1
+-0 1
+.names g13091 II21739
+0 1
+.names II21739 g15480
+0 1
+.names g9676 g6980 g13114
+0- 1
+-0 1
+.names g13114 II21742
+0 1
+.names II21742 g15483
+0 1
+.names g9676 g6980 g13116
+0- 1
+-0 1
+.names g13116 II21747
+0 1
+.names II21747 g15488
+0 1
+.names g12318 g15492
+0 1
+.names g12321 g15493
+0 1
+.names g9822 g3678 g11704
+0- 1
+-0 1
+.names g11704 II21755
+0 1
+.names II21755 g15496
+0 1
+.names g9822 g7358 g13094
+0- 1
+-0 1
+.names g13094 II21758
+0 1
+.names II21758 g15499
+0 1
+.names g9822 g7230 g13118
+0- 1
+-0 1
+.names g13118 II21761
+0 1
+.names II21761 g15502
+0 1
+.names g9968 g3834 g11705
+0- 1
+-0 1
+.names g11705 II21769
+0 1
+.names II21769 g15510
+0 1
+.names g9968 g7488 g13097
+0- 1
+-0 1
+.names g13097 II21772
+0 1
+.names II21772 g15513
+0 1
+.names g9968 g7426 g13121
+0- 1
+-0 1
+.names g13121 II21775
+0 1
+.names II21775 g15516
+0 1
+.names g8317 g2993 g13305
+0- 1
+-0 1
+.names g13305 II21780
+0 1
+.names II21780 g15521
+0 1
+.names g12333 g15524
+0 1
+.names g12336 g15525
+0 1
+.names g9534 g3366 g11707
+0- 1
+-0 1
+.names g11707 II21787
+0 1
+.names II21787 g15528
+0 1
+.names g9534 g6912 g13099
+0- 1
+-0 1
+.names g13099 II21790
+0 1
+.names II21790 g15531
+0 1
+.names g9534 g6678 g13123
+0- 1
+-0 1
+.names g13123 II21793
+0 1
+.names II21793 g15534
+0 1
+.names g9534 g3366 g11708
+0- 1
+-0 1
+.names g11708 II21796
+0 1
+.names II21796 g15537
+0 1
+.names g12340 g15544
+0 1
+.names g9676 g3522 g11709
+0- 1
+-0 1
+.names g11709 II21803
+0 1
+.names II21803 g15547
+0 1
+.names g9676 g7162 g13103
+0- 1
+-0 1
+.names g13103 II21806
+0 1
+.names II21806 g15550
+0 1
+.names g9676 g6980 g13125
+0- 1
+-0 1
+.names g13125 II21809
+0 1
+.names II21809 g15553
+0 1
+.names g9676 g7162 g13104
+0- 1
+-0 1
+.names g13104 II21813
+0 1
+.names II21813 g15557
+0 1
+.names g12343 g15560
+0 1
+.names g9822 g3678 g11710
+0- 1
+-0 1
+.names g11710 II21819
+0 1
+.names II21819 g15563
+0 1
+.names g9822 g7358 g13106
+0- 1
+-0 1
+.names g13106 II21822
+0 1
+.names II21822 g15566
+0 1
+.names g9822 g7230 g13127
+0- 1
+-0 1
+.names g13127 II21825
+0 1
+.names II21825 g15569
+0 1
+.names g9822 g7230 g13129
+0- 1
+-0 1
+.names g13129 II21830
+0 1
+.names II21830 g15574
+0 1
+.names g12346 g15578
+0 1
+.names g12349 g15579
+0 1
+.names g9968 g3834 g11712
+0- 1
+-0 1
+.names g11712 II21838
+0 1
+.names II21838 g15582
+0 1
+.names g9968 g7488 g13109
+0- 1
+-0 1
+.names g13109 II21841
+0 1
+.names II21841 g15585
+0 1
+.names g9968 g7426 g13131
+0- 1
+-0 1
+.names g13131 II21844
+0 1
+.names II21844 g15588
+0 1
+.names g9534 g3366 g11716
+0- 1
+-0 1
+.names g11716 II21852
+0 1
+.names II21852 g15596
+0 1
+.names g9534 g6912 g13113
+0- 1
+-0 1
+.names g13113 II21855
+0 1
+.names II21855 g15599
+0 1
+.names g12363 g15602
+0 1
+.names g12366 g15603
+0 1
+.names g9676 g3522 g11717
+0- 1
+-0 1
+.names g11717 II21862
+0 1
+.names II21862 g15606
+0 1
+.names g9676 g7162 g13115
+0- 1
+-0 1
+.names g13115 II21865
+0 1
+.names II21865 g15609
+0 1
+.names g9676 g6980 g13134
+0- 1
+-0 1
+.names g13134 II21868
+0 1
+.names II21868 g15612
+0 1
+.names g9676 g3522 g11718
+0- 1
+-0 1
+.names g11718 II21871
+0 1
+.names II21871 g15615
+0 1
+.names g12370 g15622
+0 1
+.names g9822 g3678 g11719
+0- 1
+-0 1
+.names g11719 II21878
+0 1
+.names II21878 g15625
+0 1
+.names g9822 g7358 g13119
+0- 1
+-0 1
+.names g13119 II21881
+0 1
+.names II21881 g15628
+0 1
+.names g9822 g7230 g13136
+0- 1
+-0 1
+.names g13136 II21884
+0 1
+.names II21884 g15631
+0 1
+.names g9822 g7358 g13120
+0- 1
+-0 1
+.names g13120 II21888
+0 1
+.names II21888 g15635
+0 1
+.names g12373 g15638
+0 1
+.names g9968 g3834 g11720
+0- 1
+-0 1
+.names g11720 II21894
+0 1
+.names II21894 g15641
+0 1
+.names g9968 g7488 g13122
+0- 1
+-0 1
+.names g13122 II21897
+0 1
+.names II21897 g15644
+0 1
+.names g9968 g7426 g13138
+0- 1
+-0 1
+.names g13138 II21900
+0 1
+.names II21900 g15647
+0 1
+.names g9968 g7426 g13140
+0- 1
+-0 1
+.names g13140 II21905
+0 1
+.names II21905 g15652
+0 1
+.names II20131 II20132 g13082
+11 1
+.names g13082 II21908
+0 1
+.names II21908 g15655
+0 1
+.names g11706 g15659
+0 1
+.names g12379 g15665
+0 1
+.names g9534 g3366 g11721
+0- 1
+-0 1
+.names g11721 II21918
+0 1
+.names II21918 g15667
+0 1
+.names g9676 g3522 g11722
+0- 1
+-0 1
+.names g11722 II21923
+0 1
+.names II21923 g15672
+0 1
+.names g9676 g7162 g13126
+0- 1
+-0 1
+.names g13126 II21926
+0 1
+.names II21926 g15675
+0 1
+.names g12382 g15678
+0 1
+.names g12385 g15679
+0 1
+.names g9822 g3678 g11723
+0- 1
+-0 1
+.names g11723 II21933
+0 1
+.names II21933 g15682
+0 1
+.names g9822 g7358 g13128
+0- 1
+-0 1
+.names g13128 II21936
+0 1
+.names II21936 g15685
+0 1
+.names g9822 g7230 g13142
+0- 1
+-0 1
+.names g13142 II21939
+0 1
+.names II21939 g15688
+0 1
+.names g9822 g3678 g11724
+0- 1
+-0 1
+.names g11724 II21942
+0 1
+.names II21942 g15691
+0 1
+.names g12389 g15698
+0 1
+.names g9968 g3834 g11725
+0- 1
+-0 1
+.names g11725 II21949
+0 1
+.names II21949 g15701
+0 1
+.names g9968 g7488 g13132
+0- 1
+-0 1
+.names g13132 II21952
+0 1
+.names II21952 g15704
+0 1
+.names g9968 g7426 g13144
+0- 1
+-0 1
+.names g13144 II21955
+0 1
+.names II21955 g15707
+0 1
+.names g9968 g7488 g13133
+0- 1
+-0 1
+.names g13133 II21959
+0 1
+.names II21959 g15711
+0 1
+.names g10186 g8317 g13004
+11 1
+.names g13004 II21962
+0 1
+.names II21962 g15714
+0 1
+.names g13011 g15722
+0 1
+.names g12409 g15724
+0 1
+.names g9676 g3522 g11726
+0- 1
+-0 1
+.names g11726 II21974
+0 1
+.names II21974 g15726
+0 1
+.names g9822 g3678 g11727
+0- 1
+-0 1
+.names g11727 II21979
+0 1
+.names II21979 g15731
+0 1
+.names g9822 g7358 g13137
+0- 1
+-0 1
+.names g13137 II21982
+0 1
+.names II21982 g15734
+0 1
+.names g12412 g15737
+0 1
+.names g12415 g15738
+0 1
+.names g9968 g3834 g11728
+0- 1
+-0 1
+.names g11728 II21989
+0 1
+.names II21989 g15741
+0 1
+.names g9968 g7488 g13139
+0- 1
+-0 1
+.names g13139 II21992
+0 1
+.names II21992 g15744
+0 1
+.names g9968 g7426 g13146
+0- 1
+-0 1
+.names g13146 II21995
+0 1
+.names II21995 g15747
+0 1
+.names g9968 g3834 g11729
+0- 1
+-0 1
+.names g11729 II21998
+0 1
+.names II21998 g15750
+0 1
+.names g13011 g15762
+0 1
+.names g12421 g15764
+0 1
+.names g9822 g3678 g11730
+0- 1
+-0 1
+.names g11730 II22014
+0 1
+.names II22014 g15766
+0 1
+.names g9968 g3834 g11731
+0- 1
+-0 1
+.names g11731 II22019
+0 1
+.names II22019 g15771
+0 1
+.names g9968 g7488 g13145
+0- 1
+-0 1
+.names g13145 II22022
+0 1
+.names II22022 g15774
+0 1
+.names g8313 g2883 g11617
+0- 1
+-0 1
+.names g11617 II22025
+0 1
+.names II22025 g15777
+0 1
+.names g13011 g15790
+0 1
+.names g12426 g15792
+0 1
+.names g9968 g3834 g11733
+0- 1
+-0 1
+.names g11733 II22044
+0 1
+.names II22044 g15794
+0 1
+.names g12909 g15800
+0 1
+.names g13011 g15813
+0 1
+.names g13378 g15859
+0 1
+.names g12909 II22120
+0 1
+.names II22120 g15876
+0 1
+.names g11624 g15880
+0 1
+.names g11600 g15890
+0 1
+.names g11644 g15904
+0 1
+.names g11647 g15913
+0 1
+.names g11630 g15923
+0 1
+.names g11663 g15933
+0 1
+.names g11666 g15942
+0 1
+.names g11653 g15952
+0 1
+.names g11675 g15962
+0 1
+.names g11678 g15971
+0 1
+.names g11687 g15981
+0 1
+.names g2879 g10778 g12433
+0- 1
+-0 1
+.names g12433 II22163
+0 1
+.names II22163 g15989
+0 1
+.names g12548 g15991
+0 1
+.names g12555 g15994
+0 1
+.names g12561 g15997
+0 1
+.names g12601 g16001
+0 1
+.names g12604 g16002
+0 1
+.names g12608 g16005
+0 1
+.names g12647 g16007
+0 1
+.names g12651 g16011
+0 1
+.names g12654 g16012
+0 1
+.names g12692 g16013
+0 1
+.names g12695 g16014
+0 1
+.names g12699 g16023
+0 1
+.names g12702 g16024
+0 1
+.names g12705 g16025
+0 1
+.names g12708 g16026
+0 1
+.names g12744 g16027
+0 1
+.names g12749 g16034
+0 1
+.names g12752 g16035
+0 1
+.names g12756 g16039
+0 1
+.names g12759 g16040
+0 1
+.names g12762 g16041
+0 1
+.names g12765 g16042
+0 1
+.names g12769 g16043
+0 1
+.names g12772 g16044
+0 1
+.names g12783 g16054
+0 1
+.names g12786 g16055
+0 1
+.names g12791 g16056
+0 1
+.names g12794 g16057
+0 1
+.names g12798 g16061
+0 1
+.names g12801 g16062
+0 1
+.names g12804 g16063
+0 1
+.names g12808 g16064
+0 1
+.names g12811 g16065
+0 1
+.names g9766 g9894 g10013 g11861
+000 1
+.names g11861 g16075
+0 1
+.names g12816 g16088
+0 1
+.names g12822 g16090
+0 1
+.names g12825 g16091
+0 1
+.names g12830 g16092
+0 1
+.names g12833 g16093
+0 1
+.names g12837 g16097
+0 1
+.names g12840 g16098
+0 1
+.names g12844 g16099
+0 1
+.names g9912 g10048 g10122 g11903
+000 1
+.names g11903 g16113
+0 1
+.names g12854 g16126
+0 1
+.names g12860 g16128
+0 1
+.names g12863 g16129
+0 1
+.names g12868 g16130
+0 1
+.names g12871 g16131
+0 1
+.names g13057 g16142
+0 1
+.names g10434 g10494 g10556 g12194
+000 1
+.names g12194 g16154
+0 1
+.names g10066 g10157 g10227 g11953
+000 1
+.names g11953 g16164
+0 1
+.names g12895 g16177
+0 1
+.names g12901 g16179
+0 1
+.names g12904 g16180
+0 1
+.names g13043 g16189
+0 1
+.names g13073 g16201
+0 1
+.names g10510 g10568 g10613 g12249
+000 1
+.names g12249 g16213
+0 1
+.names g10175 g10262 g10329 g12006
+000 1
+.names g12006 g16223
+0 1
+.names g12935 g16236
+0 1
+.names g13033 g16243
+0 1
+.names g13060 g16254
+0 1
+.names g13092 g16266
+0 1
+.names g10584 g10625 g10660 g12292
+000 1
+.names g12292 g16278
+0 1
+.names g12962 g16287
+0 1
+.names g13025 g16293
+0 1
+.names g520 II22382
+0 1
+.names g13046 g16302
+0 1
+.names g13076 g16313
+0 1
+.names g13107 g16325
+0 1
+.names g10641 g10672 g10690 g12328
+000 1
+.names g12328 g16337
+0 1
+.names g13036 g16351
+0 1
+.names g1206 II22414
+0 1
+.names g13063 g16360
+0 1
+.names g13095 g16371
+0 1
+.names g13049 g16395
+0 1
+.names g1900 II22444
+0 1
+.names g13079 g16404
+0 1
+.names g13066 g16433
+0 1
+.names g2594 II22475
+0 1
+.names g12017 g16466
+0 1
+.names g13598 II22503
+0 1
+.names g13624 II22506
+0 1
+.names g13610 II22509
+0 1
+.names g13635 II22512
+0 1
+.names g13620 II22515
+0 1
+.names g13647 II22518
+0 1
+.names g13632 II22521
+0 1
+.names g13673 II22524
+0 1
+.names g13469 II22527
+0 1
+.names g14774 II22530
+0 1
+.names g14795 II22533
+0 1
+.names g14829 II22536
+0 1
+.names g14882 II22539
+0 1
+.names g14954 II22542
+0 1
+.names g15018 II22545
+0 1
+.names g14718 II22548
+0 1
+.names g14745 II22551
+0 1
+.names g14765 II22554
+0 1
+.names g14775 II22557
+0 1
+.names g14796 II22560
+0 1
+.names g14830 II22563
+0 1
+.names g14883 II22566
+0 1
+.names g14955 II22569
+0 1
+.names g15019 II22572
+0 1
+.names g15092 II22575
+0 1
+.names g14746 II22578
+0 1
+.names g14766 II22581
+0 1
+.names g15989 II22584
+0 1
+.names g14684 II22587
+0 1
+.names g13863 II22590
+0 1
+.names g15876 II22593
+0 1
+.names g14158 g16501
+0 1
+.names g14966 II22599
+0 1
+.names II22599 g16506
+0 1
+.names g14186 g16507
+0 1
+.names g15080 II22604
+0 1
+.names II22604 g16514
+0 1
+.names g14244 g16515
+0 1
+.names g14273 g16523
+0 1
+.names g15055 II22611
+0 1
+.names II22611 g16528
+0 1
+.names g14301 g16529
+0 1
+.names g14630 II22618
+0 1
+.names II22618 g16540
+0 1
+.names g14347 g16543
+0 1
+.names g14366 g16546
+0 1
+.names g14395 g16554
+0 1
+.names g15151 II22626
+0 1
+.names II22626 g16559
+0 1
+.names g14423 g16560
+0 1
+.names g14650 II22640
+0 1
+.names II22640 g16572
+0 1
+.names g14459 g16575
+0 1
+.names g14478 g16578
+0 1
+.names g14507 g16586
+0 1
+.names g14677 II22651
+0 1
+.names II22651 g16596
+0 1
+.names g14546 g16599
+0 1
+.names g14565 g16602
+0 1
+.names g14657 II22657
+0 1
+.names II22657 g16608
+0 1
+.names g14711 II22663
+0 1
+.names II22663 g16616
+0 1
+.names g14601 g16619
+0 1
+.names g14642 II22667
+0 1
+.names II22667 g16622
+0 1
+.names g14691 II22671
+0 1
+.names II22671 g16626
+0 1
+.names g14630 II22676
+0 1
+.names II22676 g16633
+0 1
+.names g14669 II22679
+0 1
+.names II22679 g16636
+0 1
+.names g14725 II22683
+0 1
+.names II22683 g16640
+0 1
+.names g14650 II22687
+0 1
+.names II22687 g16644
+0 1
+.names g14703 II22690
+0 1
+.names II22690 g16647
+0 1
+.names g14753 II22694
+0 1
+.names II22694 g16651
+0 1
+.names g14677 II22699
+0 1
+.names II22699 g16656
+0 1
+.names g14737 II22702
+0 1
+.names II22702 g16659
+0 1
+.names g14776 g16665
+0 1
+.names g14711 II22715
+0 1
+.names II22715 g16673
+0 1
+.names g14657 II22718
+0 1
+.names II22718 g16676
+0 1
+.names g14797 g16682
+0 1
+.names g14811 g16686
+0 1
+.names g14642 II22726
+0 1
+.names II22726 g16694
+0 1
+.names g14837 g16697
+0 1
+.names g14691 II22730
+0 1
+.names II22730 g16702
+0 1
+.names g14849 g16708
+0 1
+.names g14863 g16712
+0 1
+.names g14630 II22737
+0 1
+.names II22737 g16719
+0 1
+.names g14895 g16722
+0 1
+.names g14669 II22741
+0 1
+.names II22741 g16725
+0 1
+.names g14910 g16728
+0 1
+.names g14725 II22745
+0 1
+.names II22745 g16733
+0 1
+.names g14922 g16739
+0 1
+.names g14936 g16743
+0 1
+.names g13332 g12354 g15782
+0- 1
+-0 1
+.names g15782 g16749
+0 1
+.names g14657 II22752
+0 1
+.names II22752 g16758
+0 1
+.names g14650 II22755
+0 1
+.names II22755 g16761
+0 1
+.names g14976 g16764
+0 1
+.names g14703 II22759
+0 1
+.names II22759 g16767
+0 1
+.names g14991 g16770
+0 1
+.names g14753 II22763
+0 1
+.names II22763 g16775
+0 1
+.names g15003 g16781
+0 1
+.names g14691 II22768
+0 1
+.names II22768 g16785
+0 1
+.names g14677 II22771
+0 1
+.names II22771 g16788
+0 1
+.names g15065 g16791
+0 1
+.names g14737 II22775
+0 1
+.names II22775 g16794
+0 1
+.names g15080 g16797
+0 1
+.names g13375 g12354 g15803
+0- 1
+-0 1
+.names g15803 g16804
+0 1
+.names g13332 g12392 g15842
+0- 1
+-0 1
+.names g15842 g16809
+0 1
+.names g13572 II22783
+0 1
+.names II22783 g16813
+0 1
+.names g14725 II22786
+0 1
+.names II22786 g16814
+0 1
+.names g14711 II22789
+0 1
+.names II22789 g16817
+0 1
+.names g15161 g16820
+0 1
+.names g13354 g12392 g15855
+0- 1
+-0 1
+.names g15855 g16825
+0 1
+.names g14165 II22797
+0 1
+.names II22797 g16830
+0 1
+.names g13581 II22800
+0 1
+.names II22800 g16831
+0 1
+.names g14753 II22803
+0 1
+.names II22803 g16832
+0 1
+.names g13024 g12354 g15818
+0- 1
+-0 1
+.names g15818 g16836
+0 1
+.names g13375 g12392 g15878
+0- 1
+-0 1
+.names g15878 g16840
+0 1
+.names g14280 II22810
+0 1
+.names II22810 g16842
+0 1
+.names g13601 II22813
+0 1
+.names II22813 g16843
+0 1
+.names g13404 g12392 g15903
+0- 1
+-0 1
+.names g15903 g16846
+0 1
+.names g14402 II22820
+0 1
+.names II22820 g16848
+0 1
+.names g13613 II22823
+0 1
+.names II22823 g16849
+0 1
+.names g14514 II22828
+0 1
+.names II22828 g16852
+0 1
+.names g13571 II22836
+0 1
+.names II22836 g16858
+0 1
+.names g13580 II22842
+0 1
+.names II22842 g16862
+0 1
+.names g13579 II22845
+0 1
+.names II22845 g16863
+0 1
+.names g13589 g16867
+0 1
+.names g13600 II22852
+0 1
+.names II22852 g16877
+0 1
+.names g13588 II22855
+0 1
+.names II22855 g16878
+0 1
+.names g14885 II22860
+0 1
+.names II22860 g16881
+0 1
+.names g13589 g16884
+0 1
+.names g13589 g16895
+0 1
+.names g13612 II22866
+0 1
+.names II22866 g16905
+0 1
+.names g13608 II22869
+0 1
+.names II22869 g16906
+0 1
+.names g14966 II22875
+0 1
+.names II22875 g16910
+0 1
+.names g13589 g16913
+0 1
+.names g13589 g16924
+0 1
+.names g13622 II22881
+0 1
+.names II22881 g16934
+0 1
+.names g15055 II22893
+0 1
+.names II22893 g16940
+0 1
+.names g13589 g16943
+0 1
+.names g13589 g16954
+0 1
+.names g15151 II22912
+0 1
+.names II22912 g16971
+0 1
+.names g13589 g16974
+0 1
+.names g14685 g17029
+0 1
+.names g13519 g17057
+0 1
+.names g14719 g17063
+0 1
+.names g13530 g17092
+0 1
+.names g14747 g17098
+0 1
+.names g13541 g17130
+0 1
+.names g14768 g17136
+0 1
+.names g13552 g17157
+0 1
+.names g13741 II23253
+0 1
+.names II23253 g17189
+0 1
+.names g13741 II23274
+0 1
+.names II23274 g17200
+0 1
+.names g13568 g17203
+0 1
+.names g13741 II23287
+0 1
+.names II23287 g17207
+0 1
+.names g13576 g17208
+0 1
+.names g13741 II23292
+0 1
+.names II23292 g17212
+0 1
+.names g13585 g17214
+0 1
+.names g13605 g17217
+0 1
+.names II22283 II22284 g16132
+0- 1
+-0 1
+.names g16132 II23309
+0 1
+.names II23309 g17227
+0 1
+.names g12565 g6232 g15720
+0- 1
+-0 1
+.names g15720 II23314
+0 1
+.names II23314 g17230
+0 1
+.names II22317 II22318 g16181
+0- 1
+-0 1
+.names g16181 II23317
+0 1
+.names II23317 g17233
+0 1
+.names g12565 g6314 g15664
+0- 1
+-0 1
+.names g15664 II23323
+0 1
+.names II23323 g17237
+0 1
+.names g12565 g6232 g15758
+0- 1
+-0 1
+.names g15758 II23326
+0 1
+.names II23326 g17240
+0 1
+.names g12611 g6369 g15760
+0- 1
+-0 1
+.names g15760 II23329
+0 1
+.names II23329 g17243
+0 1
+.names g12565 g3254 g16412
+0- 1
+-0 1
+.names g16412 II23335
+0 1
+.names II23335 g17249
+0 1
+.names g12565 g6314 g15721
+0- 1
+-0 1
+.names g15721 II23338
+0 1
+.names II23338 g17252
+0 1
+.names g12565 g6232 g15784
+0- 1
+-0 1
+.names g15784 II23341
+0 1
+.names II23341 g17255
+0 1
+.names g297 g11770 g16053
+11 1
+.names g16053 g17258
+0 1
+.names g12611 g6519 g15723
+0- 1
+-0 1
+.names g15723 II23345
+0 1
+.names II23345 g17259
+0 1
+.names g12611 g6369 g15786
+0- 1
+-0 1
+.names g15786 II23348
+0 1
+.names II23348 g17262
+0 1
+.names g12657 g6574 g15788
+0- 1
+-0 1
+.names g15788 II23351
+0 1
+.names II23351 g17265
+0 1
+.names g12565 g3254 g16442
+0- 1
+-0 1
+.names g16442 II23358
+0 1
+.names II23358 g17272
+0 1
+.names g12565 g6314 g15759
+0- 1
+-0 1
+.names g15759 II23361
+0 1
+.names II23361 g17275
+0 1
+.names g12565 g6232 g15805
+0- 1
+-0 1
+.names g15805 II23364
+0 1
+.names II23364 g17278
+0 1
+.names g3304 g11783 g16081
+11 1
+.names g16081 g17281
+0 1
+.names g12611 g3410 g16446
+0- 1
+-0 1
+.names g16446 II23368
+0 1
+.names II23368 g17282
+0 1
+.names g12611 g6519 g15761
+0- 1
+-0 1
+.names g15761 II23371
+0 1
+.names II23371 g17285
+0 1
+.names g12611 g6369 g15807
+0- 1
+-0 1
+.names g15807 II23374
+0 1
+.names II23374 g17288
+0 1
+.names g12657 g6783 g15763
+0- 1
+-0 1
+.names g15763 II23377
+0 1
+.names II23377 g17291
+0 1
+.names g12657 g6574 g15809
+0- 1
+-0 1
+.names g15809 II23380
+0 1
+.names II23380 g17294
+0 1
+.names g12711 g6838 g15811
+0- 1
+-0 1
+.names g15811 II23383
+0 1
+.names II23383 g17297
+0 1
+.names g13469 II23386
+0 1
+.names II23386 g17300
+0 1
+.names g12565 g3254 g13476
+0- 1
+-0 1
+.names g13476 II23392
+0 1
+.names II23392 g17304
+0 1
+.names g12565 g6314 g15785
+0- 1
+-0 1
+.names g15785 II23395
+0 1
+.names II23395 g17307
+0 1
+.names g12565 g6232 g15820
+0- 1
+-0 1
+.names g15820 II23398
+0 1
+.names II23398 g17310
+0 1
+.names g8277 g11803 g16109
+11 1
+.names g16109 g17313
+0 1
+.names g516 g11804 g16110
+11 1
+.names g16110 g17314
+0 1
+.names g12611 g3410 g13478
+0- 1
+-0 1
+.names g13478 II23403
+0 1
+.names II23403 g17315
+0 1
+.names g12611 g6519 g15787
+0- 1
+-0 1
+.names g15787 II23406
+0 1
+.names II23406 g17318
+0 1
+.names g12611 g6369 g15822
+0- 1
+-0 1
+.names g15822 II23409
+0 1
+.names II23409 g17321
+0 1
+.names g12657 g3566 g13482
+0- 1
+-0 1
+.names g13482 II23412
+0 1
+.names II23412 g17324
+0 1
+.names g12657 g6783 g15789
+0- 1
+-0 1
+.names g15789 II23415
+0 1
+.names II23415 g17327
+0 1
+.names g12657 g6574 g15824
+0- 1
+-0 1
+.names g15824 II23418
+0 1
+.names II23418 g17330
+0 1
+.names g12711 g7085 g15791
+0- 1
+-0 1
+.names g15791 II23421
+0 1
+.names II23421 g17333
+0 1
+.names g12711 g6838 g15826
+0- 1
+-0 1
+.names g15826 II23424
+0 1
+.names II23424 g17336
+0 1
+.names g12565 g3254 g13494
+0- 1
+-0 1
+.names g13494 II23430
+0 1
+.names II23430 g17342
+0 1
+.names g12565 g6314 g15806
+0- 1
+-0 1
+.names g15806 II23433
+0 1
+.names II23433 g17345
+0 1
+.names g12565 g6232 g15832
+0- 1
+-0 1
+.names g15832 II23436
+0 1
+.names II23436 g17348
+0 1
+.names g517 g11829 g16152
+11 1
+.names g16152 g17351
+0 1
+.names g12611 g3410 g13495
+0- 1
+-0 1
+.names g13495 II23442
+0 1
+.names II23442 g17354
+0 1
+.names g12611 g6519 g15808
+0- 1
+-0 1
+.names g15808 II23445
+0 1
+.names II23445 g17357
+0 1
+.names g12611 g6369 g15834
+0- 1
+-0 1
+.names g15834 II23448
+0 1
+.names II23448 g17360
+0 1
+.names g12657 g3566 g13497
+0- 1
+-0 1
+.names g13497 II23451
+0 1
+.names II23451 g17363
+0 1
+.names g12657 g6783 g15810
+0- 1
+-0 1
+.names g15810 II23454
+0 1
+.names II23454 g17366
+0 1
+.names g12657 g6574 g15836
+0- 1
+-0 1
+.names g15836 II23457
+0 1
+.names II23457 g17369
+0 1
+.names g12711 g3722 g13501
+0- 1
+-0 1
+.names g13501 II23460
+0 1
+.names II23460 g17372
+0 1
+.names g12711 g7085 g15812
+0- 1
+-0 1
+.names g15812 II23463
+0 1
+.names II23463 g17375
+0 1
+.names g12711 g6838 g15838
+0- 1
+-0 1
+.names g15838 II23466
+0 1
+.names II23466 g17378
+0 1
+.names g12565 g3254 g13510
+0- 1
+-0 1
+.names g13510 II23472
+0 1
+.names II23472 g17384
+0 1
+.names g12565 g6314 g15821
+0- 1
+-0 1
+.names g15821 II23475
+0 1
+.names II23475 g17387
+0 1
+.names g12565 g6232 g15844
+0- 1
+-0 1
+.names g15844 II23478
+0 1
+.names II23478 g17390
+0 1
+.names g518 g11862 g16197
+11 1
+.names g16197 g17394
+0 1
+.names g12611 g3410 g13511
+0- 1
+-0 1
+.names g13511 II23487
+0 1
+.names II23487 g17399
+0 1
+.names g12611 g6519 g15823
+0- 1
+-0 1
+.names g15823 II23490
+0 1
+.names II23490 g17402
+0 1
+.names g12611 g6369 g15846
+0- 1
+-0 1
+.names g15846 II23493
+0 1
+.names II23493 g17405
+0 1
+.names g12657 g3566 g13512
+0- 1
+-0 1
+.names g13512 II23498
+0 1
+.names II23498 g17410
+0 1
+.names g12657 g6783 g15825
+0- 1
+-0 1
+.names g15825 II23501
+0 1
+.names II23501 g17413
+0 1
+.names g12657 g6574 g15848
+0- 1
+-0 1
+.names g15848 II23504
+0 1
+.names II23504 g17416
+0 1
+.names g12711 g3722 g13514
+0- 1
+-0 1
+.names g13514 II23507
+0 1
+.names II23507 g17419
+0 1
+.names g12711 g7085 g15827
+0- 1
+-0 1
+.names g15827 II23510
+0 1
+.names II23510 g17422
+0 1
+.names g12711 g6838 g15850
+0- 1
+-0 1
+.names g15850 II23513
+0 1
+.names II23513 g17425
+0 1
+.names g12565 g6232 g15856
+0- 1
+-0 1
+.names g15856 II23518
+0 1
+.names II23518 g17430
+0 1
+.names g12565 g3254 g13518
+0- 1
+-0 1
+.names g13518 II23521
+0 1
+.names II23521 g17433
+0 1
+.names g12565 g6314 g15833
+0- 1
+-0 1
+.names g15833 II23524
+0 1
+.names II23524 g17436
+0 1
+.names g12565 g6232 g15858
+0- 1
+-0 1
+.names g15858 II23527
+0 1
+.names II23527 g17439
+0 1
+.names g14885 II23530
+0 1
+.names II23530 g17442
+0 1
+.names g519 g11895 g16250
+11 1
+.names g16250 g17445
+0 1
+.names g12611 g3410 g13524
+0- 1
+-0 1
+.names g13524 II23539
+0 1
+.names II23539 g17451
+0 1
+.names g12611 g6519 g15835
+0- 1
+-0 1
+.names g15835 II23542
+0 1
+.names II23542 g17454
+0 1
+.names g12611 g6369 g15867
+0- 1
+-0 1
+.names g15867 II23545
+0 1
+.names II23545 g17457
+0 1
+.names g12657 g3566 g13525
+0- 1
+-0 1
+.names g13525 II23553
+0 1
+.names II23553 g17465
+0 1
+.names g12657 g6783 g15837
+0- 1
+-0 1
+.names g15837 II23556
+0 1
+.names II23556 g17468
+0 1
+.names g12657 g6574 g15869
+0- 1
+-0 1
+.names g15869 II23559
+0 1
+.names II23559 g17471
+0 1
+.names g12711 g3722 g13526
+0- 1
+-0 1
+.names g13526 II23564
+0 1
+.names II23564 g17476
+0 1
+.names g12711 g7085 g15839
+0- 1
+-0 1
+.names g15839 II23567
+0 1
+.names II23567 g17479
+0 1
+.names g12711 g6838 g15871
+0- 1
+-0 1
+.names g15871 II23570
+0 1
+.names II23570 g17482
+0 1
+.names g12565 g6314 g15843
+0- 1
+-0 1
+.names g15843 II23575
+0 1
+.names II23575 g17487
+0 1
+.names g12565 g6232 g15879
+0- 1
+-0 1
+.names g15879 II23578
+0 1
+.names II23578 g17490
+0 1
+.names g12565 g3254 g13528
+0- 1
+-0 1
+.names g13528 II23581
+0 1
+.names II23581 g17493
+0 1
+.names g12565 g6314 g15845
+0- 1
+-0 1
+.names g15845 II23584
+0 1
+.names II23584 g17496
+0 1
+.names g294 g11932 g16292
+11 1
+.names g16292 g17499
+0 1
+.names g14885 II23588
+0 1
+.names II23588 g17500
+0 1
+.names g14885 II23591
+0 1
+.names II23591 g17503
+0 1
+.names g12611 g6369 g15887
+0- 1
+-0 1
+.names g15887 II23599
+0 1
+.names II23599 g17511
+0 1
+.names g12611 g3410 g13529
+0- 1
+-0 1
+.names g13529 II23602
+0 1
+.names II23602 g17514
+0 1
+.names g12611 g6519 g15847
+0- 1
+-0 1
+.names g15847 II23605
+0 1
+.names II23605 g17517
+0 1
+.names g12611 g6369 g15889
+0- 1
+-0 1
+.names g15889 II23608
+0 1
+.names II23608 g17520
+0 1
+.names g14966 II23611
+0 1
+.names II23611 g17523
+0 1
+.names g12657 g3566 g13535
+0- 1
+-0 1
+.names g13535 II23619
+0 1
+.names II23619 g17531
+0 1
+.names g12657 g6783 g15849
+0- 1
+-0 1
+.names g15849 II23622
+0 1
+.names II23622 g17534
+0 1
+.names g12657 g6574 g15898
+0- 1
+-0 1
+.names g15898 II23625
+0 1
+.names II23625 g17537
+0 1
+.names g12711 g3722 g13536
+0- 1
+-0 1
+.names g13536 II23633
+0 1
+.names II23633 g17545
+0 1
+.names g12711 g7085 g15851
+0- 1
+-0 1
+.names g15851 II23636
+0 1
+.names II23636 g17548
+0 1
+.names g12711 g6838 g15900
+0- 1
+-0 1
+.names g15900 II23639
+0 1
+.names II23639 g17551
+0 1
+.names g12565 g3254 g13537
+0- 1
+-0 1
+.names g13537 II23645
+0 1
+.names II23645 g17557
+0 1
+.names g12565 g6314 g15857
+0- 1
+-0 1
+.names g15857 II23648
+0 1
+.names II23648 g17560
+0 1
+.names g12565 g3254 g13538
+0- 1
+-0 1
+.names g13538 II23651
+0 1
+.names II23651 g17563
+0 1
+.names g295 g11972 g16346
+11 1
+.names g16346 g17566
+0 1
+.names g14831 II23655
+0 1
+.names II23655 g17567
+0 1
+.names g14885 II23658
+0 1
+.names II23658 g17570
+0 1
+.names g12883 g633 g16085
+0- 1
+-0 1
+.names g16085 II23661
+0 1
+.names II23661 g17573
+0 1
+.names g12611 g6519 g15866
+0- 1
+-0 1
+.names g15866 II23667
+0 1
+.names II23667 g17579
+0 1
+.names g12611 g6369 g15912
+0- 1
+-0 1
+.names g15912 II23670
+0 1
+.names II23670 g17582
+0 1
+.names g12611 g3410 g13539
+0- 1
+-0 1
+.names g13539 II23673
+0 1
+.names II23673 g17585
+0 1
+.names g12611 g6519 g15868
+0- 1
+-0 1
+.names g15868 II23676
+0 1
+.names II23676 g17588
+0 1
+.names g14966 II23679
+0 1
+.names II23679 g17591
+0 1
+.names g14966 II23682
+0 1
+.names II23682 g17594
+0 1
+.names g12657 g6574 g15920
+0- 1
+-0 1
+.names g15920 II23689
+0 1
+.names II23689 g17601
+0 1
+.names g12657 g3566 g13540
+0- 1
+-0 1
+.names g13540 II23692
+0 1
+.names II23692 g17604
+0 1
+.names g12657 g6783 g15870
+0- 1
+-0 1
+.names g15870 II23695
+0 1
+.names II23695 g17607
+0 1
+.names g12657 g6574 g15922
+0- 1
+-0 1
+.names g15922 II23698
+0 1
+.names II23698 g17610
+0 1
+.names g15055 II23701
+0 1
+.names II23701 g17613
+0 1
+.names g12711 g3722 g13546
+0- 1
+-0 1
+.names g13546 II23709
+0 1
+.names II23709 g17621
+0 1
+.names g12711 g7085 g15872
+0- 1
+-0 1
+.names g15872 II23712
+0 1
+.names II23712 g17624
+0 1
+.names g12711 g6838 g15931
+0- 1
+-0 1
+.names g15931 II23715
+0 1
+.names II23715 g17627
+0 1
+.names g12565 g3254 g13547
+0- 1
+-0 1
+.names g13547 II23725
+0 1
+.names II23725 g17637
+0 1
+.names g13873 g17640
+0 1
+.names g14337 II23729
+0 1
+.names II23729 g17645
+0 1
+.names g296 g12024 g16384
+11 1
+.names g16384 g17648
+0 1
+.names g14831 II23733
+0 1
+.names II23733 g17649
+0 1
+.names g12611 g3410 g13548
+0- 1
+-0 1
+.names g13548 II23739
+0 1
+.names II23739 g17655
+0 1
+.names g12611 g6519 g15888
+0- 1
+-0 1
+.names g15888 II23742
+0 1
+.names II23742 g17658
+0 1
+.names g12611 g3410 g13549
+0- 1
+-0 1
+.names g13549 II23745
+0 1
+.names II23745 g17661
+0 1
+.names g14904 II23748
+0 1
+.names II23748 g17664
+0 1
+.names g14966 II23751
+0 1
+.names II23751 g17667
+0 1
+.names g12923 g1319 g16123
+0- 1
+-0 1
+.names g16123 II23754
+0 1
+.names II23754 g17670
+0 1
+.names g12657 g6783 g15897
+0- 1
+-0 1
+.names g15897 II23760
+0 1
+.names II23760 g17676
+0 1
+.names g12657 g6574 g15941
+0- 1
+-0 1
+.names g15941 II23763
+0 1
+.names II23763 g17679
+0 1
+.names g12657 g3566 g13550
+0- 1
+-0 1
+.names g13550 II23766
+0 1
+.names II23766 g17682
+0 1
+.names g12657 g6783 g15899
+0- 1
+-0 1
+.names g15899 II23769
+0 1
+.names II23769 g17685
+0 1
+.names g15055 II23772
+0 1
+.names II23772 g17688
+0 1
+.names g15055 II23775
+0 1
+.names II23775 g17691
+0 1
+.names g12711 g6838 g15949
+0- 1
+-0 1
+.names g15949 II23782
+0 1
+.names II23782 g17698
+0 1
+.names g12711 g3722 g13551
+0- 1
+-0 1
+.names g13551 II23785
+0 1
+.names II23785 g17701
+0 1
+.names g12711 g7085 g15901
+0- 1
+-0 1
+.names g15901 II23788
+0 1
+.names II23788 g17704
+0 1
+.names g12711 g6838 g15951
+0- 1
+-0 1
+.names g15951 II23791
+0 1
+.names II23791 g17707
+0 1
+.names g15151 II23794
+0 1
+.names II23794 g17710
+0 1
+.names g13310 g12354 g15853
+0- 1
+-0 1
+.names g15853 g17720
+0 1
+.names g13886 g17724
+0 1
+.names g12611 g3410 g13557
+0- 1
+-0 1
+.names g13557 II23817
+0 1
+.names II23817 g17738
+0 1
+.names g13895 g17741
+0 1
+.names g14337 II23821
+0 1
+.names II23821 g17746
+0 1
+.names g14904 II23824
+0 1
+.names II23824 g17749
+0 1
+.names g12657 g3566 g13558
+0- 1
+-0 1
+.names g13558 II23830
+0 1
+.names II23830 g17755
+0 1
+.names g12657 g6783 g15921
+0- 1
+-0 1
+.names g15921 II23833
+0 1
+.names II23833 g17758
+0 1
+.names g12657 g3566 g13559
+0- 1
+-0 1
+.names g13559 II23836
+0 1
+.names II23836 g17761
+0 1
+.names g14985 II23839
+0 1
+.names II23839 g17764
+0 1
+.names g15055 II23842
+0 1
+.names II23842 g17767
+0 1
+.names g12952 g2013 g16174
+0- 1
+-0 1
+.names g16174 II23845
+0 1
+.names II23845 g17770
+0 1
+.names g12711 g7085 g15930
+0- 1
+-0 1
+.names g15930 II23851
+0 1
+.names II23851 g17776
+0 1
+.names g12711 g6838 g15970
+0- 1
+-0 1
+.names g15970 II23854
+0 1
+.names II23854 g17779
+0 1
+.names g12711 g3722 g13560
+0- 1
+-0 1
+.names g13560 II23857
+0 1
+.names II23857 g17782
+0 1
+.names g12711 g7085 g15932
+0- 1
+-0 1
+.names g15932 II23860
+0 1
+.names II23860 g17785
+0 1
+.names g15151 II23863
+0 1
+.names II23863 g17788
+0 1
+.names g15151 II23866
+0 1
+.names II23866 g17791
+0 1
+.names g13305 g7143 g15797
+00 1
+.names g15797 II23874
+0 1
+.names II23874 g17799
+0 1
+.names g13907 g17802
+0 1
+.names g14685 II23888
+0 1
+.names II23888 g17815
+0 1
+.names g13927 g17825
+0 1
+.names g12657 g3566 g13561
+0- 1
+-0 1
+.names g13561 II23904
+0 1
+.names II23904 g17839
+0 1
+.names g13936 g17842
+0 1
+.names g14337 II23908
+0 1
+.names II23908 g17847
+0 1
+.names g14985 II23911
+0 1
+.names II23911 g17850
+0 1
+.names g12711 g3722 g13562
+0- 1
+-0 1
+.names g13562 II23917
+0 1
+.names II23917 g17856
+0 1
+.names g12711 g7085 g15950
+0- 1
+-0 1
+.names g15950 II23920
+0 1
+.names II23920 g17859
+0 1
+.names g12711 g3722 g13563
+0- 1
+-0 1
+.names g13563 II23923
+0 1
+.names II23923 g17862
+0 1
+.names g15074 II23926
+0 1
+.names II23926 g17865
+0 1
+.names g15151 II23929
+0 1
+.names II23929 g17868
+0 1
+.names g12981 g2707 g16233
+0- 1
+-0 1
+.names g16233 II23932
+0 1
+.names II23932 g17871
+0 1
+.names g13310 g12392 g15830
+0- 1
+-0 1
+.names g15830 g17878
+0 1
+.names g13946 g17882
+0 1
+.names g13954 g17892
+0 1
+.names g14165 g17893
+0 1
+.names g16154 II23954
+0 1
+.names II23954 g17903
+0 1
+.names g13963 g17914
+0 1
+.names g14719 II23976
+0 1
+.names II23976 g17927
+0 1
+.names g13983 g17937
+0 1
+.names g12711 g3722 g13564
+0- 1
+-0 1
+.names g13564 II23992
+0 1
+.names II23992 g17951
+0 1
+.names g13992 g17954
+0 1
+.names g14337 II23996
+0 1
+.names II23996 g17959
+0 1
+.names g15074 II23999
+0 1
+.names II23999 g17962
+0 1
+.names g13331 g12392 g15841
+0- 1
+-0 1
+.names g15841 g17969
+0 1
+.names g14001 g17974
+0 1
+.names g14008 g17984
+0 1
+.names g14685 g17988
+0 1
+.names g14450 g17991
+0 1
+.names g14016 g17993
+0 1
+.names g14024 g18003
+0 1
+.names g14280 g18004
+0 1
+.names g16213 II24049
+0 1
+.names II24049 g18014
+0 1
+.names g14033 g18025
+0 1
+.names g14747 II24071
+0 1
+.names II24071 g18038
+0 1
+.names g14053 g18048
+0 1
+.names g13401 g12354 g15660
+0- 1
+-0 1
+.names g15660 g18063
+0 1
+.names g13353 g12392 g15854
+0- 1
+-0 1
+.names g15854 g18070
+0 1
+.names g14062 g18074
+0 1
+.names g14068 g18084
+0 1
+.names g14355 g18089
+0 1
+.names g14092 g18091
+0 1
+.names g14099 g18101
+0 1
+.names g14719 g18105
+0 1
+.names g14537 g18108
+0 1
+.names g14107 g18110
+0 1
+.names g14115 g18120
+0 1
+.names g14402 g18121
+0 1
+.names g16278 II24144
+0 1
+.names II24144 g18131
+0 1
+.names g14124 g18142
+0 1
+.names g14768 II24166
+0 1
+.names II24166 g18155
+0 1
+.names g13082 g2912 g16439
+0- 1
+-0 1
+.names g16439 II24171
+0 1
+.names II24171 g18166
+0 1
+.names g13374 g12392 g15877
+0- 1
+-0 1
+.names g15877 g18170
+0 1
+.names g14148 g18174
+0 1
+.names g14153 g18179
+0 1
+.names g14252 g18188
+0 1
+.names g14177 g18190
+0 1
+.names g14183 g18200
+0 1
+.names g14467 g18205
+0 1
+.names g14207 g18207
+0 1
+.names g14214 g18217
+0 1
+.names g14747 g18221
+0 1
+.names g14592 g18224
+0 1
+.names g14222 g18226
+0 1
+.names g14230 g18236
+0 1
+.names g14514 g18237
+0 1
+.names g16337 II24247
+0 1
+.names II24247 g18247
+0 1
+.names g13004 g3018 g16463
+0- 1
+-0 1
+.names g16463 II24258
+0 1
+.names II24258 g18258
+0 1
+.names g13401 g12392 g15719
+0- 1
+-0 1
+.names g15719 g18261
+0 1
+.names g14238 g18265
+0 1
+.names g14171 g18275
+0 1
+.names g12886 g6678 g15992
+0- 1
+-0 1
+.names g15992 II24285
+0 1
+.names II24285 g18278
+0 1
+.names g14263 g18281
+0 1
+.names g14268 g18286
+0 1
+.names g14374 g18295
+0 1
+.names g14292 g18297
+0 1
+.names g14298 g18307
+0 1
+.names g14554 g18312
+0 1
+.names g14322 g18314
+0 1
+.names g14329 g18324
+0 1
+.names g14768 g18328
+0 1
+.names g14626 g18331
+0 1
+.names g11617 g7562 g15873
+00 1
+.names g15873 II24346
+0 1
+.names II24346 g18334
+0 1
+.names g11622 g12392 g15757
+0- 1
+-0 1
+.names g15757 g18337
+0 1
+.names g14342 g18341
+0 1
+.names g13741 g18351
+0 1
+.names g13918 g18353
+0 1
+.names g12886 g6912 g15990
+0- 1
+-0 1
+.names g15990 II24368
+0 1
+.names II24368 g18355
+0 1
+.names g14360 g18358
+0 1
+.names g14286 g18368
+0 1
+.names g12926 g6980 g15995
+0- 1
+-0 1
+.names g15995 II24394
+0 1
+.names II24394 g18371
+0 1
+.names g14385 g18374
+0 1
+.names g14390 g18379
+0 1
+.names g14486 g18388
+0 1
+.names g14414 g18390
+0 1
+.names g14420 g18400
+0 1
+.names g14609 g18405
+0 1
+.names g2814 g13082 g15959
+00 1
+.names g15959 g18407
+0 1
+.names g13286 g12354 g15718
+0- 1
+-0 1
+.names g15718 g18414
+0 1
+.names g11643 g12392 g15783
+0- 1
+-0 1
+.names g15783 g18415
+0 1
+.names g14831 g18429
+0 1
+.names g12886 g3366 g13599
+0- 1
+-0 1
+.names g13599 II24459
+0 1
+.names II24459 g18432
+0 1
+.names g14359 g18435
+0 1
+.names g14454 g18436
+0 1
+.names g13741 g18446
+0 1
+.names g13974 g18448
+0 1
+.names g12926 g7162 g15993
+0- 1
+-0 1
+.names g15993 II24481
+0 1
+.names II24481 g18450
+0 1
+.names g14472 g18453
+0 1
+.names g14408 g18463
+0 1
+.names g12955 g7230 g15999
+0- 1
+-0 1
+.names g15999 II24507
+0 1
+.names II24507 g18466
+0 1
+.names g14497 g18469
+0 1
+.names g14502 g18474
+0 1
+.names g14573 g18483
+0 1
+.names g13313 g12354 g15756
+0- 1
+-0 1
+.names g15756 g18485
+0 1
+.names g11660 g12392 g15804
+0- 1
+-0 1
+.names g15804 g18486
+0 1
+.names g13565 g18490
+0 1
+.names g14904 g18502
+0 1
+.names g12926 g3522 g13611
+0- 1
+-0 1
+.names g13611 II24560
+0 1
+.names II24560 g18505
+0 1
+.names g14471 g18508
+0 1
+.names g14541 g18509
+0 1
+.names g13741 g18519
+0 1
+.names g14044 g18521
+0 1
+.names g12955 g7358 g15996
+0- 1
+-0 1
+.names g15996 II24582
+0 1
+.names II24582 g18523
+0 1
+.names g14559 g18526
+0 1
+.names g14520 g18536
+0 1
+.names g12984 g7426 g16006
+0- 1
+-0 1
+.names g16006 II24608
+0 1
+.names II24608 g18539
+0 1
+.names g13286 g12392 g15819
+0- 1
+-0 1
+.names g15819 g18543
+0 1
+.names g16154 g18552
+0 1
+.names g13573 g18554
+0 1
+.names g14985 g18566
+0 1
+.names g12955 g3678 g13621
+0- 1
+-0 1
+.names g13621 II24662
+0 1
+.names II24662 g18569
+0 1
+.names g14558 g18572
+0 1
+.names g14596 g18573
+0 1
+.names g13741 g18583
+0 1
+.names g14135 g18585
+0 1
+.names g12984 g7488 g16000
+0- 1
+-0 1
+.names g16000 II24684
+0 1
+.names II24684 g18587
+0 1
+.names g13313 g12392 g15831
+0- 1
+-0 1
+.names g15831 g18593
+0 1
+.names g16213 g18602
+0 1
+.names g13582 g18604
+0 1
+.names g15074 g18616
+0 1
+.names g12984 g3834 g13633
+0- 1
+-0 1
+.names g13633 II24732
+0 1
+.names II24732 g18619
+0 1
+.names g14613 g18622
+0 1
+.names g16278 g18634
+0 1
+.names g13602 g18636
+0 1
+.names g16337 g18643
+0 1
+.names g12377 g12407 g16341
+0- 1
+-0 1
+.names g16341 g18646
+0 1
+.names g14776 g18656
+0 1
+.names g14797 g18670
+0 1
+.names g14811 g18679
+0 1
+.names g14885 g18691
+0 1
+.names g14837 g18692
+0 1
+.names g14849 g18699
+0 1
+.names g14863 g18708
+0 1
+.names g14895 g18720
+0 1
+.names g548 g12748 g13865
+11 1
+.names g13865 g18725
+0 1
+.names g14966 g18727
+0 1
+.names g14910 g18728
+0 1
+.names g14922 g18735
+0 1
+.names g14936 g18744
+0 1
+.names g14960 g18756
+0 1
+.names g14963 g18757
+0 1
+.names g14976 g18758
+0 1
+.names g15055 g18764
+0 1
+.names g14991 g18765
+0 1
+.names g15003 g18772
+0 1
+.names g15034 g18783
+0 1
+.names g15037 g18784
+0 1
+.names g15040 g18785
+0 1
+.names g15043 g18786
+0 1
+.names g15049 g18787
+0 1
+.names g15052 g18788
+0 1
+.names g15065 g18789
+0 1
+.names g15151 g18795
+0 1
+.names g15080 g18796
+0 1
+.names g15106 g18805
+0 1
+.names g15109 g18806
+0 1
+.names g15112 g18807
+0 1
+.names g15115 g18808
+0 1
+.names g15130 g18809
+0 1
+.names g15133 g18810
+0 1
+.names g15136 g18811
+0 1
+.names g15139 g18812
+0 1
+.names g15145 g18813
+0 1
+.names g15148 g18814
+0 1
+.names g15161 g18815
+0 1
+.names g15179 g18822
+0 1
+.names g15182 g18823
+0 1
+.names g15185 g18824
+0 1
+.names g15198 g18825
+0 1
+.names g15201 g18826
+0 1
+.names g15204 g18827
+0 1
+.names g15207 g18828
+0 1
+.names g15222 g18829
+0 1
+.names g15225 g18830
+0 1
+.names g15228 g18831
+0 1
+.names g15231 g18832
+0 1
+.names g15237 g18833
+0 1
+.names g15240 g18834
+0 1
+.names g15248 g18838
+0 1
+.names g15251 g18839
+0 1
+.names g15254 g18840
+0 1
+.names g15265 g18841
+0 1
+.names g15268 g18842
+0 1
+.names g15271 g18843
+0 1
+.names g15284 g18844
+0 1
+.names g15287 g18845
+0 1
+.names g15290 g18846
+0 1
+.names g15293 g18847
+0 1
+.names g15308 g18848
+0 1
+.names g15311 g18849
+0 1
+.names g15314 g18850
+0 1
+.names g15317 g18851
+0 1
+.names g15326 g18853
+0 1
+.names g15329 g18854
+0 1
+.names g15332 g18855
+0 1
+.names g15340 g18856
+0 1
+.names g15343 g18857
+0 1
+.names g15346 g18858
+0 1
+.names g15357 g18859
+0 1
+.names g15360 g18860
+0 1
+.names g15363 g18861
+0 1
+.names g15376 g18862
+0 1
+.names g15379 g18863
+0 1
+.names g15382 g18864
+0 1
+.names g15385 g18865
+0 1
+.names g14797 II24894
+0 1
+.names II24894 g18869
+0 1
+.names g15393 g18870
+0 1
+.names g15396 g18871
+0 1
+.names g15399 g18872
+0 1
+.names g15404 g18873
+0 1
+.names g15412 g18874
+0 1
+.names g15415 g18875
+0 1
+.names g15418 g18876
+0 1
+.names g15426 g18877
+0 1
+.names g15429 g18878
+0 1
+.names g15432 g18879
+0 1
+.names g15443 g18880
+0 1
+.names g15446 g18881
+0 1
+.names g15449 g18882
+0 1
+.names g13469 g18884
+0 1
+.names g15800 II24913
+0 1
+.names II24913 g18886
+0 1
+.names g14776 II24916
+0 1
+.names II24916 g18890
+0 1
+.names g15461 g18891
+0 1
+.names g15464 g18892
+0 1
+.names g15467 g18893
+0 1
+.names g15471 g18894
+0 1
+.names g14849 II24923
+0 1
+.names II24923 g18895
+0 1
+.names g15477 g18896
+0 1
+.names g15480 g18897
+0 1
+.names g15483 g18898
+0 1
+.names g15488 g18899
+0 1
+.names g15496 g18900
+0 1
+.names g15499 g18901
+0 1
+.names g15502 g18902
+0 1
+.names g15510 g18903
+0 1
+.names g15513 g18904
+0 1
+.names g15516 g18905
+0 1
+.names g15521 g18908
+0 1
+.names g15528 g18909
+0 1
+.names g15531 g18910
+0 1
+.names g15534 g18911
+0 1
+.names g15537 g18912
+0 1
+.names g14811 II24943
+0 1
+.names II24943 g18913
+0 1
+.names g15547 g18914
+0 1
+.names g15550 g18915
+0 1
+.names g15553 g18916
+0 1
+.names g15557 g18917
+0 1
+.names g14922 II24950
+0 1
+.names II24950 g18918
+0 1
+.names g15563 g18919
+0 1
+.names g15566 g18920
+0 1
+.names g15569 g18921
+0 1
+.names g15574 g18922
+0 1
+.names g15582 g18923
+0 1
+.names g15585 g18924
+0 1
+.names g15588 g18925
+0 1
+.names g15596 g18926
+0 1
+.names g15599 g18927
+0 1
+.names g15606 g18928
+0 1
+.names g15609 g18929
+0 1
+.names g15612 g18930
+0 1
+.names g15615 g18931
+0 1
+.names g14863 II24966
+0 1
+.names II24966 g18932
+0 1
+.names g15625 g18933
+0 1
+.names g15628 g18934
+0 1
+.names g15631 g18935
+0 1
+.names g15635 g18936
+0 1
+.names g15003 II24973
+0 1
+.names II24973 g18937
+0 1
+.names g15641 g18938
+0 1
+.names g15644 g18939
+0 1
+.names g15647 g18940
+0 1
+.names g15652 g18941
+0 1
+.names g15655 g18943
+0 1
+.names g14347 II24982
+0 1
+.names II24982 g18944
+0 1
+.names g15667 g18945
+0 1
+.names g15672 g18946
+0 1
+.names g15675 g18947
+0 1
+.names g15682 g18948
+0 1
+.names g15685 g18949
+0 1
+.names g15688 g18950
+0 1
+.names g15691 g18951
+0 1
+.names g14936 II24992
+0 1
+.names II24992 g18952
+0 1
+.names g15701 g18953
+0 1
+.names g15704 g18954
+0 1
+.names g15707 g18955
+0 1
+.names g15711 g18956
+0 1
+.names g15714 g18958
+0 1
+.names g14244 II25001
+0 1
+.names II25001 g18959
+0 1
+.names g14459 II25004
+0 1
+.names II25004 g18960
+0 1
+.names g15726 g18961
+0 1
+.names g15731 g18962
+0 1
+.names g15734 g18963
+0 1
+.names g15741 g18964
+0 1
+.names g15744 g18965
+0 1
+.names g15747 g18966
+0 1
+.names g15750 g18967
+0 1
+.names g14158 II25015
+0 1
+.names II25015 g18969
+0 1
+.names g14366 II25018
+0 1
+.names II25018 g18970
+0 1
+.names g14546 II25021
+0 1
+.names II25021 g18971
+0 1
+.names g15766 g18972
+0 1
+.names g15771 g18973
+0 1
+.names g15774 g18974
+0 1
+.names g15777 g18976
+0 1
+.names g14071 II25037
+0 1
+.names II25037 g18981
+0 1
+.names g14895 II25041
+0 1
+.names II25041 g18983
+0 1
+.names g14273 II25044
+0 1
+.names II25044 g18984
+0 1
+.names g14478 II25047
+0 1
+.names II25047 g18985
+0 1
+.names g14601 II25050
+0 1
+.names II25050 g18986
+0 1
+.names g15794 g18987
+0 1
+.names g14837 II25054
+0 1
+.names II25054 g18988
+0 1
+.names g14186 II25057
+0 1
+.names II25057 g18989
+0 1
+.names g14976 II25061
+0 1
+.names II25061 g18991
+0 1
+.names g14395 II25064
+0 1
+.names II25064 g18992
+0 1
+.names g14565 II25067
+0 1
+.names II25067 g18993
+0 1
+.names g14910 II25071
+0 1
+.names II25071 g18995
+0 1
+.names g14301 II25074
+0 1
+.names II25074 g18996
+0 1
+.names g15065 II25078
+0 1
+.names II25078 g18998
+0 1
+.names g14507 II25081
+0 1
+.names II25081 g18999
+0 1
+.names g14885 II25084
+0 1
+.names II25084 g19000
+0 1
+.names g14071 g19001
+0 1
+.names g14991 II25089
+0 1
+.names II25089 g19008
+0 1
+.names g14423 II25092
+0 1
+.names II25092 g19009
+0 1
+.names g15161 II25096
+0 1
+.names II25096 g19011
+0 1
+.names g19000 II25099
+0 1
+.names g18944 II25102
+0 1
+.names g18959 II25105
+0 1
+.names g18969 II25108
+0 1
+.names g18981 II25111
+0 1
+.names g18983 II25114
+0 1
+.names g18988 II25117
+0 1
+.names g18869 II25120
+0 1
+.names g18890 II25123
+0 1
+.names g16858 II25126
+0 1
+.names g16813 II25129
+0 1
+.names g16862 II25132
+0 1
+.names g16506 II25135
+0 1
+.names g18960 II25138
+0 1
+.names g18970 II25141
+0 1
+.names g18984 II25144
+0 1
+.names g18989 II25147
+0 1
+.names g18991 II25150
+0 1
+.names g18995 II25153
+0 1
+.names g18895 II25156
+0 1
+.names g18913 II25159
+0 1
+.names g16863 II25162
+0 1
+.names g16831 II25165
+0 1
+.names g16877 II25168
+0 1
+.names g16528 II25171
+0 1
+.names g18971 II25174
+0 1
+.names g18985 II25177
+0 1
+.names g18992 II25180
+0 1
+.names g18996 II25183
+0 1
+.names g18998 II25186
+0 1
+.names g19008 II25189
+0 1
+.names g18918 II25192
+0 1
+.names g18932 II25195
+0 1
+.names g16878 II25198
+0 1
+.names g16843 II25201
+0 1
+.names g16905 II25204
+0 1
+.names g16559 II25207
+0 1
+.names g18986 II25210
+0 1
+.names g18993 II25213
+0 1
+.names g18999 II25216
+0 1
+.names g19009 II25219
+0 1
+.names g19011 II25222
+0 1
+.names g16514 II25225
+0 1
+.names g18937 II25228
+0 1
+.names g18952 II25231
+0 1
+.names g16906 II25234
+0 1
+.names g16849 II25237
+0 1
+.names g16934 II25240
+0 1
+.names g17227 II25243
+0 1
+.names g17233 II25246
+0 1
+.names g17300 II25249
+0 1
+.names g14725 g15942 g14677 g17124
+000 1
+.names g17124 II25253
+0 1
+.names II25253 g19064
+0 1
+.names g18583 g19070
+0 1
+.names g16974 II25258
+0 1
+.names II25258 g19075
+0 1
+.names g18619 g19078
+0 1
+.names g14753 g15971 g14711 g17151
+000 1
+.names g17151 II25264
+0 1
+.names II25264 g19081
+0 1
+.names g14657 g15880 g14630 g17051
+000 1
+.names g17051 II25272
+0 1
+.names II25272 g19091
+0 1
+.names II25031 II25032 g18980
+0- 1
+-0 1
+.names g18980 g19096
+0 1
+.names g14691 g15913 g14650 g17086
+000 1
+.names g17086 II25283
+0 1
+.names II25283 g19098
+0 1
+.names g17124 II25294
+0 1
+.names II25294 g19105
+0 1
+.names g17151 II25303
+0 1
+.names II25303 g19110
+0 1
+.names g16867 II25308
+0 1
+.names II25308 g19113
+0 1
+.names g16895 II25315
+0 1
+.names II25315 g19118
+0 1
+.names g16924 II25320
+0 1
+.names II25320 g19125
+0 1
+.names g16954 II25325
+0 1
+.names II25325 g19132
+0 1
+.names g17645 II25334
+0 1
+.names II25334 g19145
+0 1
+.names g17746 II25338
+0 1
+.names II25338 g19147
+0 1
+.names g17847 II25344
+0 1
+.names II25344 g19151
+0 1
+.names g17959 II25351
+0 1
+.names II25351 g19156
+0 1
+.names g13623 g13634 g18669
+00 1
+.names g18669 II25355
+0 1
+.names II25355 g19158
+0 1
+.names g13625 g11771 g18678
+00 1
+.names g18678 II25358
+0 1
+.names II25358 g19159
+0 1
+.names g13636 g11788 g18707
+00 1
+.names g18707 II25365
+0 1
+.names II25365 g19164
+0 1
+.names g13643 g13656 g18719
+00 1
+.names g18719 II25371
+0 1
+.names II25371 g19168
+0 1
+.names g13645 g11805 g18726
+00 1
+.names g18726 II25374
+0 1
+.names II25374 g19169
+0 1
+.names g13648 g11814 g18743
+00 1
+.names g18743 II25377
+0 1
+.names II25377 g19170
+0 1
+.names g13871 g12274 g18755
+00 1
+.names g18755 II25383
+0 1
+.names II25383 g19174
+0 1
+.names g13671 g11838 g18763
+00 1
+.names g18763 II25386
+0 1
+.names II25386 g19175
+0 1
+.names g13674 g11847 g18780
+00 1
+.names g18780 II25389
+0 1
+.names II25389 g19176
+0 1
+.names g13676 g13705 g18782
+00 1
+.names g18782 II25395
+0 1
+.names II25395 g19180
+0 1
+.names g13701 g11880 g18794
+00 1
+.names g18794 II25399
+0 1
+.names II25399 g19182
+0 1
+.names g13740 g11926 g18821
+00 1
+.names g18821 II25402
+0 1
+.names II25402 g19183
+0 1
+.names g13905 g12331 g18804
+00 1
+.names g18804 II25406
+0 1
+.names II25406 g19185
+0 1
+.names g13738 g11922 g18820
+00 1
+.names g18820 II25412
+0 1
+.names II25412 g19189
+0 1
+.names g13788 g11966 g18835
+00 1
+.names g18835 II25415
+0 1
+.names II25415 g19190
+0 1
+.names g13815 g12012 g18852
+00 1
+.names g18852 II25423
+0 1
+.names II25423 g19196
+0 1
+.names g13789 g11967 g18836
+00 1
+.names g18836 II25426
+0 1
+.names II25426 g19197
+0 1
+.names g13944 g12353 g18975
+00 1
+.names g18975 II25429
+0 1
+.names II25429 g19198
+0 1
+.names g13998 g12376 g18837
+00 1
+.names g18837 II25432
+0 1
+.names II25432 g19199
+0 1
+.names g13834 g12069 g18866
+00 1
+.names g18866 II25442
+0 1
+.names II25442 g19207
+0 1
+.names g13904 g12330 g18968
+00 1
+.names g18968 II25445
+0 1
+.names II25445 g19208
+0 1
+.names g13846 g12128 g18883
+00 1
+.names g18883 II25456
+0 1
+.names II25456 g19217
+0 1
+.names g13835 g12070 g18867
+00 1
+.names g18867 II25459
+0 1
+.names II25459 g19218
+0 1
+.names g14143 g12419 g18868
+00 1
+.names g18868 II25463
+0 1
+.names II25463 g19220
+0 1
+.names g13847 g12129 g18885
+00 1
+.names g18885 II25474
+0 1
+.names II25474 g19229
+0 1
+.names g13655 g11816 g18754
+00 1
+.names g18754 II25486
+0 1
+.names II25486 g19237
+0 1
+.names g13855 g12186 g18906
+00 1
+.names g18906 II25489
+0 1
+.names II25489 g19238
+0 1
+.names g14336 g12429 g18907
+00 1
+.names g18907 II25492
+0 1
+.names II25492 g19239
+0 1
+.names g13675 g11851 g18781
+00 1
+.names g18781 II25506
+0 1
+.names II25506 g19247
+0 1
+.names II24612 II24613 g18542
+0- 1
+-0 1
+.names g18542 II25510
+0 1
+.names II25510 g19249
+0 1
+.names g16540 g19251
+0 1
+.names g13704 g11885 g18803
+00 1
+.names g18803 II25525
+0 1
+.names II25525 g19258
+0 1
+.names g13870 g12273 g18942
+00 1
+.names g18942 II25528
+0 1
+.names II25528 g19259
+0 1
+.names g16572 g19265
+0 1
+.names g13884 g12307 g18957
+00 1
+.names g18957 II25557
+0 1
+.names II25557 g19270
+0 1
+.names g7949 g14144 g17186
+00 1
+.names g17186 II25567
+0 1
+.names II25567 g19272
+0 1
+.names g16596 g19280
+0 1
+.names g16608 g19287
+0 1
+.names g8000 g14259 g17197
+00 1
+.names g17197 II25612
+0 1
+.names II25612 g19291
+0 1
+.names g16616 g19299
+0 1
+.names g16622 g19301
+0 1
+.names g15904 g15880 g15859 g17025
+000 1
+.names g17025 g19302
+0 1
+.names g16626 g19305
+0 1
+.names g8075 g14381 g17204
+00 1
+.names g17204 II25660
+0 1
+.names II25660 g19309
+0 1
+.names g16633 g19319
+0 1
+.names g16636 g19322
+0 1
+.names g15933 g15913 g15890 g17059
+000 1
+.names g17059 g19323
+0 1
+.names g16640 g19326
+0 1
+.names g8160 g14493 g17209
+00 1
+.names g17209 II25717
+0 1
+.names II25717 g19330
+0 1
+.names g13915 g13893 g17118
+0- 1
+-0 1
+.names g17118 II25728
+0 1
+.names II25728 g19335
+0 1
+.names g16644 g19346
+0 1
+.names g16647 g19349
+0 1
+.names g15962 g15942 g15923 g17094
+000 1
+.names g17094 g19350
+0 1
+.names g16651 g19353
+0 1
+.names g13957 g13915 g17139
+0- 1
+-0 1
+.names g17139 II25768
+0 1
+.names II25768 g19358
+0 1
+.names g13971 g13934 g17145
+0- 1
+-0 1
+.names g17145 II25778
+0 1
+.names II25778 g19369
+0 1
+.names g16656 g19380
+0 1
+.names g16659 g19383
+0 1
+.names g15981 g15971 g15952 g17132
+000 1
+.names g17132 g19384
+0 1
+.names g15904 g15880 g15859 g16567
+000 1
+.names g16567 g19387
+0 1
+.names g17139 g19388
+0 1
+.names g14027 g13971 g17162
+0- 1
+-0 1
+.names g17162 II25816
+0 1
+.names II25816 g19390
+0 1
+.names g14041 g13990 g17168
+0- 1
+-0 1
+.names g17168 II25826
+0 1
+.names II25826 g19401
+0 1
+.names g16673 g19412
+0 1
+.names g16676 g19415
+0 1
+.names g15933 g15913 g15890 g16591
+000 1
+.names g16591 g19417
+0 1
+.names g17162 g19418
+0 1
+.names g14118 g14041 g17177
+0- 1
+-0 1
+.names g17177 II25862
+0 1
+.names II25862 g19420
+0 1
+.names g14132 g14060 g17183
+0- 1
+-0 1
+.names g17183 II25872
+0 1
+.names II25872 g19431
+0 1
+.names g4326 g14442 g17213
+00 1
+.names g17213 g19441
+0 1
+.names g14641 g9636 g17985
+0- 1
+-0 1
+.names g17985 g19444
+0 1
+.names g16694 g19448
+0 1
+.names g16702 g19452
+0 1
+.names g15962 g15942 g15923 g16611
+000 1
+.names g16611 g19454
+0 1
+.names g17177 g19455
+0 1
+.names g14233 g14132 g17194
+0- 1
+-0 1
+.names g17194 II25904
+0 1
+.names II25904 g19457
+0 1
+.names g16719 g19467
+0 1
+.names g4495 g14529 g17216
+00 1
+.names g17216 g19468
+0 1
+.names g14668 g9782 g18102
+0- 1
+-0 1
+.names g18102 g19471
+0 1
+.names g16725 g19475
+0 1
+.names g16733 g19479
+0 1
+.names g15981 g15971 g15952 g16629
+000 1
+.names g16629 g19481
+0 1
+.names g17194 g19482
+0 1
+.names g16758 g19483
+0 1
+.names g16867 g19484
+0 1
+.names g16761 g19490
+0 1
+.names g4671 g14584 g17219
+00 1
+.names g17219 g19491
+0 1
+.names g14702 g9928 g18218
+0- 1
+-0 1
+.names g18218 g19494
+0 1
+.names g16767 g19498
+0 1
+.names g16775 g19502
+0 1
+.names g16785 g19504
+0 1
+.names g16895 g19505
+0 1
+.names g16788 g19511
+0 1
+.names g4848 g14618 g17221
+00 1
+.names g17221 g19512
+0 1
+.names g14736 g10082 g18325
+0- 1
+-0 1
+.names g18325 g19515
+0 1
+.names g16794 g19519
+0 1
+.names g16814 g19523
+0 1
+.names g16924 g19524
+0 1
+.names g16817 g19530
+0 1
+.names g16832 g19533
+0 1
+.names g16954 g19534
+0 1
+.names g14690 g12477 g16654
+00 1
+.names g16654 II25966
+0 1
+.names II25966 g19543
+0 1
+.names g14724 g12494 g16671
+00 1
+.names g16671 II25971
+0 1
+.names II25971 g19546
+0 1
+.names g14752 g12514 g16692
+00 1
+.names g16692 II25977
+0 1
+.names II25977 g19550
+0 1
+.names g14773 g12531 g16718
+00 1
+.names g16718 II25985
+0 1
+.names II25985 g19556
+0 1
+.names g15828 g13031 g16860
+00 1
+.names g16860 II25994
+0 1
+.names II25994 g19563
+0 1
+.names g15840 g13042 g16866
+00 1
+.names g16866 II26006
+0 1
+.names II26006 g19573
+0 1
+.names g16881 g19577
+0 1
+.names g16884 g19578
+0 1
+.names g15593 g12908 g16803
+00 1
+.names g16803 II26025
+0 1
+.names II26025 g19595
+0 1
+.names II22631 II22632 g16566
+0- 1
+-0 1
+.names g16566 II26028
+0 1
+.names II26028 g19596
+0 1
+.names g16910 g19607
+0 1
+.names g16913 g19608
+0 1
+.names g15658 g12938 g16824
+00 1
+.names g16824 II26051
+0 1
+.names II26051 g19622
+0 1
+.names g16940 g19640
+0 1
+.names g16943 g19641
+0 1
+.names g15717 g12966 g16835
+00 1
+.names g16835 II26078
+0 1
+.names II26078 g19652
+0 1
+.names g16085 g6363 g18085
+00 1
+.names g18085 II26085
+0 1
+.names II26085 g19657
+0 1
+.names g16971 g19680
+0 1
+.names g16974 g19681
+0 1
+.names g15754 g12989 g16844
+00 1
+.names g16844 II26112
+0 1
+.names II26112 g19689
+0 1
+.names g15755 g12990 g16845
+00 1
+.names g16845 II26115
+0 1
+.names II26115 g19690
+0 1
+.names g17503 II26123
+0 1
+.names II26123 g19696
+0 1
+.names g16123 g6568 g18201
+00 1
+.names g18201 II26134
+0 1
+.names II26134 g19705
+0 1
+.names g15781 g13000 g16851
+00 1
+.names g16851 II26154
+0 1
+.names II26154 g19725
+0 1
+.names g17594 II26171
+0 1
+.names II26171 g19740
+0 1
+.names g16174 g6832 g18308
+00 1
+.names g18308 II26182
+0 1
+.names II26182 g19749
+0 1
+.names g15801 g13009 g16853
+00 1
+.names g16853 II26195
+0 1
+.names II26195 g19762
+0 1
+.names g15802 g13010 g16854
+00 1
+.names g16854 II26198
+0 1
+.names II26198 g19763
+0 1
+.names g17691 II26220
+0 1
+.names II26220 g19783
+0 1
+.names g16233 g7134 g18401
+00 1
+.names g18401 II26231
+0 1
+.names II26231 g19792
+0 1
+.names g15817 g13023 g16857
+00 1
+.names g16857 II26237
+0 1
+.names II26237 g19798
+0 1
+.names g17791 II26266
+0 1
+.names II26266 g19825
+0 1
+.names g18886 g19830
+0 1
+.names g15829 g13032 g16861
+00 1
+.names g16861 II26276
+0 1
+.names II26276 g19838
+0 1
+.names g15797 g3006 g18977
+0- 1
+-0 1
+.names g18977 II26334
+0 1
+.names II26334 g19890
+0 1
+.names g15852 g13056 g16880
+00 1
+.names g16880 II26337
+0 1
+.names II26337 g19893
+0 1
+.names g17025 II26340
+0 1
+.names II26340 g19894
+0 1
+.names g16463 g7549 g18626
+00 1
+.names g18626 II26365
+0 1
+.names II26365 g19915
+0 1
+.names g18646 g19918
+0 1
+.names g17059 II26369
+0 1
+.names II26369 g19919
+0 1
+.names g14249 g16082 g18548
+00 1
+.names g18548 g19933
+0 1
+.names g17094 II26388
+0 1
+.names II26388 g19934
+0 1
+.names g14657 g14642 g15859 g17012
+000 1
+.names g17012 II26401
+0 1
+.names II26401 g19945
+0 1
+.names g14352 g16020 g17896
+00 1
+.names g17896 g19948
+0 1
+.names g14371 g16120 g18598
+00 1
+.names g18598 g19950
+0 1
+.names g17132 II26407
+0 1
+.names II26407 g19951
+0 1
+.names g15904 g14642 g15859 g16643
+000 1
+.names g16643 II26413
+0 1
+.names II26413 g19957
+0 1
+.names g14691 g14669 g15890 g17042
+000 1
+.names g17042 II26420
+0 1
+.names II26420 g19972
+0 1
+.names g14464 g16036 g18007
+00 1
+.names g18007 g19975
+0 1
+.names g14483 g16171 g18630
+00 1
+.names g18630 g19977
+0 1
+.names g15873 g2896 g16536
+0- 1
+-0 1
+.names g16536 II26426
+0 1
+.names II26426 g19978
+0 1
+.names g15933 g14669 g15890 g16655
+000 1
+.names g16655 II26437
+0 1
+.names II26437 g19987
+0 1
+.names g14725 g14703 g15923 g17076
+000 1
+.names g17076 II26444
+0 1
+.names II26444 g20002
+0 1
+.names g14551 g16058 g18124
+00 1
+.names g18124 g20005
+0 1
+.names g14570 g16230 g18639
+00 1
+.names g18639 g20007
+0 1
+.names g17985 II26458
+0 1
+.names II26458 g20016
+0 1
+.names g15962 g14703 g15923 g16672
+000 1
+.names g16672 II26469
+0 1
+.names II26469 g20025
+0 1
+.names g14753 g14737 g15952 g17111
+000 1
+.names g17111 II26476
+0 1
+.names II26476 g20040
+0 1
+.names g14606 g16094 g18240
+00 1
+.names g18240 g20043
+0 1
+.names g16439 g7522 g18590
+00 1
+.names g18590 II26481
+0 1
+.names II26481 g20045
+0 1
+.names g18102 II26494
+0 1
+.names II26494 g20058
+0 1
+.names g15981 g14737 g15952 g16693
+000 1
+.names g16693 II26505
+0 1
+.names II26505 g20067
+0 1
+.names g13469 g3897 g16802
+00 1
+.names g16802 II26512
+0 1
+.names II26512 g20082
+0 1
+.names II24006 II24007 g17968
+0- 1
+-0 1
+.names g17968 g20083
+0 1
+.names g18218 II26535
+0 1
+.names II26535 g20099
+0 1
+.names g5362 g13469 g16823
+00 1
+.names g16823 II26545
+0 1
+.names II26545 g20105
+0 1
+.names g18325 II26574
+0 1
+.names II26574 g20124
+0 1
+.names g15902 g2814 g18623
+00 1
+.names g18623 g20127
+0 1
+.names g16830 g20140
+0 1
+.names g11623 g15659 g17973
+1- 1
+-1 1
+.names g17973 g20163
+0 1
+.names g17645 II26612
+0 1
+.names II26612 g20164
+0 1
+.names g16842 g20178
+0 1
+.names g18691 g20193
+0 1
+.names g17746 II26642
+0 1
+.names II26642 g20198
+0 1
+.names g16848 g20212
+0 1
+.names g18727 g20223
+0 1
+.names g17847 II26664
+0 1
+.names II26664 g20228
+0 1
+.names g16852 g20242
+0 1
+.names g18764 g20250
+0 1
+.names g17959 II26679
+0 1
+.names II26679 g20255
+0 1
+.names g17230 g20269
+0 1
+.names g18795 g20273
+0 1
+.names g17237 g20278
+0 1
+.names g17240 g20279
+0 1
+.names g17243 g20281
+0 1
+.names g17249 g20286
+0 1
+.names g17252 g20287
+0 1
+.names g17255 g20288
+0 1
+.names g17259 g20289
+0 1
+.names g17262 g20290
+0 1
+.names g17265 g20292
+0 1
+.names g17720 II26714
+0 1
+.names II26714 g20295
+0 1
+.names g17272 g20296
+0 1
+.names g17275 g20297
+0 1
+.names g17278 g20298
+0 1
+.names g17282 g20302
+0 1
+.names g17285 g20303
+0 1
+.names g17288 g20304
+0 1
+.names g17291 g20305
+0 1
+.names g17294 g20306
+0 1
+.names g17297 g20308
+0 1
+.names g17304 g20311
+0 1
+.names g17307 g20312
+0 1
+.names g17310 g20313
+0 1
+.names g17315 g20315
+0 1
+.names g17318 g20316
+0 1
+.names g17321 g20317
+0 1
+.names g17324 g20321
+0 1
+.names g17327 g20322
+0 1
+.names g17330 g20323
+0 1
+.names g17333 g20324
+0 1
+.names g17336 g20325
+0 1
+.names g17342 g20327
+0 1
+.names g17345 g20328
+0 1
+.names g17348 g20329
+0 1
+.names g17354 g20330
+0 1
+.names g17357 g20331
+0 1
+.names g17360 g20332
+0 1
+.names g17363 g20334
+0 1
+.names g17366 g20335
+0 1
+.names g17369 g20336
+0 1
+.names g17372 g20340
+0 1
+.names g17375 g20341
+0 1
+.names g17378 g20342
+0 1
+.names g17384 g20344
+0 1
+.names g17387 g20345
+0 1
+.names g17390 g20346
+0 1
+.names g17399 g20347
+0 1
+.names g17402 g20348
+0 1
+.names g17405 g20349
+0 1
+.names g17410 g20350
+0 1
+.names g17413 g20351
+0 1
+.names g17416 g20352
+0 1
+.names g17419 g20354
+0 1
+.names g17422 g20355
+0 1
+.names g17425 g20356
+0 1
+.names g15998 g16003 g17222
+00 1
+.names g17222 II26777
+0 1
+.names II26777 g20360
+0 1
+.names g17430 g20361
+0 1
+.names g17433 g20362
+0 1
+.names g17436 g20363
+0 1
+.names g17439 g20364
+0 1
+.names g17442 g20365
+0 1
+.names g17451 g20366
+0 1
+.names g17454 g20367
+0 1
+.names g17457 g20368
+0 1
+.names g17465 g20369
+0 1
+.names g17468 g20370
+0 1
+.names g17471 g20371
+0 1
+.names g17476 g20372
+0 1
+.names g17479 g20373
+0 1
+.names g17482 g20374
+0 1
+.names g16004 g16009 g17224
+00 1
+.names g17224 II26796
+0 1
+.names II26796 g20377
+0 1
+.names g17487 g20378
+0 1
+.names g17490 g20379
+0 1
+.names g17493 g20380
+0 1
+.names g17496 g20381
+0 1
+.names g17500 g20382
+0 1
+.names g17503 g20383
+0 1
+.names g17511 g20384
+0 1
+.names g17514 g20385
+0 1
+.names g17517 g20386
+0 1
+.names g17520 g20387
+0 1
+.names g17523 g20388
+0 1
+.names g17531 g20389
+0 1
+.names g17534 g20390
+0 1
+.names g17537 g20391
+0 1
+.names g17545 g20392
+0 1
+.names g17548 g20393
+0 1
+.names g17551 g20394
+0 1
+.names g16008 g16015 g17225
+00 1
+.names g17225 II26816
+0 1
+.names II26816 g20395
+0 1
+.names g16010 g16017 g17226
+00 1
+.names g17226 II26819
+0 1
+.names II26819 g20396
+0 1
+.names g17557 g20397
+0 1
+.names g17560 g20398
+0 1
+.names g17563 g20399
+0 1
+.names g17567 g20400
+0 1
+.names g17570 g20401
+0 1
+.names g17573 g20402
+0 1
+.names g17579 g20403
+0 1
+.names g17582 g20404
+0 1
+.names g17585 g20405
+0 1
+.names g17588 g20406
+0 1
+.names g17591 g20407
+0 1
+.names g17594 g20408
+0 1
+.names g17601 g20409
+0 1
+.names g17604 g20410
+0 1
+.names g17607 g20411
+0 1
+.names g17610 g20412
+0 1
+.names g17613 g20413
+0 1
+.names g17621 g20414
+0 1
+.names g17624 g20415
+0 1
+.names g17627 g20416
+0 1
+.names g16016 g16029 g17228
+00 1
+.names g17228 II26843
+0 1
+.names II26843 g20418
+0 1
+.names g16019 g16032 g17229
+00 1
+.names g17229 II26846
+0 1
+.names II26846 g20419
+0 1
+.names g17637 g20420
+0 1
+.names g17649 g20421
+0 1
+.names g17655 g20422
+0 1
+.names g17658 g20423
+0 1
+.names g17661 g20424
+0 1
+.names g17664 g20425
+0 1
+.names g17667 g20426
+0 1
+.names g17670 g20427
+0 1
+.names g17676 g20428
+0 1
+.names g17679 g20429
+0 1
+.names g17682 g20430
+0 1
+.names g17685 g20431
+0 1
+.names g17688 g20432
+0 1
+.names g17691 g20433
+0 1
+.names g17698 g20434
+0 1
+.names g17701 g20435
+0 1
+.names g17704 g20436
+0 1
+.names g17707 g20437
+0 1
+.names g17710 g20438
+0 1
+.names g16028 g16045 g17234
+00 1
+.names g17234 II26868
+0 1
+.names II26868 g20439
+0 1
+.names g16030 g16047 g17235
+00 1
+.names g17235 II26871
+0 1
+.names II26871 g20440
+0 1
+.names g16033 g16051 g17236
+00 1
+.names g17236 II26874
+0 1
+.names II26874 g20441
+0 1
+.names g17738 g20442
+0 1
+.names g17749 g20443
+0 1
+.names g17755 g20444
+0 1
+.names g17758 g20445
+0 1
+.names g17761 g20446
+0 1
+.names g17764 g20447
+0 1
+.names g17767 g20448
+0 1
+.names g17770 g20449
+0 1
+.names g17776 g20450
+0 1
+.names g17779 g20451
+0 1
+.names g17782 g20452
+0 1
+.names g17785 g20453
+0 1
+.names g17788 g20454
+0 1
+.names g17791 g20455
+0 1
+.names g17799 g20456
+0 1
+.names g16046 g16066 g17246
+00 1
+.names g17246 II26892
+0 1
+.names II26892 g20457
+0 1
+.names g16050 g16070 g17247
+00 1
+.names g17247 II26895
+0 1
+.names II26895 g20458
+0 1
+.names g16052 g16072 g17248
+00 1
+.names g17248 II26898
+0 1
+.names II26898 g20459
+0 1
+.names g17839 g20461
+0 1
+.names g17850 g20462
+0 1
+.names g17856 g20463
+0 1
+.names g17859 g20464
+0 1
+.names g17862 g20465
+0 1
+.names g17865 g20466
+0 1
+.names g17868 g20467
+0 1
+.names g17871 g20468
+0 1
+.names g16067 g16100 g17269
+00 1
+.names g17269 II26910
+0 1
+.names II26910 g20469
+0 1
+.names g16071 g16104 g17270
+00 1
+.names g17270 II26913
+0 1
+.names II26913 g20470
+0 1
+.names g16073 g16106 g17271
+00 1
+.names g17271 II26916
+0 1
+.names II26916 g20471
+0 1
+.names g17951 g20476
+0 1
+.names g17962 g20477
+0 1
+.names g16103 g16135 g17302
+00 1
+.names g17302 II26923
+0 1
+.names II26923 g20478
+0 1
+.names g16105 g16137 g17303
+00 1
+.names g17303 II26926
+0 1
+.names II26926 g20479
+0 1
+.names g16136 g16183 g17340
+00 1
+.names g17340 II26931
+0 1
+.names II26931 g20484
+0 1
+.names g16138 g16185 g17341
+00 1
+.names g17341 II26934
+0 1
+.names II26934 g20485
+0 1
+.names g18166 g20490
+0 1
+.names g16184 g16238 g17383
+00 1
+.names g17383 II26940
+0 1
+.names II26940 g20491
+0 1
+.names g18258 g20496
+0 1
+.names g16239 g16288 g17429
+00 1
+.names g17429 II26947
+0 1
+.names II26947 g20498
+0 1
+.names g18278 g20500
+0 1
+.names g18334 g20501
+0 1
+.names g18355 g20504
+0 1
+.names g18371 g20505
+0 1
+.names g18351 g20507
+0 1
+.names g16884 II26960
+0 1
+.names II26960 g20513
+0 1
+.names g18432 g20516
+0 1
+.names g18450 g20517
+0 1
+.names g18466 g20518
+0 1
+.names g17051 II26966
+0 1
+.names II26966 g20519
+0 1
+.names g18446 g20526
+0 1
+.names g16913 II26972
+0 1
+.names II26972 g20531
+0 1
+.names g18505 g20534
+0 1
+.names g18523 g20535
+0 1
+.names g18539 g20536
+0 1
+.names g17086 II26980
+0 1
+.names II26980 g20539
+0 1
+.names g18519 g20545
+0 1
+.names g16943 II26985
+0 1
+.names II26985 g20550
+0 1
+.names g18569 g20553
+0 1
+.names g18587 g20554
+0 1
+.names g19145 II26990
+0 1
+.names g19159 II26993
+0 1
+.names g19169 II26996
+0 1
+.names g19543 II26999
+0 1
+.names g19147 II27002
+0 1
+.names g19164 II27005
+0 1
+.names g19175 II27008
+0 1
+.names g19546 II27011
+0 1
+.names g19151 II27014
+0 1
+.names g19170 II27017
+0 1
+.names g19182 II27020
+0 1
+.names g19550 II27023
+0 1
+.names g19156 II27026
+0 1
+.names g19176 II27029
+0 1
+.names g19189 II27032
+0 1
+.names g19556 II27035
+0 1
+.names g20082 II27038
+0 1
+.names g19237 II27041
+0 1
+.names g19247 II27044
+0 1
+.names g19258 II27047
+0 1
+.names g19183 II27050
+0 1
+.names g19190 II27053
+0 1
+.names g19196 II27056
+0 1
+.names g19207 II27059
+0 1
+.names g19217 II27062
+0 1
+.names g19270 II27065
+0 1
+.names g19197 II27068
+0 1
+.names g19218 II27071
+0 1
+.names g19238 II27074
+0 1
+.names g19259 II27077
+0 1
+.names g19198 II27080
+0 1
+.names g19208 II27083
+0 1
+.names g19229 II27086
+0 1
+.names g20105 II27089
+0 1
+.names g19174 II27092
+0 1
+.names g19185 II27095
+0 1
+.names g19199 II27098
+0 1
+.names g19220 II27101
+0 1
+.names g19239 II27104
+0 1
+.names g19249 II27107
+0 1
+.names g19622 II27110
+0 1
+.names g19689 II27113
+0 1
+.names g19762 II27116
+0 1
+.names g19563 II27119
+0 1
+.names g19595 II27122
+0 1
+.names g19652 II27125
+0 1
+.names g19725 II27128
+0 1
+.names g19798 II27131
+0 1
+.names g19573 II27134
+0 1
+.names g19596 II27137
+0 1
+.names g19690 II27140
+0 1
+.names g19763 II27143
+0 1
+.names g19838 II27146
+0 1
+.names g19893 II27149
+0 1
+.names g20360 II27152
+0 1
+.names g20395 II27155
+0 1
+.names g20439 II27158
+0 1
+.names g20377 II27161
+0 1
+.names g20418 II27164
+0 1
+.names g20457 II27167
+0 1
+.names g20396 II27170
+0 1
+.names g20440 II27173
+0 1
+.names g20469 II27176
+0 1
+.names g20419 II27179
+0 1
+.names g20458 II27182
+0 1
+.names g20478 II27185
+0 1
+.names g20441 II27188
+0 1
+.names g20470 II27191
+0 1
+.names g20484 II27194
+0 1
+.names g20459 II27197
+0 1
+.names g20479 II27200
+0 1
+.names g20491 II27203
+0 1
+.names g20471 II27206
+0 1
+.names g20485 II27209
+0 1
+.names g20498 II27212
+0 1
+.names g19158 II27215
+0 1
+.names g19168 II27218
+0 1
+.names g19180 II27221
+0 1
+.names g19358 II27225
+0 1
+.names II27225 g20634
+0 1
+.names g19390 II27228
+0 1
+.names II27228 g20637
+0 1
+.names g19401 II27232
+0 1
+.names II27232 g20641
+0 1
+.names g19420 II27235
+0 1
+.names II27235 g20644
+0 1
+.names g19335 II27240
+0 1
+.names II27240 g20649
+0 1
+.names g19335 II27243
+0 1
+.names II27243 g20652
+0 1
+.names g19335 II27246
+0 1
+.names II27246 g20655
+0 1
+.names g19390 II27250
+0 1
+.names II27250 g20659
+0 1
+.names g19420 II27253
+0 1
+.names II27253 g20662
+0 1
+.names g19431 II27257
+0 1
+.names II27257 g20666
+0 1
+.names g19457 II27260
+0 1
+.names II27260 g20669
+0 1
+.names g19358 II27264
+0 1
+.names II27264 g20673
+0 1
+.names g19358 II27267
+0 1
+.names II27267 g20676
+0 1
+.names g19335 II27270
+0 1
+.names II27270 g20679
+0 1
+.names g19369 II27275
+0 1
+.names II27275 g20684
+0 1
+.names g19369 II27278
+0 1
+.names II27278 g20687
+0 1
+.names g19369 II27281
+0 1
+.names II27281 g20690
+0 1
+.names g19420 II27285
+0 1
+.names II27285 g20694
+0 1
+.names g19457 II27288
+0 1
+.names II27288 g20697
+0 1
+.names g19335 II27293
+0 1
+.names II27293 g20704
+0 1
+.names g19390 II27297
+0 1
+.names II27297 g20708
+0 1
+.names g19390 II27300
+0 1
+.names II27300 g20711
+0 1
+.names g19369 II27303
+0 1
+.names II27303 g20714
+0 1
+.names g19401 II27308
+0 1
+.names II27308 g20719
+0 1
+.names g19401 II27311
+0 1
+.names II27311 g20722
+0 1
+.names g19401 II27314
+0 1
+.names II27314 g20725
+0 1
+.names g19457 II27318
+0 1
+.names II27318 g20729
+0 1
+.names g19335 II27321
+0 1
+.names II27321 g20732
+0 1
+.names g19358 II27324
+0 1
+.names II27324 g20735
+0 1
+.names g19369 II27328
+0 1
+.names II27328 g20739
+0 1
+.names g19420 II27332
+0 1
+.names II27332 g20743
+0 1
+.names g19420 II27335
+0 1
+.names II27335 g20746
+0 1
+.names g19401 II27338
+0 1
+.names II27338 g20749
+0 1
+.names g19431 II27343
+0 1
+.names II27343 g20754
+0 1
+.names g19431 II27346
+0 1
+.names II27346 g20757
+0 1
+.names g19431 II27349
+0 1
+.names II27349 g20760
+0 1
+.names g19358 II27352
+0 1
+.names II27352 g20763
+0 1
+.names g19335 II27355
+0 1
+.names II27355 g20766
+0 1
+.names g19369 II27358
+0 1
+.names II27358 g20769
+0 1
+.names g19390 II27361
+0 1
+.names II27361 g20772
+0 1
+.names g19401 II27365
+0 1
+.names II27365 g20776
+0 1
+.names g19457 II27369
+0 1
+.names II27369 g20780
+0 1
+.names g19457 II27372
+0 1
+.names II27372 g20783
+0 1
+.names g19431 II27375
+0 1
+.names II27375 g20786
+0 1
+.names g19358 II27379
+0 1
+.names II27379 g20790
+0 1
+.names g19390 II27382
+0 1
+.names II27382 g20793
+0 1
+.names g19369 II27385
+0 1
+.names II27385 g20796
+0 1
+.names g19401 II27388
+0 1
+.names II27388 g20799
+0 1
+.names g19420 II27391
+0 1
+.names II27391 g20802
+0 1
+.names g19431 II27395
+0 1
+.names II27395 g20806
+0 1
+.names g19390 II27399
+0 1
+.names II27399 g20810
+0 1
+.names g19420 II27402
+0 1
+.names II27402 g20813
+0 1
+.names g19401 II27405
+0 1
+.names II27405 g20816
+0 1
+.names g19431 II27408
+0 1
+.names II27408 g20819
+0 1
+.names g19457 II27411
+0 1
+.names II27411 g20822
+0 1
+.names g19420 II27416
+0 1
+.names II27416 g20827
+0 1
+.names g19457 II27419
+0 1
+.names II27419 g20830
+0 1
+.names g19431 II27422
+0 1
+.names II27422 g20833
+0 1
+.names g19457 II27426
+0 1
+.names II27426 g20837
+0 1
+.names g19441 g20842
+0 1
+.names g19468 g20850
+0 1
+.names g19491 g20858
+0 1
+.names g19512 g20866
+0 1
+.names g16607 g9636 g19865
+0- 1
+-0 1
+.names g19865 g20885
+0 1
+.names g16625 g9782 g19896
+0- 1
+-0 1
+.names g19896 g20904
+0 1
+.names g16639 g9928 g19921
+0- 1
+-0 1
+.names g19921 g20928
+0 1
+.names g16850 g13654 g20310
+00 1
+.names g20310 II27488
+0 1
+.names II27488 g20942
+0 1
+.names g13646 g16855 g20314
+00 1
+.names g20314 II27491
+0 1
+.names II27491 g20943
+0 1
+.names g16650 g10082 g19936
+0- 1
+-0 1
+.names g19936 g20956
+0 1
+.names g13672 g16859 g20333
+00 1
+.names g20333 II27516
+0 1
+.names II27516 g20971
+0 1
+.names g16856 g13703 g20343
+00 1
+.names g20343 II27531
+0 1
+.names II27531 g20984
+0 1
+.names g20083 II27534
+0 1
+.names II27534 g20985
+0 1
+.names g19957 II27537
+0 1
+.names II27537 g20986
+0 1
+.names g13702 g16864 g20353
+00 1
+.names g20353 II27549
+0 1
+.names II27549 g20998
+0 1
+.names g19987 II27565
+0 1
+.names II27565 g21012
+0 1
+.names g13739 g16879 g20375
+00 1
+.names g20375 II27577
+0 1
+.names II27577 g21024
+0 1
+.names g16865 g13787 g20376
+00 1
+.names g20376 II27585
+0 1
+.names II27585 g21030
+0 1
+.names g20025 II27593
+0 1
+.names II27593 g21036
+0 1
+.names g20513 g21050
+0 1
+.names g20067 II27614
+0 1
+.names II27614 g21057
+0 1
+.names g16907 g13833 g20417
+00 1
+.names g20417 II27621
+0 1
+.names II27621 g21064
+0 1
+.names g20519 g21066
+0 1
+.names g20531 g21069
+0 1
+.names g20539 g21076
+0 1
+.names g20550 g21079
+0 1
+.names g20507 II27646
+0 1
+.names II27646 g21087
+0 1
+.names g19064 g21090
+0 1
+.names g19075 g21093
+0 1
+.names g20526 II27658
+0 1
+.names II27658 g21099
+0 1
+.names g19081 g21102
+0 1
+.names g20507 II27667
+0 1
+.names II27667 g21108
+0 1
+.names g20545 II27672
+0 1
+.names II27672 g21113
+0 1
+.names g20526 II27684
+0 1
+.names II27684 g21125
+0 1
+.names g19070 II27689
+0 1
+.names II27689 g21130
+0 1
+.names g20545 II27705
+0 1
+.names II27705 g21144
+0 1
+.names g19070 II27727
+0 1
+.names II27727 g21164
+0 1
+.names g17186 g92 g19954
+0- 1
+-0 1
+.names g19954 II27749
+0 1
+.names II27749 g21184
+0 1
+.names g19113 g21187
+0 1
+.names g17197 g780 g19984
+0- 1
+-0 1
+.names g19984 II27766
+0 1
+.names II27766 g21199
+0 1
+.names g19118 g21202
+0 1
+.names g17204 g1466 g20022
+0- 1
+-0 1
+.names g20022 II27779
+0 1
+.names II27779 g21214
+0 1
+.names g19125 g21217
+0 1
+.names g17209 g2160 g20064
+0- 1
+-0 1
+.names g20064 II27785
+0 1
+.names II27785 g21222
+0 1
+.names g19132 g21225
+0 1
+.names g19945 g21241
+0 1
+.names g19972 g21249
+0 1
+.names g20002 g21258
+0 1
+.names g20040 g21266
+0 1
+.names g19865 II27822
+0 1
+.names II27822 g21271
+0 1
+.names g19896 II27827
+0 1
+.names II27827 g21278
+0 1
+.names g19921 II27832
+0 1
+.names II27832 g21285
+0 1
+.names g19936 II27838
+0 1
+.names II27838 g21293
+0 1
+.names g17268 g14884 g19144
+00 1
+.names g19144 II27868
+0 1
+.names II27868 g21327
+0 1
+.names g17339 g15020 g19149
+00 1
+.names g19149 II27897
+0 1
+.names II27897 g21358
+0 1
+.names g19096 II27900
+0 1
+.names II27900 g21359
+0 1
+.names g17381 g15093 g19153
+00 1
+.names g19153 II27917
+0 1
+.names II27917 g21376
+0 1
+.names g17382 g15094 g19154
+00 1
+.names g19154 II27920
+0 1
+.names II27920 g21377
+0 1
+.names g19957 II27927
+0 1
+.names II27927 g21382
+0 1
+.names g17428 g15171 g19157
+00 1
+.names g19157 II27942
+0 1
+.names II27942 g21399
+0 1
+.names g19918 g21400
+0 1
+.names g19957 II27949
+0 1
+.names II27949 g21404
+0 1
+.names g19987 II27958
+0 1
+.names II27958 g21415
+0 1
+.names g17485 g15243 g19162
+00 1
+.names g19162 II27969
+0 1
+.names II27969 g21426
+0 1
+.names g17486 g15244 g19163
+00 1
+.names g19163 II27972
+0 1
+.names II27972 g21427
+0 1
+.names g19957 II27976
+0 1
+.names II27976 g21429
+0 1
+.names g19987 II27984
+0 1
+.names II27984 g21441
+0 1
+.names g20025 II27992
+0 1
+.names II27992 g21449
+0 1
+.names g17556 g15320 g19167
+00 1
+.names g19167 II28000
+0 1
+.names II28000 g21457
+0 1
+.names g19957 II28003
+0 1
+.names II28003 g21458
+0 1
+.names g19957 g21461
+0 1
+.names g18085 g646 g20473
+0- 1
+-0 1
+.names g20473 II28009
+0 1
+.names II28009 g21473
+0 1
+.names g19987 II28013
+0 1
+.names II28013 g21477
+0 1
+.names g20025 II28019
+0 1
+.names II28019 g21483
+0 1
+.names g20067 II28027
+0 1
+.names II28027 g21491
+0 1
+.names g17635 g15388 g19172
+00 1
+.names g19172 II28031
+0 1
+.names II28031 g21495
+0 1
+.names g17636 g15389 g19173
+00 1
+.names g19173 II28034
+0 1
+.names II28034 g21496
+0 1
+.names g19957 II28038
+0 1
+.names II28038 g21498
+0 1
+.names g19987 II28043
+0 1
+.names II28043 g21505
+0 1
+.names g19987 g21508
+0 1
+.names g18201 g1332 g20481
+0- 1
+-0 1
+.names g20481 II28047
+0 1
+.names II28047 g21514
+0 1
+.names g20025 II28051
+0 1
+.names II28051 g21518
+0 1
+.names g20067 II28057
+0 1
+.names II28057 g21524
+0 1
+.names g17718 g15452 g19178
+00 1
+.names g19178 II28061
+0 1
+.names II28061 g21528
+0 1
+.names g19272 g21529
+0 1
+.names g19957 II28065
+0 1
+.names II28065 g21530
+0 1
+.names g19987 II28072
+0 1
+.names II28072 g21537
+0 1
+.names g20025 II28076
+0 1
+.names II28076 g21541
+0 1
+.names g20025 g21544
+0 1
+.names g18308 g2026 g20487
+0- 1
+-0 1
+.names g20487 II28080
+0 1
+.names II28080 g21550
+0 1
+.names g20067 II28084
+0 1
+.names II28084 g21554
+0 1
+.names g17798 g15520 g19184
+00 1
+.names g19184 II28087
+0 1
+.names II28087 g21557
+0 1
+.names g18977 g7338 g20008
+00 1
+.names g20008 II28090
+0 1
+.names II28090 g21558
+0 1
+.names g19957 II28093
+0 1
+.names II28093 g21561
+0 1
+.names g19291 g21565
+0 1
+.names g19987 II28100
+0 1
+.names II28100 g21566
+0 1
+.names g20025 II28107
+0 1
+.names II28107 g21573
+0 1
+.names g20067 II28111
+0 1
+.names II28111 g21577
+0 1
+.names g20067 g21580
+0 1
+.names g18401 g2720 g20493
+0- 1
+-0 1
+.names g20493 II28115
+0 1
+.names II28115 g21586
+0 1
+.names g19957 II28119
+0 1
+.names II28119 g21590
+0 1
+.names g19987 II28123
+0 1
+.names II28123 g21594
+0 1
+.names g19309 g21598
+0 1
+.names g20025 II28130
+0 1
+.names II28130 g21599
+0 1
+.names g20067 II28137
+0 1
+.names II28137 g21606
+0 1
+.names g19957 II28143
+0 1
+.names II28143 g21612
+0 1
+.names g19987 II28148
+0 1
+.names II28148 g21619
+0 1
+.names g20025 II28152
+0 1
+.names II28152 g21623
+0 1
+.names g19330 g21627
+0 1
+.names g20067 II28159
+0 1
+.names II28159 g21628
+0 1
+.names g19987 II28169
+0 1
+.names II28169 g21640
+0 1
+.names g20025 II28174
+0 1
+.names II28174 g21647
+0 1
+.names g20067 II28178
+0 1
+.names II28178 g21651
+0 1
+.names g18590 g2924 g19103
+0- 1
+-0 1
+.names g19103 II28184
+0 1
+.names II28184 g21655
+0 1
+.names g19091 g21661
+0 1
+.names g20025 II28201
+0 1
+.names II28201 g21671
+0 1
+.names g20067 II28206
+0 1
+.names II28206 g21678
+0 1
+.names g18626 g3036 g20537
+0- 1
+-0 1
+.names g20537 II28210
+0 1
+.names II28210 g21682
+0 1
+.names g19098 g21690
+0 1
+.names g20067 II28229
+0 1
+.names II28229 g21700
+0 1
+.names g16536 g7583 g20153
+00 1
+.names g20153 II28235
+0 1
+.names II28235 g21708
+0 1
+.names g19894 g21716
+0 1
+.names g19105 g21726
+0 1
+.names g19919 g21742
+0 1
+.names g19110 g21752
+0 1
+.names g19934 g21766
+0 1
+.names g19951 g21782
+0 1
+.names g5378 g18884 g19152
+0- 1
+-0 1
+.names g19152 II28314
+0 1
+.names II28314 g21795
+0 1
+.names g5410 g18886 g20497
+0- 1
+-0 1
+.names g20497 II28357
+0 1
+.names II28357 g21824
+0 1
+.names g20163 II28360
+0 1
+.names II28360 g21825
+0 1
+.names g19657 g21861
+0 1
+.names g19705 g21867
+0 1
+.names g19749 g21872
+0 1
+.names g19792 g21876
+0 1
+.names g19890 g21883
+0 1
+.names g19915 g21886
+0 1
+.names g19945 g21895
+0 1
+.names g19978 g21902
+0 1
+.names g19972 g21907
+0 1
+.names g19335 II28432
+0 1
+.names II28432 g21914
+0 1
+.names g19358 II28435
+0 1
+.names II28435 g21917
+0 1
+.names g20002 g21921
+0 1
+.names g20045 g21927
+0 1
+.names g19358 II28443
+0 1
+.names II28443 g21928
+0 1
+.names g19369 II28447
+0 1
+.names II28447 g21932
+0 1
+.names g19390 II28450
+0 1
+.names II28450 g21935
+0 1
+.names g20040 g21939
+0 1
+.names g20943 II28455
+0 1
+.names g20971 II28458
+0 1
+.names g20998 II28461
+0 1
+.names g21024 II28464
+0 1
+.names g20942 II28467
+0 1
+.names g20984 II28470
+0 1
+.names g21030 II28473
+0 1
+.names g21064 II28476
+0 1
+.names g21795 II28479
+0 1
+.names g21376 II28482
+0 1
+.names g21426 II28485
+0 1
+.names g21495 II28488
+0 1
+.names g21327 II28491
+0 1
+.names g21358 II28494
+0 1
+.names g21399 II28497
+0 1
+.names g21457 II28500
+0 1
+.names g21528 II28503
+0 1
+.names g21377 II28506
+0 1
+.names g21427 II28509
+0 1
+.names g21496 II28512
+0 1
+.names g21557 II28515
+0 1
+.names g20985 II28518
+0 1
+.names g21824 II28521
+0 1
+.names g21359 II28524
+0 1
+.names g20499 g13316 g21407
+00 1
+.names g21407 II28527
+0 1
+.names II28527 g21967
+0 1
+.names g20506 g13355 g21467
+00 1
+.names g21467 II28541
+0 1
+.names II28541 g21982
+0 1
+.names g20502 g13335 g21432
+00 1
+.names g21432 II28550
+0 1
+.names II28550 g21995
+0 1
+.names g21407 II28557
+0 1
+.names II28557 g22003
+0 1
+.names g20492 g13289 g21385
+00 1
+.names g21385 II28564
+0 1
+.names II28564 g22014
+0 1
+.names g13609 g19150 g21842
+00 1
+.names g21842 II28628
+0 1
+.names II28628 g22082
+0 1
+.names g13619 g19155 g21843
+00 1
+.names g21843 II28649
+0 1
+.names II28649 g22107
+0 1
+.names g13631 g19161 g21845
+00 1
+.names g21845 II28671
+0 1
+.names II28671 g22133
+0 1
+.names g13642 g19166 g21847
+00 1
+.names g21847 II28693
+0 1
+.names II28693 g22156
+0 1
+.names g19252 g8842 g21851
+00 1
+.names g21851 II28712
+0 1
+.names II28712 g22176
+0 1
+.names g21914 g22212
+0 1
+.names g21917 g22213
+0 1
+.names g21928 g22217
+0 1
+.names g20472 g16153 g21331
+00 1
+.names g21331 II28781
+0 1
+.names II28781 g22219
+0 1
+.names g21932 g22221
+0 1
+.names g21935 g22222
+0 1
+.names g16964 g19228 g21878
+00 1
+.names g21878 II28789
+0 1
+.names II28789 g22225
+0 1
+.names g13854 g19236 g21880
+00 1
+.names g21880 II28792
+0 1
+.names II28792 g22226
+0 1
+.names g20634 g22230
+0 1
+.names g20460 g16111 g21316
+00 1
+.names g21316 II28800
+0 1
+.names II28800 g22232
+0 1
+.names g20637 g22233
+0 1
+.names g20641 g22236
+0 1
+.names g20644 g22237
+0 1
+.names g20649 g22239
+0 1
+.names g20652 g22240
+0 1
+.names g20655 g22241
+0 1
+.names g20525 g16445 g21502
+00 1
+.names g21502 II28813
+0 1
+.names II28813 g22243
+0 1
+.names g20659 g22246
+0 1
+.names g20662 g22248
+0 1
+.names g20666 g22251
+0 1
+.names g20669 g22252
+0 1
+.names g13862 g19248 g21882
+00 1
+.names g21882 II28825
+0 1
+.names II28825 g22253
+0 1
+.names g20673 g22256
+0 1
+.names g20676 g22257
+0 1
+.names g20679 g22258
+0 1
+.names g20512 g16417 g21470
+00 1
+.names g21470 II28833
+0 1
+.names II28833 g22259
+0 1
+.names g20684 g22260
+0 1
+.names g20687 g22261
+0 1
+.names g20690 g22262
+0 1
+.names g20694 g22266
+0 1
+.names g20697 g22268
+0 1
+.names g20704 g22271
+0 1
+.names g20708 g22274
+0 1
+.names g20711 g22275
+0 1
+.names g20714 g22276
+0 1
+.names g20719 g22277
+0 1
+.names g20722 g22278
+0 1
+.names g20725 g22279
+0 1
+.names g20729 g22283
+0 1
+.names g20732 g22286
+0 1
+.names g20735 g22287
+0 1
+.names g20739 g22290
+0 1
+.names g20743 g22293
+0 1
+.names g20746 g22294
+0 1
+.names g20749 g22295
+0 1
+.names g20754 g22296
+0 1
+.names g20757 g22297
+0 1
+.names g20760 g22298
+0 1
+.names g19954 g5890 g21238
+00 1
+.names g21238 II28876
+0 1
+.names II28876 g22300
+0 1
+.names g20763 g22303
+0 1
+.names g20766 g22304
+0 1
+.names g20769 g22306
+0 1
+.names g20772 g22307
+0 1
+.names g20776 g22310
+0 1
+.names g20780 g22313
+0 1
+.names g20783 g22314
+0 1
+.names g20786 g22315
+0 1
+.names g20015 g19981 g21149
+00 1
+.names g21149 g22316
+0 1
+.names g20790 g22318
+0 1
+.names g19388 g17118 g21228
+00 1
+.names g21228 g22319
+0 1
+.names g19984 g5929 g21246
+00 1
+.names g21246 II28896
+0 1
+.names II28896 g22328
+0 1
+.names g20793 g22331
+0 1
+.names g20796 g22332
+0 1
+.names g20799 g22334
+0 1
+.names g20802 g22335
+0 1
+.names g20806 g22338
+0 1
+.names g20057 g20019 g21169
+00 1
+.names g21169 g22341
+0 1
+.names g20810 g22343
+0 1
+.names g19418 g17145 g21233
+00 1
+.names g21233 g22344
+0 1
+.names g20022 g5963 g21255
+00 1
+.names g21255 II28913
+0 1
+.names II28913 g22353
+0 1
+.names g20813 g22356
+0 1
+.names g20816 g22357
+0 1
+.names g20819 g22359
+0 1
+.names g20822 g22360
+0 1
+.names g20098 g20061 g21189
+00 1
+.names g21189 g22364
+0 1
+.names g20827 g22366
+0 1
+.names g19455 g17168 g21242
+00 1
+.names g21242 g22367
+0 1
+.names g20064 g5992 g21263
+00 1
+.names g21263 II28928
+0 1
+.names II28928 g22376
+0 1
+.names g20830 g22379
+0 1
+.names g20833 g22380
+0 1
+.names g20123 g20102 g21204
+00 1
+.names g21204 g22384
+0 1
+.names g20837 g22386
+0 1
+.names g19482 g17183 g21250
+00 1
+.names g21250 g22387
+0 1
+.names g17724 g18179 g19799 II28068 g21533
+1111 1
+.names g21533 g22401
+0 1
+.names g17825 g18286 g19843 II28103 g21569
+1111 1
+.names g21569 g22402
+0 1
+.names g17937 g18379 g19876 II28133 g21602
+1111 1
+.names g21602 g22403
+0 1
+.names g18048 g18474 g19907 II28162 g21631
+1111 1
+.names g21631 g22404
+0 1
+.names g20164 g6232 g21685
+0- 1
+-0 1
+.names g21685 II28949
+0 1
+.names II28949 g22405
+0 1
+.names g20986 g22408
+0 1
+.names g20164 g6314 g21659
+0- 1
+-0 1
+.names g21659 II28953
+0 1
+.names II28953 g22409
+0 1
+.names g20164 g6232 g21714
+0- 1
+-0 1
+.names g21714 II28956
+0 1
+.names II28956 g22412
+0 1
+.names g20473 g6513 g21636
+00 1
+.names g21636 II28959
+0 1
+.names II28959 g22415
+0 1
+.names g20198 g6369 g21721
+0- 1
+-0 1
+.names g21721 II28962
+0 1
+.names II28962 g22418
+0 1
+.names g21012 g22421
+0 1
+.names g20164 g3254 g20633
+0- 1
+-0 1
+.names g20633 II28966
+0 1
+.names II28966 g22422
+0 1
+.names g20164 g6314 g21686
+0- 1
+-0 1
+.names g21686 II28969
+0 1
+.names II28969 g22425
+0 1
+.names g20164 g6232 g21736
+0- 1
+-0 1
+.names g21736 II28972
+0 1
+.names II28972 g22428
+0 1
+.names g20198 g6519 g21688
+0- 1
+-0 1
+.names g21688 II28975
+0 1
+.names II28975 g22431
+0 1
+.names g20198 g6369 g21740
+0- 1
+-0 1
+.names g21740 II28978
+0 1
+.names II28978 g22434
+0 1
+.names g20481 g6777 g21667
+00 1
+.names g21667 II28981
+0 1
+.names II28981 g22437
+0 1
+.names g20228 g6574 g21747
+0- 1
+-0 1
+.names g21747 II28984
+0 1
+.names II28984 g22440
+0 1
+.names g21036 g22443
+0 1
+.names g17301 g19594 g20874
+00 1
+.names g20874 II28988
+0 1
+.names II28988 g22444
+0 1
+.names g20164 g3254 g20648
+0- 1
+-0 1
+.names g20648 II28991
+0 1
+.names II28991 g22445
+0 1
+.names g20164 g6314 g21715
+0- 1
+-0 1
+.names g21715 II28994
+0 1
+.names II28994 g22448
+0 1
+.names g20164 g6232 g21759
+0- 1
+-0 1
+.names g21759 II28997
+0 1
+.names II28997 g22451
+0 1
+.names g20198 g3410 g20658
+0- 1
+-0 1
+.names g20658 II29001
+0 1
+.names II29001 g22455
+0 1
+.names g20198 g6519 g21722
+0- 1
+-0 1
+.names g21722 II29004
+0 1
+.names II29004 g22458
+0 1
+.names g20198 g6369 g21760
+0- 1
+-0 1
+.names g21760 II29007
+0 1
+.names II29007 g22461
+0 1
+.names g20228 g6783 g21724
+0- 1
+-0 1
+.names g21724 II29010
+0 1
+.names II29010 g22464
+0 1
+.names g20228 g6574 g21764
+0- 1
+-0 1
+.names g21764 II29013
+0 1
+.names II29013 g22467
+0 1
+.names g20487 g7079 g21696
+00 1
+.names g21696 II29016
+0 1
+.names II29016 g22470
+0 1
+.names g20255 g6838 g21771
+0- 1
+-0 1
+.names g21771 II29019
+0 1
+.names II29019 g22473
+0 1
+.names g21057 g22476
+0 1
+.names g20164 g3254 g20672
+0- 1
+-0 1
+.names g20672 II29023
+0 1
+.names II29023 g22477
+0 1
+.names g20164 g6314 g21737
+0- 1
+-0 1
+.names g21737 II29026
+0 1
+.names II29026 g22480
+0 1
+.names g20198 g3410 g20683
+0- 1
+-0 1
+.names g20683 II29030
+0 1
+.names II29030 g22484
+0 1
+.names g20198 g6519 g21741
+0- 1
+-0 1
+.names g21741 II29033
+0 1
+.names II29033 g22487
+0 1
+.names g20198 g6369 g21775
+0- 1
+-0 1
+.names g21775 II29036
+0 1
+.names II29036 g22490
+0 1
+.names g20228 g3566 g20693
+0- 1
+-0 1
+.names g20693 II29040
+0 1
+.names II29040 g22494
+0 1
+.names g20228 g6783 g21748
+0- 1
+-0 1
+.names g21748 II29043
+0 1
+.names II29043 g22497
+0 1
+.names g20228 g6574 g21776
+0- 1
+-0 1
+.names g21776 II29046
+0 1
+.names II29046 g22500
+0 1
+.names g20255 g7085 g21750
+0- 1
+-0 1
+.names g21750 II29049
+0 1
+.names II29049 g22503
+0 1
+.names g20255 g6838 g21780
+0- 1
+-0 1
+.names g21780 II29052
+0 1
+.names II29052 g22506
+0 1
+.names g20493 g7329 g21732
+00 1
+.names g21732 II29055
+0 1
+.names II29055 g22509
+0 1
+.names g20164 g3254 g20703
+0- 1
+-0 1
+.names g20703 II29058
+0 1
+.names II29058 g22512
+0 1
+.names g19584 g17352 g20875
+00 1
+.names g20875 II29064
+0 1
+.names II29064 g22518
+0 1
+.names g19585 g17353 g20876
+00 1
+.names g20876 II29067
+0 1
+.names II29067 g22519
+0 1
+.names g20198 g3410 g20707
+0- 1
+-0 1
+.names g20707 II29070
+0 1
+.names II29070 g22520
+0 1
+.names g20198 g6519 g21761
+0- 1
+-0 1
+.names g21761 II29073
+0 1
+.names II29073 g22523
+0 1
+.names g20228 g3566 g20718
+0- 1
+-0 1
+.names g20718 II29077
+0 1
+.names II29077 g22527
+0 1
+.names g20228 g6783 g21765
+0- 1
+-0 1
+.names g21765 II29080
+0 1
+.names II29080 g22530
+0 1
+.names g20228 g6574 g21790
+0- 1
+-0 1
+.names g21790 II29083
+0 1
+.names II29083 g22533
+0 1
+.names g20255 g3722 g20728
+0- 1
+-0 1
+.names g20728 II29087
+0 1
+.names II29087 g22537
+0 1
+.names g20255 g7085 g21772
+0- 1
+-0 1
+.names g21772 II29090
+0 1
+.names II29090 g22540
+0 1
+.names g20255 g6838 g21791
+0- 1
+-0 1
+.names g21791 II29093
+0 1
+.names II29093 g22543
+0 1
+.names g21087 g22547
+0 1
+.names g19601 g17396 g20879
+00 1
+.names g20879 II29098
+0 1
+.names II29098 g22548
+0 1
+.names g19602 g17397 g20880
+00 1
+.names g20880 II29101
+0 1
+.names II29101 g22549
+0 1
+.names g19603 g17398 g20881
+00 1
+.names g20881 II29104
+0 1
+.names II29104 g22550
+0 1
+.names g20503 g16385 g21435
+00 1
+.names g21435 II29107
+0 1
+.names II29107 g22551
+0 1
+.names g20198 g3410 g20738
+0- 1
+-0 1
+.names g20738 II29110
+0 1
+.names II29110 g22552
+0 1
+.names g19614 g17408 g20882
+00 1
+.names g20882 II29116
+0 1
+.names II29116 g22558
+0 1
+.names g19615 g17409 g20883
+00 1
+.names g20883 II29119
+0 1
+.names II29119 g22559
+0 1
+.names g20228 g3566 g20742
+0- 1
+-0 1
+.names g20742 II29122
+0 1
+.names II29122 g22560
+0 1
+.names g20228 g6783 g21777
+0- 1
+-0 1
+.names g21777 II29125
+0 1
+.names II29125 g22563
+0 1
+.names g20255 g3722 g20753
+0- 1
+-0 1
+.names g20753 II29129
+0 1
+.names II29129 g22567
+0 1
+.names g20255 g7085 g21781
+0- 1
+-0 1
+.names g21781 II29132
+0 1
+.names II29132 g22570
+0 1
+.names g20255 g6838 g21804
+0- 1
+-0 1
+.names g21804 II29135
+0 1
+.names II29135 g22573
+0 1
+.names g19160 g10024 g20682
+00 1
+.names g20682 II29142
+0 1
+.names II29142 g22582
+0 1
+.names g19626 g17447 g20891
+00 1
+.names g20891 II29145
+0 1
+.names II29145 g22583
+0 1
+.names g19627 g17448 g20892
+00 1
+.names g20892 II29148
+0 1
+.names II29148 g22584
+0 1
+.names g19628 g17449 g20893
+00 1
+.names g20893 II29151
+0 1
+.names II29151 g22585
+0 1
+.names g19629 g17450 g20894
+00 1
+.names g20894 II29154
+0 1
+.names II29154 g22586
+0 1
+.names g21099 g22588
+0 1
+.names g19634 g17462 g20896
+00 1
+.names g20896 II29159
+0 1
+.names II29159 g22589
+0 1
+.names g19635 g17463 g20897
+00 1
+.names g20897 II29162
+0 1
+.names II29162 g22590
+0 1
+.names g19636 g17464 g20898
+00 1
+.names g20898 II29165
+0 1
+.names II29165 g22591
+0 1
+.names g20228 g3566 g20775
+0- 1
+-0 1
+.names g20775 II29168
+0 1
+.names II29168 g22592
+0 1
+.names g19647 g17474 g20899
+00 1
+.names g20899 II29174
+0 1
+.names II29174 g22598
+0 1
+.names g19648 g17475 g20900
+00 1
+.names g20900 II29177
+0 1
+.names II29177 g22599
+0 1
+.names g20255 g3722 g20779
+0- 1
+-0 1
+.names g20779 II29180
+0 1
+.names II29180 g22600
+0 1
+.names g20255 g7085 g21792
+0- 1
+-0 1
+.names g21792 II29183
+0 1
+.names II29183 g22603
+0 1
+.names g21108 g22609
+0 1
+.names g19660 g17508 g20901
+00 1
+.names g20901 II29191
+0 1
+.names II29191 g22611
+0 1
+.names g19661 g17509 g20902
+00 1
+.names g20902 II29194
+0 1
+.names II29194 g22612
+0 1
+.names g19662 g17510 g20903
+00 1
+.names g20903 II29197
+0 1
+.names II29197 g22613
+0 1
+.names g19165 g10133 g20717
+00 1
+.names g20717 II29203
+0 1
+.names II29203 g22619
+0 1
+.names g19666 g17527 g20910
+00 1
+.names g20910 II29206
+0 1
+.names II29206 g22620
+0 1
+.names g19667 g17528 g20911
+00 1
+.names g20911 II29209
+0 1
+.names II29209 g22621
+0 1
+.names g19668 g17529 g20912
+00 1
+.names g20912 II29212
+0 1
+.names II29212 g22622
+0 1
+.names g19669 g17530 g20913
+00 1
+.names g20913 II29215
+0 1
+.names II29215 g22623
+0 1
+.names g21113 g22625
+0 1
+.names g19674 g17542 g20915
+00 1
+.names g20915 II29220
+0 1
+.names II29220 g22626
+0 1
+.names g19675 g17543 g20916
+00 1
+.names g20916 II29223
+0 1
+.names II29223 g22627
+0 1
+.names g19676 g17544 g20917
+00 1
+.names g20917 II29226
+0 1
+.names II29226 g22628
+0 1
+.names g20255 g3722 g20805
+0- 1
+-0 1
+.names g20805 II29229
+0 1
+.names II29229 g22629
+0 1
+.names g19687 g17554 g20918
+00 1
+.names g20918 II29235
+0 1
+.names II29235 g22635
+0 1
+.names g19688 g17555 g20919
+00 1
+.names g20919 II29238
+0 1
+.names II29238 g22636
+0 1
+.names g19697 g17576 g20921
+00 1
+.names g20921 II29243
+0 1
+.names II29243 g22639
+0 1
+.names g19698 g17577 g20922
+00 1
+.names g20922 II29246
+0 1
+.names II29246 g22640
+0 1
+.names g19699 g17578 g20923
+00 1
+.names g20923 II29249
+0 1
+.names II29249 g22641
+0 1
+.names g19700 g15257 g20924
+00 1
+.names g20924 II29252
+0 1
+.names II29252 g22642
+0 1
+.names g21125 g22645
+0 1
+.names g19708 g17598 g20925
+00 1
+.names g20925 II29259
+0 1
+.names II29259 g22647
+0 1
+.names g19709 g17599 g20926
+00 1
+.names g20926 II29262
+0 1
+.names II29262 g22648
+0 1
+.names g19710 g17600 g20927
+00 1
+.names g20927 II29265
+0 1
+.names II29265 g22649
+0 1
+.names g19171 g10238 g20752
+00 1
+.names g20752 II29271
+0 1
+.names II29271 g22655
+0 1
+.names g19714 g17617 g20934
+00 1
+.names g20934 II29274
+0 1
+.names II29274 g22656
+0 1
+.names g19715 g17618 g20935
+00 1
+.names g20935 II29277
+0 1
+.names II29277 g22657
+0 1
+.names g19716 g17619 g20936
+00 1
+.names g20936 II29280
+0 1
+.names II29280 g22658
+0 1
+.names g19717 g17620 g20937
+00 1
+.names g20937 II29283
+0 1
+.names II29283 g22659
+0 1
+.names g21130 g22661
+0 1
+.names g19722 g17632 g20939
+00 1
+.names g20939 II29288
+0 1
+.names II29288 g22662
+0 1
+.names g19723 g17633 g20940
+00 1
+.names g20940 II29291
+0 1
+.names II29291 g22663
+0 1
+.names g19724 g17634 g20941
+00 1
+.names g20941 II29294
+0 1
+.names II29294 g22664
+0 1
+.names g19731 g17652 g20944
+00 1
+.names g20944 II29301
+0 1
+.names II29301 g22669
+0 1
+.names g19732 g17653 g20945
+00 1
+.names g20945 II29304
+0 1
+.names II29304 g22670
+0 1
+.names g19733 g17654 g20946
+00 1
+.names g20946 II29307
+0 1
+.names II29307 g22671
+0 1
+.names g19734 g15335 g20947
+00 1
+.names g20947 II29310
+0 1
+.names II29310 g22672
+0 1
+.names g19735 g15336 g20948
+00 1
+.names g20948 II29313
+0 1
+.names II29313 g22673
+0 1
+.names g19741 g17673 g20949
+00 1
+.names g20949 II29317
+0 1
+.names II29317 g22675
+0 1
+.names g19742 g17674 g20950
+00 1
+.names g20950 II29320
+0 1
+.names II29320 g22676
+0 1
+.names g19743 g17675 g20951
+00 1
+.names g20951 II29323
+0 1
+.names II29323 g22677
+0 1
+.names g19744 g15349 g20952
+00 1
+.names g20952 II29326
+0 1
+.names II29326 g22678
+0 1
+.names g21144 g22681
+0 1
+.names g19752 g17695 g20953
+00 1
+.names g20953 II29333
+0 1
+.names II29333 g22683
+0 1
+.names g19753 g17696 g20954
+00 1
+.names g20954 II29336
+0 1
+.names II29336 g22684
+0 1
+.names g19754 g17697 g20955
+00 1
+.names g20955 II29339
+0 1
+.names II29339 g22685
+0 1
+.names g19177 g10340 g20789
+00 1
+.names g20789 II29345
+0 1
+.names II29345 g22691
+0 1
+.names g19758 g17714 g20962
+00 1
+.names g20962 II29348
+0 1
+.names II29348 g22692
+0 1
+.names g19759 g17715 g20963
+00 1
+.names g20963 II29351
+0 1
+.names II29351 g22693
+0 1
+.names g19760 g17716 g20964
+00 1
+.names g20964 II29354
+0 1
+.names II29354 g22694
+0 1
+.names g19761 g17717 g20965
+00 1
+.names g20965 II29357
+0 1
+.names II29357 g22695
+0 1
+.names g19830 g13004 g21796
+00 1
+.names g21796 II29360
+0 1
+.names II29360 g22696
+0 1
+.names g19765 g17734 g20966
+00 1
+.names g20966 II29366
+0 1
+.names II29366 g22702
+0 1
+.names g19766 g17735 g20967
+00 1
+.names g20967 II29369
+0 1
+.names II29369 g22703
+0 1
+.names g19767 g17736 g20968
+00 1
+.names g20968 II29372
+0 1
+.names II29372 g22704
+0 1
+.names g19768 g15402 g20969
+00 1
+.names g20969 II29375
+0 1
+.names II29375 g22705
+0 1
+.names g19769 g15403 g20970
+00 1
+.names g20970 II29378
+0 1
+.names II29378 g22706
+0 1
+.names g19774 g17752 g20972
+00 1
+.names g20972 II29383
+0 1
+.names II29383 g22709
+0 1
+.names g19775 g17753 g20973
+00 1
+.names g20973 II29386
+0 1
+.names II29386 g22710
+0 1
+.names g19776 g17754 g20974
+00 1
+.names g20974 II29389
+0 1
+.names II29389 g22711
+0 1
+.names g19777 g15421 g20975
+00 1
+.names g20975 II29392
+0 1
+.names II29392 g22712
+0 1
+.names g19778 g15422 g20976
+00 1
+.names g20976 II29395
+0 1
+.names II29395 g22713
+0 1
+.names g19784 g17773 g20977
+00 1
+.names g20977 II29399
+0 1
+.names II29399 g22715
+0 1
+.names g19785 g17774 g20978
+00 1
+.names g20978 II29402
+0 1
+.names II29402 g22716
+0 1
+.names g19786 g17775 g20979
+00 1
+.names g20979 II29405
+0 1
+.names II29405 g22717
+0 1
+.names g19787 g15435 g20980
+00 1
+.names g20980 II29408
+0 1
+.names II29408 g22718
+0 1
+.names g21164 g22721
+0 1
+.names g19795 g17795 g20981
+00 1
+.names g20981 II29415
+0 1
+.names II29415 g22723
+0 1
+.names g19796 g17796 g20982
+00 1
+.names g20982 II29418
+0 1
+.names II29418 g22724
+0 1
+.names g19797 g17797 g20983
+00 1
+.names g20983 II29421
+0 1
+.names II29421 g22725
+0 1
+.names g19802 g17812 g20989
+00 1
+.names g20989 II29426
+0 1
+.names II29426 g22728
+0 1
+.names g19803 g17813 g20990
+00 1
+.names g20990 II29429
+0 1
+.names II29429 g22729
+0 1
+.names g19804 g17814 g20991
+00 1
+.names g20991 II29432
+0 1
+.names II29432 g22730
+0 1
+.names g19805 g15470 g20992
+00 1
+.names g20992 II29435
+0 1
+.names II29435 g22731
+0 1
+.names g19807 g17835 g20993
+00 1
+.names g20993 II29439
+0 1
+.names II29439 g22733
+0 1
+.names g19808 g17836 g20994
+00 1
+.names g20994 II29442
+0 1
+.names II29442 g22734
+0 1
+.names g19809 g17837 g20995
+00 1
+.names g20995 II29445
+0 1
+.names II29445 g22735
+0 1
+.names g19810 g15486 g20996
+00 1
+.names g20996 II29448
+0 1
+.names II29448 g22736
+0 1
+.names g19811 g15487 g20997
+00 1
+.names g20997 II29451
+0 1
+.names II29451 g22737
+0 1
+.names g19816 g17853 g20999
+00 1
+.names g20999 II29456
+0 1
+.names II29456 g22740
+0 1
+.names g19817 g17854 g21000
+00 1
+.names g21000 II29459
+0 1
+.names II29459 g22741
+0 1
+.names g19818 g17855 g21001
+00 1
+.names g21001 II29462
+0 1
+.names II29462 g22742
+0 1
+.names g19819 g15505 g21002
+00 1
+.names g21002 II29465
+0 1
+.names II29465 g22743
+0 1
+.names g19820 g15506 g21003
+00 1
+.names g21003 II29468
+0 1
+.names II29468 g22744
+0 1
+.names g19826 g17874 g21004
+00 1
+.names g21004 II29472
+0 1
+.names II29472 g22746
+0 1
+.names g19827 g17875 g21005
+00 1
+.names g21005 II29475
+0 1
+.names II29475 g22747
+0 1
+.names g19828 g17876 g21006
+00 1
+.names g21006 II29478
+0 1
+.names II29478 g22748
+0 1
+.names g19829 g15519 g21007
+00 1
+.names g21007 II29481
+0 1
+.names II29481 g22749
+0 1
+.names g20008 g3013 g21903
+0- 1
+-0 1
+.names g21903 II29484
+0 1
+.names II29484 g22750
+0 1
+.names g21184 g22753
+0 1
+.names g19839 g17900 g21009
+00 1
+.names g21009 II29490
+0 1
+.names II29490 g22756
+0 1
+.names g19840 g17901 g21010
+00 1
+.names g21010 II29493
+0 1
+.names II29493 g22757
+0 1
+.names g19841 g17902 g21011
+00 1
+.names g21011 II29496
+0 1
+.names II29496 g22758
+0 1
+.names g19846 g17924 g21015
+00 1
+.names g21015 II29500
+0 1
+.names II29500 g22760
+0 1
+.names g19847 g17925 g21016
+00 1
+.names g21016 II29503
+0 1
+.names II29503 g22761
+0 1
+.names g19848 g17926 g21017
+00 1
+.names g21017 II29506
+0 1
+.names II29506 g22762
+0 1
+.names g19849 g15556 g21018
+00 1
+.names g21018 II29509
+0 1
+.names II29509 g22763
+0 1
+.names g19851 g17947 g21019
+00 1
+.names g21019 II29513
+0 1
+.names II29513 g22765
+0 1
+.names g19852 g17948 g21020
+00 1
+.names g21020 II29516
+0 1
+.names II29516 g22766
+0 1
+.names g19853 g17949 g21021
+00 1
+.names g21021 II29519
+0 1
+.names II29519 g22767
+0 1
+.names g19854 g15572 g21022
+00 1
+.names g21022 II29522
+0 1
+.names II29522 g22768
+0 1
+.names g19855 g15573 g21023
+00 1
+.names g21023 II29525
+0 1
+.names II29525 g22769
+0 1
+.names g19860 g17965 g21025
+00 1
+.names g21025 II29530
+0 1
+.names II29530 g22772
+0 1
+.names g19861 g17966 g21026
+00 1
+.names g21026 II29533
+0 1
+.names II29533 g22773
+0 1
+.names g19862 g17967 g21027
+00 1
+.names g21027 II29536
+0 1
+.names II29536 g22774
+0 1
+.names g19863 g15591 g21028
+00 1
+.names g21028 II29539
+0 1
+.names II29539 g22775
+0 1
+.names g19864 g15592 g21029
+00 1
+.names g21029 II29542
+0 1
+.names II29542 g22776
+0 1
+.names g21796 g22777
+0 1
+.names g19869 g17989 g21031
+00 1
+.names g21031 II29547
+0 1
+.names II29547 g22785
+0 1
+.names g19870 g17990 g21032
+00 1
+.names g21032 II29550
+0 1
+.names II29550 g22786
+0 1
+.names g21199 g22787
+0 1
+.names g19872 g18011 g21033
+00 1
+.names g21033 II29556
+0 1
+.names II29556 g22790
+0 1
+.names g19873 g18012 g21034
+00 1
+.names g21034 II29559
+0 1
+.names II29559 g22791
+0 1
+.names g19874 g18013 g21035
+00 1
+.names g21035 II29562
+0 1
+.names II29562 g22792
+0 1
+.names g19879 g18035 g21039
+00 1
+.names g21039 II29566
+0 1
+.names II29566 g22794
+0 1
+.names g19880 g18036 g21040
+00 1
+.names g21040 II29569
+0 1
+.names II29569 g22795
+0 1
+.names g19881 g18037 g21041
+00 1
+.names g21041 II29572
+0 1
+.names II29572 g22796
+0 1
+.names g19882 g15634 g21042
+00 1
+.names g21042 II29575
+0 1
+.names II29575 g22797
+0 1
+.names g19884 g18058 g21043
+00 1
+.names g21043 II29579
+0 1
+.names II29579 g22799
+0 1
+.names g19885 g18059 g21044
+00 1
+.names g21044 II29582
+0 1
+.names II29582 g22800
+0 1
+.names g19886 g18060 g21045
+00 1
+.names g21045 II29585
+0 1
+.names II29585 g22801
+0 1
+.names g19887 g15650 g21046
+00 1
+.names g21046 II29588
+0 1
+.names II29588 g22802
+0 1
+.names g19888 g15651 g21047
+00 1
+.names g21047 II29591
+0 1
+.names II29591 g22803
+0 1
+.names g19317 g19356 g21894
+00 1
+.names g21894 g22805
+0 1
+.names g16567 g19957 g21615
+00 1
+.names g21615 g22806
+0 1
+.names g14256 g15177 g19871 g19842 g21720
+0--- 1
+-0-- 1
+--0- 1
+---0 1
+.names g21720 II29600
+0 1
+.names II29600 g22812
+0 1
+.names g19895 g18088 g21051
+00 1
+.names g21051 II29603
+0 1
+.names II29603 g22824
+0 1
+.names g20486 g13266 g21364
+00 1
+.names g21364 II29606
+0 1
+.names II29606 g22825
+0 1
+.names g19900 g18106 g21052
+00 1
+.names g21052 II29610
+0 1
+.names II29610 g22827
+0 1
+.names g19901 g18107 g21053
+00 1
+.names g21053 II29613
+0 1
+.names II29613 g22828
+0 1
+.names g21214 g22829
+0 1
+.names g19903 g18128 g21054
+00 1
+.names g21054 II29619
+0 1
+.names II29619 g22832
+0 1
+.names g19904 g18129 g21055
+00 1
+.names g21055 II29622
+0 1
+.names II29622 g22833
+0 1
+.names g19905 g18130 g21056
+00 1
+.names g21056 II29625
+0 1
+.names II29625 g22834
+0 1
+.names g19910 g18152 g21060
+00 1
+.names g21060 II29629
+0 1
+.names II29629 g22836
+0 1
+.names g19911 g18153 g21061
+00 1
+.names g21061 II29632
+0 1
+.names II29632 g22837
+0 1
+.names g19912 g18154 g21062
+00 1
+.names g21062 II29635
+0 1
+.names II29635 g22838
+0 1
+.names g19913 g15710 g21063
+00 1
+.names g21063 II29638
+0 1
+.names II29638 g22839
+0 1
+.names g19219 g15959 g20825
+0- 1
+-0 1
+.names g20825 II29641
+0 1
+.names II29641 g22840
+0 1
+.names g19285 g19316 g21889
+00 1
+.names g21889 g22843
+0 1
+.names g16591 g19987 g21643
+00 1
+.names g21643 g22847
+0 1
+.names g14378 g15263 g19902 g19875 g21746
+0--- 1
+-0-- 1
+--0- 1
+---0 1
+.names g21746 II29653
+0 1
+.names II29653 g22852
+0 1
+.names g19920 g18204 g21070
+00 1
+.names g21070 II29656
+0 1
+.names II29656 g22864
+0 1
+.names g19925 g18222 g21071
+00 1
+.names g21071 II29660
+0 1
+.names II29660 g22866
+0 1
+.names g19926 g18223 g21072
+00 1
+.names g21072 II29663
+0 1
+.names II29663 g22867
+0 1
+.names g21222 g22868
+0 1
+.names g19928 g18244 g21073
+00 1
+.names g21073 II29669
+0 1
+.names II29669 g22871
+0 1
+.names g19929 g18245 g21074
+00 1
+.names g21074 II29672
+0 1
+.names II29672 g22872
+0 1
+.names g19930 g18246 g21075
+00 1
+.names g21075 II29675
+0 1
+.names II29675 g22873
+0 1
+.names g19260 g19284 g21884
+00 1
+.names g21884 g22875
+0 1
+.names g16611 g20025 g21674
+00 1
+.names g21674 g22882
+0 1
+.names g14490 g15355 g19927 g19906 g21770
+0--- 1
+-0-- 1
+--0- 1
+---0 1
+.names g21770 II29687
+0 1
+.names II29687 g22887
+0 1
+.names g19935 g18311 g21080
+00 1
+.names g21080 II29690
+0 1
+.names II29690 g22899
+0 1
+.names g19940 g18329 g21081
+00 1
+.names g21081 II29694
+0 1
+.names II29694 g22901
+0 1
+.names g19941 g18330 g21082
+00 1
+.names g21082 II29697
+0 1
+.names II29697 g22902
+0 1
+.names g20153 g2903 g20700
+0- 1
+-0 1
+.names g20700 II29700
+0 1
+.names II29700 g22903
+0 1
+.names g19830 g15780 g21711
+00 1
+.names g21711 g22907
+0 1
+.names g16629 g20067 g21703
+00 1
+.names g21703 g22917
+0 1
+.names g14577 g15441 g19942 g19931 g21786
+0--- 1
+-0-- 1
+--0- 1
+---0 1
+.names g21786 II29712
+0 1
+.names II29712 g22922
+0 1
+.names g19952 g18404 g21094
+00 1
+.names g21094 II29715
+0 1
+.names II29715 g22934
+0 1
+.names g21851 II29724
+0 1
+.names II29724 g22945
+0 1
+.names g3919 g19830 g20877
+00 1
+.names g20877 II29727
+0 1
+.names II29727 g22948
+0 1
+.names g20507 g18352 g21665
+00 1
+.names g21665 g22949
+0 1
+.names g20507 g18430 g21739
+00 1
+.names g21739 g22954
+0 1
+.names g20526 g18447 g21694
+00 1
+.names g21694 g22958
+0 1
+.names g20526 g18503 g21763
+00 1
+.names g21763 g22962
+0 1
+.names g20545 g18520 g21730
+00 1
+.names g21730 g22966
+0 1
+.names g5394 g19830 g20884
+00 1
+.names g20884 II29736
+0 1
+.names II29736 g22970
+0 1
+.names g20545 g18567 g21779
+00 1
+.names g21779 g22971
+0 1
+.names g19070 g18584 g21756
+00 1
+.names g21756 g22975
+0 1
+.names g20480 g13247 g21346
+00 1
+.names g21346 II29741
+0 1
+.names II29741 g22979
+0 1
+.names g19070 g18617 g21794
+00 1
+.names g21794 g22980
+0 1
+.names g21382 g22986
+0 1
+.names g21404 g22988
+0 1
+.names g21415 g22989
+0 1
+.names g21429 g22991
+0 1
+.names g21441 g22995
+0 1
+.names g21449 g22996
+0 1
+.names g21458 g22998
+0 1
+.names g21473 g23001
+0 1
+.names g21477 g23002
+0 1
+.names g21483 g23006
+0 1
+.names g21491 g23007
+0 1
+.names g21498 g23008
+0 1
+.names g21505 g23012
+0 1
+.names g21514 g23015
+0 1
+.names g21518 g23016
+0 1
+.names g21524 g23020
+0 1
+.names g21530 g23021
+0 1
+.names g21537 g23024
+0 1
+.names g21541 g23028
+0 1
+.names g21550 g23031
+0 1
+.names g21554 g23032
+0 1
+.names g21558 g23036
+0 1
+.names g21561 g23037
+0 1
+.names g21566 g23038
+0 1
+.names g21573 g23041
+0 1
+.names g21577 g23045
+0 1
+.names g21586 g23048
+0 1
+.names g21590 g23049
+0 1
+.names g21432 II29797
+0 1
+.names II29797 g23050
+0 1
+.names g21435 II29802
+0 1
+.names II29802 g23055
+0 1
+.names g21594 g23056
+0 1
+.names g21599 g23057
+0 1
+.names g21606 g23060
+0 1
+.names g21612 g23064
+0 1
+.names g21467 II29812
+0 1
+.names II29812 g23065
+0 1
+.names g21470 II29817
+0 1
+.names II29817 g23068
+0 1
+.names g21619 g23069
+0 1
+.names g21623 g23074
+0 1
+.names g21628 g23075
+0 1
+.names g21502 II29827
+0 1
+.names II29827 g23078
+0 1
+.names g21640 g23079
+0 1
+.names g21647 g23082
+0 1
+.names g21651 g23087
+0 1
+.names g21655 g23088
+0 1
+.names g21316 II29841
+0 1
+.names II29841 g23094
+0 1
+.names g21671 g23095
+0 1
+.names g21678 g23098
+0 1
+.names g21682 g23103
+0 1
+.names g21331 II29852
+0 1
+.names II29852 g23105
+0 1
+.names g21700 g23112
+0 1
+.names g21708 g23115
+0 1
+.names g21346 II29863
+0 1
+.names II29863 g23116
+0 1
+.names g21364 II29872
+0 1
+.names II29872 g23125
+0 1
+.names g21385 II29881
+0 1
+.names II29881 g23134
+0 1
+.names g21825 g23140
+0 1
+.names g21825 g23141
+0 1
+.names g21825 g23142
+0 1
+.names g21825 g23143
+0 1
+.names g21825 g23144
+0 1
+.names g21825 g23145
+0 1
+.names g21825 g23146
+0 1
+.names g21825 g23147
+0 1
+.names g23116 II29897
+0 1
+.names g23125 II29900
+0 1
+.names g23134 II29903
+0 1
+.names g21967 II29906
+0 1
+.names g23050 II29909
+0 1
+.names g23065 II29912
+0 1
+.names g23055 II29915
+0 1
+.names g23068 II29918
+0 1
+.names g23078 II29921
+0 1
+.names g23094 II29924
+0 1
+.names g23105 II29927
+0 1
+.names g22176 II29930
+0 1
+.names g22082 II29933
+0 1
+.names g22582 II29936
+0 1
+.names g22518 II29939
+0 1
+.names g22548 II29942
+0 1
+.names g22583 II29945
+0 1
+.names g22549 II29948
+0 1
+.names g22584 II29951
+0 1
+.names g22611 II29954
+0 1
+.names g22585 II29957
+0 1
+.names g22612 II29960
+0 1
+.names g22639 II29963
+0 1
+.names g22613 II29966
+0 1
+.names g22640 II29969
+0 1
+.names g22669 II29972
+0 1
+.names g22641 II29975
+0 1
+.names g22670 II29978
+0 1
+.names g22702 II29981
+0 1
+.names g22671 II29984
+0 1
+.names g22703 II29987
+0 1
+.names g22728 II29990
+0 1
+.names g22704 II29993
+0 1
+.names g22729 II29996
+0 1
+.names g22756 II29999
+0 1
+.names g22730 II30002
+0 1
+.names g22757 II30005
+0 1
+.names g22785 II30008
+0 1
+.names g22758 II30011
+0 1
+.names g22786 II30014
+0 1
+.names g22824 II30017
+0 1
+.names g22519 II30020
+0 1
+.names g22550 II30023
+0 1
+.names g22586 II30026
+0 1
+.names g22642 II30029
+0 1
+.names g22672 II30032
+0 1
+.names g22705 II30035
+0 1
+.names g22673 II30038
+0 1
+.names g22706 II30041
+0 1
+.names g22731 II30044
+0 1
+.names g22107 II30047
+0 1
+.names g22619 II30050
+0 1
+.names g22558 II30053
+0 1
+.names g22589 II30056
+0 1
+.names g22620 II30059
+0 1
+.names g22590 II30062
+0 1
+.names g22621 II30065
+0 1
+.names g22647 II30068
+0 1
+.names g22622 II30071
+0 1
+.names g22648 II30074
+0 1
+.names g22675 II30077
+0 1
+.names g22649 II30080
+0 1
+.names g22676 II30083
+0 1
+.names g22709 II30086
+0 1
+.names g22677 II30089
+0 1
+.names g22710 II30092
+0 1
+.names g22733 II30095
+0 1
+.names g22711 II30098
+0 1
+.names g22734 II30101
+0 1
+.names g22760 II30104
+0 1
+.names g22735 II30107
+0 1
+.names g22761 II30110
+0 1
+.names g22790 II30113
+0 1
+.names g22762 II30116
+0 1
+.names g22791 II30119
+0 1
+.names g22827 II30122
+0 1
+.names g22792 II30125
+0 1
+.names g22828 II30128
+0 1
+.names g22864 II30131
+0 1
+.names g22559 II30134
+0 1
+.names g22591 II30137
+0 1
+.names g22623 II30140
+0 1
+.names g22678 II30143
+0 1
+.names g22712 II30146
+0 1
+.names g22736 II30149
+0 1
+.names g22713 II30152
+0 1
+.names g22737 II30155
+0 1
+.names g22763 II30158
+0 1
+.names g22133 II30161
+0 1
+.names g22655 II30164
+0 1
+.names g22598 II30167
+0 1
+.names g22626 II30170
+0 1
+.names g22656 II30173
+0 1
+.names g22627 II30176
+0 1
+.names g22657 II30179
+0 1
+.names g22683 II30182
+0 1
+.names g22658 II30185
+0 1
+.names g22684 II30188
+0 1
+.names g22715 II30191
+0 1
+.names g22685 II30194
+0 1
+.names g22716 II30197
+0 1
+.names g22740 II30200
+0 1
+.names g22717 II30203
+0 1
+.names g22741 II30206
+0 1
+.names g22765 II30209
+0 1
+.names g22742 II30212
+0 1
+.names g22766 II30215
+0 1
+.names g22794 II30218
+0 1
+.names g22767 II30221
+0 1
+.names g22795 II30224
+0 1
+.names g22832 II30227
+0 1
+.names g22796 II30230
+0 1
+.names g22833 II30233
+0 1
+.names g22866 II30236
+0 1
+.names g22834 II30239
+0 1
+.names g22867 II30242
+0 1
+.names g22899 II30245
+0 1
+.names g22599 II30248
+0 1
+.names g22628 II30251
+0 1
+.names g22659 II30254
+0 1
+.names g22718 II30257
+0 1
+.names g22743 II30260
+0 1
+.names g22768 II30263
+0 1
+.names g22744 II30266
+0 1
+.names g22769 II30269
+0 1
+.names g22797 II30272
+0 1
+.names g22156 II30275
+0 1
+.names g22691 II30278
+0 1
+.names g22635 II30281
+0 1
+.names g22662 II30284
+0 1
+.names g22692 II30287
+0 1
+.names g22663 II30290
+0 1
+.names g22693 II30293
+0 1
+.names g22723 II30296
+0 1
+.names g22694 II30299
+0 1
+.names g22724 II30302
+0 1
+.names g22746 II30305
+0 1
+.names g22725 II30308
+0 1
+.names g22747 II30311
+0 1
+.names g22772 II30314
+0 1
+.names g22748 II30317
+0 1
+.names g22773 II30320
+0 1
+.names g22799 II30323
+0 1
+.names g22774 II30326
+0 1
+.names g22800 II30329
+0 1
+.names g22836 II30332
+0 1
+.names g22801 II30335
+0 1
+.names g22837 II30338
+0 1
+.names g22871 II30341
+0 1
+.names g22838 II30344
+0 1
+.names g22872 II30347
+0 1
+.names g22901 II30350
+0 1
+.names g22873 II30353
+0 1
+.names g22902 II30356
+0 1
+.names g22934 II30359
+0 1
+.names g22636 II30362
+0 1
+.names g22664 II30365
+0 1
+.names g22695 II30368
+0 1
+.names g22749 II30371
+0 1
+.names g22775 II30374
+0 1
+.names g22802 II30377
+0 1
+.names g22776 II30380
+0 1
+.names g22803 II30383
+0 1
+.names g22839 II30386
+0 1
+.names g22225 II30389
+0 1
+.names g22226 II30392
+0 1
+.names g22253 II30395
+0 1
+.names g22840 II30398
+0 1
+.names g22444 II30401
+0 1
+.names g22948 II30404
+0 1
+.names g22970 II30407
+0 1
+.names g21800 g21788 g21844 g23052
+1-- 1
+-1- 1
+--1 1
+.names g23052 g23403
+0 1
+.names g21808 g21802 g21846 g23071
+1-- 1
+-1- 1
+--1 1
+.names g23071 g23410
+0 1
+.names g21815 g21810 g21849 g23084
+1-- 1
+-1- 1
+--1 1
+.names g23084 g23415
+0 1
+.names g21806 g21799 g23089
+1- 1
+-1 1
+.names g23089 g23420
+0 1
+.names g21821 g21817 g21856 g23100
+1-- 1
+-1- 1
+--1 1
+.names g23100 g23424
+0 1
+.names g21813 g21807 g23107
+1- 1
+-1 1
+.names g23107 g23429
+0 1
+.names g21819 g21814 g23120
+1- 1
+-1 1
+.names g23120 g23435
+0 1
+.names g16909 g21067 g23000
+00 1
+.names g23000 II30467
+0 1
+.names II30467 g23438
+0 1
+.names g17117 g21188 g23117
+00 1
+.names g23117 II30470
+0 1
+.names II30470 g23439
+0 1
+.names g21823 g21820 g23129
+1- 1
+-1 1
+.names g23129 g23441
+0 1
+.names g22945 g23444
+0 1
+.names g21238 g83 g22876
+0- 1
+-0 1
+.names g22876 II30476
+0 1
+.names II30476 g23448
+0 1
+.names g16939 g21077 g23014
+00 1
+.names g23014 II30480
+0 1
+.names II30480 g23452
+0 1
+.names g17144 g21203 g23126
+00 1
+.names g23126 II30483
+0 1
+.names II30483 g23453
+0 1
+.names g16968 g21086 g23022
+00 1
+.names g23022 II30486
+0 1
+.names II30486 g23454
+0 1
+.names g21246 g771 g22911
+0- 1
+-0 1
+.names g22911 II30489
+0 1
+.names II30489 g23455
+0 1
+.names g16970 g21091 g23030
+00 1
+.names g23030 II30493
+0 1
+.names II30493 g23459
+0 1
+.names g17167 g21218 g23137
+00 1
+.names g23137 II30496
+0 1
+.names II30496 g23460
+0 1
+.names g16989 g21098 g23039
+00 1
+.names g23039 II30501
+0 1
+.names II30501 g23463
+0 1
+.names g21255 g1457 g22936
+0- 1
+-0 1
+.names g22936 II30504
+0 1
+.names II30504 g23464
+0 1
+.names g16991 g21103 g23047
+00 1
+.names g23047 II30508
+0 1
+.names II30508 g23468
+0 1
+.names g17182 g21226 g21970
+00 1
+.names g21970 II30511
+0 1
+.names II30511 g23469
+0 1
+.names II28727 II28728 g22188
+0- 1
+-0 1
+.names g22188 g23470
+0 1
+.names g16999 g21112 g23058
+00 1
+.names g23058 II30516
+0 1
+.names II30516 g23472
+0 1
+.names g21263 g2151 g22942
+0- 1
+-0 1
+.names g22942 II30519
+0 1
+.names II30519 g23473
+0 1
+.names g17015 g21122 g23067
+00 1
+.names g23067 II30525
+0 1
+.names II30525 g23481
+0 1
+.names II28742 II28743 g22197
+0- 1
+-0 1
+.names g22197 g23482
+0 1
+.names g17023 g21129 g23076
+00 1
+.names g23076 II30531
+0 1
+.names II30531 g23485
+0 1
+.names g17045 g21141 g23081
+00 1
+.names g23081 II30536
+0 1
+.names II30536 g23492
+0 1
+.names II28754 II28755 g22203
+0- 1
+-0 1
+.names g22203 g23493
+0 1
+.names g17055 g21154 g23092
+00 1
+.names g23092 II30544
+0 1
+.names II30544 g23500
+0 1
+.names g17056 g21155 g23093
+00 1
+.names g23093 II30547
+0 1
+.names II30547 g23501
+0 1
+.names g17079 g21161 g23097
+00 1
+.names g23097 II30552
+0 1
+.names II30552 g23508
+0 1
+.names II28766 II28767 g22209
+0- 1
+-0 1
+.names g22209 g23509
+0 1
+.names g17090 g21174 g23110
+00 1
+.names g23110 II30560
+0 1
+.names II30560 g23516
+0 1
+.names g17091 g21175 g23111
+00 1
+.names g23111 II30563
+0 1
+.names II30563 g23517
+0 1
+.names g17114 g21181 g23114
+00 1
+.names g23114 II30568
+0 1
+.names II30568 g23524
+0 1
+.names g17128 g21194 g23123
+00 1
+.names g23123 II30575
+0 1
+.names II30575 g23531
+0 1
+.names g17129 g21195 g23124
+00 1
+.names g23124 II30578
+0 1
+.names II30578 g23532
+0 1
+.names g17155 g21209 g23132
+00 1
+.names g23132 II30586
+0 1
+.names II30586 g23542
+0 1
+.names g17156 g21210 g23133
+00 1
+.names g23133 II30589
+0 1
+.names II30589 g23543
+0 1
+.names g21284 g19549 g22025
+00 1
+.names g22025 II30594
+0 1
+.names II30594 g23546
+0 1
+.names g21290 g19553 g22027
+00 1
+.names g22027 II30598
+0 1
+.names II30598 g23548
+0 1
+.names g21291 g19554 g22028
+00 1
+.names g22028 II30601
+0 1
+.names II30601 g23549
+0 1
+.names g21292 g19555 g22029
+00 1
+.names g22029 II30607
+0 1
+.names II30607 g23553
+0 1
+.names g21298 g19557 g22030
+00 1
+.names g22030 II30611
+0 1
+.names II30611 g23555
+0 1
+.names g21299 g19558 g22031
+00 1
+.names g22031 II30614
+0 1
+.names II30614 g23556
+0 1
+.names g21300 g19559 g22032
+00 1
+.names g22032 II30617
+0 1
+.names II30617 g23557
+0 1
+.names g21301 g19560 g22033
+00 1
+.names g22033 II30623
+0 1
+.names II30623 g23561
+0 1
+.names g21302 g19561 g22034
+00 1
+.names g22034 II30626
+0 1
+.names II30626 g23562
+0 1
+.names g21303 g19562 g22035
+00 1
+.names g22035 II30632
+0 1
+.names II30632 g23566
+0 1
+.names g21304 g19564 g22037
+00 1
+.names g22037 II30636
+0 1
+.names II30636 g23568
+0 1
+.names g21305 g19565 g22038
+00 1
+.names g22038 II30639
+0 1
+.names II30639 g23569
+0 1
+.names g21306 g19566 g22039
+00 1
+.names g22039 II30642
+0 1
+.names II30642 g23570
+0 1
+.names g21307 g19567 g22040
+00 1
+.names g22040 II30648
+0 1
+.names II30648 g23574
+0 1
+.names g21308 g19568 g22041
+00 1
+.names g22041 II30651
+0 1
+.names II30651 g23575
+0 1
+.names g21309 g19569 g22042
+00 1
+.names g22042 II30654
+0 1
+.names II30654 g23576
+0 1
+.names g21310 g19570 g22043
+00 1
+.names g22043 II30660
+0 1
+.names II30660 g23580
+0 1
+.names g21311 g19571 g22044
+00 1
+.names g22044 II30663
+0 1
+.names II30663 g23581
+0 1
+.names g21312 g19572 g22045
+00 1
+.names g22045 II30669
+0 1
+.names II30669 g23585
+0 1
+.names g21313 g19574 g22047
+00 1
+.names g22047 II30673
+0 1
+.names II30673 g23587
+0 1
+.names g21314 g19575 g22048
+00 1
+.names g22048 II30676
+0 1
+.names II30676 g23588
+0 1
+.names g21315 g19576 g22049
+00 1
+.names g22049 II30679
+0 1
+.names II30679 g23589
+0 1
+.names g20878 g10024 g23136
+00 1
+.names g23136 II30686
+0 1
+.names II30686 g23594
+0 1
+.names g21319 g19586 g22054
+00 1
+.names g22054 II30689
+0 1
+.names II30689 g23595
+0 1
+.names g21320 g19587 g22055
+00 1
+.names g22055 II30692
+0 1
+.names II30692 g23596
+0 1
+.names g21321 g19588 g22056
+00 1
+.names g22056 II30695
+0 1
+.names II30695 g23597
+0 1
+.names g21322 g19589 g22057
+00 1
+.names g22057 II30701
+0 1
+.names II30701 g23601
+0 1
+.names g21323 g19590 g22058
+00 1
+.names g22058 II30704
+0 1
+.names II30704 g23602
+0 1
+.names g21324 g19591 g22059
+00 1
+.names g22059 II30707
+0 1
+.names II30707 g23603
+0 1
+.names g21325 g19592 g22060
+00 1
+.names g22060 II30713
+0 1
+.names II30713 g23607
+0 1
+.names g21326 g19593 g22061
+00 1
+.names g22061 II30716
+0 1
+.names II30716 g23608
+0 1
+.names g21328 g19597 g22063
+00 1
+.names g22063 II30722
+0 1
+.names II30722 g23612
+0 1
+.names g21329 g19598 g22064
+00 1
+.names g22064 II30725
+0 1
+.names II30725 g23613
+0 1
+.names g21330 g19599 g22065
+00 1
+.names g22065 II30728
+0 1
+.names II30728 g23614
+0 1
+.names g21334 g19604 g22066
+00 1
+.names g22066 II30735
+0 1
+.names II30735 g23619
+0 1
+.names g21335 g19605 g22067
+00 1
+.names g22067 II30738
+0 1
+.names II30738 g23620
+0 1
+.names g21336 g19606 g22068
+00 1
+.names g22068 II30741
+0 1
+.names II30741 g23621
+0 1
+.names g20895 g10133 g21969
+00 1
+.names g21969 II30748
+0 1
+.names II30748 g23626
+0 1
+.names g21337 g19616 g22073
+00 1
+.names g22073 II30751
+0 1
+.names II30751 g23627
+0 1
+.names g21338 g19617 g22074
+00 1
+.names g22074 II30754
+0 1
+.names II30754 g23628
+0 1
+.names g21339 g19618 g22075
+00 1
+.names g22075 II30757
+0 1
+.names II30757 g23629
+0 1
+.names g21340 g19619 g22076
+00 1
+.names g22076 II30763
+0 1
+.names II30763 g23633
+0 1
+.names g21341 g19620 g22077
+00 1
+.names g22077 II30766
+0 1
+.names II30766 g23634
+0 1
+.names g21342 g19621 g22078
+00 1
+.names g22078 II30769
+0 1
+.names II30769 g23635
+0 1
+.names g21343 g19623 g22079
+00 1
+.names g22079 II30776
+0 1
+.names II30776 g23640
+0 1
+.names g21344 g19624 g22080
+00 1
+.names g22080 II30779
+0 1
+.names II30779 g23641
+0 1
+.names g21345 g19625 g22081
+00 1
+.names g22081 II30782
+0 1
+.names II30782 g23642
+0 1
+.names g17012 g21891 g22454
+00 1
+.names g22454 II30786
+0 1
+.names II30786 g23644
+0 1
+.names g21349 g19630 g22087
+00 1
+.names g22087 II30797
+0 1
+.names II30797 g23661
+0 1
+.names g21350 g19631 g22088
+00 1
+.names g22088 II30800
+0 1
+.names II30800 g23662
+0 1
+.names g21351 g19632 g22089
+00 1
+.names g22089 II30803
+0 1
+.names II30803 g23663
+0 1
+.names g21352 g19637 g22090
+00 1
+.names g22090 II30810
+0 1
+.names II30810 g23668
+0 1
+.names g21353 g19638 g22091
+00 1
+.names g22091 II30813
+0 1
+.names II30813 g23669
+0 1
+.names g21354 g19639 g22092
+00 1
+.names g22092 II30816
+0 1
+.names II30816 g23670
+0 1
+.names g20914 g10238 g21972
+00 1
+.names g21972 II30823
+0 1
+.names II30823 g23675
+0 1
+.names g21355 g19649 g22097
+00 1
+.names g22097 II30826
+0 1
+.names II30826 g23676
+0 1
+.names g21356 g19650 g22098
+00 1
+.names g22098 II30829
+0 1
+.names II30829 g23677
+0 1
+.names g21357 g19651 g22099
+00 1
+.names g22099 II30832
+0 1
+.names II30832 g23678
+0 1
+.names g21360 g19653 g22100
+00 1
+.names g22100 II30838
+0 1
+.names II30838 g23682
+0 1
+.names g21361 g19654 g22101
+00 1
+.names g22101 II30841
+0 1
+.names II30841 g23683
+0 1
+.names g21362 g19655 g22102
+00 1
+.names g22102 II30844
+0 1
+.names II30844 g23684
+0 1
+.names g21363 g19656 g22103
+00 1
+.names g22103 II30847
+0 1
+.names II30847 g23685
+0 1
+.names g21367 g19663 g22104
+00 1
+.names g22104 II30854
+0 1
+.names II30854 g23690
+0 1
+.names g21368 g19664 g22105
+00 1
+.names g22105 II30857
+0 1
+.names II30857 g23691
+0 1
+.names g21369 g19665 g22106
+00 1
+.names g22106 II30860
+0 1
+.names II30860 g23692
+0 1
+.names g17042 g21899 g22493
+00 1
+.names g22493 II30864
+0 1
+.names II30864 g23694
+0 1
+.names g21370 g19670 g22112
+00 1
+.names g22112 II30875
+0 1
+.names II30875 g23711
+0 1
+.names g21371 g19671 g22113
+00 1
+.names g22113 II30878
+0 1
+.names II30878 g23712
+0 1
+.names g21372 g19672 g22114
+00 1
+.names g22114 II30881
+0 1
+.names II30881 g23713
+0 1
+.names g21373 g19677 g22115
+00 1
+.names g22115 II30888
+0 1
+.names II30888 g23718
+0 1
+.names g21374 g19678 g22116
+00 1
+.names g22116 II30891
+0 1
+.names II30891 g23719
+0 1
+.names g21375 g19679 g22117
+00 1
+.names g22117 II30894
+0 1
+.names II30894 g23720
+0 1
+.names g20938 g10340 g21974
+00 1
+.names g21974 II30901
+0 1
+.names II30901 g23725
+0 1
+.names g21378 g19692 g22122
+00 1
+.names g22122 II30905
+0 1
+.names II30905 g23727
+0 1
+.names g21379 g19693 g22123
+00 1
+.names g22123 II30908
+0 1
+.names II30908 g23728
+0 1
+.names g21380 g19694 g22124
+00 1
+.names g22124 II30911
+0 1
+.names II30911 g23729
+0 1
+.names g21381 g19695 g22125
+00 1
+.names g22125 II30914
+0 1
+.names II30914 g23730
+0 1
+.names g22806 II30917
+0 1
+.names II30917 g23731
+0 1
+.names g21389 g19701 g22126
+00 1
+.names g22126 II30922
+0 1
+.names II30922 g23736
+0 1
+.names g21390 g19702 g22127
+00 1
+.names g22127 II30925
+0 1
+.names II30925 g23737
+0 1
+.names g21391 g19703 g22128
+00 1
+.names g22128 II30928
+0 1
+.names II30928 g23738
+0 1
+.names g21392 g19704 g22129
+00 1
+.names g22129 II30931
+0 1
+.names II30931 g23739
+0 1
+.names g21393 g19711 g22130
+00 1
+.names g22130 II30938
+0 1
+.names II30938 g23744
+0 1
+.names g21394 g19712 g22131
+00 1
+.names g22131 II30941
+0 1
+.names II30941 g23745
+0 1
+.names g21395 g19713 g22132
+00 1
+.names g22132 II30944
+0 1
+.names II30944 g23746
+0 1
+.names g17076 g21911 g22536
+00 1
+.names g22536 II30948
+0 1
+.names II30948 g23748
+0 1
+.names g21396 g19718 g22138
+00 1
+.names g22138 II30959
+0 1
+.names II30959 g23765
+0 1
+.names g21397 g19719 g22139
+00 1
+.names g22139 II30962
+0 1
+.names II30962 g23766
+0 1
+.names g21398 g19720 g22140
+00 1
+.names g22140 II30965
+0 1
+.names II30965 g23767
+0 1
+.names g21401 g19727 g22141
+00 1
+.names g22141 II30973
+0 1
+.names II30973 g23773
+0 1
+.names g21402 g19728 g22142
+00 1
+.names g22142 II30976
+0 1
+.names II30976 g23774
+0 1
+.names g21403 g19729 g22143
+00 1
+.names g22143 II30979
+0 1
+.names II30979 g23775
+0 1
+.names g21636 g672 g22992
+0- 1
+-0 1
+.names g22992 II30985
+0 1
+.names II30985 g23779
+0 1
+.names g21411 g19736 g22145
+00 1
+.names g22145 II30988
+0 1
+.names II30988 g23782
+0 1
+.names g21412 g19737 g22146
+00 1
+.names g22146 II30991
+0 1
+.names II30991 g23783
+0 1
+.names g21413 g19738 g22147
+00 1
+.names g22147 II30994
+0 1
+.names II30994 g23784
+0 1
+.names g21414 g19739 g22148
+00 1
+.names g22148 II30997
+0 1
+.names II30997 g23785
+0 1
+.names g22847 II31000
+0 1
+.names II31000 g23786
+0 1
+.names g21419 g19745 g22149
+00 1
+.names g22149 II31005
+0 1
+.names II31005 g23791
+0 1
+.names g21420 g19746 g22150
+00 1
+.names g22150 II31008
+0 1
+.names II31008 g23792
+0 1
+.names g21421 g19747 g22151
+00 1
+.names g22151 II31011
+0 1
+.names II31011 g23793
+0 1
+.names g21422 g19748 g22152
+00 1
+.names g22152 II31014
+0 1
+.names II31014 g23794
+0 1
+.names g21423 g19755 g22153
+00 1
+.names g22153 II31021
+0 1
+.names II31021 g23799
+0 1
+.names g21424 g19756 g22154
+00 1
+.names g22154 II31024
+0 1
+.names II31024 g23800
+0 1
+.names g21425 g19757 g22155
+00 1
+.names g22155 II31027
+0 1
+.names II31027 g23801
+0 1
+.names g17111 g21925 g22576
+00 1
+.names g22576 II31031
+0 1
+.names II31031 g23803
+0 1
+.names g21428 g19764 g22161
+00 1
+.names g22161 II31043
+0 1
+.names II31043 g23821
+0 1
+.names g21438 g19770 g22162
+00 1
+.names g22162 II31050
+0 1
+.names II31050 g23826
+0 1
+.names g21439 g19771 g22163
+00 1
+.names g22163 II31053
+0 1
+.names II31053 g23827
+0 1
+.names g21440 g19772 g22164
+00 1
+.names g22164 II31056
+0 1
+.names II31056 g23828
+0 1
+.names g21667 g1358 g23003
+0- 1
+-0 1
+.names g23003 II31062
+0 1
+.names II31062 g23832
+0 1
+.names g21445 g19779 g22166
+00 1
+.names g22166 II31065
+0 1
+.names II31065 g23835
+0 1
+.names g21446 g19780 g22167
+00 1
+.names g22167 II31068
+0 1
+.names II31068 g23836
+0 1
+.names g21447 g19781 g22168
+00 1
+.names g22168 II31071
+0 1
+.names II31071 g23837
+0 1
+.names g21448 g19782 g22169
+00 1
+.names g22169 II31074
+0 1
+.names II31074 g23838
+0 1
+.names g22882 II31077
+0 1
+.names II31077 g23839
+0 1
+.names g21453 g19788 g22170
+00 1
+.names g22170 II31082
+0 1
+.names II31082 g23844
+0 1
+.names g21454 g19789 g22171
+00 1
+.names g22171 II31085
+0 1
+.names II31085 g23845
+0 1
+.names g21455 g19790 g22172
+00 1
+.names g22172 II31088
+0 1
+.names II31088 g23846
+0 1
+.names g21456 g19791 g22173
+00 1
+.names g22173 II31091
+0 1
+.names II31091 g23847
+0 1
+.names g22300 g23853
+0 1
+.names g21476 g19806 g22177
+00 1
+.names g22177 II31102
+0 1
+.names II31102 g23856
+0 1
+.names g21480 g19812 g22178
+00 1
+.names g22178 II31109
+0 1
+.names II31109 g23861
+0 1
+.names g21481 g19813 g22179
+00 1
+.names g22179 II31112
+0 1
+.names II31112 g23862
+0 1
+.names g21482 g19814 g22180
+00 1
+.names g22180 II31115
+0 1
+.names II31115 g23863
+0 1
+.names g21696 g2052 g23017
+0- 1
+-0 1
+.names g23017 II31121
+0 1
+.names II31121 g23867
+0 1
+.names g21487 g19821 g22182
+00 1
+.names g22182 II31124
+0 1
+.names II31124 g23870
+0 1
+.names g21488 g19822 g22183
+00 1
+.names g22183 II31127
+0 1
+.names II31127 g23871
+0 1
+.names g21489 g19823 g22184
+00 1
+.names g22184 II31130
+0 1
+.names II31130 g23872
+0 1
+.names g21490 g19824 g22185
+00 1
+.names g22185 II31133
+0 1
+.names II31133 g23873
+0 1
+.names g22917 II31136
+0 1
+.names II31136 g23874
+0 1
+.names g22777 II31141
+0 1
+.names II31141 g23879
+0 1
+.names g21903 g7466 g22935
+00 1
+.names g22935 II31144
+0 1
+.names II31144 g23882
+0 1
+.names g21135 g21118 g21106 II28609 g22062
+1111 1
+.names g22062 g23885
+0 1
+.names g22328 g23887
+0 1
+.names g21517 g19850 g22191
+00 1
+.names g22191 II31152
+0 1
+.names II31152 g23890
+0 1
+.names g21521 g19856 g22192
+00 1
+.names g22192 II31159
+0 1
+.names II31159 g23895
+0 1
+.names g21522 g19857 g22193
+00 1
+.names g22193 II31162
+0 1
+.names II31162 g23896
+0 1
+.names g21523 g19858 g22194
+00 1
+.names g22194 II31165
+0 1
+.names II31165 g23897
+0 1
+.names g21732 g2746 g23033
+0- 1
+-0 1
+.names g23033 II31171
+0 1
+.names II31171 g23901
+0 1
+.names g21117 g21105 g21096 II28594 g22046
+1111 1
+.names g22046 g23905
+0 1
+.names g22353 g23908
+0 1
+.names g21553 g19883 g22200
+00 1
+.names g22200 II31181
+0 1
+.names II31181 g23911
+0 1
+.names g21048 g18623 g21989
+0- 1
+-0 1
+.names g21989 II31188
+0 1
+.names II31188 g23916
+0 1
+.names g21104 g21095 g21084 II28582 g22036
+1111 1
+.names g22036 g23918
+0 1
+.names g21892 g18982 g22578
+00 1
+.names g22578 II31195
+0 1
+.names II31195 g23923
+0 1
+.names g22376 g23940
+0 1
+.names g21065 g21711 g22002
+0- 1
+-0 1
+.names g22002 II31205
+0 1
+.names II31205 g23943
+0 1
+.names g21900 g18990 g22615
+00 1
+.names g22615 II31213
+0 1
+.names II31213 g23955
+0 1
+.names g21912 g18997 g22651
+00 1
+.names g22651 II31226
+0 1
+.names II31226 g23984
+0 1
+.names g21083 g18407 g22026
+00 1
+.names g22026 II31232
+0 1
+.names II31232 g24000
+0 1
+.names g21639 g19949 g22218
+00 1
+.names g22218 II31235
+0 1
+.names II31235 g24001
+0 1
+.names g21926 g19010 g22687
+00 1
+.names g22687 II31244
+0 1
+.names II31244 g24014
+0 1
+.names g20700 g7595 g22953
+00 1
+.names g22953 II31250
+0 1
+.names II31250 g24030
+0 1
+.names g21666 g19971 g22231
+00 1
+.names g22231 II31253
+0 1
+.names II31253 g24033
+0 1
+.names g21670 g19976 g22234
+00 1
+.names g22234 II31257
+0 1
+.names II31257 g24035
+0 1
+.names g14256 g14175 g21123 g23023
+000 1
+.names g23023 g24047
+0 1
+.names g21687 g19983 g22242
+00 1
+.names g22242 II31266
+0 1
+.names II31266 g24051
+0 1
+.names g21695 g20001 g22247
+00 1
+.names g22247 II31270
+0 1
+.names II31270 g24053
+0 1
+.names g21699 g20006 g22249
+00 1
+.names g22249 II31274
+0 1
+.names II31274 g24055
+0 1
+.names g14378 g14290 g21142 g23040
+000 1
+.names g23040 g24060
+0 1
+.names g21723 g20021 g22263
+00 1
+.names g22263 II31282
+0 1
+.names II31282 g24064
+0 1
+.names g21731 g20039 g22267
+00 1
+.names g22267 II31286
+0 1
+.names II31286 g24066
+0 1
+.names g21735 g20044 g22269
+00 1
+.names g22269 II31290
+0 1
+.names II31290 g24068
+0 1
+.names g14490 g14412 g21162 g23059
+000 1
+.names g23059 g24073
+0 1
+.names g21749 g20063 g22280
+00 1
+.names g22280 II31298
+0 1
+.names II31298 g24077
+0 1
+.names g21757 g20081 g22284
+00 1
+.names g22284 II31302
+0 1
+.names II31302 g24079
+0 1
+.names g14577 g14524 g21182 g23077
+000 1
+.names g23077 g24084
+0 1
+.names g21773 g20104 g22299
+00 1
+.names g22299 II31310
+0 1
+.names II31310 g24088
+0 1
+.names g14442 g21149 g10694 g22339
+0-- 1
+-0- 1
+--0 1
+.names g22339 g24094
+0 1
+.names g14529 g21169 g10714 g22362
+0-- 1
+-0- 1
+--0 1
+.names g22362 g24095
+0 1
+.names g22405 g24096
+0 1
+.names g14584 g21189 g10735 g22382
+0-- 1
+-0- 1
+--0 1
+.names g22382 g24097
+0 1
+.names g22409 g24098
+0 1
+.names g22412 g24099
+0 1
+.names g22415 g24101
+0 1
+.names g22418 g24102
+0 1
+.names g14618 g21204 g10754 g22397
+0-- 1
+-0- 1
+--0 1
+.names g22397 g24103
+0 1
+.names g22422 g24104
+0 1
+.names g22425 g24105
+0 1
+.names g22428 g24106
+0 1
+.names g22431 g24107
+0 1
+.names g22434 g24108
+0 1
+.names g22437 g24110
+0 1
+.names g22440 g24111
+0 1
+.names g22445 g24112
+0 1
+.names g22448 g24113
+0 1
+.names g22451 g24114
+0 1
+.names g21211 g14442 g10694 g22381
+0-- 1
+-0- 1
+--0 1
+.names g22381 g24115
+0 1
+.names g22455 g24121
+0 1
+.names g22458 g24122
+0 1
+.names g22461 g24123
+0 1
+.names g22464 g24124
+0 1
+.names g22467 g24125
+0 1
+.names g22470 g24127
+0 1
+.names g22473 g24128
+0 1
+.names g22477 g24129
+0 1
+.names g22480 g24130
+0 1
+.names g22484 g24131
+0 1
+.names g22487 g24132
+0 1
+.names g22490 g24133
+0 1
+.names g21219 g14529 g10714 g22396
+0-- 1
+-0- 1
+--0 1
+.names g22396 g24134
+0 1
+.names g22494 g24140
+0 1
+.names g22497 g24141
+0 1
+.names g22500 g24142
+0 1
+.names g22503 g24143
+0 1
+.names g22506 g24144
+0 1
+.names g22509 g24146
+0 1
+.names g22512 g24147
+0 1
+.names g22520 g24148
+0 1
+.names g22523 g24149
+0 1
+.names g22527 g24150
+0 1
+.names g22530 g24151
+0 1
+.names g22533 g24152
+0 1
+.names g21230 g14584 g10735 g22399
+0-- 1
+-0- 1
+--0 1
+.names g22399 g24153
+0 1
+.names g22537 g24159
+0 1
+.names g22540 g24160
+0 1
+.names g22543 g24161
+0 1
+.names g22552 g24162
+0 1
+.names g22560 g24163
+0 1
+.names g22563 g24164
+0 1
+.names g22567 g24165
+0 1
+.names g22570 g24166
+0 1
+.names g22573 g24167
+0 1
+.names g21235 g14618 g10754 g22400
+0-- 1
+-0- 1
+--0 1
+.names g22400 g24168
+0 1
+.names g22592 g24175
+0 1
+.names g22600 g24176
+0 1
+.names g22603 g24177
+0 1
+.names g22629 g24180
+0 1
+.names g562 g559 g12451 g21851 g22811
+1--- 1
+-1-- 1
+--1- 1
+---1 1
+.names g22811 II31387
+0 1
+.names II31387 g24183
+0 1
+.names g22696 g24210
+0 1
+.names g22750 g24220
+0 1
+.names g22578 II31417
+0 1
+.names II31417 g24233
+0 1
+.names g22615 II31426
+0 1
+.names II31426 g24240
+0 1
+.names g22651 II31436
+0 1
+.names II31436 g24248
+0 1
+.names g22903 g24251
+0 1
+.names g22687 II31445
+0 1
+.names II31445 g24255
+0 1
+.names g23682 II31451
+0 1
+.names g23727 II31454
+0 1
+.names g23773 II31457
+0 1
+.names g23728 II31460
+0 1
+.names g23774 II31463
+0 1
+.names g23821 II31466
+0 1
+.names g23546 II31469
+0 1
+.names g23548 II31472
+0 1
+.names g23555 II31475
+0 1
+.names g23549 II31478
+0 1
+.names g23556 II31481
+0 1
+.names g23568 II31484
+0 1
+.names g23557 II31487
+0 1
+.names g23569 II31490
+0 1
+.names g23587 II31493
+0 1
+.names g23570 II31496
+0 1
+.names g23588 II31499
+0 1
+.names g23612 II31502
+0 1
+.names g23589 II31505
+0 1
+.names g23613 II31508
+0 1
+.names g23640 II31511
+0 1
+.names g23614 II31514
+0 1
+.names g23641 II31517
+0 1
+.names g23683 II31520
+0 1
+.names g23642 II31523
+0 1
+.names g23684 II31526
+0 1
+.names g23729 II31529
+0 1
+.names g23685 II31532
+0 1
+.names g23730 II31535
+0 1
+.names g23775 II31538
+0 1
+.names g23500 II31541
+0 1
+.names g23438 II31544
+0 1
+.names g23454 II31547
+0 1
+.names g23481 II31550
+0 1
+.names g23501 II31553
+0 1
+.names g23439 II31556
+0 1
+.names g24233 II31559
+0 1
+.names g23594 II31562
+0 1
+.names g24001 II31565
+0 1
+.names g24033 II31568
+0 1
+.names g24051 II31571
+0 1
+.names g23736 II31574
+0 1
+.names g23782 II31577
+0 1
+.names g23826 II31580
+0 1
+.names g23783 II31583
+0 1
+.names g23827 II31586
+0 1
+.names g23856 II31589
+0 1
+.names g23553 II31592
+0 1
+.names g23561 II31595
+0 1
+.names g23574 II31598
+0 1
+.names g23562 II31601
+0 1
+.names g23575 II31604
+0 1
+.names g23595 II31607
+0 1
+.names g23576 II31610
+0 1
+.names g23596 II31613
+0 1
+.names g23619 II31616
+0 1
+.names g23597 II31619
+0 1
+.names g23620 II31622
+0 1
+.names g23661 II31625
+0 1
+.names g23621 II31628
+0 1
+.names g23662 II31631
+0 1
+.names g23690 II31634
+0 1
+.names g23663 II31637
+0 1
+.names g23691 II31640
+0 1
+.names g23737 II31643
+0 1
+.names g23692 II31646
+0 1
+.names g23738 II31649
+0 1
+.names g23784 II31652
+0 1
+.names g23739 II31655
+0 1
+.names g23785 II31658
+0 1
+.names g23828 II31661
+0 1
+.names g23516 II31664
+0 1
+.names g23452 II31667
+0 1
+.names g23463 II31670
+0 1
+.names g23492 II31673
+0 1
+.names g23517 II31676
+0 1
+.names g23453 II31679
+0 1
+.names g24240 II31682
+0 1
+.names g23626 II31685
+0 1
+.names g24035 II31688
+0 1
+.names g24053 II31691
+0 1
+.names g24064 II31694
+0 1
+.names g23791 II31697
+0 1
+.names g23835 II31700
+0 1
+.names g23861 II31703
+0 1
+.names g23836 II31706
+0 1
+.names g23862 II31709
+0 1
+.names g23890 II31712
+0 1
+.names g23566 II31715
+0 1
+.names g23580 II31718
+0 1
+.names g23601 II31721
+0 1
+.names g23581 II31724
+0 1
+.names g23602 II31727
+0 1
+.names g23627 II31730
+0 1
+.names g23603 II31733
+0 1
+.names g23628 II31736
+0 1
+.names g23668 II31739
+0 1
+.names g23629 II31742
+0 1
+.names g23669 II31745
+0 1
+.names g23711 II31748
+0 1
+.names g23670 II31751
+0 1
+.names g23712 II31754
+0 1
+.names g23744 II31757
+0 1
+.names g23713 II31760
+0 1
+.names g23745 II31763
+0 1
+.names g23792 II31766
+0 1
+.names g23746 II31769
+0 1
+.names g23793 II31772
+0 1
+.names g23837 II31775
+0 1
+.names g23794 II31778
+0 1
+.names g23838 II31781
+0 1
+.names g23863 II31784
+0 1
+.names g23531 II31787
+0 1
+.names g23459 II31790
+0 1
+.names g23472 II31793
+0 1
+.names g23508 II31796
+0 1
+.names g23532 II31799
+0 1
+.names g23460 II31802
+0 1
+.names g24248 II31805
+0 1
+.names g23675 II31808
+0 1
+.names g24055 II31811
+0 1
+.names g24066 II31814
+0 1
+.names g24077 II31817
+0 1
+.names g23844 II31820
+0 1
+.names g23870 II31823
+0 1
+.names g23895 II31826
+0 1
+.names g23871 II31829
+0 1
+.names g23896 II31832
+0 1
+.names g23911 II31835
+0 1
+.names g23585 II31838
+0 1
+.names g23607 II31841
+0 1
+.names g23633 II31844
+0 1
+.names g23608 II31847
+0 1
+.names g23634 II31850
+0 1
+.names g23676 II31853
+0 1
+.names g23635 II31856
+0 1
+.names g23677 II31859
+0 1
+.names g23718 II31862
+0 1
+.names g23678 II31865
+0 1
+.names g23719 II31868
+0 1
+.names g23765 II31871
+0 1
+.names g23720 II31874
+0 1
+.names g23766 II31877
+0 1
+.names g23799 II31880
+0 1
+.names g23767 II31883
+0 1
+.names g23800 II31886
+0 1
+.names g23845 II31889
+0 1
+.names g23801 II31892
+0 1
+.names g23846 II31895
+0 1
+.names g23872 II31898
+0 1
+.names g23847 II31901
+0 1
+.names g23873 II31904
+0 1
+.names g23897 II31907
+0 1
+.names g23542 II31910
+0 1
+.names g23468 II31913
+0 1
+.names g23485 II31916
+0 1
+.names g23524 II31919
+0 1
+.names g23543 II31922
+0 1
+.names g23469 II31925
+0 1
+.names g24255 II31928
+0 1
+.names g23725 II31931
+0 1
+.names g24068 II31934
+0 1
+.names g24079 II31937
+0 1
+.names g24088 II31940
+0 1
+.names g24000 II31943
+0 1
+.names g23916 II31946
+0 1
+.names g23943 II31949
+0 1
+.names g24183 g24482
+0 1
+.names g17506 g22581 g23399
+00 1
+.names g23399 II32042
+0 1
+.names II32042 g24518
+0 1
+.names g17597 g22618 g23406
+00 1
+.names g23406 II32057
+0 1
+.names II32057 g24531
+0 1
+.names g16894 g22206 g24174
+00 1
+.names g24174 II32067
+0 1
+.names II32067 g24539
+0 1
+.names g17694 g22654 g23413
+00 1
+.names g23413 II32074
+0 1
+.names II32074 g24544
+0 1
+.names g16908 g22211 g24178
+00 1
+.names g24178 II32081
+0 1
+.names II32081 g24549
+0 1
+.names g16923 g22214 g24179
+00 1
+.names g24179 II32085
+0 1
+.names II32085 g24551
+0 1
+.names g17794 g22690 g23418
+00 1
+.names g23418 II32092
+0 1
+.names II32092 g24556
+0 1
+.names g16938 g22220 g24181
+00 1
+.names g24181 II32098
+0 1
+.names II32098 g24560
+0 1
+.names g16953 g22223 g24182
+00 1
+.names g24182 II32102
+0 1
+.names II32102 g24562
+0 1
+.names g16966 g22228 g24206
+00 1
+.names g24206 II32109
+0 1
+.names II32109 g24567
+0 1
+.names g16967 g22229 g24207
+00 1
+.names g24207 II32112
+0 1
+.names II32112 g24568
+0 1
+.names g16969 g22235 g24208
+00 1
+.names g24208 II32116
+0 1
+.names II32116 g24570
+0 1
+.names g16984 g22238 g24209
+00 1
+.names g24209 II32120
+0 1
+.names II32120 g24572
+0 1
+.names g16987 g22244 g24212
+00 1
+.names g24212 II32126
+0 1
+.names II32126 g24576
+0 1
+.names g16988 g22245 g24213
+00 1
+.names g24213 II32129
+0 1
+.names II32129 g24577
+0 1
+.names g16990 g22250 g24214
+00 1
+.names g24214 II32133
+0 1
+.names II32133 g24579
+0 1
+.names g16993 g22254 g24215
+00 1
+.names g24215 II32137
+0 1
+.names II32137 g24581
+0 1
+.names g16994 g22255 g24216
+00 1
+.names g24216 II32140
+0 1
+.names II32140 g24582
+0 1
+.names g16997 g22264 g24218
+00 1
+.names g24218 II32143
+0 1
+.names II32143 g24583
+0 1
+.names g16998 g22265 g24219
+00 1
+.names g24219 II32146
+0 1
+.names II32146 g24584
+0 1
+.names g17017 g22272 g24222
+00 1
+.names g24222 II32150
+0 1
+.names II32150 g24586
+0 1
+.names g17018 g22273 g24223
+00 1
+.names g24223 II32153
+0 1
+.names II32153 g24587
+0 1
+.names g17021 g22281 g24225
+00 1
+.names g24225 II32156
+0 1
+.names II32156 g24588
+0 1
+.names g17022 g22282 g24226
+00 1
+.names g24226 II32159
+0 1
+.names II32159 g24589
+0 1
+.names g17028 g22285 g24228
+00 1
+.names g24228 II32164
+0 1
+.names II32164 g24592
+0 1
+.names g17047 g22291 g24230
+00 1
+.names g24230 II32167
+0 1
+.names II32167 g24593
+0 1
+.names g17048 g22292 g24231
+00 1
+.names g24231 II32170
+0 1
+.names II32170 g24594
+0 1
+.names g17062 g22305 g24235
+00 1
+.names g24235 II32175
+0 1
+.names II32175 g24597
+0 1
+.names g17081 g22311 g24237
+00 1
+.names g24237 II32178
+0 1
+.names II32178 g24598
+0 1
+.names g17082 g22312 g24238
+00 1
+.names g24238 II32181
+0 1
+.names II32181 g24599
+0 1
+.names g22876 g5606 g23497
+00 1
+.names g23497 II32184
+0 1
+.names II32184 g24600
+0 1
+.names g17097 g22333 g24243
+00 1
+.names g24243 II32189
+0 1
+.names II32189 g24605
+0 1
+.names g22911 g5631 g23513
+00 1
+.names g23513 II32193
+0 1
+.names II32193 g24607
+0 1
+.names g17135 g22358 g24250
+00 1
+.names g24250 II32198
+0 1
+.names II32198 g24612
+0 1
+.names g22936 g5659 g23528
+00 1
+.names g23528 II32203
+0 1
+.names II32203 g24619
+0 1
+.names g22942 g5697 g23539
+00 1
+.names g23539 II32210
+0 1
+.names II32210 g24630
+0 1
+.names g23470 g24648
+0 1
+.names g23482 g24668
+0 1
+.names g23493 g24687
+0 1
+.names g23509 g24704
+0 1
+.names g22666 g23140 g23919
+1- 1
+-1 1
+.names g23919 II32248
+0 1
+.names g23919 II32251
+0 1
+.names II32251 g24735
+0 1
+.names g22992 g6707 g23950
+00 1
+.names g23950 II32281
+0 1
+.names II32281 g24763
+0 1
+.names g23003 g7009 g23979
+00 1
+.names g23979 II32320
+0 1
+.names II32320 g24784
+0 1
+.names g23017 g7259 g24009
+00 1
+.names g24009 II32365
+0 1
+.names II32365 g24805
+0 1
+.names g23448 g24815
+0 1
+.names g17393 g22517 g23385
+00 1
+.names g23385 II32388
+0 1
+.names II32388 g24816
+0 1
+.names g23033 g7455 g24043
+00 1
+.names g24043 II32419
+0 1
+.names II32419 g24827
+0 1
+.names g23455 g24834
+0 1
+.names g17460 g22557 g23392
+00 1
+.names g23392 II32439
+0 1
+.names II32439 g24835
+0 1
+.names g23464 g24850
+0 1
+.names g17540 g22597 g23400
+00 1
+.names g23400 II32487
+0 1
+.names II32487 g24851
+0 1
+.names g22144 g10024 g23324
+00 1
+.names g23324 II32506
+0 1
+.names II32506 g24856
+0 1
+.names g23473 g24864
+0 1
+.names g17630 g22634 g23407
+00 1
+.names g23407 II32535
+0 1
+.names II32535 g24865
+0 1
+.names g22165 g10133 g23329
+00 1
+.names g23329 II32556
+0 1
+.names II32556 g24872
+0 1
+.names g22186 g22777 g23330
+00 1
+.names g23330 II32583
+0 1
+.names II32583 g24879
+0 1
+.names g22181 g10238 g23339
+00 1
+.names g23339 II32604
+0 1
+.names II32604 g24886
+0 1
+.names g22844 g14442 g10694 g23486
+0-- 1
+-0- 1
+--0 1
+.names g23486 g24893
+0 1
+.names g22195 g10340 g23348
+00 1
+.names g23348 II32642
+0 1
+.names II32642 g24903
+0 1
+.names g10694 g14442 g22316 g23495
+0-- 1
+-0- 1
+--0 1
+.names g23495 g24912
+0 1
+.names g22879 g14529 g10714 g23502
+0-- 1
+-0- 1
+--0 1
+.names g23502 g24916
+0 1
+.names g10714 g14529 g22341 g23511
+0-- 1
+-0- 1
+--0 1
+.names g23511 g24929
+0 1
+.names g22914 g14584 g10735 g23518
+0-- 1
+-0- 1
+--0 1
+.names g23518 g24933
+0 1
+.names II30791 II30792 g23660
+0- 1
+-0 1
+.names g23660 g24939
+0 1
+.names g10735 g14584 g22364 g23526
+0-- 1
+-0- 1
+--0 1
+.names g23526 g24941
+0 1
+.names g22939 g14618 g10754 g23533
+0-- 1
+-0- 1
+--0 1
+.names g23533 g24945
+0 1
+.names g22210 g20127 g23357
+00 1
+.names g23357 II32704
+0 1
+.names II32704 g24949
+0 1
+.names II30869 II30870 g23710
+0- 1
+-0 1
+.names g23710 g24950
+0 1
+.names g10754 g14618 g22384 g23537
+0-- 1
+-0- 1
+--0 1
+.names g23537 g24952
+0 1
+.names g22227 g18407 g23358
+00 1
+.names g23358 II32716
+0 1
+.names II32716 g24956
+0 1
+.names g22216 g22907 g23359
+00 1
+.names g23359 II32719
+0 1
+.names II32719 g24957
+0 1
+.names g22809 g14442 g10694 g23478
+0-- 1
+-0- 1
+--0 1
+.names g23478 g24958
+0 1
+.names II30953 II30954 g23764
+0- 1
+-0 1
+.names g23764 g24962
+0 1
+.names g22850 g14529 g10714 g23489
+0-- 1
+-0- 1
+--0 1
+.names g23489 g24969
+0 1
+.names II31036 II31037 g23819
+0- 1
+-0 1
+.names g23819 g24973
+0 1
+.names g22885 g14584 g10735 g23505
+0-- 1
+-0- 1
+--0 1
+.names g23505 g24982
+0 1
+.names g22920 g14618 g10754 g23521
+0-- 1
+-0- 1
+--0 1
+.names g23521 g24993
+0 1
+.names g23731 g25087
+0 1
+.names g23779 g25094
+0 1
+.names g23786 g25095
+0 1
+.names g21990 g20809 g24059
+00 1
+.names g24059 II32829
+0 1
+.names II32829 g25103
+0 1
+.names g23832 g25104
+0 1
+.names g23839 g25105
+0 1
+.names g22004 g20826 g24072
+00 1
+.names g24072 II32835
+0 1
+.names II32835 g25109
+0 1
+.names g23867 g25110
+0 1
+.names g23874 g25111
+0 1
+.names g23879 g25115
+0 1
+.names g23882 g25116
+0 1
+.names g23644 II32844
+0 1
+.names II32844 g25118
+0 1
+.names g22015 g20836 g24083
+00 1
+.names g24083 II32847
+0 1
+.names II32847 g25119
+0 1
+.names g23901 g25120
+0 1
+.names g23694 II32851
+0 1
+.names II32851 g25121
+0 1
+.names g22020 g20840 g24092
+00 1
+.names g24092 II32854
+0 1
+.names II32854 g25122
+0 1
+.names g23748 II32857
+0 1
+.names II32857 g25123
+0 1
+.names g23803 II32860
+0 1
+.names II32860 g25124
+0 1
+.names g24030 g25126
+0 1
+.names g25118 II32868
+0 1
+.names g24518 II32871
+0 1
+.names g24539 II32874
+0 1
+.names g24567 II32877
+0 1
+.names g24581 II32880
+0 1
+.names g24592 II32883
+0 1
+.names g24549 II32886
+0 1
+.names g24568 II32889
+0 1
+.names g24582 II32892
+0 1
+.names g24816 II32895
+0 1
+.names g24856 II32898
+0 1
+.names g25121 II32901
+0 1
+.names g24531 II32904
+0 1
+.names g24551 II32907
+0 1
+.names g24576 II32910
+0 1
+.names g24586 II32913
+0 1
+.names g24597 II32916
+0 1
+.names g24560 II32919
+0 1
+.names g24577 II32922
+0 1
+.names g24587 II32925
+0 1
+.names g24835 II32928
+0 1
+.names g24872 II32931
+0 1
+.names g25123 II32934
+0 1
+.names g24544 II32937
+0 1
+.names g24562 II32940
+0 1
+.names g24583 II32943
+0 1
+.names g24593 II32946
+0 1
+.names g24605 II32949
+0 1
+.names g24570 II32952
+0 1
+.names g24584 II32955
+0 1
+.names g24594 II32958
+0 1
+.names g24851 II32961
+0 1
+.names g24886 II32964
+0 1
+.names g25124 II32967
+0 1
+.names g24556 II32970
+0 1
+.names g24572 II32973
+0 1
+.names g24588 II32976
+0 1
+.names g24598 II32979
+0 1
+.names g24612 II32982
+0 1
+.names g24579 II32985
+0 1
+.names g24589 II32988
+0 1
+.names g24599 II32991
+0 1
+.names g24865 II32994
+0 1
+.names g24903 II32997
+0 1
+.names g24949 II33000
+0 1
+.names g24956 II33003
+0 1
+.names g24957 II33006
+0 1
+.names g24879 II33009
+0 1
+.names g25119 II33013
+0 1
+.names II33013 g25179
+0 1
+.names g25122 II33016
+0 1
+.names II33016 g25180
+0 1
+.names g24912 g25274
+0 1
+.names g24929 g25283
+0 1
+.names g24941 g25291
+0 1
+.names g23497 g74 g24975
+0- 1
+-0 1
+.names g24975 II33128
+0 1
+.names II33128 g25296
+0 1
+.names g24952 g25301
+0 1
+.names II32587 II32588 g24880
+0- 1
+-0 1
+.names g24880 g25305
+0 1
+.names g23513 g762 g24986
+0- 1
+-0 1
+.names g24986 II33136
+0 1
+.names II33136 g25306
+0 1
+.names II32547 II32548 g24868
+0- 1
+-0 1
+.names g24868 g25313
+0 1
+.names II32625 II32626 g24897
+0- 1
+-0 1
+.names g24897 g25314
+0 1
+.names g23528 g1448 g24997
+0- 1
+-0 1
+.names g24997 II33145
+0 1
+.names II33145 g25315
+0 1
+.names II32510 II32511 g24857
+0- 1
+-0 1
+.names g24857 g25319
+0 1
+.names II32596 II32597 g24883
+0- 1
+-0 1
+.names g24883 g25322
+0 1
+.names II32660 II32661 g24920
+0- 1
+-0 1
+.names g24920 g25323
+0 1
+.names g23539 g2142 g25005
+0- 1
+-0 1
+.names g25005 II33154
+0 1
+.names II33154 g25324
+0 1
+.names g24227 g17001 g25027
+00 1
+.names g25027 II33157
+0 1
+.names II33157 g25327
+0 1
+.names II32469 II32470 g24844
+0- 1
+-0 1
+.names g24844 g25329
+0 1
+.names II32560 II32561 g24873
+0- 1
+-0 1
+.names g24873 g25330
+0 1
+.names II32634 II32635 g24900
+0- 1
+-0 1
+.names g24900 g25332
+0 1
+.names II32687 II32688 g24937
+0- 1
+-0 1
+.names g24937 g25333
+0 1
+.names II32431 II32432 g24832
+0- 1
+-0 1
+.names g24832 g25335
+0 1
+.names g24234 g17031 g25042
+00 1
+.names g25042 II33168
+0 1
+.names II33168 g25336
+0 1
+.names II32519 II32520 g24860
+0- 1
+-0 1
+.names g24860 g25338
+0 1
+.names II32608 II32609 g24887
+0- 1
+-0 1
+.names g24887 g25339
+0 1
+.names II32669 II32670 g24923
+0- 1
+-0 1
+.names g24923 g25341
+0 1
+.names II32392 II32393 g24817
+0- 1
+-0 1
+.names g24817 g25347
+0 1
+.names II32479 II32480 g24848
+0- 1
+-0 1
+.names g24848 g25349
+0 1
+.names g24242 g17065 g25056
+00 1
+.names g25056 II33182
+0 1
+.names II33182 g25350
+0 1
+.names II32568 II32569 g24875
+0- 1
+-0 1
+.names g24875 g25352
+0 1
+.names II32646 II32647 g24904
+0- 1
+-0 1
+.names g24904 g25353
+0 1
+.names g24239 g24244 g24814
+0- 1
+-0 1
+.names g24814 II33188
+0 1
+.names II33188 g25354
+0 1
+.names II32346 II32347 g24797
+0- 1
+-0 1
+.names g24797 g25355
+0 1
+.names II32444 II32445 g24837
+0- 1
+-0 1
+.names g24837 g25361
+0 1
+.names II32527 II32528 g24862
+0- 1
+-0 1
+.names g24862 g25363
+0 1
+.names g24249 g17100 g25067
+00 1
+.names g25067 II33198
+0 1
+.names II33198 g25364
+0 1
+.names II32616 II32617 g24889
+0- 1
+-0 1
+.names g24889 g25366
+0 1
+.names g13568 g24115 g24676
+11 1
+.names g24676 g25367
+0 1
+.names II32309 II32310 g24778
+0- 1
+-0 1
+.names g24778 g25368
+0 1
+.names g24245 g24252 g24833
+0- 1
+-0 1
+.names g24833 II33205
+0 1
+.names II33205 g25369
+0 1
+.names II32401 II32402 g24820
+0- 1
+-0 1
+.names g24820 g25370
+0 1
+.names II32491 II32492 g24852
+0- 1
+-0 1
+.names g24852 g25376
+0 1
+.names II32576 II32577 g24877
+0- 1
+-0 1
+.names g24877 g25378
+0 1
+.names g24893 g25379
+0 1
+.names II32285 II32286 g24766
+0- 1
+-0 1
+.names g24766 g25383
+0 1
+.names g13576 g24134 g24695
+11 1
+.names g24695 g25384
+0 1
+.names II32356 II32357 g24801
+0- 1
+-0 1
+.names g24801 g25385
+0 1
+.names g24254 g24257 g24849
+0- 1
+-0 1
+.names g24849 II33219
+0 1
+.names II33219 g25386
+0 1
+.names II32452 II32453 g24839
+0- 1
+-0 1
+.names g24839 g25387
+0 1
+.names II32539 II32540 g24866
+0- 1
+-0 1
+.names g24866 g25393
+0 1
+.names II32266 II32267 g24753
+0- 1
+-0 1
+.names g24753 g25394
+0 1
+.names g24916 g25395
+0 1
+.names II32324 II32325 g24787
+0- 1
+-0 1
+.names g24787 g25399
+0 1
+.names g13585 g24153 g24712
+11 1
+.names g24712 g25400
+0 1
+.names II32410 II32411 g24823
+0- 1
+-0 1
+.names g24823 g25401
+0 1
+.names g24258 g23319 g24863
+0- 1
+-0 1
+.names g24863 II33232
+0 1
+.names II33232 g25402
+0 1
+.names II32499 II32500 g24854
+0- 1
+-0 1
+.names g24854 g25403
+0 1
+.names II32296 II32297 g24771
+0- 1
+-0 1
+.names g24771 g25404
+0 1
+.names g24933 g25405
+0 1
+.names II32369 II32370 g24808
+0- 1
+-0 1
+.names g24808 g25409
+0 1
+.names g13605 g24168 g24723
+11 1
+.names g24723 g25410
+0 1
+.names II32461 II32462 g24842
+0- 1
+-0 1
+.names g24842 g25411
+0 1
+.names II32334 II32335 g24791
+0- 1
+-0 1
+.names g24791 g25412
+0 1
+.names g24945 g25413
+0 1
+.names II32423 II32424 g24830
+0- 1
+-0 1
+.names g24830 g25417
+0 1
+.names II32379 II32380 g24812
+0- 1
+-0 1
+.names g24812 g25419
+0 1
+.names g23639 g23144 g24890
+1- 1
+-1 1
+.names g24890 II33246
+0 1
+.names g24890 II33249
+0 1
+.names II33249 g25421
+0 1
+.names g24958 g25422
+0 1
+.names g499 g23376 g24616
+0- 1
+-0 1
+.names g24616 g25430
+0 1
+.names g24969 g25431
+0 1
+.names g23726 g23142 g24909
+1- 1
+-1 1
+.names g24909 II33257
+0 1
+.names g24909 II33260
+0 1
+.names II33260 g25436
+0 1
+.names g1186 g23387 g24627
+0- 1
+-0 1
+.names g24627 g25437
+0 1
+.names g24982 g25438
+0 1
+.names g23772 g23141 g24925
+1- 1
+-1 1
+.names g24925 II33265
+0 1
+.names g24925 II33268
+0 1
+.names II33268 g25443
+0 1
+.names g1880 g23394 g24641
+0- 1
+-0 1
+.names g24641 g25444
+0 1
+.names g24993 g25445
+0 1
+.names g2574 g23402 g24660
+0- 1
+-0 1
+.names g24660 g25449
+0 1
+.names g23950 g679 g25088
+0- 1
+-0 1
+.names g25088 II33278
+0 1
+.names II33278 g25454
+0 1
+.names g23979 g1365 g25096
+0- 1
+-0 1
+.names g25096 II33282
+0 1
+.names II33282 g25458
+0 1
+.names g23386 g10024 g24426
+00 1
+.names g24426 II33286
+0 1
+.names II33286 g25462
+0 1
+.names g24009 g2059 g25106
+0- 1
+-0 1
+.names g25106 II33289
+0 1
+.names II33289 g25463
+0 1
+.names g23644 g5438 g25008
+0- 1
+-0 1
+.names g25008 II33293
+0 1
+.names II33293 g25467
+0 1
+.names g23393 g10133 g24430
+00 1
+.names g24430 II33297
+0 1
+.names II33297 g25471
+0 1
+.names g24043 g2753 g25112
+0- 1
+-0 1
+.names g25112 II33300
+0 1
+.names II33300 g25472
+0 1
+.names g23644 g6448 g25004
+0- 1
+-0 1
+.names g25004 II33304
+0 1
+.names II33304 g25476
+0 1
+.names g23644 g5438 g25011
+0- 1
+-0 1
+.names g25011 II33307
+0 1
+.names II33307 g25479
+0 1
+.names g23694 g5473 g25014
+0- 1
+-0 1
+.names g25014 II33312
+0 1
+.names II33312 g25484
+0 1
+.names g23401 g10238 g24434
+00 1
+.names g24434 II33316
+0 1
+.names II33316 g25488
+0 1
+.names g23644 g3306 g24442
+0- 1
+-0 1
+.names g24442 II33321
+0 1
+.names II33321 g25493
+0 1
+.names g23644 g6448 g25009
+0- 1
+-0 1
+.names g25009 II33324
+0 1
+.names II33324 g25496
+0 1
+.names g23644 g5438 g25017
+0- 1
+-0 1
+.names g25017 II33327
+0 1
+.names II33327 g25499
+0 1
+.names g23923 g6486 g25019
+0- 1
+-0 1
+.names g25019 II33330
+0 1
+.names II33330 g25502
+0 1
+.names g23694 g6713 g25010
+0- 1
+-0 1
+.names g25010 II33335
+0 1
+.names II33335 g25507
+0 1
+.names g23694 g5473 g25021
+0- 1
+-0 1
+.names g25021 II33338
+0 1
+.names II33338 g25510
+0 1
+.names g23748 g5512 g25024
+0- 1
+-0 1
+.names g25024 II33343
+0 1
+.names II33343 g25515
+0 1
+.names g23408 g10340 g24438
+00 1
+.names g24438 II33347
+0 1
+.names II33347 g25519
+0 1
+.names g23644 g3306 g24443
+0- 1
+-0 1
+.names g24443 II33352
+0 1
+.names II33352 g25524
+0 1
+.names g23644 g6448 g25012
+0- 1
+-0 1
+.names g25012 II33355
+0 1
+.names II33355 g25527
+0 1
+.names g23644 g5438 g25028
+0- 1
+-0 1
+.names g25028 II33358
+0 1
+.names II33358 g25530
+0 1
+.names g23923 g6643 g25013
+0- 1
+-0 1
+.names g25013 II33361
+0 1
+.names II33361 g25533
+0 1
+.names g23923 g6486 g25029
+0- 1
+-0 1
+.names g25029 II33364
+0 1
+.names II33364 g25536
+0 1
+.names g23694 g3462 g24444
+0- 1
+-0 1
+.names g24444 II33368
+0 1
+.names II33368 g25540
+0 1
+.names g23694 g6713 g25015
+0- 1
+-0 1
+.names g25015 II33371
+0 1
+.names II33371 g25543
+0 1
+.names g23694 g5473 g25031
+0- 1
+-0 1
+.names g25031 II33374
+0 1
+.names II33374 g25546
+0 1
+.names g23955 g6751 g25033
+0- 1
+-0 1
+.names g25033 II33377
+0 1
+.names II33377 g25549
+0 1
+.names g23748 g7015 g25016
+0- 1
+-0 1
+.names g25016 II33382
+0 1
+.names II33382 g25554
+0 1
+.names g23748 g5512 g25035
+0- 1
+-0 1
+.names g25035 II33385
+0 1
+.names II33385 g25557
+0 1
+.names g23803 g5556 g25038
+0- 1
+-0 1
+.names g25038 II33390
+0 1
+.names II33390 g25562
+0 1
+.names g23644 g3306 g24447
+0- 1
+-0 1
+.names g24447 II33396
+0 1
+.names II33396 g25573
+0 1
+.names g23644 g6448 g25018
+0- 1
+-0 1
+.names g25018 II33399
+0 1
+.names II33399 g25576
+0 1
+.names g23923 g3338 g24448
+0- 1
+-0 1
+.names g24448 II33402
+0 1
+.names II33402 g25579
+0 1
+.names g23923 g6643 g25020
+0- 1
+-0 1
+.names g25020 II33405
+0 1
+.names II33405 g25582
+0 1
+.names g23923 g6486 g25040
+0- 1
+-0 1
+.names g25040 II33408
+0 1
+.names II33408 g25585
+0 1
+.names g15247 g23735 g24491
+00 1
+.names g24491 II33411
+0 1
+.names II33411 g25588
+0 1
+.names g23694 g3462 g24449
+0- 1
+-0 1
+.names g24449 II33415
+0 1
+.names II33415 g25590
+0 1
+.names g23694 g6713 g25022
+0- 1
+-0 1
+.names g25022 II33418
+0 1
+.names II33418 g25593
+0 1
+.names g23694 g5473 g25043
+0- 1
+-0 1
+.names g25043 II33421
+0 1
+.names II33421 g25596
+0 1
+.names g23955 g6945 g25023
+0- 1
+-0 1
+.names g25023 II33424
+0 1
+.names II33424 g25599
+0 1
+.names g23955 g6751 g25044
+0- 1
+-0 1
+.names g25044 II33427
+0 1
+.names II33427 g25602
+0 1
+.names g23748 g3618 g24450
+0- 1
+-0 1
+.names g24450 II33431
+0 1
+.names II33431 g25606
+0 1
+.names g23748 g7015 g25025
+0- 1
+-0 1
+.names g25025 II33434
+0 1
+.names II33434 g25609
+0 1
+.names g23748 g5512 g25046
+0- 1
+-0 1
+.names g25046 II33437
+0 1
+.names II33437 g25612
+0 1
+.names g23984 g7053 g25048
+0- 1
+-0 1
+.names g25048 II33440
+0 1
+.names II33440 g25615
+0 1
+.names g23803 g7265 g25026
+0- 1
+-0 1
+.names g25026 II33445
+0 1
+.names II33445 g25620
+0 1
+.names g23803 g5556 g25050
+0- 1
+-0 1
+.names g25050 II33448
+0 1
+.names II33448 g25623
+0 1
+.names g23545 g21119 g21227 g24478
+111 1
+.names g24478 g25630
+0 1
+.names g23644 g3306 g24451
+0- 1
+-0 1
+.names g24451 II33457
+0 1
+.names II33457 g25634
+0 1
+.names g23923 g3338 g24452
+0- 1
+-0 1
+.names g24452 II33460
+0 1
+.names II33460 g25637
+0 1
+.names g23923 g6643 g25030
+0- 1
+-0 1
+.names g25030 II33463
+0 1
+.names II33463 g25640
+0 1
+.names g23923 g6486 g25053
+0- 1
+-0 1
+.names g25053 II33466
+0 1
+.names II33466 g25643
+0 1
+.names g15324 g23777 g24498
+00 1
+.names g24498 II33469
+0 1
+.names II33469 g25646
+0 1
+.names g15325 g23778 g24499
+00 1
+.names g24499 II33472
+0 1
+.names II33472 g25647
+0 1
+.names g23694 g3462 g24453
+0- 1
+-0 1
+.names g24453 II33476
+0 1
+.names II33476 g25652
+0 1
+.names g23694 g6713 g25032
+0- 1
+-0 1
+.names g25032 II33479
+0 1
+.names II33479 g25655
+0 1
+.names g23955 g3494 g24454
+0- 1
+-0 1
+.names g24454 II33482
+0 1
+.names II33482 g25658
+0 1
+.names g23955 g6945 g25034
+0- 1
+-0 1
+.names g25034 II33485
+0 1
+.names II33485 g25661
+0 1
+.names g23955 g6751 g25054
+0- 1
+-0 1
+.names g25054 II33488
+0 1
+.names II33488 g25664
+0 1
+.names g15339 g23790 g24501
+00 1
+.names g24501 II33491
+0 1
+.names II33491 g25667
+0 1
+.names g23748 g3618 g24455
+0- 1
+-0 1
+.names g24455 II33495
+0 1
+.names II33495 g25669
+0 1
+.names g23748 g7015 g25036
+0- 1
+-0 1
+.names g25036 II33498
+0 1
+.names II33498 g25672
+0 1
+.names g23748 g5512 g25057
+0- 1
+-0 1
+.names g25057 II33501
+0 1
+.names II33501 g25675
+0 1
+.names g23984 g7195 g25037
+0- 1
+-0 1
+.names g25037 II33504
+0 1
+.names II33504 g25678
+0 1
+.names g23984 g7053 g25058
+0- 1
+-0 1
+.names g25058 II33507
+0 1
+.names II33507 g25681
+0 1
+.names g23803 g3774 g24456
+0- 1
+-0 1
+.names g24456 II33511
+0 1
+.names II33511 g25685
+0 1
+.names g23803 g7265 g25039
+0- 1
+-0 1
+.names g25039 II33514
+0 1
+.names II33514 g25688
+0 1
+.names g23803 g5556 g25060
+0- 1
+-0 1
+.names g25060 II33517
+0 1
+.names II33517 g25691
+0 1
+.names g24014 g7303 g25062
+0- 1
+-0 1
+.names g25062 II33520
+0 1
+.names II33520 g25694
+0 1
+.names g24600 g25698
+0 1
+.names g23923 g3338 g24457
+0- 1
+-0 1
+.names g24457 II33526
+0 1
+.names II33526 g25700
+0 1
+.names g23923 g6643 g25041
+0- 1
+-0 1
+.names g25041 II33529
+0 1
+.names II33529 g25703
+0 1
+.names g15391 g23824 g24507
+00 1
+.names g24507 II33532
+0 1
+.names II33532 g25706
+0 1
+.names g15392 g23825 g24508
+00 1
+.names g24508 II33535
+0 1
+.names II33535 g25707
+0 1
+.names g23694 g3462 g24458
+0- 1
+-0 1
+.names g24458 II33539
+0 1
+.names II33539 g25711
+0 1
+.names g23955 g3494 g24459
+0- 1
+-0 1
+.names g24459 II33542
+0 1
+.names II33542 g25714
+0 1
+.names g23955 g6945 g25045
+0- 1
+-0 1
+.names g25045 II33545
+0 1
+.names II33545 g25717
+0 1
+.names g23955 g6751 g25064
+0- 1
+-0 1
+.names g25064 II33548
+0 1
+.names II33548 g25720
+0 1
+.names g15410 g23830 g24510
+00 1
+.names g24510 II33551
+0 1
+.names II33551 g25723
+0 1
+.names g15411 g23831 g24511
+00 1
+.names g24511 II33554
+0 1
+.names II33554 g25724
+0 1
+.names g23748 g3618 g24460
+0- 1
+-0 1
+.names g24460 II33558
+0 1
+.names II33558 g25729
+0 1
+.names g23748 g7015 g25047
+0- 1
+-0 1
+.names g25047 II33561
+0 1
+.names II33561 g25732
+0 1
+.names g23984 g3650 g24461
+0- 1
+-0 1
+.names g24461 II33564
+0 1
+.names II33564 g25735
+0 1
+.names g23984 g7195 g25049
+0- 1
+-0 1
+.names g25049 II33567
+0 1
+.names II33567 g25738
+0 1
+.names g23984 g7053 g25065
+0- 1
+-0 1
+.names g25065 II33570
+0 1
+.names II33570 g25741
+0 1
+.names g15425 g23843 g24513
+00 1
+.names g24513 II33573
+0 1
+.names II33573 g25744
+0 1
+.names g23803 g3774 g24462
+0- 1
+-0 1
+.names g24462 II33577
+0 1
+.names II33577 g25746
+0 1
+.names g23803 g7265 g25051
+0- 1
+-0 1
+.names g25051 II33580
+0 1
+.names II33580 g25749
+0 1
+.names g23803 g5556 g25068
+0- 1
+-0 1
+.names g25068 II33583
+0 1
+.names II33583 g25752
+0 1
+.names g24014 g7391 g25052
+0- 1
+-0 1
+.names g25052 II33586
+0 1
+.names II33586 g25755
+0 1
+.names g24014 g7303 g25069
+0- 1
+-0 1
+.names g25069 II33589
+0 1
+.names II33589 g25758
+0 1
+.names g23427 g22777 g24445
+00 1
+.names g24445 II33593
+0 1
+.names II33593 g25762
+0 1
+.names g23433 g22907 g24446
+00 1
+.names g24446 II33596
+0 1
+.names II33596 g25763
+0 1
+.names g23923 g3338 g24463
+0- 1
+-0 1
+.names g24463 II33600
+0 1
+.names II33600 g25767
+0 1
+.names g15459 g23855 g24519
+00 1
+.names g24519 II33603
+0 1
+.names II33603 g25770
+0 1
+.names g24607 g25771
+0 1
+.names g23955 g3494 g24464
+0- 1
+-0 1
+.names g24464 II33608
+0 1
+.names II33608 g25773
+0 1
+.names g23955 g6945 g25055
+0- 1
+-0 1
+.names g25055 II33611
+0 1
+.names II33611 g25776
+0 1
+.names g15475 g23859 g24521
+00 1
+.names g24521 II33614
+0 1
+.names II33614 g25779
+0 1
+.names g15476 g23860 g24522
+00 1
+.names g24522 II33617
+0 1
+.names II33617 g25780
+0 1
+.names g23748 g3618 g24465
+0- 1
+-0 1
+.names g24465 II33621
+0 1
+.names II33621 g25784
+0 1
+.names g23984 g3650 g24466
+0- 1
+-0 1
+.names g24466 II33624
+0 1
+.names II33624 g25787
+0 1
+.names g23984 g7195 g25059
+0- 1
+-0 1
+.names g25059 II33627
+0 1
+.names II33627 g25790
+0 1
+.names g23984 g7053 g25071
+0- 1
+-0 1
+.names g25071 II33630
+0 1
+.names II33630 g25793
+0 1
+.names g15494 g23865 g24524
+00 1
+.names g24524 II33633
+0 1
+.names II33633 g25796
+0 1
+.names g15495 g23866 g24525
+00 1
+.names g24525 II33636
+0 1
+.names II33636 g25797
+0 1
+.names g23803 g3774 g24467
+0- 1
+-0 1
+.names g24467 II33640
+0 1
+.names II33640 g25802
+0 1
+.names g23803 g7265 g25061
+0- 1
+-0 1
+.names g25061 II33643
+0 1
+.names II33643 g25805
+0 1
+.names g24014 g3806 g24468
+0- 1
+-0 1
+.names g24468 II33646
+0 1
+.names II33646 g25808
+0 1
+.names g24014 g7391 g25063
+0- 1
+-0 1
+.names g25063 II33649
+0 1
+.names II33649 g25811
+0 1
+.names g24014 g7303 g25072
+0- 1
+-0 1
+.names g25072 II33652
+0 1
+.names II33652 g25814
+0 1
+.names g15509 g23878 g24527
+00 1
+.names g24527 II33655
+0 1
+.names II33655 g25817
+0 1
+.names g23955 g3494 g24469
+0- 1
+-0 1
+.names g24469 II33659
+0 1
+.names II33659 g25821
+0 1
+.names g15545 g23889 g24532
+00 1
+.names g24532 II33662
+0 1
+.names II33662 g25824
+0 1
+.names g24619 g25825
+0 1
+.names g23984 g3650 g24470
+0- 1
+-0 1
+.names g24470 II33667
+0 1
+.names II33667 g25827
+0 1
+.names g23984 g7195 g25066
+0- 1
+-0 1
+.names g25066 II33670
+0 1
+.names II33670 g25830
+0 1
+.names g15561 g23893 g24534
+00 1
+.names g24534 II33673
+0 1
+.names II33673 g25833
+0 1
+.names g15562 g23894 g24535
+00 1
+.names g24535 II33676
+0 1
+.names II33676 g25834
+0 1
+.names g23803 g3774 g24471
+0- 1
+-0 1
+.names g24471 II33680
+0 1
+.names II33680 g25838
+0 1
+.names g24014 g3806 g24472
+0- 1
+-0 1
+.names g24472 II33683
+0 1
+.names II33683 g25841
+0 1
+.names g24014 g7391 g25070
+0- 1
+-0 1
+.names g25070 II33686
+0 1
+.names II33686 g25844
+0 1
+.names g24014 g7303 g25074
+0- 1
+-0 1
+.names g25074 II33689
+0 1
+.names II33689 g25847
+0 1
+.names g15580 g23899 g24537
+00 1
+.names g24537 II33692
+0 1
+.names II33692 g25850
+0 1
+.names g15581 g23900 g24538
+00 1
+.names g24538 II33695
+0 1
+.names II33695 g25851
+0 1
+.names g23984 g3650 g24474
+0- 1
+-0 1
+.names g24474 II33700
+0 1
+.names II33700 g25856
+0 1
+.names g15623 g23910 g24545
+00 1
+.names g24545 II33703
+0 1
+.names II33703 g25859
+0 1
+.names g24630 g25860
+0 1
+.names g24014 g3806 g24475
+0- 1
+-0 1
+.names g24475 II33708
+0 1
+.names II33708 g25862
+0 1
+.names g24014 g7391 g25073
+0- 1
+-0 1
+.names g25073 II33711
+0 1
+.names II33711 g25865
+0 1
+.names g15639 g23914 g24547
+00 1
+.names g24547 II33714
+0 1
+.names II33714 g25868
+0 1
+.names g15640 g23915 g24548
+00 1
+.names g24548 II33717
+0 1
+.names II33717 g25869
+0 1
+.names g24014 g3806 g24477
+0- 1
+-0 1
+.names g24477 II33723
+0 1
+.names II33723 g25877
+0 1
+.names g15699 g23942 g24557
+00 1
+.names g24557 II33726
+0 1
+.names II33726 g25880
+0 1
+.names g23461 g18407 g24473
+00 1
+.names g24473 II33732
+0 1
+.names II33732 g25886
+0 1
+.names g23477 g20127 g24476
+00 1
+.names g24476 II33737
+0 1
+.names II33737 g25891
+0 1
+.names g24939 g25895
+0 1
+.names II32678 II32679 g24928
+0- 1
+-0 1
+.names g24928 g25899
+0 1
+.names g24950 g25903
+0 1
+.names II32696 II32697 g24940
+0- 1
+-0 1
+.names g24940 g25907
+0 1
+.names g24962 g25911
+0 1
+.names II32709 II32710 g24951
+0- 1
+-0 1
+.names g24951 g25915
+0 1
+.names g24973 g25919
+0 1
+.names II32725 II32726 g24963
+0- 1
+-0 1
+.names g24963 g25923
+0 1
+.names g24763 g25937
+0 1
+.names g24784 g25939
+0 1
+.names g24805 g25942
+0 1
+.names g24827 g25945
+0 1
+.names g24735 g25952
+0 1
+.names g25103 II33790
+0 1
+.names II33790 g25976
+0 1
+.names g25109 II33798
+0 1
+.names II33798 g25982
+0 1
+.names g25327 II33801
+0 1
+.names g25976 II33804
+0 1
+.names g25588 II33807
+0 1
+.names g25646 II33810
+0 1
+.names g25706 II33813
+0 1
+.names g25647 II33816
+0 1
+.names g25707 II33819
+0 1
+.names g25770 II33822
+0 1
+.names g25462 II33825
+0 1
+.names g25336 II33828
+0 1
+.names g25982 II33831
+0 1
+.names g25667 II33834
+0 1
+.names g25723 II33837
+0 1
+.names g25779 II33840
+0 1
+.names g25724 II33843
+0 1
+.names g25780 II33846
+0 1
+.names g25824 II33849
+0 1
+.names g25471 II33852
+0 1
+.names g25350 II33855
+0 1
+.names g25179 II33858
+0 1
+.names g25744 II33861
+0 1
+.names g25796 II33864
+0 1
+.names g25833 II33867
+0 1
+.names g25797 II33870
+0 1
+.names g25834 II33873
+0 1
+.names g25859 II33876
+0 1
+.names g25488 II33879
+0 1
+.names g25364 II33882
+0 1
+.names g25180 II33885
+0 1
+.names g25817 II33888
+0 1
+.names g25850 II33891
+0 1
+.names g25868 II33894
+0 1
+.names g25851 II33897
+0 1
+.names g25869 II33900
+0 1
+.names g25880 II33903
+0 1
+.names g25519 II33906
+0 1
+.names g25886 II33909
+0 1
+.names g25891 II33912
+0 1
+.names g25762 II33915
+0 1
+.names g25763 II33918
+0 1
+.names g24975 g5623 g25343
+00 1
+.names g25343 II33954
+0 1
+.names II33954 g26056
+0 1
+.names g24986 g5651 g25357
+00 1
+.names g25357 II33961
+0 1
+.names II33961 g26063
+0 1
+.names g24997 g5689 g25372
+00 1
+.names g25372 II33968
+0 1
+.names II33968 g26070
+0 1
+.names g25005 g5741 g25389
+00 1
+.names g25389 II33974
+0 1
+.names II33974 g26076
+0 1
+.names g25125 g17001 g25932
+00 1
+.names g25932 II33984
+0 1
+.names II33984 g26086
+0 1
+.names g4456 g25078 g18429 g16075 g25870
+0000 1
+.names g25870 II33990
+0 1
+.names II33990 g26092
+0 1
+.names g25127 g17031 g25935
+00 1
+.names g25935 II33995
+0 1
+.names II33995 g26102
+0 1
+.names g24759 g23146 g25490
+1- 1
+-1 1
+.names g25490 II33999
+0 1
+.names g25490 II34002
+0 1
+.names II34002 g26105
+0 1
+.names g4632 g25082 g18502 g16113 g25882
+0000 1
+.names g25882 II34009
+0 1
+.names II34009 g26114
+0 1
+.names g25129 g17065 g25938
+00 1
+.names g25938 II34012
+0 1
+.names II34012 g26118
+0 1
+.names g4809 g25091 g18566 g16164 g25887
+0000 1
+.names g25887 II34017
+0 1
+.names II34017 g26121
+0 1
+.names g24428 g17100 g25940
+00 1
+.names g25940 II34020
+0 1
+.names II34020 g26125
+0 1
+.names g4985 g25099 g18616 g16223 g25892
+0000 1
+.names g25892 II34026
+0 1
+.names II34026 g26131
+0 1
+.names g24813 g23145 g25520
+1- 1
+-1 1
+.names g25520 II34029
+0 1
+.names g25520 II34032
+0 1
+.names II34032 g26136
+0 1
+.names g24843 g23143 g25566
+1- 1
+-1 1
+.names g25566 II34041
+0 1
+.names g25566 II34044
+0 1
+.names II34044 g26150
+0 1
+.names g24745 g23547 g25204
+00 1
+.names g25204 II34051
+0 1
+.names II34051 g26159
+0 1
+.names g24746 g23550 g25206
+00 1
+.names g25206 II34056
+0 1
+.names II34056 g26164
+0 1
+.names g24747 g23551 g25207
+00 1
+.names g25207 II34059
+0 1
+.names II34059 g26165
+0 1
+.names g24749 g23554 g25209
+00 1
+.names g25209 II34063
+0 1
+.names II34063 g26167
+0 1
+.names g24750 g23558 g25211
+00 1
+.names g25211 II34068
+0 1
+.names II34068 g26172
+0 1
+.names g24751 g23559 g25212
+00 1
+.names g25212 II34071
+0 1
+.names II34071 g26173
+0 1
+.names g24752 g23560 g25213
+00 1
+.names g25213 II34074
+0 1
+.names II34074 g26174
+0 1
+.names g22806 g24517 g25954
+0- 1
+-0 1
+.names g25954 II34077
+0 1
+.names II34077 g26175
+0 1
+.names g25088 g6157 g25539
+00 1
+.names g25539 II34080
+0 1
+.names II34080 g26178
+0 1
+.names g24754 g23563 g25214
+00 1
+.names g25214 II34083
+0 1
+.names II34083 g26181
+0 1
+.names g24755 g23564 g25215
+00 1
+.names g25215 II34086
+0 1
+.names II34086 g26182
+0 1
+.names g24758 g23567 g25217
+00 1
+.names g25217 II34091
+0 1
+.names II34091 g26187
+0 1
+.names g25952 g26189
+0 1
+.names g24760 g23571 g25218
+00 1
+.names g25218 II34096
+0 1
+.names II34096 g26190
+0 1
+.names g24761 g23572 g25219
+00 1
+.names g25219 II34099
+0 1
+.names II34099 g26191
+0 1
+.names g24762 g23573 g25220
+00 1
+.names g25220 II34102
+0 1
+.names II34102 g26192
+0 1
+.names g24767 g23577 g25221
+00 1
+.names g25221 II34105
+0 1
+.names II34105 g26193
+0 1
+.names g24768 g23578 g25222
+00 1
+.names g25222 II34108
+0 1
+.names II34108 g26194
+0 1
+.names g24769 g23579 g25223
+00 1
+.names g25223 II34111
+0 1
+.names II34111 g26195
+0 1
+.names g22847 g24530 g25958
+0- 1
+-0 1
+.names g25958 II34114
+0 1
+.names II34114 g26196
+0 1
+.names g25096 g6184 g25605
+00 1
+.names g25605 II34118
+0 1
+.names II34118 g26202
+0 1
+.names g24772 g23582 g25224
+00 1
+.names g25224 II34121
+0 1
+.names II34121 g26205
+0 1
+.names g24773 g23583 g25225
+00 1
+.names g25225 II34124
+0 1
+.names II34124 g26206
+0 1
+.names g24775 g23586 g25227
+00 1
+.names g25227 II34128
+0 1
+.names II34128 g26208
+0 1
+.names g25296 g26209
+0 1
+.names g24776 g23590 g25228
+00 1
+.names g25228 II34132
+0 1
+.names II34132 g26210
+0 1
+.names g24777 g23591 g25229
+00 1
+.names g25229 II34135
+0 1
+.names II34135 g26211
+0 1
+.names g24779 g23598 g25230
+00 1
+.names g25230 II34140
+0 1
+.names II34140 g26214
+0 1
+.names g24780 g23599 g25231
+00 1
+.names g25231 II34143
+0 1
+.names II34143 g26215
+0 1
+.names g24781 g23600 g25232
+00 1
+.names g25232 II34146
+0 1
+.names II34146 g26216
+0 1
+.names g24788 g23604 g25233
+00 1
+.names g25233 II34150
+0 1
+.names II34150 g26220
+0 1
+.names g24789 g23605 g25234
+00 1
+.names g25234 II34153
+0 1
+.names II34153 g26221
+0 1
+.names g24790 g23606 g25235
+00 1
+.names g25235 II34156
+0 1
+.names II34156 g26222
+0 1
+.names g22882 g24543 g25964
+0- 1
+-0 1
+.names g25964 II34159
+0 1
+.names II34159 g26223
+0 1
+.names g25106 g6216 g25684
+00 1
+.names g25684 II34162
+0 1
+.names II34162 g26226
+0 1
+.names g24792 g23609 g25236
+00 1
+.names g25236 II34165
+0 1
+.names II34165 g26229
+0 1
+.names g24793 g23610 g25237
+00 1
+.names g25237 II34168
+0 1
+.names II34168 g26230
+0 1
+.names g24796 g23615 g25239
+00 1
+.names g25239 II34172
+0 1
+.names II34172 g26232
+0 1
+.names g25306 g26237
+0 1
+.names g24798 g23622 g25240
+00 1
+.names g25240 II34180
+0 1
+.names II34180 g26238
+0 1
+.names g24799 g23623 g25241
+00 1
+.names g25241 II34183
+0 1
+.names II34183 g26239
+0 1
+.names g24802 g23630 g25242
+00 1
+.names g25242 II34189
+0 1
+.names II34189 g26245
+0 1
+.names g24803 g23631 g25243
+00 1
+.names g25243 II34192
+0 1
+.names II34192 g26246
+0 1
+.names g24804 g23632 g25244
+00 1
+.names g25244 II34195
+0 1
+.names II34195 g26247
+0 1
+.names g24809 g23636 g25245
+00 1
+.names g25245 II34198
+0 1
+.names II34198 g26248
+0 1
+.names g24810 g23637 g25246
+00 1
+.names g25246 II34201
+0 1
+.names II34201 g26249
+0 1
+.names g24811 g23638 g25247
+00 1
+.names g25247 II34204
+0 1
+.names II34204 g26250
+0 1
+.names g22917 g24555 g25969
+0- 1
+-0 1
+.names g25969 II34207
+0 1
+.names II34207 g26251
+0 1
+.names g25112 g6305 g25761
+00 1
+.names g25761 II34210
+0 1
+.names II34210 g26254
+0 1
+.names g24818 g23664 g25248
+00 1
+.names g25248 II34220
+0 1
+.names II34220 g26264
+0 1
+.names g25315 g26275
+0 1
+.names g24821 g23671 g25249
+00 1
+.names g25249 II34230
+0 1
+.names II34230 g26276
+0 1
+.names g24822 g23672 g25250
+00 1
+.names g25250 II34233
+0 1
+.names II34233 g26277
+0 1
+.names g24824 g23679 g25251
+00 1
+.names g25251 II34238
+0 1
+.names II34238 g26280
+0 1
+.names g24825 g23680 g25252
+00 1
+.names g25252 II34241
+0 1
+.names II34241 g26281
+0 1
+.names g24826 g23681 g25253
+00 1
+.names g25253 II34244
+0 1
+.names II34244 g26282
+0 1
+.names g24492 g10024 g25185
+00 1
+.names g25185 II34254
+0 1
+.names II34254 g26294
+0 1
+.names g24838 g23714 g25255
+00 1
+.names g25255 II34266
+0 1
+.names II34266 g26308
+0 1
+.names g25324 g26313
+0 1
+.names g24840 g23721 g25256
+00 1
+.names g25256 II34274
+0 1
+.names II34274 g26314
+0 1
+.names g24841 g23722 g25257
+00 1
+.names g25257 II34277
+0 1
+.names II34277 g26315
+0 1
+.names g24502 g10133 g25189
+00 1
+.names g25189 II34296
+0 1
+.names II34296 g26341
+0 1
+.names g24853 g23768 g25259
+00 1
+.names g25259 II34306
+0 1
+.names II34306 g26349
+0 1
+.names g24878 g23852 g25265
+00 1
+.names g25265 II34313
+0 1
+.names II34313 g26354
+0 1
+.names g24516 g22777 g25191
+00 1
+.names g25191 II34316
+0 1
+.names II34316 g26355
+0 1
+.names g24965 g5438 g25928
+0- 1
+-0 1
+.names g25928 II34321
+0 1
+.names II34321 g26358
+0 1
+.names g24858 g17737 g25260
+00 1
+.names g25260 II34327
+0 1
+.names II34327 g26364
+0 1
+.names g24514 g10238 g25194
+00 1
+.names g25194 II34343
+0 1
+.names II34343 g26385
+0 1
+.names g24965 g6448 g25927
+0- 1
+-0 1
+.names g25927 II34353
+0 1
+.names II34353 g26393
+0 1
+.names g24869 g17824 g25262
+00 1
+.names g25262 II34358
+0 1
+.names II34358 g26398
+0 1
+.names g24978 g5473 g25930
+0- 1
+-0 1
+.names g25930 II34363
+0 1
+.names II34363 g26401
+0 1
+.names g24874 g17838 g25263
+00 1
+.names g25263 II34369
+0 1
+.names II34369 g26407
+0 1
+.names g24528 g10340 g25197
+00 1
+.names g25197 II34385
+0 1
+.names II34385 g26428
+0 1
+.names g24965 g3306 g25200
+0- 1
+-0 1
+.names g25200 II34388
+0 1
+.names II34388 g26429
+0 1
+.names g24881 g17912 g25266
+00 1
+.names g25266 II34392
+0 1
+.names II34392 g26433
+0 1
+.names g24978 g6713 g25929
+0- 1
+-0 1
+.names g25929 II34395
+0 1
+.names II34395 g26434
+0 1
+.names g24884 g17936 g25267
+00 1
+.names g25267 II34400
+0 1
+.names II34400 g26439
+0 1
+.names g24989 g5512 g25933
+0- 1
+-0 1
+.names g25933 II34405
+0 1
+.names II34405 g26442
+0 1
+.names g24888 g17950 g25268
+00 1
+.names g25268 II34411
+0 1
+.names II34411 g26448
+0 1
+.names g24978 g3462 g25203
+0- 1
+-0 1
+.names g25203 II34421
+0 1
+.names II34421 g26461
+0 1
+.names g24898 g18023 g25270
+00 1
+.names g25270 II34425
+0 1
+.names II34425 g26465
+0 1
+.names g24989 g7015 g25931
+0- 1
+-0 1
+.names g25931 II34428
+0 1
+.names II34428 g26466
+0 1
+.names g24901 g18047 g25271
+00 1
+.names g25271 II34433
+0 1
+.names II34433 g26471
+0 1
+.names g25000 g5556 g25936
+0- 1
+-0 1
+.names g25936 II34438
+0 1
+.names II34438 g26474
+0 1
+.names g24905 g18061 g25272
+00 1
+.names g25272 II34444
+0 1
+.names II34444 g26480
+0 1
+.names g25076 g21615 g25764
+00 1
+.names g25764 g26481
+0 1
+.names g24989 g3618 g25205
+0- 1
+-0 1
+.names g25205 II34449
+0 1
+.names II34449 g26485
+0 1
+.names g24921 g18140 g25279
+00 1
+.names g25279 II34453
+0 1
+.names II34453 g26489
+0 1
+.names g25000 g7265 g25934
+0- 1
+-0 1
+.names g25934 II34456
+0 1
+.names II34456 g26490
+0 1
+.names g24924 g18164 g25280
+00 1
+.names g25280 II34461
+0 1
+.names II34461 g26495
+0 1
+.names g24558 g20127 g25199
+00 1
+.names g25199 II34464
+0 1
+.names II34464 g26496
+0 1
+.names g25077 g21643 g25818
+00 1
+.names g25818 g26497
+0 1
+.names g25000 g3774 g25210
+0- 1
+-0 1
+.names g25210 II34469
+0 1
+.names II34469 g26501
+0 1
+.names g24938 g18256 g25288
+00 1
+.names g25288 II34473
+0 1
+.names II34473 g26505
+0 1
+.names g24575 g18407 g25201
+00 1
+.names g25201 II34476
+0 1
+.names II34476 g26506
+0 1
+.names g24566 g22907 g25202
+00 1
+.names g25202 II34479
+0 1
+.names II34479 g26507
+0 1
+.names g21211 g14442 g10694 g24590 g25312
+0--- 1
+-0-- 1
+--0- 1
+---0 1
+.names g25312 g26508
+0 1
+.names g25081 g21674 g25853
+00 1
+.names g25853 g26512
+0 1
+.names g21219 g14529 g10714 g24595 g25320
+0--- 1
+-0-- 1
+--0- 1
+---0 1
+.names g25320 g26516
+0 1
+.names g25085 g21703 g25874
+00 1
+.names g25874 g26520
+0 1
+.names g21230 g14584 g10735 g24603 g25331
+0--- 1
+-0-- 1
+--0- 1
+---0 1
+.names g25331 g26521
+0 1
+.names g21235 g14618 g10754 g24610 g25340
+0--- 1
+-0-- 1
+--0- 1
+---0 1
+.names g25340 g26525
+0 1
+.names g25454 g26533
+0 1
+.names g25458 g26538
+0 1
+.names g25463 g26539
+0 1
+.names g25467 g26540
+0 1
+.names g25472 g26542
+0 1
+.names g25476 g26543
+0 1
+.names g25479 g26544
+0 1
+.names g25484 g26546
+0 1
+.names g16018 g25086 g25450
+00 1
+.names g25450 II34505
+0 1
+.names II34505 g26548
+0 1
+.names g25421 g26549
+0 1
+.names g25493 g26550
+0 1
+.names g25496 g26551
+0 1
+.names g25499 g26552
+0 1
+.names g25502 g26554
+0 1
+.names g25507 g26555
+0 1
+.names g25510 g26556
+0 1
+.names g25515 g26558
+0 1
+.names g25524 g26561
+0 1
+.names g25527 g26562
+0 1
+.names g25530 g26563
+0 1
+.names g25533 g26564
+0 1
+.names g25536 g26565
+0 1
+.names g25540 g26566
+0 1
+.names g25543 g26567
+0 1
+.names g25546 g26568
+0 1
+.names g25549 g26570
+0 1
+.names g25554 g26571
+0 1
+.names g25557 g26572
+0 1
+.names g25562 g26574
+0 1
+.names g16048 g25102 g25451
+00 1
+.names g25451 II34535
+0 1
+.names II34535 g26576
+0 1
+.names g25436 g26577
+0 1
+.names g25573 g26578
+0 1
+.names g25576 g26579
+0 1
+.names g25579 g26580
+0 1
+.names g25582 g26581
+0 1
+.names g25585 g26582
+0 1
+.names g25590 g26584
+0 1
+.names g25593 g26585
+0 1
+.names g25596 g26586
+0 1
+.names g25599 g26587
+0 1
+.names g25602 g26588
+0 1
+.names g25606 g26589
+0 1
+.names g25609 g26590
+0 1
+.names g25612 g26591
+0 1
+.names g25615 g26593
+0 1
+.names g25620 g26594
+0 1
+.names g25623 g26595
+0 1
+.names g25443 g26597
+0 1
+.names g25634 g26598
+0 1
+.names g25637 g26599
+0 1
+.names g25640 g26600
+0 1
+.names g25643 g26601
+0 1
+.names g25652 g26602
+0 1
+.names g25655 g26603
+0 1
+.names g25658 g26604
+0 1
+.names g25661 g26605
+0 1
+.names g25664 g26606
+0 1
+.names g25669 g26608
+0 1
+.names g25672 g26609
+0 1
+.names g25675 g26610
+0 1
+.names g25678 g26611
+0 1
+.names g25681 g26612
+0 1
+.names g25685 g26613
+0 1
+.names g25688 g26614
+0 1
+.names g25691 g26615
+0 1
+.names g25694 g26617
+0 1
+.names g16101 g25117 g25452
+00 1
+.names g25452 II34579
+0 1
+.names II34579 g26618
+0 1
+.names g25700 g26619
+0 1
+.names g25703 g26620
+0 1
+.names g25711 g26621
+0 1
+.names g25714 g26622
+0 1
+.names g25717 g26623
+0 1
+.names g25720 g26624
+0 1
+.names g25729 g26625
+0 1
+.names g25732 g26626
+0 1
+.names g25735 g26627
+0 1
+.names g25738 g26628
+0 1
+.names g25741 g26629
+0 1
+.names g25746 g26631
+0 1
+.names g25749 g26632
+0 1
+.names g25752 g26633
+0 1
+.names g25755 g26634
+0 1
+.names g25758 g26635
+0 1
+.names g25767 g26636
+0 1
+.names g25773 g26637
+0 1
+.names g25776 g26638
+0 1
+.names g25784 g26639
+0 1
+.names g25787 g26640
+0 1
+.names g25790 g26641
+0 1
+.names g25793 g26642
+0 1
+.names g25802 g26643
+0 1
+.names g25805 g26644
+0 1
+.names g25808 g26645
+0 1
+.names g25811 g26646
+0 1
+.names g25814 g26647
+0 1
+.names g25821 g26648
+0 1
+.names g25827 g26649
+0 1
+.names g25830 g26650
+0 1
+.names g25838 g26651
+0 1
+.names g25841 g26652
+0 1
+.names g25844 g26653
+0 1
+.names g25847 g26654
+0 1
+.names g25856 g26656
+0 1
+.names g25862 g26657
+0 1
+.names g25865 g26658
+0 1
+.names g25877 g26662
+0 1
+.names g26086 II34641
+0 1
+.names g26159 II34644
+0 1
+.names g26164 II34647
+0 1
+.names g26172 II34650
+0 1
+.names g26165 II34653
+0 1
+.names g26173 II34656
+0 1
+.names g26190 II34659
+0 1
+.names g26174 II34662
+0 1
+.names g26191 II34665
+0 1
+.names g26210 II34668
+0 1
+.names g26192 II34671
+0 1
+.names g26211 II34674
+0 1
+.names g26232 II34677
+0 1
+.names g26294 II34680
+0 1
+.names g26364 II34683
+0 1
+.names g26398 II34686
+0 1
+.names g26433 II34689
+0 1
+.names g26102 II34692
+0 1
+.names g26167 II34695
+0 1
+.names g26181 II34698
+0 1
+.names g26193 II34701
+0 1
+.names g26182 II34704
+0 1
+.names g26194 II34707
+0 1
+.names g26214 II34710
+0 1
+.names g26195 II34713
+0 1
+.names g26215 II34716
+0 1
+.names g26238 II34719
+0 1
+.names g26216 II34722
+0 1
+.names g26239 II34725
+0 1
+.names g26264 II34728
+0 1
+.names g26341 II34731
+0 1
+.names g26407 II34734
+0 1
+.names g26439 II34737
+0 1
+.names g26465 II34740
+0 1
+.names g26118 II34743
+0 1
+.names g26187 II34746
+0 1
+.names g26205 II34749
+0 1
+.names g26220 II34752
+0 1
+.names g26206 II34755
+0 1
+.names g26221 II34758
+0 1
+.names g26245 II34761
+0 1
+.names g26222 II34764
+0 1
+.names g26246 II34767
+0 1
+.names g26276 II34770
+0 1
+.names g26247 II34773
+0 1
+.names g26277 II34776
+0 1
+.names g26308 II34779
+0 1
+.names g26385 II34782
+0 1
+.names g26448 II34785
+0 1
+.names g26471 II34788
+0 1
+.names g26489 II34791
+0 1
+.names g26125 II34794
+0 1
+.names g26208 II34797
+0 1
+.names g26229 II34800
+0 1
+.names g26248 II34803
+0 1
+.names g26230 II34806
+0 1
+.names g26249 II34809
+0 1
+.names g26280 II34812
+0 1
+.names g26250 II34815
+0 1
+.names g26281 II34818
+0 1
+.names g26314 II34821
+0 1
+.names g26282 II34824
+0 1
+.names g26315 II34827
+0 1
+.names g26349 II34830
+0 1
+.names g26428 II34833
+0 1
+.names g26480 II34836
+0 1
+.names g26495 II34839
+0 1
+.names g26505 II34842
+0 1
+.names g26496 II34845
+0 1
+.names g26506 II34848
+0 1
+.names g26354 II34851
+0 1
+.names g26507 II34854
+0 1
+.names g26355 II34857
+0 1
+.names g26548 II34860
+0 1
+.names g26576 II34863
+0 1
+.names g26618 II34866
+0 1
+.names g25963 g13320 g26217
+00 1
+.names g26217 II34872
+0 1
+.names II34872 g26757
+0 1
+.names g25968 g13340 g26240
+00 1
+.names g26240 II34879
+0 1
+.names II34879 g26762
+0 1
+.names g25977 g13385 g26295
+00 1
+.names g26295 II34901
+0 1
+.names II34901 g26782
+0 1
+.names g25972 g13360 g26265
+00 1
+.names g26265 II34909
+0 1
+.names II34909 g26788
+0 1
+.names g26240 II34916
+0 1
+.names II34916 g26793
+0 1
+.names g26217 II34921
+0 1
+.names II34921 g26796
+0 1
+.names g25321 g8869 g26534
+00 1
+.names g26534 II34946
+0 1
+.names II34946 g26819
+0 1
+.names g13755 g25269 g26541
+00 1
+.names g26541 II34957
+0 1
+.names II34957 g26828
+0 1
+.names g13790 g25277 g26545
+00 1
+.names g26545 II34961
+0 1
+.names II34961 g26830
+0 1
+.names g13796 g25278 g26547
+00 1
+.names g26547 II34964
+0 1
+.names II34964 g26831
+0 1
+.names g13816 g25282 g26553
+00 1
+.names g26553 II34967
+0 1
+.names II34967 g26832
+0 1
+.names g13818 g25286 g26557
+00 1
+.names g26557 II34971
+0 1
+.names II34971 g26834
+0 1
+.names g25953 g16212 g26168
+00 1
+.names g26168 II34974
+0 1
+.names II34974 g26835
+0 1
+.names g13824 g25287 g26559
+00 1
+.names g26559 II34977
+0 1
+.names II34977 g26836
+0 1
+.names g25343 g65 g26458
+0- 1
+-0 1
+.names g26458 II34980
+0 1
+.names II34980 g26837
+0 1
+.names g13837 g25290 g26569
+00 1
+.names g26569 II34983
+0 1
+.names II34983 g26840
+0 1
+.names g25951 g16162 g26160
+00 1
+.names g26160 II34986
+0 1
+.names II34986 g26841
+0 1
+.names g13839 g25294 g26573
+00 1
+.names g26573 II34990
+0 1
+.names II34990 g26843
+0 1
+.names g13845 g25295 g26575
+00 1
+.names g26575 II34993
+0 1
+.names II34993 g26844
+0 1
+.names g25357 g753 g26482
+0- 1
+-0 1
+.names g26482 II34997
+0 1
+.names II34997 g26846
+0 1
+.names g25981 g13481 g26336
+00 1
+.names g26336 II35000
+0 1
+.names II35000 g26849
+0 1
+.names g13851 g25300 g26592
+00 1
+.names g26592 II35003
+0 1
+.names II35003 g26850
+0 1
+.names g13853 g25304 g26596
+00 1
+.names g26596 II35007
+0 1
+.names II35007 g26852
+0 1
+.names g25978 g16451 g26304
+00 1
+.names g26304 II35011
+0 1
+.names II35011 g26854
+0 1
+.names g25372 g1439 g26498
+0- 1
+-0 1
+.names g26498 II35014
+0 1
+.names II35014 g26855
+0 1
+.names g13860 g25310 g26616
+00 1
+.names g26616 II35017
+0 1
+.names II35017 g26858
+0 1
+.names g25389 g2133 g26513
+0- 1
+-0 1
+.names g26513 II35028
+0 1
+.names II35028 g26861
+0 1
+.names g25962 g17001 g26529
+00 1
+.names g26529 II35031
+0 1
+.names II35031 g26864
+0 1
+.names g25967 g17031 g26530
+00 1
+.names g26530 II35049
+0 1
+.names II35049 g26868
+0 1
+.names g25328 g17084 g26655
+00 1
+.names g26655 II35053
+0 1
+.names II35053 g26872
+0 1
+.names g25974 g17065 g26531
+00 1
+.names g26531 II35064
+0 1
+.names II35064 g26875
+0 1
+.names g25334 g17116 g26659
+00 1
+.names g26659 II35067
+0 1
+.names II35067 g26876
+0 1
+.names g25337 g17122 g26661
+00 1
+.names g26661 II35072
+0 1
+.names II35072 g26881
+0 1
+.names g25979 g17100 g26532
+00 1
+.names g26532 II35076
+0 1
+.names II35076 g26883
+0 1
+.names g25346 g17138 g26664
+00 1
+.names g26664 II35079
+0 1
+.names II35079 g26884
+0 1
+.names g25348 g17143 g26665
+00 1
+.names g26665 II35083
+0 1
+.names II35083 g26886
+0 1
+.names g25351 g17149 g26667
+00 1
+.names g26667 II35087
+0 1
+.names II35087 g26890
+0 1
+.names g25360 g17161 g26669
+00 1
+.names g26669 II35092
+0 1
+.names II35092 g26895
+0 1
+.names g25362 g17166 g26670
+00 1
+.names g26670 II35095
+0 1
+.names II35095 g26896
+0 1
+.names g25365 g17172 g26672
+00 1
+.names g26672 II35099
+0 1
+.names II35099 g26900
+0 1
+.names g25375 g17176 g26675
+00 1
+.names g26675 II35106
+0 1
+.names II35106 g26909
+0 1
+.names g25377 g17181 g26676
+00 1
+.names g26676 II35109
+0 1
+.names II35109 g26910
+0 1
+.names g25392 g17193 g26025
+00 1
+.names g26025 II35116
+0 1
+.names II35116 g26921
+0 1
+.names g25954 g24486 g26283
+00 1
+.names g26283 g26922
+0 1
+.names g25958 g24493 g26327
+00 1
+.names g26327 g26935
+0 1
+.names g25964 g24503 g26374
+00 1
+.names g26374 g26944
+0 1
+.names g25969 g24515 g26417
+00 1
+.names g26417 g26950
+0 1
+.names g25208 g10024 g26660
+00 1
+.names g26660 II35136
+0 1
+.names II35136 g26953
+0 1
+.names g26549 g26954
+0 1
+.names g25216 g10133 g26666
+00 1
+.names g26666 II35141
+0 1
+.names II35141 g26956
+0 1
+.names g26577 g26957
+0 1
+.names g25226 g10238 g26671
+00 1
+.names g26671 II35146
+0 1
+.names II35146 g26959
+0 1
+.names g26597 g26960
+0 1
+.names g25238 g10340 g26677
+00 1
+.names g26677 II35153
+0 1
+.names II35153 g26964
+0 1
+.names g25973 g16423 g26272
+00 1
+.names g26272 II35172
+0 1
+.names II35172 g26983
+0 1
+.names g26056 g26987
+0 1
+.names g26063 g27010
+0 1
+.names g26070 g27036
+0 1
+.names g26076 g27064
+0 1
+.names g25628 g24906 g26048
+00 1
+.names g26048 II35254
+0 1
+.names II35254 g27075
+0 1
+.names g25273 g22777 g26031
+00 1
+.names g26031 II35283
+0 1
+.names II35283 g27102
+0 1
+.names g25961 g13291 g26199
+00 1
+.names g26199 II35297
+0 1
+.names II35297 g27114
+0 1
+.names g25311 g18407 g26037
+00 1
+.names g26037 II35301
+0 1
+.names II35301 g27116
+0 1
+.names g26534 II35313
+0 1
+.names II35313 g27126
+0 1
+.names g25957 g13270 g26183
+00 1
+.names g26183 II35319
+0 1
+.names II35319 g27132
+0 1
+.names g26105 g27133
+0 1
+.names g26175 g27134
+0 1
+.names g26178 g27135
+0 1
+.names g26196 g27136
+0 1
+.names g26202 g27137
+0 1
+.names g26223 g27138
+0 1
+.names g26226 g27139
+0 1
+.names g26136 g27140
+0 1
+.names g26251 g27141
+0 1
+.names g26254 g27142
+0 1
+.names g26150 g27143
+0 1
+.names g23644 g25354 g26106
+0- 1
+-0 1
+.names g26106 II35334
+0 1
+.names II35334 g27145
+0 1
+.names g26358 g27146
+0 1
+.names g26393 g27148
+0 1
+.names g23694 g25369 g26120
+0- 1
+-0 1
+.names g26120 II35341
+0 1
+.names II35341 g27150
+0 1
+.names g26401 g27151
+0 1
+.names g26429 g27153
+0 1
+.names g26265 II35347
+0 1
+.names II35347 g27154
+0 1
+.names g26434 g27155
+0 1
+.names g26272 II35351
+0 1
+.names II35351 g27156
+0 1
+.names g23748 g25386 g26130
+0- 1
+-0 1
+.names g26130 II35355
+0 1
+.names II35355 g27158
+0 1
+.names g26442 g27159
+0 1
+.names g26295 II35360
+0 1
+.names II35360 g27161
+0 1
+.names g26461 g27162
+0 1
+.names g26304 II35364
+0 1
+.names II35364 g27163
+0 1
+.names g26466 g27164
+0 1
+.names g23803 g25402 g26144
+0- 1
+-0 1
+.names g26144 II35369
+0 1
+.names II35369 g27166
+0 1
+.names g26474 g27167
+0 1
+.names g26189 II35373
+0 1
+.names II35373 g27168
+0 1
+.names g26336 II35376
+0 1
+.names II35376 g27171
+0 1
+.names g26485 g27172
+0 1
+.names g26490 g27173
+0 1
+.names g26160 II35383
+0 1
+.names II35383 g27176
+0 1
+.names g26501 g27177
+0 1
+.names g26168 II35389
+0 1
+.names II35389 g27180
+0 1
+.names g26183 II35394
+0 1
+.names II35394 g27183
+0 1
+.names g26199 II35399
+0 1
+.names II35399 g27186
+0 1
+.names g26864 II35404
+0 1
+.names g27145 II35407
+0 1
+.names g26872 II35410
+0 1
+.names g26876 II35413
+0 1
+.names g26884 II35416
+0 1
+.names g26828 II35419
+0 1
+.names g26830 II35422
+0 1
+.names g26832 II35425
+0 1
+.names g26953 II35428
+0 1
+.names g26868 II35431
+0 1
+.names g27150 II35434
+0 1
+.names g27183 II35437
+0 1
+.names g27186 II35440
+0 1
+.names g26757 II35443
+0 1
+.names g26762 II35446
+0 1
+.names g27154 II35449
+0 1
+.names g27161 II35452
+0 1
+.names g26881 II35455
+0 1
+.names g26886 II35458
+0 1
+.names g26895 II35461
+0 1
+.names g26831 II35464
+0 1
+.names g26834 II35467
+0 1
+.names g26840 II35470
+0 1
+.names g27156 II35473
+0 1
+.names g27163 II35476
+0 1
+.names g27171 II35479
+0 1
+.names g27176 II35482
+0 1
+.names g27180 II35485
+0 1
+.names g26819 II35488
+0 1
+.names g26956 II35491
+0 1
+.names g26875 II35494
+0 1
+.names g27158 II35497
+0 1
+.names g26890 II35500
+0 1
+.names g26896 II35503
+0 1
+.names g26909 II35506
+0 1
+.names g26836 II35509
+0 1
+.names g26843 II35512
+0 1
+.names g26850 II35515
+0 1
+.names g26959 II35518
+0 1
+.names g26883 II35521
+0 1
+.names g27166 II35524
+0 1
+.names g26900 II35527
+0 1
+.names g26910 II35530
+0 1
+.names g26921 II35533
+0 1
+.names g26844 II35536
+0 1
+.names g26852 II35539
+0 1
+.names g26858 II35542
+0 1
+.names g26964 II35545
+0 1
+.names g27116 II35548
+0 1
+.names g27075 II35551
+0 1
+.names g27102 II35554
+0 1
+.names g27126 g27349
+0 1
+.names g26560 g17001 g27120
+00 1
+.names g27120 II35667
+0 1
+.names II35667 g27353
+0 1
+.names g26583 g17031 g27123
+00 1
+.names g27123 II35673
+0 1
+.names II35673 g27357
+0 1
+.names g26607 g17065 g27129
+00 1
+.names g27129 II35678
+0 1
+.names II35678 g27360
+0 1
+.names g26458 g5642 g26869
+00 1
+.names g26869 II35681
+0 1
+.names II35681 g27361
+0 1
+.names g26630 g17100 g27131
+00 1
+.names g27131 II35686
+0 1
+.names II35686 g27366
+0 1
+.names g26482 g5680 g26878
+00 1
+.names g26878 II35689
+0 1
+.names II35689 g27367
+0 1
+.names g26498 g5732 g26887
+00 1
+.names g26887 II35695
+0 1
+.names II35695 g27373
+0 1
+.names g26513 g5790 g26897
+00 1
+.names g26897 II35698
+0 1
+.names II35698 g27376
+0 1
+.names g26157 g23147 g26974
+1- 1
+-1 1
+.names g26974 II35708
+0 1
+.names g26974 II35711
+0 1
+.names II35711 g27381
+0 1
+.names g27133 g27383
+0 1
+.names g27140 g27384
+0 1
+.names g27168 II35723
+0 1
+.names II35723 g27385
+0 1
+.names g27143 g27386
+0 1
+.names g25631 g26283 g25569 g26902
+0-- 1
+-0- 1
+--0 1
+.names g26902 II35727
+0 1
+.names II35727 g27387
+0 1
+.names g25699 g26283 g25569 g25631 g26892
+0--- 1
+-0-- 1
+--0- 1
+---0 1
+.names g26892 II35731
+0 1
+.names II35731 g27391
+0 1
+.names g25708 g26327 g25648 g26915
+0-- 1
+-0- 1
+--0 1
+.names g26915 II35737
+0 1
+.names II35737 g27397
+0 1
+.names g26320 g5438 g27118
+0- 1
+-0 1
+.names g27118 II35741
+0 1
+.names II35741 g27401
+0 1
+.names g25772 g26327 g25648 g25708 g26906
+0--- 1
+-0-- 1
+--0- 1
+---0 1
+.names g26906 II35744
+0 1
+.names II35744 g27404
+0 1
+.names g25781 g26374 g25725 g26928
+0-- 1
+-0- 1
+--0 1
+.names g26928 II35750
+0 1
+.names II35750 g27410
+0 1
+.names g26320 g6448 g27117
+0- 1
+-0 1
+.names g27117 II35756
+0 1
+.names II35756 g27416
+0 1
+.names g26367 g5473 g27121
+0- 1
+-0 1
+.names g27121 II35759
+0 1
+.names II35759 g27419
+0 1
+.names g25826 g26374 g25725 g25781 g26918
+0--- 1
+-0-- 1
+--0- 1
+---0 1
+.names g26918 II35762
+0 1
+.names II35762 g27422
+0 1
+.names g25835 g26417 g25798 g26941
+0-- 1
+-0- 1
+--0 1
+.names g26941 II35768
+0 1
+.names II35768 g27428
+0 1
+.names g26320 g3306 g26772
+0- 1
+-0 1
+.names g26772 II35772
+0 1
+.names II35772 g27432
+0 1
+.names g26367 g6713 g27119
+0- 1
+-0 1
+.names g27119 II35777
+0 1
+.names II35777 g27437
+0 1
+.names g26410 g5512 g27124
+0- 1
+-0 1
+.names g27124 II35780
+0 1
+.names II35780 g27440
+0 1
+.names g25861 g26417 g25798 g25835 g26931
+0--- 1
+-0-- 1
+--0- 1
+---0 1
+.names g26931 II35783
+0 1
+.names II35783 g27443
+0 1
+.names g26837 g27449
+0 1
+.names g26367 g3462 g26779
+0- 1
+-0 1
+.names g26779 II35791
+0 1
+.names II35791 g27451
+0 1
+.names g26410 g7015 g27122
+0- 1
+-0 1
+.names g27122 II35796
+0 1
+.names II35796 g27456
+0 1
+.names g26451 g5556 g27130
+0- 1
+-0 1
+.names g27130 II35799
+0 1
+.names II35799 g27459
+0 1
+.names g15105 g26213 g26803
+00 1
+.names g26803 II35803
+0 1
+.names II35803 g27463
+0 1
+.names g26846 g27465
+0 1
+.names g26410 g3618 g26785
+0- 1
+-0 1
+.names g26785 II35809
+0 1
+.names II35809 g27467
+0 1
+.names g26451 g7265 g27125
+0- 1
+-0 1
+.names g27125 II35814
+0 1
+.names II35814 g27472
+0 1
+.names g26922 II35817
+0 1
+.names II35817 g27475
+0 1
+.names g15172 g26235 g26804
+00 1
+.names g26804 II35821
+0 1
+.names II35821 g27479
+0 1
+.names g15173 g26236 g26805
+00 1
+.names g26805 II35824
+0 1
+.names II35824 g27480
+0 1
+.names g15197 g26244 g26806
+00 1
+.names g26806 II35829
+0 1
+.names II35829 g27483
+0 1
+.names g26855 g27484
+0 1
+.names g26451 g3774 g26792
+0- 1
+-0 1
+.names g26792 II35834
+0 1
+.names II35834 g27486
+0 1
+.names g25569 g26283 g26911
+0- 1
+-0 1
+.names g26911 II35837
+0 1
+.names II35837 g27489
+0 1
+.names g15245 g26261 g26807
+00 1
+.names g26807 II35841
+0 1
+.names II35841 g27493
+0 1
+.names g15246 g26262 g26808
+00 1
+.names g26808 II35844
+0 1
+.names II35844 g27494
+0 1
+.names g26042 g10024 g26776
+00 1
+.names g26776 II35849
+0 1
+.names II35849 g27497
+0 1
+.names g26935 II35852
+0 1
+.names II35852 g27498
+0 1
+.names g15258 g26270 g26809
+00 1
+.names g26809 II35856
+0 1
+.names II35856 g27502
+0 1
+.names g15259 g26271 g26810
+00 1
+.names g26810 II35859
+0 1
+.names II35859 g27503
+0 1
+.names g15283 g26279 g26811
+00 1
+.names g26811 II35863
+0 1
+.names II35863 g27505
+0 1
+.names g26861 g27506
+0 1
+.names g15321 g26291 g26812
+00 1
+.names g26812 II35868
+0 1
+.names II35868 g27508
+0 1
+.names g25648 g26327 g26925
+0- 1
+-0 1
+.names g26925 II35872
+0 1
+.names II35872 g27510
+0 1
+.names g15337 g26302 g26813
+00 1
+.names g26813 II35876
+0 1
+.names II35876 g27514
+0 1
+.names g15338 g26303 g26814
+00 1
+.names g26814 II35879
+0 1
+.names II35879 g27515
+0 1
+.names g26044 g10133 g26781
+00 1
+.names g26781 II35883
+0 1
+.names II35883 g27517
+0 1
+.names g26944 II35886
+0 1
+.names II35886 g27518
+0 1
+.names g15350 g26311 g26815
+00 1
+.names g26815 II35890
+0 1
+.names II35890 g27522
+0 1
+.names g15351 g26312 g26816
+00 1
+.names g26816 II35893
+0 1
+.names II35893 g27523
+0 1
+.names g15375 g26317 g26817
+00 1
+.names g26817 II35897
+0 1
+.names II35897 g27525
+0 1
+.names g26049 g22777 g26786
+00 1
+.names g26786 II35900
+0 1
+.names II35900 g27526
+0 1
+.names g15407 g26335 g26818
+00 1
+.names g26818 II35915
+0 1
+.names II35915 g27533
+0 1
+.names g25725 g26374 g26938
+0- 1
+-0 1
+.names g26938 II35919
+0 1
+.names II35919 g27535
+0 1
+.names g15423 g26346 g26820
+00 1
+.names g26820 II35923
+0 1
+.names II35923 g27539
+0 1
+.names g15424 g26347 g26821
+00 1
+.names g26821 II35926
+0 1
+.names II35926 g27540
+0 1
+.names g26046 g10238 g26789
+00 1
+.names g26789 II35930
+0 1
+.names II35930 g27542
+0 1
+.names g26950 II35933
+0 1
+.names II35933 g27543
+0 1
+.names g15436 g26352 g26822
+00 1
+.names g26822 II35937
+0 1
+.names II35937 g27547
+0 1
+.names g15437 g26353 g26823
+00 1
+.names g26823 II35940
+0 1
+.names II35940 g27548
+0 1
+.names g15491 g26382 g26824
+00 1
+.names g26824 II35953
+0 1
+.names II35953 g27553
+0 1
+.names g25798 g26417 g26947
+0- 1
+-0 1
+.names g26947 II35957
+0 1
+.names II35957 g27555
+0 1
+.names g15507 g26390 g26825
+00 1
+.names g26825 II35961
+0 1
+.names II35961 g27559
+0 1
+.names g15508 g26391 g26826
+00 1
+.names g26826 II35964
+0 1
+.names II35964 g27560
+0 1
+.names g26050 g10340 g26795
+00 1
+.names g26795 II35968
+0 1
+.names II35968 g27562
+0 1
+.names g15577 g26425 g26827
+00 1
+.names g26827 II35983
+0 1
+.names II35983 g27569
+0 1
+.names g26055 g18407 g26798
+00 1
+.names g26798 II36008
+0 1
+.names II36008 g27586
+0 1
+.names g27168 g27589
+0 1
+.names g23451 g26052 g27144
+00 1
+.names g27144 g27590
+0 1
+.names g23462 g26060 g27149
+00 1
+.names g27149 g27595
+0 1
+.names g23458 g26054 g27147
+00 1
+.names g27147 g27599
+0 1
+.names g23471 g26067 g27157
+00 1
+.names g27157 g27604
+0 1
+.names g23467 g26062 g27152
+00 1
+.names g27152 g27608
+0 1
+.names g23484 g26074 g27165
+00 1
+.names g27165 g27613
+0 1
+.names g23476 g26069 g27160
+00 1
+.names g27160 g27617
+0 1
+.names g23494 g26080 g27174
+00 1
+.names g27174 g27622
+0 1
+.names g1248 g1245 g26534 g27113
+1-- 1
+-1- 1
+--1 1
+.names g27113 II36032
+0 1
+.names II36032 g27632
+0 1
+.names g26960 II36042
+0 1
+.names II36042 g27662
+0 1
+.names g26957 II36046
+0 1
+.names II36046 g27667
+0 1
+.names g26954 II36052
+0 1
+.names II36052 g27674
+0 1
+.names g27353 II36060
+0 1
+.names g27463 II36063
+0 1
+.names g27479 II36066
+0 1
+.names g27493 II36069
+0 1
+.names g27480 II36072
+0 1
+.names g27494 II36075
+0 1
+.names g27508 II36078
+0 1
+.names g27497 II36081
+0 1
+.names g27357 II36084
+0 1
+.names g27483 II36087
+0 1
+.names g27502 II36090
+0 1
+.names g27514 II36093
+0 1
+.names g27503 II36096
+0 1
+.names g27515 II36099
+0 1
+.names g27533 II36102
+0 1
+.names g27517 II36105
+0 1
+.names g27360 II36108
+0 1
+.names g27505 II36111
+0 1
+.names g27522 II36114
+0 1
+.names g27539 II36117
+0 1
+.names g27523 II36120
+0 1
+.names g27540 II36123
+0 1
+.names g27553 II36126
+0 1
+.names g27542 II36129
+0 1
+.names g27366 II36132
+0 1
+.names g27525 II36135
+0 1
+.names g27547 II36138
+0 1
+.names g27559 II36141
+0 1
+.names g27548 II36144
+0 1
+.names g27560 II36147
+0 1
+.names g27569 II36150
+0 1
+.names g27562 II36153
+0 1
+.names g27586 II36156
+0 1
+.names g27526 II36159
+0 1
+.names g27385 II36162
+0 1
+.names g27632 g27748
+0 1
+.names g26869 g56 g27571
+0- 1
+-0 1
+.names g27571 II36213
+0 1
+.names II36213 g27776
+0 1
+.names g26878 g744 g27580
+0- 1
+-0 1
+.names g27580 II36217
+0 1
+.names II36217 g27780
+0 1
+.names g27662 II36221
+0 1
+.names II36221 g27784
+0 1
+.names g27589 II36224
+0 1
+.names II36224 g27785
+0 1
+.names g27175 g17001 g27594
+00 1
+.names g27594 II36227
+0 1
+.names II36227 g27786
+0 1
+.names g26887 g1430 g27583
+0- 1
+-0 1
+.names g27583 II36230
+0 1
+.names II36230 g27787
+0 1
+.names g27667 II36234
+0 1
+.names II36234 g27791
+0 1
+.names g27662 II36237
+0 1
+.names II36237 g27792
+0 1
+.names g27179 g17031 g27603
+00 1
+.names g27603 II36240
+0 1
+.names II36240 g27793
+0 1
+.names g26897 g2124 g27587
+0- 1
+-0 1
+.names g27587 II36243
+0 1
+.names II36243 g27794
+0 1
+.names g27674 II36246
+0 1
+.names II36246 g27797
+0 1
+.names g27184 g17065 g27612
+00 1
+.names g27612 II36250
+0 1
+.names II36250 g27799
+0 1
+.names g27674 II36253
+0 1
+.names II36253 g27800
+0 1
+.names g27188 g17100 g27621
+00 1
+.names g27621 II36264
+0 1
+.names II36264 g27805
+0 1
+.names g26989 g5438 g27395
+0- 1
+-0 1
+.names g27395 II36267
+0 1
+.names II36267 g27806
+0 1
+.names g26989 g6448 g27390
+0- 1
+-0 1
+.names g27390 II36280
+0 1
+.names II36280 g27817
+0 1
+.names g27012 g5473 g27408
+0- 1
+-0 1
+.names g27408 II36283
+0 1
+.names II36283 g27820
+0 1
+.names g26989 g3306 g27626
+0- 1
+-0 1
+.names g27626 II36296
+0 1
+.names II36296 g27831
+0 1
+.names g27012 g6713 g27400
+0- 1
+-0 1
+.names g27400 II36307
+0 1
+.names II36307 g27839
+0 1
+.names g27038 g5512 g27426
+0- 1
+-0 1
+.names g27426 II36311
+0 1
+.names II36311 g27843
+0 1
+.names g27012 g3462 g27627
+0- 1
+-0 1
+.names g27627 II36321
+0 1
+.names II36321 g27847
+0 1
+.names g27038 g7015 g27413
+0- 1
+-0 1
+.names g27413 II36327
+0 1
+.names II36327 g27858
+0 1
+.names g27066 g5556 g27447
+0- 1
+-0 1
+.names g27447 II36330
+0 1
+.names II36330 g27861
+0 1
+.names g27038 g3618 g27628
+0- 1
+-0 1
+.names g27628 II36337
+0 1
+.names II36337 g27872
+0 1
+.names g27066 g7265 g27431
+0- 1
+-0 1
+.names g27431 II36341
+0 1
+.names II36341 g27879
+0 1
+.names g27066 g3774 g27630
+0- 1
+-0 1
+.names g27630 II36347
+0 1
+.names II36347 g27889
+0 1
+.names g27662 II36354
+0 1
+.names II36354 g27903
+0 1
+.names g26799 g10024 g27672
+00 1
+.names g27672 II36358
+0 1
+.names II36358 g27905
+0 1
+.names g27667 II36362
+0 1
+.names II36362 g27907
+0 1
+.names g26800 g10133 g27678
+00 1
+.names g27678 II36367
+0 1
+.names II36367 g27910
+0 1
+.names g27674 II36371
+0 1
+.names II36371 g27912
+0 1
+.names g26801 g10238 g27682
+00 1
+.names g27682 II36379
+0 1
+.names II36379 g27918
+0 1
+.names g26922 g24708 g27563
+00 1
+.names g27563 II36382
+0 1
+.names II36382 g27919
+0 1
+.names g26802 g10340 g27243
+00 1
+.names g27243 II36390
+0 1
+.names II36390 g27927
+0 1
+.names g26911 g24717 g27572
+00 1
+.names g27572 II36393
+0 1
+.names II36393 g27928
+0 1
+.names g26935 g24720 g27574
+00 1
+.names g27574 II36397
+0 1
+.names II36397 g27932
+0 1
+.names g26902 g24613 g27450
+00 1
+.names g27450 II36404
+0 1
+.names II36404 g27939
+0 1
+.names g26925 g24728 g27581
+00 1
+.names g27581 II36407
+0 1
+.names II36407 g27942
+0 1
+.names g26944 g24731 g27582
+00 1
+.names g27582 II36411
+0 1
+.names II36411 g27946
+0 1
+.names g26892 g24622 g27462
+00 1
+.names g27462 II36417
+0 1
+.names II36417 g27952
+0 1
+.names g26965 g26212 g27253
+00 1
+.names g27253 II36420
+0 1
+.names II36420 g27955
+0 1
+.names g26915 g24624 g27466
+00 1
+.names g27466 II36423
+0 1
+.names II36423 g27956
+0 1
+.names g26938 g24736 g27584
+00 1
+.names g27584 II36426
+0 1
+.names II36426 g27959
+0 1
+.names g26950 g24739 g27585
+00 1
+.names g27585 II36432
+0 1
+.names II36432 g27965
+0 1
+.names g27361 g27969
+0 1
+.names g26969 g26233 g27255
+00 1
+.names g27255 II36438
+0 1
+.names II36438 g27971
+0 1
+.names g26970 g26234 g27256
+00 1
+.names g27256 II36441
+0 1
+.names II36441 g27972
+0 1
+.names g26906 g24637 g27482
+00 1
+.names g27482 II36444
+0 1
+.names II36444 g27973
+0 1
+.names g26971 g26243 g27257
+00 1
+.names g27257 II36447
+0 1
+.names II36447 g27976
+0 1
+.names g26928 g24638 g27485
+00 1
+.names g27485 II36450
+0 1
+.names II36450 g27977
+0 1
+.names g26947 g24742 g27588
+00 1
+.names g27588 II36454
+0 1
+.names II36454 g27981
+0 1
+.names g26977 g26257 g27258
+00 1
+.names g27258 II36459
+0 1
+.names II36459 g27986
+0 1
+.names g26978 g26258 g27259
+00 1
+.names g27259 II36462
+0 1
+.names II36462 g27987
+0 1
+.names g26979 g26259 g27260
+00 1
+.names g27260 II36465
+0 1
+.names II36465 g27988
+0 1
+.names g26980 g26263 g27261
+00 1
+.names g27261 II36468
+0 1
+.names II36468 g27989
+0 1
+.names g27367 g27990
+0 1
+.names g26981 g26268 g27262
+00 1
+.names g27262 II36473
+0 1
+.names II36473 g27992
+0 1
+.names g26982 g26269 g27263
+00 1
+.names g27263 II36476
+0 1
+.names II36476 g27993
+0 1
+.names g26918 g24656 g27504
+00 1
+.names g27504 II36479
+0 1
+.names II36479 g27994
+0 1
+.names g26984 g26278 g27264
+00 1
+.names g27264 II36483
+0 1
+.names II36483 g27998
+0 1
+.names g26941 g24657 g27507
+00 1
+.names g27507 II36486
+0 1
+.names II36486 g27999
+0 1
+.names g26993 g26288 g27265
+00 1
+.names g27265 II36490
+0 1
+.names II36490 g28003
+0 1
+.names g26994 g26289 g27266
+00 1
+.names g27266 II36493
+0 1
+.names II36493 g28004
+0 1
+.names g26995 g26290 g27267
+00 1
+.names g27267 II36496
+0 1
+.names II36496 g28005
+0 1
+.names g26996 g26292 g27268
+00 1
+.names g27268 II36499
+0 1
+.names II36499 g28006
+0 1
+.names g26997 g26293 g27269
+00 1
+.names g27269 II36502
+0 1
+.names II36502 g28007
+0 1
+.names g26998 g26298 g27270
+00 1
+.names g27270 II36507
+0 1
+.names II36507 g28010
+0 1
+.names g26999 g26299 g27271
+00 1
+.names g27271 II36510
+0 1
+.names II36510 g28011
+0 1
+.names g27000 g26300 g27272
+00 1
+.names g27272 II36513
+0 1
+.names II36513 g28012
+0 1
+.names g27001 g26307 g27273
+00 1
+.names g27273 II36516
+0 1
+.names II36516 g28013
+0 1
+.names g27373 g28014
+0 1
+.names g27002 g26309 g27274
+00 1
+.names g27274 II36521
+0 1
+.names II36521 g28016
+0 1
+.names g27003 g26310 g27275
+00 1
+.names g27275 II36524
+0 1
+.names II36524 g28017
+0 1
+.names g26931 g24675 g27524
+00 1
+.names g27524 II36527
+0 1
+.names II36527 g28018
+0 1
+.names g27004 g26316 g27276
+00 1
+.names g27276 II36530
+0 1
+.names II36530 g28021
+0 1
+.names g27005 g26318 g27277
+00 1
+.names g27277 II36533
+0 1
+.names II36533 g28022
+0 1
+.names g27006 g26319 g27278
+00 1
+.names g27278 II36536
+0 1
+.names II36536 g28023
+0 1
+.names g27007 g26324 g27279
+00 1
+.names g27279 II36539
+0 1
+.names II36539 g28024
+0 1
+.names g27008 g26325 g27280
+00 1
+.names g27280 II36542
+0 1
+.names II36542 g28025
+0 1
+.names g27009 g26326 g27281
+00 1
+.names g27281 II36545
+0 1
+.names II36545 g28026
+0 1
+.names g27016 g26332 g27282
+00 1
+.names g27282 II36551
+0 1
+.names II36551 g28030
+0 1
+.names g27017 g26333 g27283
+00 1
+.names g27283 II36554
+0 1
+.names II36554 g28031
+0 1
+.names g27018 g26334 g27284
+00 1
+.names g27284 II36557
+0 1
+.names II36557 g28032
+0 1
+.names g27019 g26339 g27285
+00 1
+.names g27285 II36560
+0 1
+.names II36560 g28033
+0 1
+.names g27020 g26340 g27286
+00 1
+.names g27286 II36563
+0 1
+.names II36563 g28034
+0 1
+.names g27021 g26342 g27287
+00 1
+.names g27287 II36568
+0 1
+.names II36568 g28037
+0 1
+.names g27022 g26343 g27288
+00 1
+.names g27288 II36571
+0 1
+.names II36571 g28038
+0 1
+.names g27023 g26344 g27289
+00 1
+.names g27289 II36574
+0 1
+.names II36574 g28039
+0 1
+.names g27024 g26348 g27290
+00 1
+.names g27290 II36577
+0 1
+.names II36577 g28040
+0 1
+.names g27376 g28041
+0 1
+.names g27025 g26350 g27291
+00 1
+.names g27291 II36582
+0 1
+.names II36582 g28043
+0 1
+.names g27026 g26351 g27292
+00 1
+.names g27292 II36585
+0 1
+.names II36585 g28044
+0 1
+.names g27027 g26357 g27293
+00 1
+.names g27293 II36588
+0 1
+.names II36588 g28045
+0 1
+.names g27028 g26361 g27294
+00 1
+.names g27294 II36598
+0 1
+.names II36598 g28047
+0 1
+.names g27029 g26362 g27295
+00 1
+.names g27295 II36601
+0 1
+.names II36601 g28048
+0 1
+.names g27030 g26363 g27296
+00 1
+.names g27296 II36604
+0 1
+.names II36604 g28049
+0 1
+.names g27031 g26365 g27297
+00 1
+.names g27297 II36609
+0 1
+.names II36609 g28052
+0 1
+.names g27032 g26366 g27298
+00 1
+.names g27298 II36612
+0 1
+.names II36612 g28053
+0 1
+.names g27033 g26371 g27299
+00 1
+.names g27299 II36615
+0 1
+.names II36615 g28054
+0 1
+.names g27034 g26372 g27300
+00 1
+.names g27300 II36618
+0 1
+.names II36618 g28055
+0 1
+.names g27035 g26373 g27301
+00 1
+.names g27301 II36621
+0 1
+.names II36621 g28056
+0 1
+.names g27042 g26379 g27302
+00 1
+.names g27302 II36627
+0 1
+.names II36627 g28060
+0 1
+.names g27043 g26380 g27303
+00 1
+.names g27303 II36630
+0 1
+.names II36630 g28061
+0 1
+.names g27044 g26381 g27304
+00 1
+.names g27304 II36633
+0 1
+.names II36633 g28062
+0 1
+.names g27045 g26383 g27305
+00 1
+.names g27305 II36636
+0 1
+.names II36636 g28063
+0 1
+.names g27046 g26384 g27306
+00 1
+.names g27306 II36639
+0 1
+.names II36639 g28064
+0 1
+.names g27047 g26386 g27307
+00 1
+.names g27307 II36644
+0 1
+.names II36644 g28067
+0 1
+.names g27048 g26387 g27308
+00 1
+.names g27308 II36647
+0 1
+.names II36647 g28068
+0 1
+.names g27049 g26388 g27309
+00 1
+.names g27309 II36650
+0 1
+.names II36650 g28069
+0 1
+.names g27050 g26392 g27310
+00 1
+.names g27310 II36653
+0 1
+.names II36653 g28070
+0 1
+.names g27053 g26396 g27311
+00 1
+.names g27311 II36656
+0 1
+.names II36656 g28071
+0 1
+.names g27054 g26397 g27312
+00 1
+.names g27312 II36659
+0 1
+.names II36659 g28072
+0 1
+.names g27055 g26400 g27313
+00 1
+.names g27313 II36663
+0 1
+.names II36663 g28074
+0 1
+.names g27056 g26404 g27314
+00 1
+.names g27314 II36673
+0 1
+.names II36673 g28076
+0 1
+.names g27057 g26405 g27315
+00 1
+.names g27315 II36676
+0 1
+.names II36676 g28077
+0 1
+.names g27058 g26406 g27316
+00 1
+.names g27316 II36679
+0 1
+.names II36679 g28078
+0 1
+.names g27059 g26408 g27317
+00 1
+.names g27317 II36684
+0 1
+.names II36684 g28081
+0 1
+.names g27060 g26409 g27318
+00 1
+.names g27318 II36687
+0 1
+.names II36687 g28082
+0 1
+.names g27061 g26414 g27319
+00 1
+.names g27319 II36690
+0 1
+.names II36690 g28083
+0 1
+.names g27062 g26415 g27320
+00 1
+.names g27320 II36693
+0 1
+.names II36693 g28084
+0 1
+.names g27063 g26416 g27321
+00 1
+.names g27321 II36696
+0 1
+.names II36696 g28085
+0 1
+.names g27070 g26422 g27322
+00 1
+.names g27322 II36702
+0 1
+.names II36702 g28089
+0 1
+.names g27071 g26423 g27323
+00 1
+.names g27323 II36705
+0 1
+.names II36705 g28090
+0 1
+.names g27072 g26424 g27324
+00 1
+.names g27324 II36708
+0 1
+.names II36708 g28091
+0 1
+.names g27073 g26426 g27325
+00 1
+.names g27325 II36711
+0 1
+.names II36711 g28092
+0 1
+.names g27074 g26427 g27326
+00 1
+.names g27326 II36714
+0 1
+.names II36714 g28093
+0 1
+.names g27077 g26432 g27327
+00 1
+.names g27327 II36718
+0 1
+.names II36718 g28095
+0 1
+.names g27080 g26437 g27328
+00 1
+.names g27328 II36721
+0 1
+.names II36721 g28096
+0 1
+.names g27081 g26438 g27329
+00 1
+.names g27329 II36724
+0 1
+.names II36724 g28097
+0 1
+.names g27082 g26441 g27330
+00 1
+.names g27330 II36728
+0 1
+.names II36728 g28099
+0 1
+.names g27083 g26445 g27331
+00 1
+.names g27331 II36738
+0 1
+.names II36738 g28101
+0 1
+.names g27084 g26446 g27332
+00 1
+.names g27332 II36741
+0 1
+.names II36741 g28102
+0 1
+.names g27085 g26447 g27333
+00 1
+.names g27333 II36744
+0 1
+.names II36744 g28103
+0 1
+.names g27086 g26449 g27334
+00 1
+.names g27334 II36749
+0 1
+.names II36749 g28106
+0 1
+.names g27087 g26450 g27335
+00 1
+.names g27335 II36752
+0 1
+.names II36752 g28107
+0 1
+.names g27088 g26455 g27336
+00 1
+.names g27336 II36755
+0 1
+.names II36755 g28108
+0 1
+.names g27089 g26456 g27337
+00 1
+.names g27337 II36758
+0 1
+.names II36758 g28109
+0 1
+.names g27090 g26457 g27338
+00 1
+.names g27338 II36761
+0 1
+.names II36761 g28110
+0 1
+.names g27093 g26464 g27339
+00 1
+.names g27339 II36766
+0 1
+.names II36766 g28113
+0 1
+.names g27096 g26469 g27340
+00 1
+.names g27340 II36769
+0 1
+.names II36769 g28114
+0 1
+.names g27097 g26470 g27341
+00 1
+.names g27341 II36772
+0 1
+.names II36772 g28115
+0 1
+.names g27098 g26473 g27342
+00 1
+.names g27342 II36776
+0 1
+.names II36776 g28117
+0 1
+.names g27099 g26477 g27343
+00 1
+.names g27343 II36786
+0 1
+.names II36786 g28119
+0 1
+.names g27100 g26478 g27344
+00 1
+.names g27344 II36789
+0 1
+.names II36789 g28120
+0 1
+.names g27101 g26479 g27345
+00 1
+.names g27345 II36792
+0 1
+.names II36792 g28121
+0 1
+.names g27105 g26488 g27346
+00 1
+.names g27346 II36797
+0 1
+.names II36797 g28124
+0 1
+.names g27108 g26493 g27347
+00 1
+.names g27347 II36800
+0 1
+.names II36800 g28125
+0 1
+.names g27109 g26494 g27348
+00 1
+.names g27348 II36803
+0 1
+.names II36803 g28126
+0 1
+.names II35905 II35906 g27528
+0- 1
+-0 1
+.names g27528 g28128
+0 1
+.names g27112 g26504 g27354
+00 1
+.names g27354 II36808
+0 1
+.names II36808 g28132
+0 1
+.names II35945 II35946 g27550
+0- 1
+-0 1
+.names g27550 g28133
+0 1
+.names II35975 II35976 g27566
+0- 1
+-0 1
+.names g27566 g28137
+0 1
+.names II35993 II35994 g27576
+0- 1
+-0 1
+.names g27576 g28141
+0 1
+.names g27667 g28149
+0 1
+.names g27387 g28150
+0 1
+.names g27381 g28151
+0 1
+.names g27391 g28152
+0 1
+.names g27397 g28153
+0 1
+.names g27401 g28154
+0 1
+.names g27404 g28155
+0 1
+.names g27410 g28156
+0 1
+.names g27416 g28158
+0 1
+.names g27419 g28159
+0 1
+.names g27422 g28160
+0 1
+.names g27428 g28161
+0 1
+.names g27432 g28162
+0 1
+.names g27437 g28163
+0 1
+.names g27440 g28164
+0 1
+.names g27443 g28165
+0 1
+.names g27451 g28166
+0 1
+.names g27456 g28167
+0 1
+.names g27459 g28168
+0 1
+.names g27467 g28169
+0 1
+.names g27472 g28170
+0 1
+.names g27475 g28172
+0 1
+.names g27486 g28173
+0 1
+.names g27489 g28174
+0 1
+.names g27498 g28175
+0 1
+.names g27510 g28177
+0 1
+.names g27518 g28178
+0 1
+.names g27383 II36848
+0 1
+.names II36848 g28179
+0 1
+.names g27535 g28186
+0 1
+.names g27543 g28187
+0 1
+.names g27555 g28190
+0 1
+.names g27386 II36860
+0 1
+.names II36860 g28194
+0 1
+.names g27384 II36864
+0 1
+.names II36864 g28200
+0 1
+.names g27786 II36867
+0 1
+.names g27955 II36870
+0 1
+.names g27971 II36873
+0 1
+.names g27986 II36876
+0 1
+.names g27972 II36879
+0 1
+.names g27987 II36882
+0 1
+.names g28003 II36885
+0 1
+.names g27988 II36888
+0 1
+.names g28004 II36891
+0 1
+.names g28022 II36894
+0 1
+.names g28005 II36897
+0 1
+.names g28023 II36900
+0 1
+.names g28045 II36903
+0 1
+.names g27989 II36906
+0 1
+.names g28006 II36909
+0 1
+.names g28024 II36912
+0 1
+.names g28007 II36915
+0 1
+.names g28025 II36918
+0 1
+.names g28047 II36921
+0 1
+.names g28026 II36924
+0 1
+.names g28048 II36927
+0 1
+.names g28071 II36930
+0 1
+.names g28049 II36933
+0 1
+.names g28072 II36936
+0 1
+.names g28095 II36939
+0 1
+.names g27905 II36942
+0 1
+.names g27793 II36945
+0 1
+.names g27976 II36948
+0 1
+.names g27992 II36951
+0 1
+.names g28010 II36954
+0 1
+.names g27993 II36957
+0 1
+.names g28011 II36960
+0 1
+.names g28030 II36963
+0 1
+.names g28012 II36966
+0 1
+.names g28031 II36969
+0 1
+.names g28052 II36972
+0 1
+.names g28032 II36975
+0 1
+.names g28053 II36978
+0 1
+.names g28074 II36981
+0 1
+.names g28013 II36984
+0 1
+.names g28033 II36987
+0 1
+.names g28054 II36990
+0 1
+.names g28034 II36993
+0 1
+.names g28055 II36996
+0 1
+.names g28076 II36999
+0 1
+.names g28056 II37002
+0 1
+.names g28077 II37005
+0 1
+.names g28096 II37008
+0 1
+.names g28078 II37011
+0 1
+.names g28097 II37014
+0 1
+.names g28113 II37017
+0 1
+.names g27910 II37020
+0 1
+.names g27799 II37023
+0 1
+.names g27998 II37026
+0 1
+.names g28016 II37029
+0 1
+.names g28037 II37032
+0 1
+.names g28017 II37035
+0 1
+.names g28038 II37038
+0 1
+.names g28060 II37041
+0 1
+.names g28039 II37044
+0 1
+.names g28061 II37047
+0 1
+.names g28081 II37050
+0 1
+.names g28062 II37053
+0 1
+.names g28082 II37056
+0 1
+.names g28099 II37059
+0 1
+.names g28040 II37062
+0 1
+.names g28063 II37065
+0 1
+.names g28083 II37068
+0 1
+.names g28064 II37071
+0 1
+.names g28084 II37074
+0 1
+.names g28101 II37077
+0 1
+.names g28085 II37080
+0 1
+.names g28102 II37083
+0 1
+.names g28114 II37086
+0 1
+.names g28103 II37089
+0 1
+.names g28115 II37092
+0 1
+.names g28124 II37095
+0 1
+.names g27918 II37098
+0 1
+.names g27805 II37101
+0 1
+.names g28021 II37104
+0 1
+.names g28043 II37107
+0 1
+.names g28067 II37110
+0 1
+.names g28044 II37113
+0 1
+.names g28068 II37116
+0 1
+.names g28089 II37119
+0 1
+.names g28069 II37122
+0 1
+.names g28090 II37125
+0 1
+.names g28106 II37128
+0 1
+.names g28091 II37131
+0 1
+.names g28107 II37134
+0 1
+.names g28117 II37137
+0 1
+.names g28070 II37140
+0 1
+.names g28092 II37143
+0 1
+.names g28108 II37146
+0 1
+.names g28093 II37149
+0 1
+.names g28109 II37152
+0 1
+.names g28119 II37155
+0 1
+.names g28110 II37158
+0 1
+.names g28120 II37161
+0 1
+.names g28125 II37164
+0 1
+.names g28121 II37167
+0 1
+.names g28126 II37170
+0 1
+.names g28132 II37173
+0 1
+.names g27927 II37176
+0 1
+.names g27784 II37179
+0 1
+.names g27791 II37182
+0 1
+.names g27797 II37185
+0 1
+.names g27785 II37188
+0 1
+.names g27792 II37191
+0 1
+.names g27800 II37194
+0 1
+.names g27903 II37197
+0 1
+.names g27907 II37200
+0 1
+.names g27912 II37203
+0 1
+.names g28194 II37228
+0 1
+.names II37228 g28341
+0 1
+.names g28200 II37232
+0 1
+.names II37232 g28343
+0 1
+.names g28179 II37238
+0 1
+.names II37238 g28347
+0 1
+.names g28200 II37252
+0 1
+.names II37252 g28359
+0 1
+.names g28179 II37260
+0 1
+.names II37260 g28365
+0 1
+.names g28200 II37266
+0 1
+.names II37266 g28369
+0 1
+.names g27629 g17001 g28145
+00 1
+.names g28145 II37269
+0 1
+.names II37269 g28370
+0 1
+.names g28179 II37273
+0 1
+.names II37273 g28372
+0 1
+.names g27631 g17031 g28146
+00 1
+.names g28146 II37277
+0 1
+.names II37277 g28374
+0 1
+.names g28179 II37280
+0 1
+.names II37280 g28375
+0 1
+.names g27655 g17065 g28147
+00 1
+.names g28147 II37284
+0 1
+.names II37284 g28377
+0 1
+.names g27658 g17100 g28148
+00 1
+.names g28148 II37291
+0 1
+.names II37291 g28382
+0 1
+.names g28149 II37319
+0 1
+.names II37319 g28390
+0 1
+.names g28194 II37330
+0 1
+.names II37330 g28393
+0 1
+.names g28194 II37334
+0 1
+.names II37334 g28395
+0 1
+.names g28151 g28419
+0 1
+.names g27250 g10024 g28199
+00 1
+.names g28199 II37379
+0 1
+.names II37379 g28432
+0 1
+.names g28194 II37386
+0 1
+.names II37386 g28437
+0 1
+.names g27251 g10133 g27718
+00 1
+.names g27718 II37394
+0 1
+.names II37394 g28443
+0 1
+.names g28200 II37400
+0 1
+.names II37400 g28447
+0 1
+.names g27252 g10238 g27722
+00 1
+.names g27722 II37410
+0 1
+.names II37410 g28455
+0 1
+.names g28179 II37415
+0 1
+.names II37415 g28458
+0 1
+.names g27254 g10340 g27724
+00 1
+.names g27724 II37426
+0 1
+.names II37426 g28467
+0 1
+.names g27776 g28483
+0 1
+.names g27780 g28491
+0 1
+.names g27787 g28496
+0 1
+.names g27495 g27052 g27759
+00 1
+.names g27759 II37459
+0 1
+.names II37459 g28498
+0 1
+.names g27794 g28500
+0 1
+.names g27509 g27076 g27760
+00 1
+.names g27760 II37467
+0 1
+.names II37467 g28524
+0 1
+.names g27516 g27079 g27761
+00 1
+.names g27761 II37471
+0 1
+.names II37471 g28526
+0 1
+.names g27530 g27091 g27762
+00 1
+.names g27762 II37474
+0 1
+.names II37474 g28527
+0 1
+.names g27534 g27092 g27763
+00 1
+.names g27763 II37481
+0 1
+.names II37481 g28552
+0 1
+.names g27541 g27095 g27764
+00 1
+.names g27764 II37484
+0 1
+.names II37484 g28553
+0 1
+.names g27806 g28554
+0 1
+.names g27552 g27103 g27765
+00 1
+.names g27765 II37488
+0 1
+.names II37488 g28555
+0 1
+.names g27554 g27104 g27766
+00 1
+.names g27766 II37494
+0 1
+.names II37494 g28579
+0 1
+.names g27561 g27107 g27767
+00 1
+.names g27767 II37497
+0 1
+.names II37497 g28580
+0 1
+.names g27817 g28581
+0 1
+.names g27820 g28582
+0 1
+.names g27568 g27110 g27768
+00 1
+.names g27768 II37502
+0 1
+.names II37502 g28583
+0 1
+.names g27570 g27111 g27769
+00 1
+.names g27769 II37508
+0 1
+.names II37508 g28607
+0 1
+.names g27831 g28608
+0 1
+.names g27839 g28609
+0 1
+.names g27843 g28610
+0 1
+.names g27578 g27115 g27771
+00 1
+.names g27771 II37514
+0 1
+.names II37514 g28611
+0 1
+.names II36592 II36593 g28046
+0- 1
+-0 1
+.names g28046 g28612
+0 1
+.names g27847 g28616
+0 1
+.names g27858 g28617
+0 1
+.names g27861 g28618
+0 1
+.names II36667 II36668 g28075
+0- 1
+-0 1
+.names g28075 g28619
+0 1
+.names g27872 g28623
+0 1
+.names g27879 g28624
+0 1
+.names II36732 II36733 g28100
+0- 1
+-0 1
+.names g28100 g28625
+0 1
+.names g27889 g28629
+0 1
+.names II36780 II36781 g28118
+0- 1
+-0 1
+.names g28118 g28630
+0 1
+.names g28200 g28638
+0 1
+.names g27919 g28639
+0 1
+.names g27928 g28640
+0 1
+.names g27932 g28641
+0 1
+.names g27939 g28642
+0 1
+.names g27942 g28643
+0 1
+.names g27946 g28644
+0 1
+.names g27952 g28645
+0 1
+.names g27956 g28646
+0 1
+.names g27959 g28647
+0 1
+.names g27965 g28648
+0 1
+.names g27973 g28649
+0 1
+.names g27977 g28650
+0 1
+.names g27981 g28651
+0 1
+.names g27994 g28652
+0 1
+.names g27999 g28653
+0 1
+.names g28018 g28655
+0 1
+.names g28370 II37566
+0 1
+.names g28498 II37569
+0 1
+.names g28524 II37572
+0 1
+.names g28527 II37575
+0 1
+.names g28432 II37578
+0 1
+.names g28374 II37581
+0 1
+.names g28526 II37584
+0 1
+.names g28552 II37587
+0 1
+.names g28555 II37590
+0 1
+.names g28443 II37593
+0 1
+.names g28377 II37596
+0 1
+.names g28553 II37599
+0 1
+.names g28579 II37602
+0 1
+.names g28583 II37605
+0 1
+.names g28455 II37608
+0 1
+.names g28382 II37611
+0 1
+.names g28580 II37614
+0 1
+.names g28607 II37617
+0 1
+.names g28611 II37620
+0 1
+.names g28467 II37623
+0 1
+.names g28393 II37626
+0 1
+.names g28369 II37629
+0 1
+.names g28372 II37632
+0 1
+.names g28390 II37635
+0 1
+.names g28395 II37638
+0 1
+.names g28375 II37641
+0 1
+.names g28341 II37644
+0 1
+.names g28343 II37647
+0 1
+.names g28347 II37650
+0 1
+.names g28359 II37653
+0 1
+.names g28365 II37656
+0 1
+.names g28437 II37659
+0 1
+.names g28447 II37662
+0 1
+.names g28458 II37665
+0 1
+.names g27244 g27723 g28495
+00 1
+.names g28495 g28720
+0 1
+.names g27240 g27721 g28490
+00 1
+.names g28490 g28721
+0 1
+.names g26030 g27728 g28528
+00 1
+.names g28528 g28723
+0 1
+.names g26027 g27725 g28499
+00 1
+.names g28499 g28725
+0 1
+.names g26756 g27720 g28489
+00 1
+.names g28489 g28727
+0 1
+.names g27671 g28193 g28470
+00 1
+.names g28470 g28730
+0 1
+.names g27245 g27726 g28525
+00 1
+.names g28525 g28734
+0 1
+.names g26755 g27719 g28488
+00 1
+.names g28488 g28740
+0 1
+.names g26481 g27738 g28512
+1- 1
+-1 1
+.names g28512 II37702
+0 1
+.names II37702 g28741
+0 1
+.names g28512 II37712
+0 1
+.names II37712 g28751
+0 1
+.names g26497 g27743 g28540
+1- 1
+-1 1
+.names g28540 II37716
+0 1
+.names II37716 g28755
+0 1
+.names g28540 II37725
+0 1
+.names II37725 g28764
+0 1
+.names g26512 g27751 g28567
+1- 1
+-1 1
+.names g28567 II37729
+0 1
+.names II37729 g28768
+0 1
+.names g28567 II37736
+0 1
+.names II37736 g28775
+0 1
+.names g26520 g27756 g28595
+1- 1
+-1 1
+.names g28595 II37740
+0 1
+.names II37740 g28779
+0 1
+.names g28595 II37746
+0 1
+.names II37746 g28785
+0 1
+.names g28512 II37752
+0 1
+.names II37752 g28791
+0 1
+.names g28512 II37757
+0 1
+.names II37757 g28796
+0 1
+.names g28540 II37760
+0 1
+.names II37760 g28799
+0 1
+.names g28512 II37765
+0 1
+.names II37765 g28804
+0 1
+.names g28540 II37768
+0 1
+.names II37768 g28807
+0 1
+.names g28567 II37771
+0 1
+.names II37771 g28810
+0 1
+.names g28540 II37775
+0 1
+.names II37775 g28814
+0 1
+.names g28567 II37778
+0 1
+.names II37778 g28817
+0 1
+.names g28595 II37781
+0 1
+.names II37781 g28820
+0 1
+.names g28567 II37784
+0 1
+.names II37784 g28823
+0 1
+.names g28595 II37787
+0 1
+.names II37787 g28826
+0 1
+.names g28595 II37790
+0 1
+.names II37790 g28829
+0 1
+.names g28638 II37793
+0 1
+.names II37793 g28832
+0 1
+.names g28185 g17001 g28634
+00 1
+.names g28634 II37796
+0 1
+.names II37796 g28833
+0 1
+.names g28189 g17031 g28635
+00 1
+.names g28635 II37800
+0 1
+.names II37800 g28835
+0 1
+.names g28191 g17065 g28636
+00 1
+.names g28636 II37804
+0 1
+.names II37804 g28837
+0 1
+.names g28192 g17100 g28637
+00 1
+.names g28637 II37808
+0 1
+.names II37808 g28839
+0 1
+.names g24676 g27801 g28409
+00 1
+.names g28409 g28855
+0 1
+.names g24695 g27809 g28413
+00 1
+.names g28413 g28859
+0 1
+.names g24712 g27830 g28417
+00 1
+.names g28417 g28863
+0 1
+.names g24723 g27846 g28418
+00 1
+.names g28418 g28867
+0 1
+.names g27738 g25764 g28501
+1- 1
+-1 1
+.names g28501 II37842
+0 1
+.names II37842 g28871
+0 1
+.names g28501 II37846
+0 1
+.names II37846 g28877
+0 1
+.names g27736 g10024 g28668
+00 1
+.names g28668 II37851
+0 1
+.names II37851 g28882
+0 1
+.names g27743 g25818 g28529
+1- 1
+-1 1
+.names g28529 II37854
+0 1
+.names II37854 g28883
+0 1
+.names g28501 II37858
+0 1
+.names II37858 g28889
+0 1
+.names g28529 II37863
+0 1
+.names II37863 g28894
+0 1
+.names g27742 g10133 g28321
+00 1
+.names g28321 II37868
+0 1
+.names II37868 g28899
+0 1
+.names g27751 g25853 g28556
+1- 1
+-1 1
+.names g28556 II37871
+0 1
+.names II37871 g28900
+0 1
+.names g28501 II37875
+0 1
+.names II37875 g28906
+0 1
+.names g28529 II37880
+0 1
+.names II37880 g28911
+0 1
+.names g28556 II37885
+0 1
+.names II37885 g28916
+0 1
+.names g27747 g10238 g28325
+00 1
+.names g28325 II37891
+0 1
+.names II37891 g28924
+0 1
+.names g27756 g25874 g28584
+1- 1
+-1 1
+.names g28584 II37894
+0 1
+.names II37894 g28925
+0 1
+.names g28501 II37897
+0 1
+.names II37897 g28928
+0 1
+.names g28529 II37901
+0 1
+.names II37901 g28932
+0 1
+.names g28556 II37906
+0 1
+.names II37906 g28937
+0 1
+.names g28584 II37912
+0 1
+.names II37912 g28945
+0 1
+.names g27755 g10340 g28328
+00 1
+.names g28328 II37917
+0 1
+.names II37917 g28950
+0 1
+.names g28501 II37920
+0 1
+.names II37920 g28951
+0 1
+.names g28529 II37924
+0 1
+.names II37924 g28955
+0 1
+.names g28556 II37928
+0 1
+.names II37928 g28959
+0 1
+.names g28584 II37934
+0 1
+.names II37934 g28967
+0 1
+.names g28501 II37939
+0 1
+.names II37939 g28972
+0 1
+.names g28501 II37942
+0 1
+.names II37942 g28975
+0 1
+.names g28529 II37946
+0 1
+.names II37946 g28979
+0 1
+.names g28556 II37950
+0 1
+.names II37950 g28983
+0 1
+.names g28584 II37956
+0 1
+.names II37956 g28993
+0 1
+.names g28501 II37961
+0 1
+.names II37961 g28998
+0 1
+.names g28529 II37965
+0 1
+.names II37965 g29002
+0 1
+.names g28529 II37968
+0 1
+.names II37968 g29005
+0 1
+.names g28556 II37973
+0 1
+.names II37973 g29010
+0 1
+.names g28584 II37978
+0 1
+.names II37978 g29019
+0 1
+.names g28501 II37982
+0 1
+.names II37982 g29023
+0 1
+.names g28529 II37986
+0 1
+.names II37986 g29027
+0 1
+.names g28556 II37991
+0 1
+.names II37991 g29032
+0 1
+.names g28556 II37994
+0 1
+.names II37994 g29035
+0 1
+.names g28584 II37999
+0 1
+.names II37999 g29042
+0 1
+.names g28529 II38003
+0 1
+.names II38003 g29046
+0 1
+.names g28556 II38007
+0 1
+.names II38007 g29050
+0 1
+.names g28584 II38011
+0 1
+.names II38011 g29054
+0 1
+.names g28584 II38014
+0 1
+.names II38014 g29057
+0 1
+.names g15460 g28008 g28342
+00 1
+.names g28342 II38018
+0 1
+.names II38018 g29061
+0 1
+.names g28556 II38024
+0 1
+.names II38024 g29065
+0 1
+.names g28584 II38028
+0 1
+.names II38028 g29069
+0 1
+.names g15526 g28027 g28344
+00 1
+.names g28344 II38032
+0 1
+.names II38032 g29073
+0 1
+.names g15527 g28028 g28345
+00 1
+.names g28345 II38035
+0 1
+.names II38035 g29074
+0 1
+.names g15546 g28035 g28346
+00 1
+.names g28346 II38038
+0 1
+.names II38038 g29075
+0 1
+.names g28584 II38042
+0 1
+.names II38042 g29077
+0 1
+.names g15594 g28050 g28348
+00 1
+.names g28348 II38046
+0 1
+.names II38046 g29081
+0 1
+.names g15595 g28051 g28349
+00 1
+.names g28349 II38049
+0 1
+.names II38049 g29082
+0 1
+.names g15604 g28057 g28350
+00 1
+.names g28350 II38053
+0 1
+.names II38053 g29084
+0 1
+.names g15605 g28058 g28351
+00 1
+.names g28351 II38056
+0 1
+.names II38056 g29085
+0 1
+.names g15624 g28065 g28352
+00 1
+.names g28352 II38059
+0 1
+.names II38059 g29086
+0 1
+.names g15666 g28073 g28353
+00 1
+.names g28353 II38064
+0 1
+.names II38064 g29089
+0 1
+.names g15670 g28079 g28354
+00 1
+.names g28354 II38068
+0 1
+.names II38068 g29091
+0 1
+.names g15671 g28080 g28355
+00 1
+.names g28355 II38071
+0 1
+.names II38071 g29092
+0 1
+.names g15680 g28086 g28356
+00 1
+.names g28356 II38074
+0 1
+.names II38074 g29093
+0 1
+.names g15681 g28087 g28357
+00 1
+.names g28357 II38077
+0 1
+.names II38077 g29094
+0 1
+.names g15700 g28094 g28358
+00 1
+.names g28358 II38080
+0 1
+.names II38080 g29095
+0 1
+.names g15725 g28098 g28360
+00 1
+.names g28360 II38085
+0 1
+.names II38085 g29098
+0 1
+.names g15729 g28104 g28361
+00 1
+.names g28361 II38088
+0 1
+.names II38088 g29099
+0 1
+.names g15730 g28105 g28362
+00 1
+.names g28362 II38091
+0 1
+.names II38091 g29100
+0 1
+.names g15739 g28111 g28363
+00 1
+.names g28363 II38094
+0 1
+.names II38094 g29101
+0 1
+.names g15740 g28112 g28364
+00 1
+.names g28364 II38097
+0 1
+.names II38097 g29102
+0 1
+.names g15765 g28116 g28366
+00 1
+.names g28366 II38101
+0 1
+.names II38101 g29104
+0 1
+.names g15769 g28122 g28367
+00 1
+.names g28367 II38104
+0 1
+.names II38104 g29105
+0 1
+.names g15770 g28123 g28368
+00 1
+.names g28368 II38107
+0 1
+.names II38107 g29106
+0 1
+.names g15793 g28127 g28371
+00 1
+.names g28371 II38111
+0 1
+.names II38111 g29108
+0 1
+.names g16031 g28171 g28420
+00 1
+.names g28420 II38119
+0 1
+.names II38119 g29117
+0 1
+.names g16068 g28176 g28421
+00 1
+.names g28421 II38122
+0 1
+.names II38122 g29118
+0 1
+.names g16133 g28188 g28425
+00 1
+.names g28425 II38125
+0 1
+.names II38125 g29119
+0 1
+.names g28419 II38128
+0 1
+.names II38128 g29120
+0 1
+.names g28833 II38136
+0 1
+.names g29061 II38139
+0 1
+.names g29073 II38142
+0 1
+.names g29081 II38145
+0 1
+.names g29074 II38148
+0 1
+.names g29082 II38151
+0 1
+.names g29089 II38154
+0 1
+.names g28882 II38157
+0 1
+.names g28835 II38160
+0 1
+.names g29075 II38163
+0 1
+.names g29084 II38166
+0 1
+.names g29091 II38169
+0 1
+.names g29085 II38172
+0 1
+.names g29092 II38175
+0 1
+.names g29098 II38178
+0 1
+.names g28899 II38181
+0 1
+.names g28837 II38184
+0 1
+.names g29086 II38187
+0 1
+.names g29093 II38190
+0 1
+.names g29099 II38193
+0 1
+.names g29094 II38196
+0 1
+.names g29100 II38199
+0 1
+.names g29104 II38202
+0 1
+.names g28924 II38205
+0 1
+.names g28839 II38208
+0 1
+.names g29095 II38211
+0 1
+.names g29101 II38214
+0 1
+.names g29105 II38217
+0 1
+.names g29102 II38220
+0 1
+.names g29106 II38223
+0 1
+.names g29108 II38226
+0 1
+.names g28950 II38229
+0 1
+.names g29117 II38232
+0 1
+.names g29118 II38235
+0 1
+.names g29119 II38238
+0 1
+.names g28832 II38241
+0 1
+.names g28662 g13322 g28920
+00 1
+.names g28920 II38245
+0 1
+.names II38245 g29168
+0 1
+.names g28663 g13343 g28941
+00 1
+.names g28941 II38250
+0 1
+.names II38250 g29171
+0 1
+.names g28664 g13365 g28963
+00 1
+.names g28963 II38258
+0 1
+.names II38258 g29177
+0 1
+.names g28671 g11607 g29013
+00 1
+.names g29013 II38272
+0 1
+.names II38272 g29189
+0 1
+.names g28666 g13390 g28987
+00 1
+.names g28987 II38275
+0 1
+.names II38275 g29190
+0 1
+.names g28963 II38278
+0 1
+.names II38278 g29191
+0 1
+.names g26673 g27241 g28323 g28954
+000 1
+.names g28954 g29192
+0 1
+.names g28941 II38282
+0 1
+.names II38282 g29193
+0 1
+.names g28381 g8907 g29113
+00 1
+.names g29113 II38321
+0 1
+.names II38321 g29230
+0 1
+.names g29120 II38330
+0 1
+.names II38330 g29237
+0 1
+.names g29120 II38339
+0 1
+.names II38339 g29244
+0 1
+.names g28659 g16277 g28886
+00 1
+.names g28886 II38342
+0 1
+.names II38342 g29245
+0 1
+.names g28654 g17001 g29109
+00 1
+.names g29109 II38345
+0 1
+.names II38345 g29246
+0 1
+.names g28657 g16221 g28874
+00 1
+.names g28874 II38348
+0 1
+.names II38348 g29247
+0 1
+.names g28656 g17031 g29110
+00 1
+.names g29110 II38352
+0 1
+.names II38352 g29249
+0 1
+.names g28322 g13500 g29039
+00 1
+.names g29039 II38355
+0 1
+.names II38355 g29250
+0 1
+.names g28658 g17065 g29111
+00 1
+.names g29111 II38360
+0 1
+.names II38360 g29253
+0 1
+.names g28672 g13487 g29016
+00 1
+.names g29016 II38363
+0 1
+.names II38363 g29254
+0 1
+.names g28661 g17100 g29112
+00 1
+.names g29112 II38369
+0 1
+.names II38369 g29258
+0 1
+.names g28741 g29266
+0 1
+.names g28734 II38386
+0 1
+.names II38386 g29267
+0 1
+.names g28751 g29268
+0 1
+.names g28755 g29269
+0 1
+.names g28730 II38391
+0 1
+.names II38391 g29270
+0 1
+.names g28764 g29271
+0 1
+.names g28768 g29272
+0 1
+.names g28727 II38396
+0 1
+.names II38396 g29273
+0 1
+.names g28775 g29274
+0 1
+.names g28779 g29275
+0 1
+.names g28725 II38401
+0 1
+.names II38401 g29276
+0 1
+.names g28785 g29277
+0 1
+.names g28723 II38405
+0 1
+.names II38405 g29278
+0 1
+.names g28721 II38408
+0 1
+.names II38408 g29279
+0 1
+.names g28791 g29280
+0 1
+.names g28720 II38412
+0 1
+.names II38412 g29281
+0 1
+.names g28796 g29282
+0 1
+.names g28799 g29283
+0 1
+.names g28804 g29285
+0 1
+.names g28807 g29286
+0 1
+.names g28810 g29287
+0 1
+.names g28740 II38421
+0 1
+.names II38421 g29288
+0 1
+.names g28814 g29290
+0 1
+.names g28817 g29291
+0 1
+.names g28820 g29292
+0 1
+.names g14894 g28426 g28732
+00 1
+.names g28732 II38428
+0 1
+.names II38428 g29293
+0 1
+.names g28823 g29295
+0 1
+.names g28826 g29296
+0 1
+.names g14957 g28430 g28735
+00 1
+.names g28735 II38434
+0 1
+.names II38434 g29297
+0 1
+.names g28427 g27913 g28736
+00 1
+.names g28736 II38437
+0 1
+.names II38437 g29298
+0 1
+.names g14975 g28433 g28738
+00 1
+.names g28738 II38440
+0 1
+.names II38440 g29299
+0 1
+.names g28829 g29301
+0 1
+.names g15030 g28439 g28744
+00 1
+.names g28744 II38447
+0 1
+.names II38447 g29304
+0 1
+.names g28431 g27922 g28745
+00 1
+.names g28745 II38450
+0 1
+.names II38450 g29305
+0 1
+.names g15046 g28441 g28746
+00 1
+.names g28746 II38453
+0 1
+.names II38453 g29306
+0 1
+.names g28434 g27923 g28747
+00 1
+.names g28747 II38456
+0 1
+.names II38456 g29307
+0 1
+.names g15064 g28444 g28749
+00 1
+.names g28749 II38459
+0 1
+.names II38459 g29308
+0 1
+.names g29120 II38462
+0 1
+.names II38462 g29309
+0 1
+.names g28440 g27931 g28754
+00 1
+.names g28754 II38466
+0 1
+.names II38466 g29311
+0 1
+.names g15126 g28451 g28758
+00 1
+.names g28758 II38471
+0 1
+.names II38471 g29314
+0 1
+.names g28442 g27935 g28759
+00 1
+.names g28759 II38474
+0 1
+.names II38474 g29315
+0 1
+.names g15142 g28453 g28760
+00 1
+.names g28760 II38477
+0 1
+.names II38477 g29316
+0 1
+.names g28445 g27936 g28761
+00 1
+.names g28761 II38480
+0 1
+.names II38480 g29317
+0 1
+.names g28667 g16457 g28990
+00 1
+.names g28990 II38483
+0 1
+.names II38483 g29318
+0 1
+.names g15160 g28456 g28763
+00 1
+.names g28763 II38486
+0 1
+.names II38486 g29319
+0 1
+.names g28452 g27945 g28767
+00 1
+.names g28767 II38491
+0 1
+.names II38491 g29322
+0 1
+.names g15218 g28463 g28771
+00 1
+.names g28771 II38496
+0 1
+.names II38496 g29325
+0 1
+.names g28454 g27949 g28772
+00 1
+.names g28772 II38499
+0 1
+.names II38499 g29326
+0 1
+.names g15234 g28465 g28773
+00 1
+.names g28773 II38502
+0 1
+.names II38502 g29327
+0 1
+.names g28457 g27951 g28774
+00 1
+.names g28774 II38505
+0 1
+.names II38505 g29328
+0 1
+.names g28464 g27963 g28778
+00 1
+.names g28778 II38510
+0 1
+.names II38510 g29331
+0 1
+.names g15304 g28475 g28782
+00 1
+.names g28782 II38515
+0 1
+.names II38515 g29334
+0 1
+.names g28466 g27968 g28783
+00 1
+.names g28783 II38518
+0 1
+.names II38518 g29335
+0 1
+.names g28476 g27984 g28788
+00 1
+.names g28788 II38524
+0 1
+.names II38524 g29339
+0 1
+.names g28920 II38536
+0 1
+.names II38536 g29349
+0 1
+.names g29113 II38539
+0 1
+.names II38539 g29350
+0 1
+.names g29120 g29356
+0 1
+.names g29120 g29358
+0 1
+.names g28660 g13295 g28903
+00 1
+.names g28903 II38548
+0 1
+.names II38548 g29359
+0 1
+.names g28871 g29360
+0 1
+.names g28877 g29361
+0 1
+.names g28883 g29362
+0 1
+.names g28889 g29363
+0 1
+.names g28894 g29364
+0 1
+.names g28900 g29365
+0 1
+.names g28906 g29366
+0 1
+.names g28911 g29367
+0 1
+.names g28916 g29368
+0 1
+.names g28925 g29369
+0 1
+.names g28928 g29370
+0 1
+.names g28932 g29371
+0 1
+.names g28937 g29372
+0 1
+.names g28945 g29373
+0 1
+.names g28951 g29374
+0 1
+.names g28955 g29375
+0 1
+.names g28959 g29376
+0 1
+.names g28967 g29377
+0 1
+.names g28972 g29378
+0 1
+.names g28975 g29379
+0 1
+.names g28979 g29380
+0 1
+.names g28983 g29381
+0 1
+.names g28993 g29382
+0 1
+.names g28998 g29383
+0 1
+.names g29002 g29384
+0 1
+.names g29005 g29385
+0 1
+.names g29010 g29386
+0 1
+.names g29019 g29387
+0 1
+.names g29023 g29388
+0 1
+.names g29027 g29389
+0 1
+.names g29032 g29390
+0 1
+.names g29035 g29391
+0 1
+.names g29042 g29392
+0 1
+.names g29046 g29393
+0 1
+.names g29050 g29394
+0 1
+.names g29054 g29395
+0 1
+.names g29057 g29396
+0 1
+.names g29065 g29397
+0 1
+.names g29069 g29398
+0 1
+.names g28987 II38591
+0 1
+.names II38591 g29400
+0 1
+.names g28990 II38594
+0 1
+.names II38594 g29401
+0 1
+.names g29077 g29402
+0 1
+.names g29013 II38599
+0 1
+.names II38599 g29404
+0 1
+.names g29016 II38602
+0 1
+.names II38602 g29405
+0 1
+.names g29039 II38606
+0 1
+.names II38606 g29407
+0 1
+.names g28874 II38609
+0 1
+.names II38609 g29408
+0 1
+.names g28886 II38613
+0 1
+.names II38613 g29410
+0 1
+.names g28903 II38617
+0 1
+.names II38617 g29412
+0 1
+.names g29246 II38620
+0 1
+.names g29293 II38623
+0 1
+.names g29297 II38626
+0 1
+.names g29304 II38629
+0 1
+.names g29298 II38632
+0 1
+.names g29305 II38635
+0 1
+.names g29311 II38638
+0 1
+.names g29249 II38641
+0 1
+.names g29299 II38644
+0 1
+.names g29306 II38647
+0 1
+.names g29314 II38650
+0 1
+.names g29307 II38653
+0 1
+.names g29315 II38656
+0 1
+.names g29322 II38659
+0 1
+.names g29253 II38662
+0 1
+.names g29412 II38665
+0 1
+.names g29168 II38668
+0 1
+.names g29171 II38671
+0 1
+.names g29177 II38674
+0 1
+.names g29400 II38677
+0 1
+.names g29404 II38680
+0 1
+.names g29308 II38683
+0 1
+.names g29316 II38686
+0 1
+.names g29325 II38689
+0 1
+.names g29317 II38692
+0 1
+.names g29326 II38695
+0 1
+.names g29331 II38698
+0 1
+.names g29401 II38701
+0 1
+.names g29405 II38704
+0 1
+.names g29407 II38707
+0 1
+.names g29408 II38710
+0 1
+.names g29410 II38713
+0 1
+.names g29230 II38716
+0 1
+.names g29258 II38719
+0 1
+.names g29319 II38722
+0 1
+.names g29327 II38725
+0 1
+.names g29334 II38728
+0 1
+.names g29328 II38731
+0 1
+.names g29335 II38734
+0 1
+.names g29339 II38737
+0 1
+.names g29288 II38740
+0 1
+.names g29267 II38743
+0 1
+.names g29270 II38746
+0 1
+.names g29273 II38749
+0 1
+.names g29276 II38752
+0 1
+.names g29278 II38755
+0 1
+.names g29279 II38758
+0 1
+.names g29281 II38761
+0 1
+.names g29237 II38764
+0 1
+.names g29244 II38767
+0 1
+.names g29309 II38770
+0 1
+.names g29350 g29491
+0 1
+.names g29358 II38801
+0 1
+.names II38801 g29495
+0 1
+.names g29126 g17001 g29353
+00 1
+.names g29353 II38804
+0 1
+.names II38804 g29496
+0 1
+.names g29356 II38807
+0 1
+.names II38807 g29497
+0 1
+.names g29127 g17031 g29354
+00 1
+.names g29354 II38817
+0 1
+.names II38817 g29499
+0 1
+.names g29128 g17065 g29355
+00 1
+.names g29355 II38827
+0 1
+.names II38827 g29501
+0 1
+.names g29129 g17100 g29357
+00 1
+.names g29357 II38838
+0 1
+.names II38838 g29504
+0 1
+.names g28841 g28396 g29167
+00 1
+.names g29167 II38848
+0 1
+.names II38848 g29506
+0 1
+.names g28843 g28398 g29169
+00 1
+.names g29169 II38851
+0 1
+.names II38851 g29507
+0 1
+.names g28844 g28399 g29170
+00 1
+.names g29170 II38854
+0 1
+.names II38854 g29508
+0 1
+.names g28846 g28401 g29172
+00 1
+.names g29172 II38857
+0 1
+.names II38857 g29509
+0 1
+.names g28847 g28402 g29173
+00 1
+.names g29173 II38860
+0 1
+.names II38860 g29510
+0 1
+.names g28848 g28404 g29178
+00 1
+.names g29178 II38863
+0 1
+.names II38863 g29511
+0 1
+.names g28849 g28405 g29179
+00 1
+.names g29179 II38866
+0 1
+.names II38866 g29512
+0 1
+.names g28850 g28407 g29181
+00 1
+.names g29181 II38869
+0 1
+.names II38869 g29513
+0 1
+.names g28851 g28408 g29182
+00 1
+.names g29182 II38872
+0 1
+.names II38872 g29514
+0 1
+.names g28852 g28411 g29184
+00 1
+.names g29184 II38875
+0 1
+.names II38875 g29515
+0 1
+.names g28853 g28412 g29185
+00 1
+.names g29185 II38878
+0 1
+.names II38878 g29516
+0 1
+.names g28854 g28416 g29187
+00 1
+.names g29187 II38881
+0 1
+.names II38881 g29517
+0 1
+.names g29192 II38885
+0 1
+.names II38885 g29519
+0 1
+.names g14958 g28881 g29194
+00 1
+.names g29194 II38898
+0 1
+.names II38898 g29530
+0 1
+.names g15031 g28893 g29197
+00 1
+.names g29197 II38905
+0 1
+.names II38905 g29535
+0 1
+.names g15047 g28898 g29198
+00 1
+.names g29198 II38909
+0 1
+.names II38909 g29537
+0 1
+.names g15104 g28910 g29201
+00 1
+.names g29201 II38916
+0 1
+.names II38916 g29542
+0 1
+.names g15127 g28915 g29204
+00 1
+.names g29204 II38920
+0 1
+.names II38920 g29544
+0 1
+.names g15143 g28923 g29205
+00 1
+.names g29205 II38924
+0 1
+.names II38924 g29546
+0 1
+.names g15196 g28936 g29209
+00 1
+.names g29209 II38931
+0 1
+.names II38931 g29551
+0 1
+.names g15219 g28944 g29212
+00 1
+.names g29212 II38936
+0 1
+.names II38936 g29554
+0 1
+.names g15235 g28949 g29213
+00 1
+.names g29213 II38940
+0 1
+.names II38940 g29556
+0 1
+.names g15282 g28966 g29218
+00 1
+.names g29218 II38947
+0 1
+.names II38947 g29561
+0 1
+.names g15305 g28971 g29221
+00 1
+.names g29221 II38951
+0 1
+.names II38951 g29563
+0 1
+.names g15374 g28997 g29226
+00 1
+.names g29226 II38958
+0 1
+.names II38958 g29568
+0 1
+.names g1942 g1939 g29113 g29348
+1-- 1
+-1- 1
+--1 1
+.names g29348 II38975
+0 1
+.names II38975 g29583
+0 1
+.names g29496 II38999
+0 1
+.names g29506 II39002
+0 1
+.names g29507 II39005
+0 1
+.names g29509 II39008
+0 1
+.names g29530 II39011
+0 1
+.names g29535 II39014
+0 1
+.names g29542 II39017
+0 1
+.names g29499 II39020
+0 1
+.names g29508 II39023
+0 1
+.names g29510 II39026
+0 1
+.names g29512 II39029
+0 1
+.names g29537 II39032
+0 1
+.names g29544 II39035
+0 1
+.names g29551 II39038
+0 1
+.names g29501 II39041
+0 1
+.names g29511 II39044
+0 1
+.names g29513 II39047
+0 1
+.names g29515 II39050
+0 1
+.names g29546 II39053
+0 1
+.names g29554 II39056
+0 1
+.names g29561 II39059
+0 1
+.names g29504 II39062
+0 1
+.names g29514 II39065
+0 1
+.names g29516 II39068
+0 1
+.names g29517 II39071
+0 1
+.names g29556 II39074
+0 1
+.names g29563 II39077
+0 1
+.names g29568 II39080
+0 1
+.names g29519 II39083
+0 1
+.names g29497 II39086
+0 1
+.names g29495 II39089
+0 1
+.names g28712 g29180 g29574
+00 1
+.names g29574 g29658
+0 1
+.names g28710 g29176 g29571
+00 1
+.names g29571 g29659
+0 1
+.names g28715 g29188 g29578
+00 1
+.names g29578 g29660
+0 1
+.names g28713 g29183 g29576
+00 1
+.names g29576 g29661
+0 1
+.names g28709 g29175 g29570
+00 1
+.names g29570 g29662
+0 1
+.names g29130 g29411 g29552
+00 1
+.names g29552 g29664
+0 1
+.names g28714 g29186 g29577
+00 1
+.names g29577 g29666
+0 1
+.names g28708 g29174 g29569
+00 1
+.names g29569 g29668
+0 1
+.names g29583 g29673
+0 1
+.names g29399 g17001 g29579
+00 1
+.names g29579 II39121
+0 1
+.names II39121 g29689
+0 1
+.names g13878 g29248 g29606
+00 1
+.names g29606 II39124
+0 1
+.names II39124 g29690
+0 1
+.names g13892 g29251 g29608
+00 1
+.names g29608 II39127
+0 1
+.names II39127 g29691
+0 1
+.names g29403 g17031 g29580
+00 1
+.names g29580 II39130
+0 1
+.names II39130 g29692
+0 1
+.names g13900 g29252 g29609
+00 1
+.names g29609 II39133
+0 1
+.names II39133 g29693
+0 1
+.names g13913 g29255 g29611
+00 1
+.names g29611 II39136
+0 1
+.names II39136 g29694
+0 1
+.names g13933 g29256 g29612
+00 1
+.names g29612 II39139
+0 1
+.names II39139 g29695
+0 1
+.names g29406 g17065 g29581
+00 1
+.names g29581 II39142
+0 1
+.names II39142 g29696
+0 1
+.names g13941 g29257 g29613
+00 1
+.names g29613 II39145
+0 1
+.names II39145 g29697
+0 1
+.names g13969 g29259 g29616
+00 1
+.names g29616 II39148
+0 1
+.names II39148 g29698
+0 1
+.names g13989 g29260 g29617
+00 1
+.names g29617 II39151
+0 1
+.names II39151 g29699
+0 1
+.names g29409 g17100 g29582
+00 1
+.names g29582 II39154
+0 1
+.names II39154 g29700
+0 1
+.names g13997 g29261 g29618
+00 1
+.names g29618 II39157
+0 1
+.names II39157 g29701
+0 1
+.names g14039 g29262 g29620
+00 1
+.names g29620 II39160
+0 1
+.names II39160 g29702
+0 1
+.names g14059 g29263 g29621
+00 1
+.names g29621 II39164
+0 1
+.names II39164 g29704
+0 1
+.names g14130 g29264 g29623
+00 1
+.names g29623 II39168
+0 1
+.names II39168 g29708
+0 1
+.names II38811 II38812 g29498
+0- 1
+-0 1
+.names g29498 g29716
+0 1
+.names II38821 II38822 g29500
+0- 1
+-0 1
+.names g29500 g29724
+0 1
+.names II38832 II38833 g29503
+0- 1
+-0 1
+.names g29503 g29726
+0 1
+.names II38842 II38843 g29505
+0- 1
+-0 1
+.names g29505 g29739
+0 1
+.names g29689 II39234
+0 1
+.names g29690 II39237
+0 1
+.names g29691 II39240
+0 1
+.names g29694 II39243
+0 1
+.names g29692 II39246
+0 1
+.names g29693 II39249
+0 1
+.names g29695 II39252
+0 1
+.names g29698 II39255
+0 1
+.names g29696 II39258
+0 1
+.names g29697 II39261
+0 1
+.names g29699 II39264
+0 1
+.names g29702 II39267
+0 1
+.names g29700 II39270
+0 1
+.names g29701 II39273
+0 1
+.names g29704 II39276
+0 1
+.names g29708 II39279
+0 1
+.names g29518 g29284 g29663
+00 1
+.names g29663 g29823
+0 1
+.names g29521 g29289 g29665
+00 1
+.names g29665 g29829
+0 1
+.names g29524 g29294 g29667
+00 1
+.names g29667 g29835
+0 1
+.names g29528 g29300 g29669
+00 1
+.names g29669 g29840
+0 1
+.names g29529 g29302 g29670
+00 1
+.names g29670 g29844
+0 1
+.names g28707 g28711 g29466 g29761
+000 1
+.names g29761 g29848
+0 1
+.names g29534 g29310 g29671
+00 1
+.names g29671 g29849
+0 1
+.names g29536 g29312 g29672
+00 1
+.names g29672 g29853
+0 1
+.names g29540 g29320 g29676
+00 1
+.names g29676 g29857
+0 1
+.names g29543 g29321 g29677
+00 1
+.names g29677 g29861
+0 1
+.names g29545 g29323 g29678
+00 1
+.names g29678 g29865
+0 1
+.names g29549 g29329 g29679
+00 1
+.names g29679 g29869
+0 1
+.names g29553 g29330 g29680
+00 1
+.names g29680 g29873
+0 1
+.names g29555 g29332 g29681
+00 1
+.names g29681 g29877
+0 1
+.names g29557 g29336 g29682
+00 1
+.names g29682 g29881
+0 1
+.names g29559 g29337 g29683
+00 1
+.names g29683 g29885
+0 1
+.names g29562 g29338 g29684
+00 1
+.names g29684 g29889
+0 1
+.names g29564 g29341 g29685
+00 1
+.names g29685 g29893
+0 1
+.names g29566 g29342 g29686
+00 1
+.names g29686 g29897
+0 1
+.names g29572 g29344 g29687
+00 1
+.names g29687 g29901
+0 1
+.names g29575 g29346 g29688
+00 1
+.names g29688 g29905
+0 1
+.names g29664 II39398
+0 1
+.names II39398 g29932
+0 1
+.names g29662 II39401
+0 1
+.names II39401 g29933
+0 1
+.names g29661 II39404
+0 1
+.names II39404 g29934
+0 1
+.names g29660 II39407
+0 1
+.names II39407 g29935
+0 1
+.names g29659 II39411
+0 1
+.names II39411 g29937
+0 1
+.names g29658 II39414
+0 1
+.names II39414 g29938
+0 1
+.names g29668 II39418
+0 1
+.names II39418 g29940
+0 1
+.names g29666 II39423
+0 1
+.names II39423 g29943
+0 1
+.names g29940 II39454
+0 1
+.names g29943 II39457
+0 1
+.names g29932 II39460
+0 1
+.names g29933 II39463
+0 1
+.names g29934 II39466
+0 1
+.names g29935 II39469
+0 1
+.names g29937 II39472
+0 1
+.names g29938 II39475
+0 1
+.names g24676 g29716 g29912
+00 1
+.names g29912 g30036
+0 1
+.names g24695 g29724 g29914
+00 1
+.names g29914 g30040
+0 1
+.names g24712 g29726 g29916
+00 1
+.names g29916 g30044
+0 1
+.names g24723 g29739 g29920
+00 1
+.names g29920 g30048
+0 1
+.names g29848 II39550
+0 1
+.names II39550 g30052
+0 1
+.names g16049 g29790 g29936
+00 1
+.names g29936 II39573
+0 1
+.names II39573 g30076
+0 1
+.names g16102 g29792 g29939
+00 1
+.names g29939 II39577
+0 1
+.names II39577 g30078
+0 1
+.names g16182 g29793 g29941
+00 1
+.names g29941 II39585
+0 1
+.names II39585 g30084
+0 1
+.names g30052 II39622
+0 1
+.names g30076 II39625
+0 1
+.names g30078 II39628
+0 1
+.names g30084 II39631
+0 1
+.names g29965 g13326 g30055
+00 1
+.names g30055 II39635
+0 1
+.names II39635 g30124
+0 1
+.names g29966 g13345 g30056
+00 1
+.names g30056 II39638
+0 1
+.names II39638 g30125
+0 1
+.names g29967 g13368 g30057
+00 1
+.names g30057 II39641
+0 1
+.names II39641 g30126
+0 1
+.names g29968 g13395 g30058
+00 1
+.names g30058 II39647
+0 1
+.names II39647 g30130
+0 1
+.names g29520 g29942 g30010
+00 1
+.names g30010 g30134
+0 1
+.names g29522 g29944 g30011
+00 1
+.names g30011 g30139
+0 1
+.names g29523 g29945 g30012
+00 1
+.names g30012 g30143
+0 1
+.names g29525 g29946 g30013
+00 1
+.names g30013 g30147
+0 1
+.names g29526 g29947 g30014
+00 1
+.names g30014 g30151
+0 1
+.names g29527 g29948 g30015
+00 1
+.names g30015 g30155
+0 1
+.names g29531 g29949 g30016
+00 1
+.names g30016 g30159
+0 1
+.names g29532 g29950 g30017
+00 1
+.names g30017 g30163
+0 1
+.names g29533 g29951 g30018
+00 1
+.names g30018 g30167
+0 1
+.names g29538 g29952 g30019
+00 1
+.names g30019 g30171
+0 1
+.names g29539 g29953 g30020
+00 1
+.names g30020 g30175
+0 1
+.names g29541 g29954 g30021
+00 1
+.names g30021 g30179
+0 1
+.names g29547 g29955 g30022
+00 1
+.names g30022 g30183
+0 1
+.names g29548 g29956 g30023
+00 1
+.names g30023 g30187
+0 1
+.names g29550 g29957 g30024
+00 1
+.names g30024 g30191
+0 1
+.names g29558 g29958 g30025
+00 1
+.names g30025 g30195
+0 1
+.names g29560 g29959 g30026
+00 1
+.names g30026 g30199
+0 1
+.names g29565 g29960 g30027
+00 1
+.names g30027 g30203
+0 1
+.names g29567 g29961 g30028
+00 1
+.names g30028 g30207
+0 1
+.names g29573 g29962 g30029
+00 1
+.names g30029 g30211
+0 1
+.names g29910 g8947 g30072
+00 1
+.names g30072 II39674
+0 1
+.names II39674 g30215
+0 1
+.names g24676 g29923 g30030
+00 1
+.names g30030 g30229
+0 1
+.names g24695 g29925 g30031
+00 1
+.names g30031 g30233
+0 1
+.names g24712 g29927 g30032
+00 1
+.names g30032 g30237
+0 1
+.names g24723 g29931 g30033
+00 1
+.names g30033 g30241
+0 1
+.names g30072 II39761
+0 1
+.names II39761 g30306
+0 1
+.names g29970 g11612 g30060
+00 1
+.names g30060 II39764
+0 1
+.names II39764 g30307
+0 1
+.names g29971 g13493 g30061
+00 1
+.names g30061 II39767
+0 1
+.names II39767 g30308
+0 1
+.names g29812 g11637 g30063
+00 1
+.names g30063 II39770
+0 1
+.names II39770 g30309
+0 1
+.names g29813 g13506 g30064
+00 1
+.names g30064 II39773
+0 1
+.names II39773 g30310
+0 1
+.names g29816 g13517 g30066
+00 1
+.names g30066 II39776
+0 1
+.names II39776 g30311
+0 1
+.names g29963 g16286 g30053
+00 1
+.names g30053 II39779
+0 1
+.names II39779 g30312
+0 1
+.names g29964 g16336 g30054
+00 1
+.names g30054 II39782
+0 1
+.names II39782 g30313
+0 1
+.names g30124 II39785
+0 1
+.names g30125 II39788
+0 1
+.names g30126 II39791
+0 1
+.names g30130 II39794
+0 1
+.names g30307 II39797
+0 1
+.names g30309 II39800
+0 1
+.names g30308 II39803
+0 1
+.names g30310 II39806
+0 1
+.names g30311 II39809
+0 1
+.names g30312 II39812
+0 1
+.names g30313 II39815
+0 1
+.names g30215 II39818
+0 1
+.names g16380 g30101 g30267
+00 1
+.names g30267 II39821
+0 1
+.names II39821 g30326
+0 1
+.names g16382 g30102 g30268
+00 1
+.names g30268 II39825
+0 1
+.names II39825 g30328
+0 1
+.names g16386 g30103 g30269
+00 1
+.names g30269 II39828
+0 1
+.names II39828 g30329
+0 1
+.names g16387 g30104 g30270
+00 1
+.names g30270 II39832
+0 1
+.names II39832 g30331
+0 1
+.names g16388 g30105 g30271
+00 1
+.names g30271 II39835
+0 1
+.names II39835 g30332
+0 1
+.names g16392 g30106 g30272
+00 1
+.names g30272 II39840
+0 1
+.names II39840 g30335
+0 1
+.names g16393 g30107 g30273
+00 1
+.names g30273 II39843
+0 1
+.names II39843 g30336
+0 1
+.names g16403 g30108 g30274
+00 1
+.names g30274 II39848
+0 1
+.names II39848 g30339
+0 1
+.names g16413 g30109 g30275
+00 1
+.names g30275 II39853
+0 1
+.names II39853 g30342
+0 1
+.names g16415 g30110 g30276
+00 1
+.names g30276 II39856
+0 1
+.names II39856 g30343
+0 1
+.names g16418 g30111 g30277
+00 1
+.names g30277 II39859
+0 1
+.names II39859 g30344
+0 1
+.names g16420 g30112 g30278
+00 1
+.names g30278 II39863
+0 1
+.names II39863 g30346
+0 1
+.names g16424 g30113 g30279
+00 1
+.names g30279 II39866
+0 1
+.names II39866 g30347
+0 1
+.names g16425 g30114 g30280
+00 1
+.names g30280 II39870
+0 1
+.names II39870 g30349
+0 1
+.names g16426 g30115 g30281
+00 1
+.names g30281 II39873
+0 1
+.names II39873 g30350
+0 1
+.names g16430 g30117 g30282
+00 1
+.names g30282 II39878
+0 1
+.names II39878 g30353
+0 1
+.names g16431 g30118 g30283
+00 1
+.names g30283 II39881
+0 1
+.names II39881 g30354
+0 1
+.names g16444 g29980 g30284
+00 1
+.names g30284 II39886
+0 1
+.names II39886 g30357
+0 1
+.names g16447 g29981 g30285
+00 1
+.names g30285 II39889
+0 1
+.names II39889 g30358
+0 1
+.names g16449 g29982 g30286
+00 1
+.names g30286 II39892
+0 1
+.names II39892 g30359
+0 1
+.names g16452 g29983 g30287
+00 1
+.names g30287 II39895
+0 1
+.names II39895 g30360
+0 1
+.names g16454 g29984 g30288
+00 1
+.names g30288 II39899
+0 1
+.names II39899 g30362
+0 1
+.names g16458 g29985 g30289
+00 1
+.names g30289 II39902
+0 1
+.names II39902 g30363
+0 1
+.names g16459 g29986 g30290
+00 1
+.names g30290 II39906
+0 1
+.names II39906 g30365
+0 1
+.names g16460 g29987 g30291
+00 1
+.names g30291 II39909
+0 1
+.names II39909 g30366
+0 1
+.names g13477 g29988 g30292
+00 1
+.names g30292 II39913
+0 1
+.names II39913 g30368
+0 1
+.names g13480 g29989 g30293
+00 1
+.names g30293 II39916
+0 1
+.names II39916 g30369
+0 1
+.names g13483 g29990 g30294
+00 1
+.names g30294 II39919
+0 1
+.names II39919 g30370
+0 1
+.names g13485 g29991 g30295
+00 1
+.names g30295 II39922
+0 1
+.names II39922 g30371
+0 1
+.names g13488 g29993 g30296
+00 1
+.names g30296 II39926
+0 1
+.names II39926 g30373
+0 1
+.names g13490 g29994 g30297
+00 1
+.names g30297 II39930
+0 1
+.names II39930 g30375
+0 1
+.names g13496 g29995 g30298
+00 1
+.names g30298 II39933
+0 1
+.names II39933 g30376
+0 1
+.names g13499 g29996 g30299
+00 1
+.names g30299 II39936
+0 1
+.names II39936 g30377
+0 1
+.names g13502 g30001 g30300
+00 1
+.names g30300 II39939
+0 1
+.names II39939 g30378
+0 1
+.names g13504 g30002 g30301
+00 1
+.names g30301 II39942
+0 1
+.names II39942 g30379
+0 1
+.names g13513 g30003 g30302
+00 1
+.names g30302 II39945
+0 1
+.names II39945 g30380
+0 1
+.names g13516 g30005 g30303
+00 1
+.names g30303 II39948
+0 1
+.names II39948 g30381
+0 1
+.names g13527 g30007 g30304
+00 1
+.names g30304 II39951
+0 1
+.names II39951 g30382
+0 1
+.names g30306 g30383
+0 1
+.names g16074 g30077 g30245
+00 1
+.names g30245 II39976
+0 1
+.names II39976 g30408
+0 1
+.names g2636 g2633 g30072 g30305
+1-- 1
+-1- 1
+--1 1
+.names g30305 II39982
+0 1
+.names II39982 g30412
+0 1
+.names g16107 g30079 g30246
+00 1
+.names g30246 II39985
+0 1
+.names II39985 g30435
+0 1
+.names g16112 g30080 g30247
+00 1
+.names g30247 II39991
+0 1
+.names II39991 g30439
+0 1
+.names g16139 g30081 g30248
+00 1
+.names g30248 II39997
+0 1
+.names II39997 g30443
+0 1
+.names g16158 g30082 g30249
+00 1
+.names g30249 II40002
+0 1
+.names II40002 g30446
+0 1
+.names g16163 g30083 g30250
+00 1
+.names g30250 II40008
+0 1
+.names II40008 g30450
+0 1
+.names g16198 g30085 g30251
+00 1
+.names g30251 II40016
+0 1
+.names II40016 g30456
+0 1
+.names g16217 g30086 g30252
+00 1
+.names g30252 II40021
+0 1
+.names II40021 g30459
+0 1
+.names g16222 g30087 g30253
+00 1
+.names g30253 II40027
+0 1
+.names II40027 g30463
+0 1
+.names g16242 g30088 g30254
+00 1
+.names g30254 II40032
+0 1
+.names II40032 g30466
+0 1
+.names g16263 g30089 g30255
+00 1
+.names g30255 II40039
+0 1
+.names II40039 g30471
+0 1
+.names g16282 g30090 g30256
+00 1
+.names g30256 II40044
+0 1
+.names II40044 g30474
+0 1
+.names g16290 g30091 g30257
+00 1
+.names g30257 II40051
+0 1
+.names II40051 g30479
+0 1
+.names g16291 g30092 g30258
+00 1
+.names g30258 II40054
+0 1
+.names II40054 g30480
+0 1
+.names g16301 g30093 g30259
+00 1
+.names g30259 II40059
+0 1
+.names II40059 g30483
+0 1
+.names g16322 g30094 g30260
+00 1
+.names g30260 II40066
+0 1
+.names II40066 g30488
+0 1
+.names g16342 g30095 g30261
+00 1
+.names g30261 II40071
+0 1
+.names II40071 g30491
+0 1
+.names g16343 g30096 g30262
+00 1
+.names g30262 II40075
+0 1
+.names II40075 g30493
+0 1
+.names g16344 g30097 g30263
+00 1
+.names g30263 II40078
+0 1
+.names II40078 g30494
+0 1
+.names g16348 g30098 g30264
+00 1
+.names g30264 II40083
+0 1
+.names II40083 g30497
+0 1
+.names g16349 g30099 g30265
+00 1
+.names g30265 II40086
+0 1
+.names II40086 g30498
+0 1
+.names g16359 g30100 g30266
+00 1
+.names g30266 II40091
+0 1
+.names II40091 g30501
+0 1
+.names g30491 II40098
+0 1
+.names g30326 II40101
+0 1
+.names g30342 II40104
+0 1
+.names g30343 II40107
+0 1
+.names g30357 II40110
+0 1
+.names g30368 II40113
+0 1
+.names g30408 II40116
+0 1
+.names g30435 II40119
+0 1
+.names g30443 II40122
+0 1
+.names g30466 II40125
+0 1
+.names g30479 II40128
+0 1
+.names g30493 II40131
+0 1
+.names g30480 II40134
+0 1
+.names g30494 II40137
+0 1
+.names g30328 II40140
+0 1
+.names g30329 II40143
+0 1
+.names g30344 II40146
+0 1
+.names g30358 II40149
+0 1
+.names g30359 II40152
+0 1
+.names g30369 II40155
+0 1
+.names g30376 II40158
+0 1
+.names g30439 II40161
+0 1
+.names g30446 II40164
+0 1
+.names g30456 II40167
+0 1
+.names g30483 II40170
+0 1
+.names g30497 II40173
+0 1
+.names g30331 II40176
+0 1
+.names g30498 II40179
+0 1
+.names g30332 II40182
+0 1
+.names g30346 II40185
+0 1
+.names g30347 II40188
+0 1
+.names g30360 II40191
+0 1
+.names g30370 II40194
+0 1
+.names g30371 II40197
+0 1
+.names g30377 II40200
+0 1
+.names g30380 II40203
+0 1
+.names g30450 II40206
+0 1
+.names g30459 II40209
+0 1
+.names g30471 II40212
+0 1
+.names g30501 II40215
+0 1
+.names g30335 II40218
+0 1
+.names g30349 II40221
+0 1
+.names g30336 II40224
+0 1
+.names g30350 II40227
+0 1
+.names g30362 II40230
+0 1
+.names g30363 II40233
+0 1
+.names g30373 II40236
+0 1
+.names g30378 II40239
+0 1
+.names g30379 II40242
+0 1
+.names g30381 II40245
+0 1
+.names g30382 II40248
+0 1
+.names g30463 II40251
+0 1
+.names g30474 II40254
+0 1
+.names g30488 II40257
+0 1
+.names g30339 II40260
+0 1
+.names g30353 II40263
+0 1
+.names g30365 II40266
+0 1
+.names g30354 II40269
+0 1
+.names g30366 II40272
+0 1
+.names g30375 II40275
+0 1
+.names g30004 g30131 g30403
+00 1
+.names g30403 g30567
+0 1
+.names g29999 g30129 g30402
+00 1
+.names g30402 g30568
+0 1
+.names g30009 g30138 g30406
+00 1
+.names g30406 g30569
+0 1
+.names g30006 g30132 g30404
+00 1
+.names g30404 g30570
+0 1
+.names g29998 g30128 g30401
+00 1
+.names g30401 g30571
+0 1
+.names g30116 g30123 g30399
+00 1
+.names g30399 g30572
+0 1
+.names g30008 g30133 g30405
+00 1
+.names g30405 g30573
+0 1
+.names g29997 g30127 g30400
+00 1
+.names g30400 g30574
+0 1
+.names g30412 g30575
+0 1
+.names g13953 g30216 g30455
+00 1
+.names g30455 II40288
+0 1
+.names II40288 g30578
+0 1
+.names g14007 g30217 g30468
+00 1
+.names g30468 II40291
+0 1
+.names II40291 g30579
+0 1
+.names g14023 g30218 g30470
+00 1
+.names g30470 II40294
+0 1
+.names II40294 g30580
+0 1
+.names g14067 g30219 g30482
+00 1
+.names g30482 II40297
+0 1
+.names II40297 g30581
+0 1
+.names g14098 g30220 g30485
+00 1
+.names g30485 II40300
+0 1
+.names II40300 g30582
+0 1
+.names g14114 g30221 g30487
+00 1
+.names g30487 II40303
+0 1
+.names II40303 g30583
+0 1
+.names g14182 g30222 g30500
+00 1
+.names g30500 II40307
+0 1
+.names II40307 g30585
+0 1
+.names g14213 g30223 g30503
+00 1
+.names g30503 II40310
+0 1
+.names II40310 g30586
+0 1
+.names g14229 g30224 g30505
+00 1
+.names g30505 II40313
+0 1
+.names II40313 g30587
+0 1
+.names g14297 g30225 g30338
+00 1
+.names g30338 II40317
+0 1
+.names II40317 g30591
+0 1
+.names g14328 g30226 g30341
+00 1
+.names g30341 II40320
+0 1
+.names II40320 g30592
+0 1
+.names g14419 g30227 g30356
+00 1
+.names g30356 II40326
+0 1
+.names II40326 g30600
+0 1
+.names g30578 II40420
+0 1
+.names g30579 II40423
+0 1
+.names g30581 II40426
+0 1
+.names g30580 II40429
+0 1
+.names g30582 II40432
+0 1
+.names g30585 II40435
+0 1
+.names g30583 II40438
+0 1
+.names g30586 II40441
+0 1
+.names g30591 II40444
+0 1
+.names g30587 II40447
+0 1
+.names g30592 II40450
+0 1
+.names g30600 II40453
+0 1
+.names g16381 g30478 g30668
+00 1
+.names g30668 II40456
+0 1
+.names II40456 g30722
+0 1
+.names g16383 g30481 g30669
+00 1
+.names g30669 II40459
+0 1
+.names II40459 g30723
+0 1
+.names g16389 g30484 g30670
+00 1
+.names g30670 II40462
+0 1
+.names II40462 g30724
+0 1
+.names g16391 g30486 g30671
+00 1
+.names g30671 II40465
+0 1
+.names II40465 g30725
+0 1
+.names g16401 g30489 g30672
+00 1
+.names g30672 II40468
+0 1
+.names II40468 g30726
+0 1
+.names g16402 g30490 g30673
+00 1
+.names g30673 II40471
+0 1
+.names II40471 g30727
+0 1
+.names g16414 g30492 g30674
+00 1
+.names g30674 II40475
+0 1
+.names II40475 g30729
+0 1
+.names g16416 g30495 g30675
+00 1
+.names g30675 II40478
+0 1
+.names II40478 g30730
+0 1
+.names g16419 g30496 g30676
+00 1
+.names g30676 II40481
+0 1
+.names II40481 g30731
+0 1
+.names g16421 g30499 g30677
+00 1
+.names g30677 II40484
+0 1
+.names II40484 g30732
+0 1
+.names g16427 g30502 g30678
+00 1
+.names g30678 II40487
+0 1
+.names II40487 g30733
+0 1
+.names g16429 g30504 g30679
+00 1
+.names g30679 II40490
+0 1
+.names II40490 g30734
+0 1
+.names g16443 g30327 g30680
+00 1
+.names g30680 II40495
+0 1
+.names II40495 g30737
+0 1
+.names g16448 g30330 g30681
+00 1
+.names g30681 II40498
+0 1
+.names II40498 g30738
+0 1
+.names g16450 g30333 g30682
+00 1
+.names g30682 II40501
+0 1
+.names II40501 g30739
+0 1
+.names g16453 g30334 g30683
+00 1
+.names g30683 II40504
+0 1
+.names II40504 g30740
+0 1
+.names g16455 g30337 g30684
+00 1
+.names g30684 II40507
+0 1
+.names II40507 g30741
+0 1
+.names g16461 g30340 g30686
+00 1
+.names g30686 II40510
+0 1
+.names II40510 g30742
+0 1
+.names g13479 g30345 g30687
+00 1
+.names g30687 II40515
+0 1
+.names II40515 g30745
+0 1
+.names g13484 g30348 g30688
+00 1
+.names g30688 II40518
+0 1
+.names II40518 g30746
+0 1
+.names g13486 g30351 g30689
+00 1
+.names g30689 II40521
+0 1
+.names II40521 g30747
+0 1
+.names g13489 g30352 g30690
+00 1
+.names g30690 II40524
+0 1
+.names II40524 g30748
+0 1
+.names g13491 g30355 g30691
+00 1
+.names g30691 II40527
+0 1
+.names II40527 g30749
+0 1
+.names g13498 g30361 g30692
+00 1
+.names g30692 II40531
+0 1
+.names II40531 g30751
+0 1
+.names g13503 g30364 g30693
+00 1
+.names g30693 II40534
+0 1
+.names II40534 g30752
+0 1
+.names g13505 g30367 g30694
+00 1
+.names g30694 II40537
+0 1
+.names II40537 g30753
+0 1
+.names g13515 g30374 g30695
+00 1
+.names g30695 II40542
+0 1
+.names II40542 g30756
+0 1
+.names g29992 g30000 g30372 g30685
+000 1
+.names g30685 g30765
+0 1
+.names g13914 g30387 g30699
+00 1
+.names g30699 II40555
+0 1
+.names II40555 g30767
+0 1
+.names g13952 g30388 g30700
+00 1
+.names g30700 II40565
+0 1
+.names II40565 g30769
+0 1
+.names g13970 g30389 g30701
+00 1
+.names g30701 II40568
+0 1
+.names II40568 g30770
+0 1
+.names g14006 g30390 g30702
+00 1
+.names g30702 II40578
+0 1
+.names II40578 g30772
+0 1
+.names g14022 g30391 g30703
+00 1
+.names g30703 II40581
+0 1
+.names II40581 g30773
+0 1
+.names g14040 g30392 g30704
+00 1
+.names g30704 II40584
+0 1
+.names II40584 g30774
+0 1
+.names g14097 g30393 g30705
+00 1
+.names g30705 II40594
+0 1
+.names II40594 g30776
+0 1
+.names g14113 g30394 g30706
+00 1
+.names g30706 II40597
+0 1
+.names II40597 g30777
+0 1
+.names g14131 g30395 g30707
+00 1
+.names g30707 II40600
+0 1
+.names II40600 g30778
+0 1
+.names g14212 g30396 g30708
+00 1
+.names g30708 II40611
+0 1
+.names II40611 g30781
+0 1
+.names g14228 g30397 g30709
+00 1
+.names g30709 II40614
+0 1
+.names II40614 g30782
+0 1
+.names g14327 g30398 g30566
+00 1
+.names g30566 II40618
+0 1
+.names II40618 g30784
+0 1
+.names g30571 II40634
+0 1
+.names II40634 g30792
+0 1
+.names g30570 II40637
+0 1
+.names II40637 g30793
+0 1
+.names g30569 II40640
+0 1
+.names II40640 g30794
+0 1
+.names g30568 II40643
+0 1
+.names II40643 g30795
+0 1
+.names g30567 II40647
+0 1
+.names II40647 g30797
+0 1
+.names g30574 II40651
+0 1
+.names II40651 g30799
+0 1
+.names g30573 II40654
+0 1
+.names II40654 g30800
+0 1
+.names g30572 II40658
+0 1
+.names II40658 g30802
+0 1
+.names g16108 g30407 g30635
+00 1
+.names g30635 II40661
+0 1
+.names II40661 g30803
+0 1
+.names g16140 g30409 g30636
+00 1
+.names g30636 II40664
+0 1
+.names II40664 g30804
+0 1
+.names g16141 g30410 g30637
+00 1
+.names g30637 II40667
+0 1
+.names II40667 g30805
+0 1
+.names g16159 g30411 g30638
+00 1
+.names g30638 II40670
+0 1
+.names II40670 g30806
+0 1
+.names g16186 g30436 g30639
+00 1
+.names g30639 II40673
+0 1
+.names II40673 g30807
+0 1
+.names g16187 g30437 g30640
+00 1
+.names g30640 II40676
+0 1
+.names II40676 g30808
+0 1
+.names g16188 g30438 g30641
+00 1
+.names g30641 II40679
+0 1
+.names II40679 g30809
+0 1
+.names g16199 g30440 g30642
+00 1
+.names g30642 II40682
+0 1
+.names II40682 g30810
+0 1
+.names g16200 g30441 g30643
+00 1
+.names g30643 II40685
+0 1
+.names II40685 g30811
+0 1
+.names g16218 g30442 g30644
+00 1
+.names g30644 II40688
+0 1
+.names II40688 g30812
+0 1
+.names g16240 g30444 g30645
+00 1
+.names g30645 II40691
+0 1
+.names II40691 g30813
+0 1
+.names g16241 g30445 g30646
+00 1
+.names g30646 II40694
+0 1
+.names II40694 g30814
+0 1
+.names g16251 g30447 g30647
+00 1
+.names g30647 II40697
+0 1
+.names II40697 g30815
+0 1
+.names g16252 g30448 g30648
+00 1
+.names g30648 II40700
+0 1
+.names II40700 g30816
+0 1
+.names g16253 g30449 g30649
+00 1
+.names g30649 II40703
+0 1
+.names II40703 g30817
+0 1
+.names g16264 g30451 g30650
+00 1
+.names g30650 II40706
+0 1
+.names II40706 g30818
+0 1
+.names g16265 g30452 g30651
+00 1
+.names g30651 II40709
+0 1
+.names II40709 g30819
+0 1
+.names g16283 g30453 g30652
+00 1
+.names g30652 II40712
+0 1
+.names II40712 g30820
+0 1
+.names g16289 g30454 g30653
+00 1
+.names g30653 II40715
+0 1
+.names II40715 g30821
+0 1
+.names g16299 g30457 g30654
+00 1
+.names g30654 II40718
+0 1
+.names II40718 g30822
+0 1
+.names g16300 g30458 g30655
+00 1
+.names g30655 II40721
+0 1
+.names II40721 g30823
+0 1
+.names g16310 g30460 g30656
+00 1
+.names g30656 II40724
+0 1
+.names II40724 g30824
+0 1
+.names g16311 g30461 g30657
+00 1
+.names g30657 II40727
+0 1
+.names II40727 g30825
+0 1
+.names g16312 g30462 g30658
+00 1
+.names g30658 II40730
+0 1
+.names II40730 g30826
+0 1
+.names g16323 g30464 g30659
+00 1
+.names g30659 II40733
+0 1
+.names II40733 g30827
+0 1
+.names g16324 g30465 g30660
+00 1
+.names g30660 II40736
+0 1
+.names II40736 g30828
+0 1
+.names g16345 g30467 g30661
+00 1
+.names g30661 II40739
+0 1
+.names II40739 g30829
+0 1
+.names g16347 g30469 g30662
+00 1
+.names g30662 II40742
+0 1
+.names II40742 g30830
+0 1
+.names g16357 g30472 g30663
+00 1
+.names g30663 II40745
+0 1
+.names II40745 g30831
+0 1
+.names g16358 g30473 g30664
+00 1
+.names g30664 II40748
+0 1
+.names II40748 g30832
+0 1
+.names g16368 g30475 g30665
+00 1
+.names g30665 II40751
+0 1
+.names II40751 g30833
+0 1
+.names g16369 g30476 g30666
+00 1
+.names g30666 II40754
+0 1
+.names II40754 g30834
+0 1
+.names g16370 g30477 g30667
+00 1
+.names g30667 II40757
+0 1
+.names II40757 g30835
+0 1
+.names g30722 II40760
+0 1
+.names g30729 II40763
+0 1
+.names g30737 II40766
+0 1
+.names g30803 II40769
+0 1
+.names g30804 II40772
+0 1
+.names g30807 II40775
+0 1
+.names g30805 II40778
+0 1
+.names g30808 II40781
+0 1
+.names g30813 II40784
+0 1
+.names g30809 II40787
+0 1
+.names g30814 II40790
+0 1
+.names g30821 II40793
+0 1
+.names g30829 II40796
+0 1
+.names g30723 II40799
+0 1
+.names g30730 II40802
+0 1
+.names g30767 II40805
+0 1
+.names g30769 II40808
+0 1
+.names g30772 II40811
+0 1
+.names g30731 II40814
+0 1
+.names g30738 II40817
+0 1
+.names g30745 II40820
+0 1
+.names g30806 II40823
+0 1
+.names g30810 II40826
+0 1
+.names g30815 II40829
+0 1
+.names g30811 II40832
+0 1
+.names g30816 II40835
+0 1
+.names g30822 II40838
+0 1
+.names g30817 II40841
+0 1
+.names g30823 II40844
+0 1
+.names g30830 II40847
+0 1
+.names g30724 II40850
+0 1
+.names g30732 II40853
+0 1
+.names g30739 II40856
+0 1
+.names g30770 II40859
+0 1
+.names g30773 II40862
+0 1
+.names g30776 II40865
+0 1
+.names g30740 II40868
+0 1
+.names g30746 II40871
+0 1
+.names g30751 II40874
+0 1
+.names g30812 II40877
+0 1
+.names g30818 II40880
+0 1
+.names g30824 II40883
+0 1
+.names g30819 II40886
+0 1
+.names g30825 II40889
+0 1
+.names g30831 II40892
+0 1
+.names g30826 II40895
+0 1
+.names g30832 II40898
+0 1
+.names g30725 II40901
+0 1
+.names g30733 II40904
+0 1
+.names g30741 II40907
+0 1
+.names g30747 II40910
+0 1
+.names g30774 II40913
+0 1
+.names g30777 II40916
+0 1
+.names g30781 II40919
+0 1
+.names g30748 II40922
+0 1
+.names g30752 II40925
+0 1
+.names g30756 II40928
+0 1
+.names g30820 II40931
+0 1
+.names g30827 II40934
+0 1
+.names g30833 II40937
+0 1
+.names g30828 II40940
+0 1
+.names g30834 II40943
+0 1
+.names g30726 II40946
+0 1
+.names g30835 II40949
+0 1
+.names g30727 II40952
+0 1
+.names g30734 II40955
+0 1
+.names g30742 II40958
+0 1
+.names g30749 II40961
+0 1
+.names g30753 II40964
+0 1
+.names g30778 II40967
+0 1
+.names g30782 II40970
+0 1
+.names g30784 II40973
+0 1
+.names g30799 II40976
+0 1
+.names g30800 II40979
+0 1
+.names g30802 II40982
+0 1
+.names g30792 II40985
+0 1
+.names g30793 II40988
+0 1
+.names g30794 II40991
+0 1
+.names g30795 II40994
+0 1
+.names g30797 II40997
+0 1
+.names g30765 II41024
+0 1
+.names II41024 g30928
+0 1
+.names g16069 g30696 g30796
+00 1
+.names g30796 II41035
+0 1
+.names II41035 g30937
+0 1
+.names g16134 g30697 g30798
+00 1
+.names g30798 II41038
+0 1
+.names II41038 g30938
+0 1
+.names g16237 g30698 g30801
+00 1
+.names g30801 II41041
+0 1
+.names II41041 g30939
+0 1
+.names g30928 II41044
+0 1
+.names g30937 II41047
+0 1
+.names g30938 II41050
+0 1
+.names g30939 II41053
+0 1
+.names g30922 g30948 g30958
+00 1
+.names g30958 g30962
+0 1
+.names g30920 g30947 g30957
+00 1
+.names g30957 g30963
+0 1
+.names g30925 g30951 g30961
+00 1
+.names g30961 g30964
+0 1
+.names g30923 g30949 g30959
+00 1
+.names g30959 g30965
+0 1
+.names g30919 g30946 g30956
+00 1
+.names g30956 g30966
+0 1
+.names g30916 g30944 g30954
+00 1
+.names g30954 g30967
+0 1
+.names g30924 g30950 g30960
+00 1
+.names g30960 g30968
+0 1
+.names g30918 g30945 g30955
+00 1
+.names g30955 g30969
+0 1
+.names g30917 g30921 g30953 g30970
+000 1
+.names g30970 g30971
+0 1
+.names g30965 II41090
+0 1
+.names II41090 g30972
+0 1
+.names g30964 II41093
+0 1
+.names II41093 g30973
+0 1
+.names g30963 II41096
+0 1
+.names II41096 g30974
+0 1
+.names g30962 II41099
+0 1
+.names II41099 g30975
+0 1
+.names g30969 II41102
+0 1
+.names II41102 g30976
+0 1
+.names g30968 II41105
+0 1
+.names II41105 g30977
+0 1
+.names g30967 II41108
+0 1
+.names II41108 g30978
+0 1
+.names g30966 II41111
+0 1
+.names II41111 g30979
+0 1
+.names g30976 II41114
+0 1
+.names g30977 II41117
+0 1
+.names g30978 II41120
+0 1
+.names g30979 II41123
+0 1
+.names g30972 II41126
+0 1
+.names g30973 II41129
+0 1
+.names g30974 II41132
+0 1
+.names g30975 II41135
+0 1
+.names g30971 II41138
+0 1
+.names II41138 g30988
+0 1
+.names g30988 II41141
+0 1
+.names g325 g349 g5630
+11 1
+.names g331 g351 g5649
+11 1
+.names g325 g364 g5650
+11 1
+.names g1012 g1036 g5658
+11 1
+.names g337 g353 g5676
+11 1
+.names g331 g366 g5677
+11 1
+.names g325 g379 g5678
+11 1
+.names g1018 g1038 g5687
+11 1
+.names g1012 g1051 g5688
+11 1
+.names g1706 g1730 g5696
+11 1
+.names g337 g368 g5709
+11 1
+.names g331 g381 g5710
+11 1
+.names g325 g394 g5711
+11 1
+.names g1024 g1040 g5728
+11 1
+.names g1018 g1053 g5729
+11 1
+.names g1012 g1066 g5730
+11 1
+.names g1712 g1732 g5739
+11 1
+.names g1706 g1745 g5740
+11 1
+.names g2400 g2424 g5748
+11 1
+.names g337 g383 g5757
+11 1
+.names g331 g396 g5758
+11 1
+.names g1024 g1055 g5767
+11 1
+.names g1018 g1068 g5768
+11 1
+.names g1012 g1081 g5769
+11 1
+.names g1718 g1734 g5786
+11 1
+.names g1712 g1747 g5787
+11 1
+.names g1706 g1760 g5788
+11 1
+.names g2406 g2426 g5797
+11 1
+.names g2400 g2439 g5798
+11 1
+.names g337 g324 g5807
+11 1
+.names g1024 g1070 g5816
+11 1
+.names g1018 g1083 g5817
+11 1
+.names g1718 g1749 g5826
+11 1
+.names g1712 g1762 g5827
+11 1
+.names g1706 g1775 g5828
+11 1
+.names g2412 g2428 g5845
+11 1
+.names g2406 g2441 g5846
+11 1
+.names g2400 g2454 g5847
+11 1
+.names g1024 g1011 g5863
+11 1
+.names g1718 g1764 g5872
+11 1
+.names g1712 g1777 g5873
+11 1
+.names g2412 g2443 g5882
+11 1
+.names g2406 g2456 g5883
+11 1
+.names g2400 g2469 g5884
+11 1
+.names g1718 g1705 g5910
+11 1
+.names g2412 g2458 g5919
+11 1
+.names g2406 g2471 g5920
+11 1
+.names g2412 g2399 g5949
+11 1
+.names g3254 g219 g8327
+11 1
+.names g6314 g225 g8328
+11 1
+.names g6232 g231 g8329
+11 1
+.names g6519 g903 g8339
+11 1
+.names g6369 g909 g8340
+11 1
+.names g6574 g1594 g8350
+11 1
+.names g3254 g228 g8385
+11 1
+.names g6314 g234 g8386
+11 1
+.names g6232 g240 g8387
+11 1
+.names g3410 g906 g8394
+11 1
+.names g6519 g912 g8395
+11 1
+.names g6369 g918 g8396
+11 1
+.names g6783 g1597 g8406
+11 1
+.names g6574 g1603 g8407
+11 1
+.names g6838 g2288 g8417
+11 1
+.names g3254 g237 g8431
+11 1
+.names g6314 g243 g8432
+11 1
+.names g6232 g249 g8433
+11 1
+.names g3410 g915 g8437
+11 1
+.names g6519 g921 g8438
+11 1
+.names g6369 g927 g8439
+11 1
+.names g3566 g1600 g8446
+11 1
+.names g6783 g1606 g8447
+11 1
+.names g6574 g1612 g8448
+11 1
+.names g7085 g2291 g8458
+11 1
+.names g6838 g2297 g8459
+11 1
+.names g3254 g246 g8463
+11 1
+.names g6314 g252 g8464
+11 1
+.names g6232 g258 g8465
+11 1
+.names g3410 g924 g8466
+11 1
+.names g6519 g930 g8467
+11 1
+.names g6369 g936 g8468
+11 1
+.names g3566 g1609 g8472
+11 1
+.names g6783 g1615 g8473
+11 1
+.names g6574 g1621 g8474
+11 1
+.names g3722 g2294 g8481
+11 1
+.names g7085 g2300 g8482
+11 1
+.names g6838 g2306 g8483
+11 1
+.names g6232 g186 g8484
+11 1
+.names g3254 g255 g8485
+11 1
+.names g6314 g261 g8486
+11 1
+.names g6232 g267 g8487
+11 1
+.names g3410 g933 g8488
+11 1
+.names g6519 g939 g8489
+11 1
+.names g6369 g945 g8490
+11 1
+.names g3566 g1618 g8491
+11 1
+.names g6783 g1624 g8492
+11 1
+.names g6574 g1630 g8493
+11 1
+.names g3722 g2303 g8497
+11 1
+.names g7085 g2309 g8498
+11 1
+.names g6838 g2315 g8499
+11 1
+.names g6314 g189 g8500
+11 1
+.names g6232 g195 g8501
+11 1
+.names g3254 g264 g8502
+11 1
+.names g6314 g270 g8503
+11 1
+.names g6369 g873 g8504
+11 1
+.names g3410 g942 g8505
+11 1
+.names g6519 g948 g8506
+11 1
+.names g6369 g954 g8507
+11 1
+.names g3566 g1627 g8508
+11 1
+.names g6783 g1633 g8509
+11 1
+.names g6574 g1639 g8510
+11 1
+.names g3722 g2312 g8511
+11 1
+.names g7085 g2318 g8512
+11 1
+.names g6838 g2324 g8513
+11 1
+.names g3254 g192 g8515
+11 1
+.names g6314 g198 g8516
+11 1
+.names g6232 g204 g8517
+11 1
+.names g3254 g273 g8518
+11 1
+.names g6519 g876 g8519
+11 1
+.names g6369 g882 g8520
+11 1
+.names g3410 g951 g8521
+11 1
+.names g6519 g957 g8522
+11 1
+.names g6574 g1567 g8523
+11 1
+.names g3566 g1636 g8524
+11 1
+.names g6783 g1642 g8525
+11 1
+.names g6574 g1648 g8526
+11 1
+.names g3722 g2321 g8527
+11 1
+.names g7085 g2327 g8528
+11 1
+.names g6838 g2333 g8529
+11 1
+.names g3254 g201 g8531
+11 1
+.names g6314 g207 g8532
+11 1
+.names g3410 g879 g8534
+11 1
+.names g6519 g885 g8535
+11 1
+.names g6369 g891 g8536
+11 1
+.names g3410 g960 g8537
+11 1
+.names g6783 g1570 g8538
+11 1
+.names g6574 g1576 g8539
+11 1
+.names g3566 g1645 g8540
+11 1
+.names g6783 g1651 g8541
+11 1
+.names g6838 g2261 g8542
+11 1
+.names g3722 g2330 g8543
+11 1
+.names g7085 g2336 g8544
+11 1
+.names g6838 g2342 g8545
+11 1
+.names g3254 g210 g8546
+11 1
+.names g3410 g888 g8548
+11 1
+.names g6519 g894 g8549
+11 1
+.names g3566 g1573 g8551
+11 1
+.names g6783 g1579 g8552
+11 1
+.names g6574 g1585 g8553
+11 1
+.names g3566 g1654 g8554
+11 1
+.names g7085 g2264 g8555
+11 1
+.names g6838 g2270 g8556
+11 1
+.names g3722 g2339 g8557
+11 1
+.names g7085 g2345 g8558
+11 1
+.names g3410 g897 g8559
+11 1
+.names g3566 g1582 g8561
+11 1
+.names g6783 g1588 g8562
+11 1
+.names g3722 g2267 g8564
+11 1
+.names g7085 g2273 g8565
+11 1
+.names g6838 g2279 g8566
+11 1
+.names g3722 g2348 g8567
+11 1
+.names g3566 g1591 g8570
+11 1
+.names g3722 g2276 g8572
+11 1
+.names g7085 g2282 g8573
+11 1
+.names g3722 g2285 g8576
+11 1
+.names g6643 g7153 g8601
+11 1
+.names g3338 g6908 g8612
+11 1
+.names g6945 g7349 g8613
+11 1
+.names g6486 g6672 g8621
+11 1
+.names g3494 g7158 g8625
+11 1
+.names g7195 g7479 g8626
+11 1
+.names g6751 g6974 g8631
+11 1
+.names g3650 g7354 g8635
+11 1
+.names g7391 g7535 g8636
+11 1
+.names g7053 g7224 g8650
+11 1
+.names g3806 g7484 g8654
+11 1
+.names g7303 g7420 g8666
+11 1
+.names g6643 g7838 g8676
+11 1
+.names g3338 g7827 g8687
+11 1
+.names g6945 g7858 g8688
+11 1
+.names g6486 g7819 g8703
+11 1
+.names g6643 g7996 g8704
+11 1
+.names g3494 g7842 g8705
+11 1
+.names g7195 g7888 g8706
+11 1
+.names g3338 g7953 g8717
+11 1
+.names g6751 g7830 g8722
+11 1
+.names g6945 g8071 g8723
+11 1
+.names g3650 g7862 g8724
+11 1
+.names g7391 g7912 g8725
+11 1
+.names g6486 g7906 g8751
+11 1
+.names g3494 g8004 g8755
+11 1
+.names g7053 g7845 g8760
+11 1
+.names g7195 g8156 g8761
+11 1
+.names g3806 g7892 g8762
+11 1
+.names g6751 g7958 g8774
+11 1
+.names g3650 g8079 g8778
+11 1
+.names g7303 g7865 g8783
+11 1
+.names g7391 g8242 g8784
+11 1
+.names g7053 g8009 g8797
+11 1
+.names g3806 g8164 g8801
+11 1
+.names g7303 g8084 g8816
+11 1
+.names g6486 g490 g8841
+11 1
+.names g6512 g5508 g8842
+11 1
+.names g6643 g493 g8861
+11 1
+.names g6751 g1177 g8868
+11 1
+.names g6776 g5552 g8869
+11 1
+.names g3338 g496 g8892
+11 1
+.names g6945 g1180 g8899
+11 1
+.names g7053 g1871 g8906
+11 1
+.names g7078 g5598 g8907
+11 1
+.names g3494 g1183 g8932
+11 1
+.names g7195 g1874 g8939
+11 1
+.names g7303 g2565 g8946
+11 1
+.names g7328 g5615 g8947
+11 1
+.names g3650 g1877 g8972
+11 1
+.names g7391 g2568 g8979
+11 1
+.names g3806 g2571 g9004
+11 1
+.names g6486 g565 g9009
+11 1
+.names g5438 g7610 g9026
+11 1
+.names g6643 g567 g9033
+11 1
+.names g6751 g1251 g9034
+11 1
+.names g6448 g7616 g9047
+11 1
+.names g3338 g489 g9048
+11 1
+.names g5473 g7619 g9049
+11 1
+.names g6945 g1253 g9056
+11 1
+.names g7053 g1945 g9057
+11 1
+.names g3306 g7623 g9061
+11 1
+.names g5438 g7626 g9062
+11 1
+.names g5438 g7629 g9063
+11 1
+.names g6713 g7632 g9064
+11 1
+.names g3494 g1176 g9065
+11 1
+.names g5512 g7635 g9066
+11 1
+.names g7195 g1947 g9073
+11 1
+.names g7303 g2639 g9074
+11 1
+.names g6448 g7643 g9075
+11 1
+.names g5438 g7646 g9076
+11 1
+.names g6448 g7649 g9077
+11 1
+.names g3462 g7652 g9078
+11 1
+.names g5473 g7655 g9079
+11 1
+.names g5473 g7658 g9080
+11 1
+.names g7015 g7661 g9081
+11 1
+.names g3650 g1870 g9082
+11 1
+.names g5556 g7664 g9083
+11 1
+.names g7391 g2641 g9090
+11 1
+.names g3306 g7670 g9091
+11 1
+.names g6448 g7673 g9092
+11 1
+.names g3306 g7676 g9093
+11 1
+.names g6713 g7679 g9094
+11 1
+.names g5473 g7682 g9095
+11 1
+.names g6713 g7685 g9096
+11 1
+.names g3618 g7688 g9097
+11 1
+.names g5512 g7691 g9098
+11 1
+.names g5512 g7694 g9099
+11 1
+.names g7265 g7697 g9100
+11 1
+.names g3806 g2564 g9101
+11 1
+.names g3306 g7703 g9102
+11 1
+.names g3462 g7706 g9103
+11 1
+.names g6713 g7709 g9104
+11 1
+.names g3462 g7712 g9105
+11 1
+.names g7015 g7715 g9106
+11 1
+.names g5512 g7718 g9107
+11 1
+.names g7015 g7721 g9108
+11 1
+.names g3774 g7724 g9109
+11 1
+.names g5556 g7727 g9110
+11 1
+.names g5556 g7730 g9111
+11 1
+.names g3462 g7733 g9112
+11 1
+.names g3618 g7736 g9113
+11 1
+.names g7015 g7739 g9114
+11 1
+.names g3618 g7742 g9115
+11 1
+.names g7265 g7745 g9116
+11 1
+.names g5556 g7748 g9117
+11 1
+.names g7265 g7751 g9118
+11 1
+.names g5438 g7754 g9119
+11 1
+.names g3618 g7757 g9120
+11 1
+.names g3774 g7760 g9121
+11 1
+.names g7265 g7763 g9122
+11 1
+.names g3774 g7766 g9123
+11 1
+.names g6448 g7769 g9124
+11 1
+.names g5473 g7776 g9125
+11 1
+.names g3774 g7779 g9126
+11 1
+.names g3306 g7782 g9127
+11 1
+.names g6713 g7785 g9131
+11 1
+.names g5512 g7792 g9132
+11 1
+.names g3462 g7796 g9133
+11 1
+.names g7015 g7799 g9137
+11 1
+.names g5556 g7806 g9138
+11 1
+.names g3618 g7809 g9139
+11 1
+.names g7265 g7812 g9143
+11 1
+.names g3774 g7823 g9145
+11 1
+.names g6232 g7950 g9241
+11 1
+.names g6314 g7990 g9301
+11 1
+.names g6232 g7993 g9302
+11 1
+.names g6369 g8001 g9319
+11 1
+.names g3254 g8053 g9364
+11 1
+.names g6314 g8056 g9365
+11 1
+.names g6232 g8059 g9366
+11 1
+.names g6232 g8062 g9367
+11 1
+.names g6519 g8065 g9382
+11 1
+.names g6369 g8068 g9383
+11 1
+.names g6574 g8076 g9400
+11 1
+.names g3254 g8123 g9438
+11 1
+.names g6314 g8126 g9439
+11 1
+.names g6232 g8129 g9440
+11 1
+.names g6314 g8132 g9441
+11 1
+.names g6232 g8135 g9442
+11 1
+.names g3410 g8138 g9461
+11 1
+.names g6519 g8141 g9462
+11 1
+.names g6369 g8144 g9463
+11 1
+.names g6369 g8147 g9464
+11 1
+.names g6783 g8150 g9479
+11 1
+.names g6574 g8153 g9480
+11 1
+.names g6838 g8161 g9497
+11 1
+.names g3254 g8191 g9518
+11 1
+.names g6314 g8194 g9519
+11 1
+.names g6232 g8197 g9520
+11 1
+.names g3254 g8200 g9521
+11 1
+.names g6314 g8203 g9522
+11 1
+.names g6232 g8206 g9523
+11 1
+.names g7772 g6135 g538 g9534
+111 1
+.names g3410 g8209 g9580
+11 1
+.names g6519 g8212 g9581
+11 1
+.names g6369 g8215 g9582
+11 1
+.names g6519 g8218 g9583
+11 1
+.names g6369 g8221 g9584
+11 1
+.names g3566 g8224 g9603
+11 1
+.names g6783 g8227 g9604
+11 1
+.names g6574 g8230 g9605
+11 1
+.names g6574 g8233 g9606
+11 1
+.names g7085 g8236 g9621
+11 1
+.names g6838 g8239 g9622
+11 1
+.names g3254 g3922 g9630
+11 1
+.names g6314 g3925 g9631
+11 1
+.names g6232 g3928 g9632
+11 1
+.names g3254 g3931 g9633
+11 1
+.names g6314 g3934 g9634
+11 1
+.names g6232 g3937 g9635
+11 1
+.names g5856 g4338 g4339 g5141 II16735
+1111 1
+.names g5713 g5958 g4735 g4736 II16736
+1111 1
+.names II16735 II16736 g9636
+11 1
+.names g5438 g408 g9639
+11 1
+.names g6678 g3942 g9647
+11 1
+.names g6678 g3945 g9648
+11 1
+.names g3410 g3948 g9660
+11 1
+.names g6519 g3951 g9661
+11 1
+.names g6369 g3954 g9662
+11 1
+.names g3410 g3957 g9663
+11 1
+.names g6519 g3960 g9664
+11 1
+.names g6369 g3963 g9665
+11 1
+.names g7788 g6145 g1224 g9676
+111 1
+.names g3566 g3966 g9722
+11 1
+.names g6783 g3969 g9723
+11 1
+.names g6574 g3972 g9724
+11 1
+.names g6783 g3975 g9725
+11 1
+.names g6574 g3978 g9726
+11 1
+.names g3722 g3981 g9745
+11 1
+.names g7085 g3984 g9746
+11 1
+.names g6838 g3987 g9747
+11 1
+.names g6838 g3990 g9748
+11 1
+.names g3254 g4000 g9759
+11 1
+.names g6314 g4003 g9760
+11 1
+.names g6232 g4006 g9761
+11 1
+.names g3254 g4009 g9762
+11 1
+.names g6314 g4012 g9763
+11 1
+.names g6448 g411 g9764
+11 1
+.names g5438 g417 g9765
+11 1
+.names g5438 g4017 g9766
+11 1
+.names g6912 g4020 g9773
+11 1
+.names g6678 g4023 g9774
+11 1
+.names g6912 g4026 g9775
+11 1
+.names g3410 g4029 g9776
+11 1
+.names g6519 g4032 g9777
+11 1
+.names g6369 g4035 g9778
+11 1
+.names g3410 g4038 g9779
+11 1
+.names g6519 g4041 g9780
+11 1
+.names g6369 g4044 g9781
+11 1
+.names g5903 g4507 g4508 g5234 II16826
+1111 1
+.names g5771 g5987 g4911 g4912 II16827
+1111 1
+.names II16826 II16827 g9782
+11 1
+.names g5473 g1095 g9785
+11 1
+.names g6980 g4049 g9793
+11 1
+.names g6980 g4052 g9794
+11 1
+.names g3566 g4055 g9806
+11 1
+.names g6783 g4058 g9807
+11 1
+.names g6574 g4061 g9808
+11 1
+.names g3566 g4064 g9809
+11 1
+.names g6783 g4067 g9810
+11 1
+.names g6574 g4070 g9811
+11 1
+.names g7802 g6166 g1918 g9822
+111 1
+.names g3722 g4073 g9868
+11 1
+.names g7085 g4076 g9869
+11 1
+.names g6838 g4079 g9870
+11 1
+.names g7085 g4082 g9871
+11 1
+.names g6838 g4085 g9872
+11 1
+.names g6232 g4095 g9887
+11 1
+.names g3254 g4098 g9888
+11 1
+.names g6314 g4101 g9889
+11 1
+.names g6232 g4104 g9890
+11 1
+.names g3254 g4107 g9891
+11 1
+.names g3306 g414 g9892
+11 1
+.names g6448 g420 g9893
+11 1
+.names g6448 g4112 g9894
+11 1
+.names g3366 g4115 g9901
+11 1
+.names g6912 g4118 g9902
+11 1
+.names g6678 g4121 g9903
+11 1
+.names g3366 g4124 g9904
+11 1
+.names g3410 g4127 g9905
+11 1
+.names g6519 g4130 g9906
+11 1
+.names g6369 g4133 g9907
+11 1
+.names g3410 g4136 g9908
+11 1
+.names g6519 g4139 g9909
+11 1
+.names g6713 g1098 g9910
+11 1
+.names g5473 g1104 g9911
+11 1
+.names g5473 g4144 g9912
+11 1
+.names g7162 g4147 g9919
+11 1
+.names g6980 g4150 g9920
+11 1
+.names g7162 g4153 g9921
+11 1
+.names g3566 g4156 g9922
+11 1
+.names g6783 g4159 g9923
+11 1
+.names g6574 g4162 g9924
+11 1
+.names g3566 g4165 g9925
+11 1
+.names g6783 g4168 g9926
+11 1
+.names g6574 g4171 g9927
+11 1
+.names g5942 g4683 g4684 g5297 II16930
+1111 1
+.names g5830 g6024 g5070 g5071 II16931
+1111 1
+.names II16930 II16931 g9928
+11 1
+.names g5512 g1789 g9931
+11 1
+.names g7230 g4176 g9939
+11 1
+.names g7230 g4179 g9940
+11 1
+.names g3722 g4182 g9952
+11 1
+.names g7085 g4185 g9953
+11 1
+.names g6838 g4188 g9954
+11 1
+.names g3722 g4191 g9955
+11 1
+.names g7085 g4194 g9956
+11 1
+.names g6838 g4197 g9957
+11 1
+.names g7815 g6193 g2612 g9968
+111 1
+.names g6314 g4205 g10007
+11 1
+.names g6232 g4208 g10008
+11 1
+.names g3254 g4211 g10009
+11 1
+.names g6314 g4214 g10010
+11 1
+.names g5438 g4217 g10011
+11 1
+.names g3306 g423 g10012
+11 1
+.names g3306 g4221 g10013
+11 1
+.names g5438 g429 g10014
+11 1
+.names g3398 g6912 g10024
+11 1
+.names g3366 g4225 g10035
+11 1
+.names g6912 g4228 g10036
+11 1
+.names g6678 g4231 g10037
+11 1
+.names g6369 g4234 g10041
+11 1
+.names g3410 g4237 g10042
+11 1
+.names g6519 g4240 g10043
+11 1
+.names g6369 g4243 g10044
+11 1
+.names g3410 g4246 g10045
+11 1
+.names g3462 g1101 g10046
+11 1
+.names g6713 g1107 g10047
+11 1
+.names g6713 g4251 g10048
+11 1
+.names g3522 g4254 g10055
+11 1
+.names g7162 g4257 g10056
+11 1
+.names g6980 g4260 g10057
+11 1
+.names g3522 g4263 g10058
+11 1
+.names g3566 g4266 g10059
+11 1
+.names g6783 g4269 g10060
+11 1
+.names g6574 g4272 g10061
+11 1
+.names g3566 g4275 g10062
+11 1
+.names g6783 g4278 g10063
+11 1
+.names g7015 g1792 g10064
+11 1
+.names g5512 g1798 g10065
+11 1
+.names g5512 g4283 g10066
+11 1
+.names g7358 g4286 g10073
+11 1
+.names g7230 g4289 g10074
+11 1
+.names g7358 g4292 g10075
+11 1
+.names g3722 g4295 g10076
+11 1
+.names g7085 g4298 g10077
+11 1
+.names g6838 g4301 g10078
+11 1
+.names g3722 g4304 g10079
+11 1
+.names g7085 g4307 g10080
+11 1
+.names g6838 g4310 g10081
+11 1
+.names g5976 g4860 g4861 g5334 II17042
+1111 1
+.names g5886 g6040 g5199 g5200 II17043
+1111 1
+.names II17042 II17043 g10082
+11 1
+.names g5556 g2483 g10085
+11 1
+.names g7426 g4315 g10093
+11 1
+.names g7426 g4318 g10094
+11 1
+.names g3254 g4329 g10101
+11 1
+.names g6314 g4332 g10102
+11 1
+.names g3254 g4335 g10103
+11 1
+.names g6448 g4340 g10104
+11 1
+.names g5438 g4343 g10105
+11 1
+.names g6448 g432 g10106
+11 1
+.names g5438 g438 g10107
+11 1
+.names g6486 g569 g10108
+11 1
+.names g3366 g4348 g10112
+11 1
+.names g6912 g4351 g10113
+11 1
+.names g6678 g4354 g10114
+11 1
+.names g6678 g4357 g10115
+11 1
+.names g6519 g4360 g10116
+11 1
+.names g6369 g4363 g10117
+11 1
+.names g3410 g4366 g10118
+11 1
+.names g6519 g4369 g10119
+11 1
+.names g5473 g4372 g10120
+11 1
+.names g3462 g1110 g10121
+11 1
+.names g3462 g4376 g10122
+11 1
+.names g5473 g1116 g10123
+11 1
+.names g3554 g7162 g10133
+11 1
+.names g3522 g4380 g10144
+11 1
+.names g7162 g4383 g10145
+11 1
+.names g6980 g4386 g10146
+11 1
+.names g6574 g4389 g10150
+11 1
+.names g3566 g4392 g10151
+11 1
+.names g6783 g4395 g10152
+11 1
+.names g6574 g4398 g10153
+11 1
+.names g3566 g4401 g10154
+11 1
+.names g3618 g1795 g10155
+11 1
+.names g7015 g1801 g10156
+11 1
+.names g7015 g4406 g10157
+11 1
+.names g3678 g4409 g10164
+11 1
+.names g7358 g4412 g10165
+11 1
+.names g7230 g4415 g10166
+11 1
+.names g3678 g4418 g10167
+11 1
+.names g3722 g4421 g10168
+11 1
+.names g7085 g4424 g10169
+11 1
+.names g6838 g4427 g10170
+11 1
+.names g3722 g4430 g10171
+11 1
+.names g7085 g4433 g10172
+11 1
+.names g7265 g2486 g10173
+11 1
+.names g5556 g2492 g10174
+11 1
+.names g5556 g4438 g10175
+11 1
+.names g7488 g4441 g10182
+11 1
+.names g7426 g4444 g10183
+11 1
+.names g7488 g4447 g10184
+11 1
+.names g6898 g2998 g6901 g3002 II17156
+1111 1
+.names g3013 g7466 g3024 II17156 g10186
+1111 1
+.names g3254 g4453 g10192
+11 1
+.names g3306 g4465 g10193
+11 1
+.names g6448 g4468 g10194
+11 1
+.names g5438 g4471 g10195
+11 1
+.names g3306 g435 g10196
+11 1
+.names g6448 g441 g10197
+11 1
+.names g6643 g571 g10198
+11 1
+.names g6486 g4476 g10199
+11 1
+.names g6486 g587 g10200
+11 1
+.names g3366 g4480 g10201
+11 1
+.names g6912 g4483 g10202
+11 1
+.names g6678 g4486 g10203
+11 1
+.names g6912 g4489 g10204
+11 1
+.names g6678 g4492 g10205
+11 1
+.names g3410 g4498 g10206
+11 1
+.names g6519 g4501 g10207
+11 1
+.names g3410 g4504 g10208
+11 1
+.names g6713 g4509 g10209
+11 1
+.names g5473 g4512 g10210
+11 1
+.names g6713 g1119 g10211
+11 1
+.names g5473 g1125 g10212
+11 1
+.names g6751 g1255 g10213
+11 1
+.names g3522 g4517 g10217
+11 1
+.names g7162 g4520 g10218
+11 1
+.names g6980 g4523 g10219
+11 1
+.names g6980 g4526 g10220
+11 1
+.names g6783 g4529 g10221
+11 1
+.names g6574 g4532 g10222
+11 1
+.names g3566 g4535 g10223
+11 1
+.names g6783 g4538 g10224
+11 1
+.names g5512 g4541 g10225
+11 1
+.names g3618 g1804 g10226
+11 1
+.names g3618 g4545 g10227
+11 1
+.names g5512 g1810 g10228
+11 1
+.names g3710 g7358 g10238
+11 1
+.names g3678 g4549 g10249
+11 1
+.names g7358 g4552 g10250
+11 1
+.names g7230 g4555 g10251
+11 1
+.names g6838 g4558 g10255
+11 1
+.names g3722 g4561 g10256
+11 1
+.names g7085 g4564 g10257
+11 1
+.names g6838 g4567 g10258
+11 1
+.names g3722 g4570 g10259
+11 1
+.names g3774 g2489 g10260
+11 1
+.names g7265 g2495 g10261
+11 1
+.names g7265 g4575 g10262
+11 1
+.names g3834 g4578 g10269
+11 1
+.names g7488 g4581 g10270
+11 1
+.names g7426 g4584 g10271
+11 1
+.names g3834 g4587 g10272
+11 1
+.names g3306 g4592 g10279
+11 1
+.names g6448 g4595 g10280
+11 1
+.names g5438 g4598 g10281
+11 1
+.names g3306 g444 g10282
+11 1
+.names g3338 g573 g10283
+11 1
+.names g6643 g4603 g10284
+11 1
+.names g6486 g4606 g10285
+11 1
+.names g6643 g590 g10286
+11 1
+.names g6486 g596 g10287
+11 1
+.names g3366 g4611 g10288
+11 1
+.names g6912 g4614 g10289
+11 1
+.names g6678 g4617 g10290
+11 1
+.names g3366 g4620 g10291
+11 1
+.names g6912 g4623 g10292
+11 1
+.names g6678 g4626 g10293
+11 1
+.names g3410 g4629 g10294
+11 1
+.names g3462 g4641 g10295
+11 1
+.names g6713 g4644 g10296
+11 1
+.names g5473 g4647 g10297
+11 1
+.names g3462 g1122 g10298
+11 1
+.names g6713 g1128 g10299
+11 1
+.names g6945 g1257 g10300
+11 1
+.names g6751 g4652 g10301
+11 1
+.names g6751 g1273 g10302
+11 1
+.names g3522 g4656 g10303
+11 1
+.names g7162 g4659 g10304
+11 1
+.names g6980 g4662 g10305
+11 1
+.names g7162 g4665 g10306
+11 1
+.names g6980 g4668 g10307
+11 1
+.names g3566 g4674 g10308
+11 1
+.names g6783 g4677 g10309
+11 1
+.names g3566 g4680 g10310
+11 1
+.names g7015 g4685 g10311
+11 1
+.names g5512 g4688 g10312
+11 1
+.names g7015 g1813 g10313
+11 1
+.names g5512 g1819 g10314
+11 1
+.names g7053 g1949 g10315
+11 1
+.names g3678 g4693 g10319
+11 1
+.names g7358 g4696 g10320
+11 1
+.names g7230 g4699 g10321
+11 1
+.names g7230 g4702 g10322
+11 1
+.names g7085 g4705 g10323
+11 1
+.names g6838 g4708 g10324
+11 1
+.names g3722 g4711 g10325
+11 1
+.names g7085 g4714 g10326
+11 1
+.names g5556 g4717 g10327
+11 1
+.names g3774 g2498 g10328
+11 1
+.names g3774 g4721 g10329
+11 1
+.names g5556 g2504 g10330
+11 1
+.names g3866 g7488 g10340
+11 1
+.names g3834 g4725 g10351
+11 1
+.names g7488 g4728 g10352
+11 1
+.names g7426 g4731 g10353
+11 1
+.names g3306 g4737 g10360
+11 1
+.names g6448 g4740 g10361
+11 1
+.names g3338 g4743 g10362
+11 1
+.names g6643 g4746 g10363
+11 1
+.names g6486 g4749 g10364
+11 1
+.names g3338 g593 g10365
+11 1
+.names g6643 g599 g10366
+11 1
+.names g3366 g4754 g10367
+11 1
+.names g6912 g4757 g10368
+11 1
+.names g6678 g4760 g10369
+11 1
+.names g3366 g4763 g10370
+11 1
+.names g6912 g4766 g10371
+11 1
+.names g3462 g4769 g10372
+11 1
+.names g6713 g4772 g10373
+11 1
+.names g5473 g4775 g10374
+11 1
+.names g3462 g1131 g10375
+11 1
+.names g3494 g1259 g10376
+11 1
+.names g6945 g4780 g10377
+11 1
+.names g6751 g4783 g10378
+11 1
+.names g6945 g1276 g10379
+11 1
+.names g6751 g1282 g10380
+11 1
+.names g3522 g4788 g10381
+11 1
+.names g7162 g4791 g10382
+11 1
+.names g6980 g4794 g10383
+11 1
+.names g3522 g4797 g10384
+11 1
+.names g7162 g4800 g10385
+11 1
+.names g6980 g4803 g10386
+11 1
+.names g3566 g4806 g10387
+11 1
+.names g3618 g4818 g10388
+11 1
+.names g7015 g4821 g10389
+11 1
+.names g5512 g4824 g10390
+11 1
+.names g3618 g1816 g10391
+11 1
+.names g7015 g1822 g10392
+11 1
+.names g7195 g1951 g10393
+11 1
+.names g7053 g4829 g10394
+11 1
+.names g7053 g1967 g10395
+11 1
+.names g3678 g4833 g10396
+11 1
+.names g7358 g4836 g10397
+11 1
+.names g7230 g4839 g10398
+11 1
+.names g7358 g4842 g10399
+11 1
+.names g7230 g4845 g10400
+11 1
+.names g3722 g4851 g10401
+11 1
+.names g7085 g4854 g10402
+11 1
+.names g3722 g4857 g10403
+11 1
+.names g7265 g4862 g10404
+11 1
+.names g5556 g4865 g10405
+11 1
+.names g7265 g2507 g10406
+11 1
+.names g5556 g2513 g10407
+11 1
+.names g7303 g2643 g10408
+11 1
+.names g3834 g4870 g10412
+11 1
+.names g7488 g4873 g10413
+11 1
+.names g7426 g4876 g10414
+11 1
+.names g7426 g4879 g10415
+11 1
+.names g3306 g4882 g10422
+11 1
+.names g5438 g4885 g10423
+11 1
+.names g3338 g4888 g10430
+11 1
+.names g6643 g4891 g10431
+11 1
+.names g6486 g4894 g10432
+11 1
+.names g3338 g602 g10433
+11 1
+.names g6486 g605 g10434
+11 1
+.names g3366 g4899 g10435
+11 1
+.names g6912 g4902 g10436
+11 1
+.names g6678 g4905 g10437
+11 1
+.names g3366 g4908 g10438
+11 1
+.names g3462 g4913 g10439
+11 1
+.names g6713 g4916 g10440
+11 1
+.names g3494 g4919 g10441
+11 1
+.names g6945 g4922 g10442
+11 1
+.names g6751 g4925 g10443
+11 1
+.names g3494 g1279 g10444
+11 1
+.names g6945 g1285 g10445
+11 1
+.names g3522 g4930 g10446
+11 1
+.names g7162 g4933 g10447
+11 1
+.names g6980 g4936 g10448
+11 1
+.names g3522 g4939 g10449
+11 1
+.names g7162 g4942 g10450
+11 1
+.names g3618 g4945 g10451
+11 1
+.names g7015 g4948 g10452
+11 1
+.names g5512 g4951 g10453
+11 1
+.names g3618 g1825 g10454
+11 1
+.names g3650 g1953 g10455
+11 1
+.names g7195 g4956 g10456
+11 1
+.names g7053 g4959 g10457
+11 1
+.names g7195 g1970 g10458
+11 1
+.names g7053 g1976 g10459
+11 1
+.names g3678 g4964 g10460
+11 1
+.names g7358 g4967 g10461
+11 1
+.names g7230 g4970 g10462
+11 1
+.names g3678 g4973 g10463
+11 1
+.names g7358 g4976 g10464
+11 1
+.names g7230 g4979 g10465
+11 1
+.names g3722 g4982 g10466
+11 1
+.names g3774 g4994 g10467
+11 1
+.names g7265 g4997 g10468
+11 1
+.names g5556 g5000 g10469
+11 1
+.names g3774 g2510 g10470
+11 1
+.names g7265 g2516 g10471
+11 1
+.names g7391 g2645 g10472
+11 1
+.names g7303 g5005 g10473
+11 1
+.names g7303 g2661 g10474
+11 1
+.names g3834 g5009 g10475
+11 1
+.names g7488 g5012 g10476
+11 1
+.names g7426 g5015 g10477
+11 1
+.names g7488 g5018 g10478
+11 1
+.names g7426 g5021 g10479
+11 1
+.names g6901 g7338 g7146 II17429
+111 1
+.names g6448 g5024 g10485
+11 1
+.names g3338 g5027 g10492
+11 1
+.names g6643 g5030 g10493
+11 1
+.names g6643 g608 g10494
+11 1
+.names g6486 g614 g10495
+11 1
+.names g3366 g5035 g10496
+11 1
+.names g6912 g5038 g10497
+11 1
+.names g3462 g5041 g10498
+11 1
+.names g5473 g5044 g10499
+11 1
+.names g3494 g5047 g10506
+11 1
+.names g6945 g5050 g10507
+11 1
+.names g6751 g5053 g10508
+11 1
+.names g3494 g1288 g10509
+11 1
+.names g6751 g1291 g10510
+11 1
+.names g3522 g5058 g10511
+11 1
+.names g7162 g5061 g10512
+11 1
+.names g6980 g5064 g10513
+11 1
+.names g3522 g5067 g10514
+11 1
+.names g3618 g5072 g10515
+11 1
+.names g7015 g5075 g10516
+11 1
+.names g3650 g5078 g10517
+11 1
+.names g7195 g5081 g10518
+11 1
+.names g7053 g5084 g10519
+11 1
+.names g3650 g1973 g10520
+11 1
+.names g7195 g1979 g10521
+11 1
+.names g3678 g5089 g10522
+11 1
+.names g7358 g5092 g10523
+11 1
+.names g7230 g5095 g10524
+11 1
+.names g3678 g5098 g10525
+11 1
+.names g7358 g5101 g10526
+11 1
+.names g3774 g5104 g10527
+11 1
+.names g7265 g5107 g10528
+11 1
+.names g5556 g5110 g10529
+11 1
+.names g3774 g2519 g10530
+11 1
+.names g3806 g2647 g10531
+11 1
+.names g7391 g5115 g10532
+11 1
+.names g7303 g5118 g10533
+11 1
+.names g7391 g2664 g10534
+11 1
+.names g7303 g2670 g10535
+11 1
+.names g3834 g5123 g10536
+11 1
+.names g7488 g5126 g10537
+11 1
+.names g7426 g5129 g10538
+11 1
+.names g3834 g5132 g10539
+11 1
+.names g7488 g5135 g10540
+11 1
+.names g7426 g5138 g10541
+11 1
+.names g3306 g5142 g10548
+11 1
+.names g3338 g5145 g10555
+11 1
+.names g3338 g611 g10556
+11 1
+.names g6643 g617 g10557
+11 1
+.names g3366 g5150 g10558
+11 1
+.names g6713 g5153 g10559
+11 1
+.names g3494 g5156 g10566
+11 1
+.names g6945 g5159 g10567
+11 1
+.names g6945 g1294 g10568
+11 1
+.names g6751 g1300 g10569
+11 1
+.names g3522 g5164 g10570
+11 1
+.names g7162 g5167 g10571
+11 1
+.names g3618 g5170 g10572
+11 1
+.names g5512 g5173 g10573
+11 1
+.names g3650 g5176 g10580
+11 1
+.names g7195 g5179 g10581
+11 1
+.names g7053 g5182 g10582
+11 1
+.names g3650 g1982 g10583
+11 1
+.names g7053 g1985 g10584
+11 1
+.names g3678 g5187 g10585
+11 1
+.names g7358 g5190 g10586
+11 1
+.names g7230 g5193 g10587
+11 1
+.names g3678 g5196 g10588
+11 1
+.names g3774 g5201 g10589
+11 1
+.names g7265 g5204 g10590
+11 1
+.names g3806 g5207 g10591
+11 1
+.names g7391 g5210 g10592
+11 1
+.names g7303 g5213 g10593
+11 1
+.names g3806 g2667 g10594
+11 1
+.names g7391 g2673 g10595
+11 1
+.names g3834 g5218 g10596
+11 1
+.names g7488 g5221 g10597
+11 1
+.names g7426 g5224 g10598
+11 1
+.names g3834 g5227 g10599
+11 1
+.names g7488 g5230 g10600
+11 1
+.names g3338 g620 g10604
+11 1
+.names g3462 g5235 g10605
+11 1
+.names g3494 g5238 g10612
+11 1
+.names g3494 g1297 g10613
+11 1
+.names g6945 g1303 g10614
+11 1
+.names g3522 g5243 g10615
+11 1
+.names g7015 g5246 g10616
+11 1
+.names g3650 g5249 g10623
+11 1
+.names g7195 g5252 g10624
+11 1
+.names g7195 g1988 g10625
+11 1
+.names g7053 g1994 g10626
+11 1
+.names g3678 g5257 g10627
+11 1
+.names g7358 g5260 g10628
+11 1
+.names g3774 g5263 g10629
+11 1
+.names g5556 g5266 g10630
+11 1
+.names g3806 g5269 g10637
+11 1
+.names g7391 g5272 g10638
+11 1
+.names g7303 g5275 g10639
+11 1
+.names g3806 g2676 g10640
+11 1
+.names g7303 g2679 g10641
+11 1
+.names g3834 g5280 g10642
+11 1
+.names g7488 g5283 g10643
+11 1
+.names g7426 g5286 g10644
+11 1
+.names g3834 g5289 g10645
+11 1
+.names g6678 g5293 g10650
+11 1
+.names g3494 g1306 g10651
+11 1
+.names g3618 g5298 g10652
+11 1
+.names g3650 g5301 g10659
+11 1
+.names g3650 g1991 g10660
+11 1
+.names g7195 g1997 g10661
+11 1
+.names g3678 g5306 g10662
+11 1
+.names g7265 g5309 g10663
+11 1
+.names g3806 g5312 g10670
+11 1
+.names g7391 g5315 g10671
+11 1
+.names g7391 g2682 g10672
+11 1
+.names g7303 g2688 g10673
+11 1
+.names g3834 g5320 g10674
+11 1
+.names g7488 g5323 g10675
+11 1
+.names g6912 g5327 g10678
+11 1
+.names g6980 g5330 g10680
+11 1
+.names g3650 g2000 g10681
+11 1
+.names g3774 g5335 g10682
+11 1
+.names g3806 g5338 g10689
+11 1
+.names g3806 g2685 g10690
+11 1
+.names g7391 g2691 g10691
+11 1
+.names g3834 g5343 g10692
+11 1
+.names g7462 g7522 g2924 g7545 g10693
+1111 1
+.names g3366 g5352 g10704
+11 1
+.names g7162 g5355 g10707
+11 1
+.names g7230 g5358 g10709
+11 1
+.names g3806 g2694 g10710
+11 1
+.names g7566 g7583 g7587 II17599
+111 1
+.names g3522 g5369 g10724
+11 1
+.names g7358 g5372 g10727
+11 1
+.names g7426 g5375 g10729
+11 1
+.names g3678 g5382 g10745
+11 1
+.names g7488 g5385 g10748
+11 1
+.names g3834 g5391 g10764
+11 1
+.names g6232 g213 g11347
+11 1
+.names g6314 g216 g11420
+11 1
+.names g6232 g222 g11421
+11 1
+.names g6369 g900 g11431
+11 1
+.names g5871 g8360 g11607
+11 1
+.names g5881 g8378 g11612
+11 1
+.names g5918 g8427 g11637
+11 1
+.names g554 g8622 g11771
+11 1
+.names g1240 g8632 g11788
+11 1
+.names g6173 g8643 g11805
+11 1
+.names g1934 g8651 g11814
+11 1
+.names g7869 g8655 g11816
+11 1
+.names g6205 g8659 g11838
+11 1
+.names g2628 g8667 g11847
+11 1
+.names g7849 g8670 g11851
+11 1
+.names g6294 g8678 g11880
+11 1
+.names g7834 g8684 g11885
+11 1
+.names g6431 g8690 g11922
+11 1
+.names g8169 g8696 g11926
+11 1
+.names g8090 g8708 g11966
+11 1
+.names g7967 g8711 g11967
+11 1
+.names g8015 g8745 g12012
+11 1
+.names g7964 g8763 g12069
+11 1
+.names g8018 g8766 g12070
+11 1
+.names g7916 g8785 g12128
+11 1
+.names g7872 g8788 g12129
+11 1
+.names g8093 g8805 g12186
+11 1
+.names g8172 g8829 g12273
+11 1
+.names g7900 g8832 g12274
+11 1
+.names g7919 g8853 g12307
+11 1
+.names g8246 g8879 g12330
+11 1
+.names g7927 g8882 g12331
+11 1
+.names g7852 g8915 g12353
+11 1
+.names g7974 g8949 g12376
+11 1
+.names g8028 g9006 g12419
+11 1
+.names g8101 g9044 g12429
+11 1
+.names g7822 g9128 g12477
+11 1
+.names g7833 g9134 g12494
+11 1
+.names g7848 g9140 g12514
+11 1
+.names g7868 g9146 g12531
+11 1
+.names g6149 g9290 g12650
+11 1
+.names g9507 g9427 g9356 g9293 II19937
+1111 1
+.names g9232 g9187 g9161 g9150 II19938
+1111 1
+.names II19937 II19938 g12876
+11 1
+.names g7899 g10004 g12908
+11 1
+.names g9649 g9569 g9453 g9374 II19971
+1111 1
+.names g9310 g9248 g9203 g9174 II19972
+1111 1
+.names II19971 II19972 g12916
+11 1
+.names g8179 g10096 g12938
+11 1
+.names g9795 g9711 g9595 g9471 II19996
+1111 1
+.names g9391 g9326 g9264 g9216 II19997
+1111 1
+.names II19996 II19997 g12945
+11 1
+.names g7926 g10189 g12966
+11 1
+.names g9941 g9857 g9737 g9613 II20021
+1111 1
+.names g9488 g9407 g9342 g9277 II20022
+1111 1
+.names II20021 II20022 g12974
+11 1
+.names g8254 g10273 g12989
+11 1
+.names g8180 g10276 g12990
+11 1
+.names g7973 g10357 g13000
+11 1
+.names g3995 g10416 g13009
+11 1
+.names g8255 g10419 g13010
+11 1
+.names g8027 g10482 g13023
+11 1
+.names g7879 g10542 g13031
+11 1
+.names g3996 g10545 g13032
+11 1
+.names g8100 g10601 g13042
+11 1
+.names g10186 g3018 g3028 II20100
+111 1
+.names g4092 g10646 g13056
+11 1
+.names g8313 g7542 g2888 g7566 II20131
+1111 1
+.names g2892 g2903 g7595 g2908 II20132
+1111 1
+.names g298 g11032 g13247
+11 1
+.names g5628 g11088 g13266
+11 1
+.names g985 g11102 g13270
+11 1
+.names g5647 g11141 g13289
+11 1
+.names g5656 g11154 g13291
+11 1
+.names g1679 g11170 g13295
+11 1
+.names g5675 g11210 g13316
+11 1
+.names g5685 g11225 g13320
+11 1
+.names g5694 g11240 g13322
+11 1
+.names g2373 g11256 g13326
+11 1
+.names g5708 g11278 g13335
+11 1
+.names g5727 g11294 g13340
+11 1
+.names g5737 g11309 g13343
+11 1
+.names g5746 g11324 g13345
+11 1
+.names g5756 g11355 g13355
+11 1
+.names g5766 g11373 g13360
+11 1
+.names g5785 g11389 g13365
+11 1
+.names g5795 g11404 g13368
+11 1
+.names g5815 g11441 g13385
+11 1
+.names g5825 g11459 g13390
+11 1
+.names g5844 g11475 g13395
+11 1
+.names g6016 g12191 g13477
+11 1
+.names g6017 g12196 g13479
+11 1
+.names g6018 g12197 g13480
+11 1
+.names g5864 g11603 g13481
+11 1
+.names g6020 g12209 g13483
+11 1
+.names g6021 g12210 g13484
+11 1
+.names g6022 g12211 g13485
+11 1
+.names g6023 g12212 g13486
+11 1
+.names g5874 g11608 g13487
+11 1
+.names g6025 g12218 g13488
+11 1
+.names g6026 g12219 g13489
+11 1
+.names g6027 g12220 g13490
+11 1
+.names g6028 g12221 g13491
+11 1
+.names g2371 g12222 g13492
+11 1
+.names g5887 g11613 g13493
+11 1
+.names g6032 g12246 g13496
+11 1
+.names g6033 g12251 g13498
+11 1
+.names g6034 g12252 g13499
+11 1
+.names g5911 g11633 g13500
+11 1
+.names g6036 g12264 g13502
+11 1
+.names g6037 g12265 g13503
+11 1
+.names g6038 g12266 g13504
+11 1
+.names g6039 g12267 g13505
+11 1
+.names g5921 g11638 g13506
+11 1
+.names g6043 g12289 g13513
+11 1
+.names g6044 g12294 g13515
+11 1
+.names g6045 g12295 g13516
+11 1
+.names g5950 g11656 g13517
+11 1
+.names g6047 g12325 g13527
+11 1
+.names g6141 g12456 g13609
+11 1
+.names g6162 g12466 g13619
+11 1
+.names g5428 g12472 g13623
+11 1
+.names g6173 g12476 g13625
+11 1
+.names g6189 g12481 g13631
+11 1
+.names g12776 g8617 g13634
+11 1
+.names g6205 g12493 g13636
+11 1
+.names g6221 g12498 g13642
+11 1
+.names g5431 g12502 g13643
+11 1
+.names g6281 g12504 g13645
+11 1
+.names g7772 g12505 g13646
+11 1
+.names g6294 g12513 g13648
+11 1
+.names g8093 g11791 g13654
+11 1
+.names g7540 g12518 g13655
+11 1
+.names g12776 g8640 g13656
+11 1
+.names g6418 g12521 g13671
+11 1
+.names g7788 g12522 g13672
+11 1
+.names g6431 g12530 g13674
+11 1
+.names g7561 g12532 g13675
+11 1
+.names g5434 g12533 g13676
+11 1
+.names g6623 g12536 g13701
+11 1
+.names g7802 g12537 g13702
+11 1
+.names g8018 g11848 g13703
+11 1
+.names g7581 g12542 g13704
+11 1
+.names g12776 g8673 g13705
+11 1
+.names g6887 g12545 g13738
+11 1
+.names g7815 g12546 g13739
+11 1
+.names g6636 g12547 g13740
+11 1
+.names g7347 g12551 g13755
+11 1
+.names g7967 g11923 g13787
+11 1
+.names g6897 g12553 g13788
+11 1
+.names g7140 g12554 g13789
+11 1
+.names g7475 g12558 g13790
+11 1
+.names g7477 g12559 g13796
+11 1
+.names g7139 g12560 g13815
+11 1
+.names g7530 g12596 g13816
+11 1
+.names g7531 g12597 g13818
+11 1
+.names g7533 g12598 g13824
+11 1
+.names g7919 g12009 g13833
+11 1
+.names g7336 g12599 g13834
+11 1
+.names g7461 g12600 g13835
+11 1
+.names g7556 g12642 g13837
+11 1
+.names g7557 g12643 g13839
+11 1
+.names g7559 g12644 g13845
+11 1
+.names g7460 g12645 g13846
+11 1
+.names g7521 g12646 g13847
+11 1
+.names g7579 g12688 g13851
+11 1
+.names g7580 g12689 g13853
+11 1
+.names g5349 g12690 g13854
+11 1
+.names g7541 g12691 g13855
+11 1
+.names g7593 g12742 g13860
+11 1
+.names g5366 g12743 g13862
+11 1
+.names g7582 g12768 g13870
+11 1
+.names g7898 g12775 g13871
+11 1
+.names g7610 g12782 g13878
+11 1
+.names g1234 g12790 g13880
+11 1
+.names g7594 g12807 g13884
+11 1
+.names g7616 g12815 g13892
+11 1
+.names g7619 g12821 g13900
+11 1
+.names g1928 g12829 g13902
+11 1
+.names g7337 g12843 g13904
+11 1
+.names g7925 g12847 g13905
+11 1
+.names g7623 g12850 g13913
+11 1
+.names g7626 g12851 g13914
+11 1
+.names g7632 g12853 g13933
+11 1
+.names g7635 g12859 g13941
+11 1
+.names g2622 g12867 g13943
+11 1
+.names g7141 g12874 g13944
+11 1
+.names g7643 g12881 g13952
+11 1
+.names g7646 g12882 g13953
+11 1
+.names g7652 g12891 g13969
+11 1
+.names g7655 g12892 g13970
+11 1
+.names g7661 g12894 g13989
+11 1
+.names g7664 g12900 g13997
+11 1
+.names g7972 g12907 g13998
+11 1
+.names g7670 g12914 g14006
+11 1
+.names g7673 g12915 g14007
+11 1
+.names g7679 g12921 g14022
+11 1
+.names g7682 g12922 g14023
+11 1
+.names g7688 g12931 g14039
+11 1
+.names g7691 g12932 g14040
+11 1
+.names g7697 g12934 g14059
+11 1
+.names g7703 g12940 g14067
+11 1
+.names g7706 g12943 g14097
+11 1
+.names g7709 g12944 g14098
+11 1
+.names g7715 g12950 g14113
+11 1
+.names g7718 g12951 g14114
+11 1
+.names g7724 g12960 g14130
+11 1
+.names g7727 g12961 g14131
+11 1
+.names g8026 g12965 g14143
+11 1
+.names g7733 g12969 g14182
+11 1
+.names g7736 g12972 g14212
+11 1
+.names g7739 g12973 g14213
+11 1
+.names g7745 g12979 g14228
+11 1
+.names g7748 g12980 g14229
+11 1
+.names g7757 g12993 g14297
+11 1
+.names g7760 g12996 g14327
+11 1
+.names g7763 g12997 g14328
+11 1
+.names g8099 g12998 g14336
+11 1
+.names g7779 g13003 g14419
+11 1
+.names g7841 g13101 g14690
+11 1
+.names g7861 g13117 g14724
+11 1
+.names g7891 g13130 g14752
+11 1
+.names g10779 g7901 g13245
+0- 1
+-0 1
+.names g13245 g10765 g14767
+11 1
+.names g7915 g13141 g14773
+11 1
+.names g8169 g12548 g14884
+11 1
+.names g3940 g13148 g14894
+11 1
+.names g11059 g13151 g14956
+11 1
+.names g4015 g13152 g14957
+11 1
+.names g4016 g13153 g14958
+11 1
+.names g4047 g13154 g14975
+11 1
+.names g8090 g12561 g15020
+11 1
+.names g4110 g13158 g15030
+11 1
+.names g4111 g13159 g15031
+11 1
+.names g4142 g13161 g15046
+11 1
+.names g4143 g13162 g15047
+11 1
+.names g4174 g13163 g15064
+11 1
+.names g7869 g12601 g15093
+11 1
+.names g7872 g12604 g15094
+11 1
+.names g4220 g13167 g15104
+11 1
+.names g4224 g13168 g15105
+11 1
+.names g4249 g13169 g15126
+11 1
+.names g4250 g13170 g15127
+11 1
+.names g4281 g13172 g15142
+11 1
+.names g4282 g13173 g15143
+11 1
+.names g4313 g13174 g15160
+11 1
+.names g8015 g12647 g15171
+11 1
+.names g4346 g13176 g15172
+11 1
+.names g4347 g13177 g15173
+11 1
+.names g640 g12651 g15178
+11 1
+.names g4375 g13178 g15196
+11 1
+.names g4379 g13179 g15197
+11 1
+.names g4404 g13180 g15218
+11 1
+.names g4405 g13181 g15219
+11 1
+.names g4436 g13183 g15234
+11 1
+.names g4437 g13184 g15235
+11 1
+.names g7849 g12692 g15243
+11 1
+.names g7852 g12695 g15244
+11 1
+.names g4474 g13185 g15245
+11 1
+.names g4475 g13186 g15246
+11 1
+.names g4479 g13187 g15247
+11 1
+.names g4357 g12702 g15257
+11 1
+.names g4515 g13188 g15258
+11 1
+.names g4516 g13189 g15259
+11 1
+.names g1326 g12705 g15264
+11 1
+.names g4544 g13190 g15282
+11 1
+.names g4548 g13191 g15283
+11 1
+.names g4573 g13192 g15304
+11 1
+.names g4574 g13193 g15305
+11 1
+.names g7964 g12744 g15320
+11 1
+.names g4601 g13195 g15321
+11 1
+.names g4609 g13196 g15324
+11 1
+.names g4610 g13197 g15325
+11 1
+.names g4489 g12749 g15335
+11 1
+.names g4492 g12752 g15336
+11 1
+.names g4650 g13198 g15337
+11 1
+.names g4651 g13199 g15338
+11 1
+.names g4655 g13200 g15339
+11 1
+.names g4526 g12759 g15349
+11 1
+.names g4691 g13201 g15350
+11 1
+.names g4692 g13202 g15351
+11 1
+.names g2020 g12762 g15356
+11 1
+.names g4720 g13203 g15374
+11 1
+.names g4724 g13204 g15375
+11 1
+.names g7834 g12769 g15388
+11 1
+.names g8246 g12772 g15389
+11 1
+.names g4752 g13205 g15391
+11 1
+.names g4753 g13206 g15392
+11 1
+.names g4620 g12783 g15402
+11 1
+.names g4623 g12786 g15403
+11 1
+.names g4778 g13207 g15407
+11 1
+.names g4786 g13208 g15410
+11 1
+.names g4787 g13209 g15411
+11 1
+.names g4665 g12791 g15421
+11 1
+.names g4668 g12794 g15422
+11 1
+.names g4827 g13210 g15423
+11 1
+.names g4828 g13211 g15424
+11 1
+.names g4832 g13212 g15425
+11 1
+.names g4702 g12801 g15435
+11 1
+.names g4868 g13213 g15436
+11 1
+.names g4869 g13214 g15437
+11 1
+.names g2714 g12804 g15442
+11 1
+.names g7916 g12808 g15452
+11 1
+.names g6898 g12811 g15453
+11 1
+.names g4897 g13218 g15459
+11 1
+.names g4898 g13219 g15460
+11 1
+.names g4763 g12816 g15470
+11 1
+.names g4928 g13220 g15475
+11 1
+.names g4929 g13221 g15476
+11 1
+.names g4797 g12822 g15486
+11 1
+.names g4800 g12825 g15487
+11 1
+.names g4954 g13222 g15491
+11 1
+.names g4962 g13223 g15494
+11 1
+.names g4963 g13224 g15495
+11 1
+.names g4842 g12830 g15505
+11 1
+.names g4845 g12833 g15506
+11 1
+.names g5003 g13225 g15507
+11 1
+.names g5004 g13226 g15508
+11 1
+.names g5008 g13227 g15509
+11 1
+.names g4879 g12840 g15519
+11 1
+.names g8172 g12844 g15520
+11 1
+.names g5033 g13232 g15526
+11 1
+.names g5034 g13233 g15527
+11 1
+.names g5056 g13237 g15545
+11 1
+.names g5057 g13238 g15546
+11 1
+.names g4939 g12854 g15556
+11 1
+.names g5087 g13239 g15561
+11 1
+.names g5088 g13240 g15562
+11 1
+.names g4973 g12860 g15572
+11 1
+.names g4976 g12863 g15573
+11 1
+.names g5113 g13241 g15577
+11 1
+.names g5121 g13242 g15580
+11 1
+.names g5122 g13243 g15581
+11 1
+.names g5018 g12868 g15591
+11 1
+.names g5021 g12871 g15592
+11 1
+.names g7897 g13244 g15593
+11 1
+.names g5148 g13249 g15594
+11 1
+.names g5149 g13250 g15595
+11 1
+.names g5162 g13255 g15604
+11 1
+.names g5163 g13256 g15605
+11 1
+.names g5185 g13260 g15623
+11 1
+.names g5186 g13261 g15624
+11 1
+.names g5098 g12895 g15634
+11 1
+.names g5216 g13262 g15639
+11 1
+.names g5217 g13263 g15640
+11 1
+.names g5132 g12901 g15650
+11 1
+.names g5135 g12904 g15651
+11 1
+.names g8177 g13264 g15658
+11 1
+.names g5233 g13268 g15666
+11 1
+.names g5241 g13272 g15670
+11 1
+.names g5242 g13273 g15671
+11 1
+.names g5255 g13278 g15680
+11 1
+.names g5256 g13279 g15681
+11 1
+.names g5278 g13283 g15699
+11 1
+.names g5279 g13284 g15700
+11 1
+.names g5227 g12935 g15710
+11 1
+.names g7924 g13285 g15717
+11 1
+.names g5296 g13293 g15725
+11 1
+.names g5304 g13297 g15729
+11 1
+.names g5305 g13298 g15730
+11 1
+.names g5318 g13303 g15739
+11 1
+.names g5319 g13304 g15740
+11 1
+.names g7542 g12962 g15753
+11 1
+.names g7837 g13308 g15754
+11 1
+.names g8178 g13309 g15755
+11 1
+.names g5333 g13324 g15765
+11 1
+.names g5341 g13328 g15769
+11 1
+.names g5342 g13329 g15770
+11 1
+.names g13004 g3018 g7549 II22028
+111 1
+.names g7471 g3032 II22028 g15780
+111 1
+.names g7971 g13330 g15781
+11 1
+.names g5361 g13347 g15793
+11 1
+.names g7856 g13351 g15801
+11 1
+.names g8253 g13352 g15802
+11 1
+.names g8025 g13373 g15817
+11 1
+.names g7877 g13398 g15828
+11 1
+.names g7857 g13400 g15829
+11 1
+.names g8098 g11620 g15840
+11 1
+.names g7878 g11642 g15852
+11 1
+.names g13082 g2912 g7522 II22136
+111 1
+.names g7607 g2920 II22136 g15902
+111 1
+.names g5469 g11732 g15998
+11 1
+.names g12013 g10826 g16003
+11 1
+.names g5587 g11734 g16004
+11 1
+.names g5504 g11735 g16008
+11 1
+.names g12071 g10843 g16009
+11 1
+.names g7639 g11736 g16010
+11 1
+.names g12013 g10859 g16015
+11 1
+.names g5601 g11740 g16016
+11 1
+.names g12130 g10862 g16017
+11 1
+.names g6149 g11741 g16018
+11 1
+.names g5507 g11742 g16019
+11 1
+.names g5543 g11745 g16028
+11 1
+.names g12071 g10877 g16029
+11 1
+.names g7667 g11746 g16030
+11 1
+.names g6227 g11747 g16031
+11 1
+.names g12187 g10883 g16032
+11 1
+.names g5546 g11748 g16033
+11 1
+.names g12013 g10892 g16045
+11 1
+.names g5618 g11761 g16046
+11 1
+.names g12130 g10895 g16047
+11 1
+.names g6170 g11762 g16048
+11 1
+.names g6638 g11763 g16049
+11 1
+.names g5590 g11764 g16050
+11 1
+.names g12235 g10901 g16051
+11 1
+.names g5591 g11765 g16052
+11 1
+.names g12071 g10912 g16066
+11 1
+.names g7700 g11774 g16067
+11 1
+.names g6310 g11775 g16068
+11 1
+.names g5346 g11776 g16069
+11 1
+.names g12187 g10921 g16070
+11 1
+.names g5604 g11777 g16071
+11 1
+.names g12275 g10924 g16072
+11 1
+.names g5605 g11778 g16073
+11 1
+.names g5646 g11782 g16074
+11 1
+.names g984 g11787 g16089
+11 1
+.names g12130 g10937 g16100
+11 1
+.names g6197 g11794 g16101
+11 1
+.names g6905 g11795 g16102
+11 1
+.names g5621 g11796 g16103
+11 1
+.names g12235 g10946 g16104
+11 1
+.names g5622 g11797 g16105
+11 1
+.names g12308 g10949 g16106
+11 1
+.names g5666 g11801 g16107
+11 1
+.names g5667 g11802 g16108
+11 1
+.names g5551 g13215 g16111
+11 1
+.names g5684 g11808 g16112
+11 1
+.names g3460 g11809 g16119
+11 1
+.names g1678 g11813 g16127
+11 1
+.names g6444 g11817 g16133
+11 1
+.names g5363 g11818 g16134
+11 1
+.names g12187 g10980 g16135
+11 1
+.names g5640 g11819 g16136
+11 1
+.names g12275 g10983 g16137
+11 1
+.names g5641 g11820 g16138
+11 1
+.names g5704 g11824 g16139
+11 1
+.names g5705 g11825 g16140
+11 1
+.names g5706 g11826 g16141
+11 1
+.names g5592 g13229 g16153
+11 1
+.names g5718 g11834 g16158
+11 1
+.names g5719 g11835 g16159
+11 1
+.names g8286 g11836 g16160
+11 1
+.names g1202 g11837 g16161
+11 1
+.names g5597 g13234 g16162
+11 1
+.names g5736 g11841 g16163
+11 1
+.names g3616 g11842 g16170
+11 1
+.names g2372 g11846 g16178
+11 1
+.names g7149 g11852 g16182
+11 1
+.names g12235 g11014 g16183
+11 1
+.names g5663 g11853 g16184
+11 1
+.names g12308 g11017 g16185
+11 1
+.names g5753 g11856 g16186
+11 1
+.names g5754 g11857 g16187
+11 1
+.names g5755 g11858 g16188
+11 1
+.names g5762 g11866 g16198
+11 1
+.names g5763 g11867 g16199
+11 1
+.names g5764 g11868 g16200
+11 1
+.names g1203 g11871 g16211
+11 1
+.names g5609 g13252 g16212
+11 1
+.names g5776 g11876 g16217
+11 1
+.names g5777 g11877 g16218
+11 1
+.names g8295 g11878 g16219
+11 1
+.names g1896 g11879 g16220
+11 1
+.names g5614 g13257 g16221
+11 1
+.names g5794 g11883 g16222
+11 1
+.names g3772 g11884 g16229
+11 1
+.names g5379 g11886 g16237
+11 1
+.names g12275 g11066 g16238
+11 1
+.names g5700 g11887 g16239
+11 1
+.names g5804 g11891 g16240
+11 1
+.names g5805 g11892 g16241
+11 1
+.names g5806 g11893 g16242
+11 1
+.names g5812 g11898 g16251
+11 1
+.names g5813 g11899 g16252
+11 1
+.names g5814 g11900 g16253
+11 1
+.names g1204 g11904 g16262
+11 1
+.names g5821 g11908 g16263
+11 1
+.names g5822 g11909 g16264
+11 1
+.names g5823 g11910 g16265
+11 1
+.names g1897 g11913 g16276
+11 1
+.names g5634 g13275 g16277
+11 1
+.names g5835 g11918 g16282
+11 1
+.names g5836 g11919 g16283
+11 1
+.names g8304 g11920 g16284
+11 1
+.names g2590 g11921 g16285
+11 1
+.names g5639 g13280 g16286
+11 1
+.names g12308 g11129 g16288
+11 1
+.names g5853 g11929 g16289
+11 1
+.names g5854 g11930 g16290
+11 1
+.names g5855 g11931 g16291
+11 1
+.names g520 g11936 g16298
+11 1
+.names g5860 g11941 g16299
+11 1
+.names g5861 g11942 g16300
+11 1
+.names g5862 g11943 g16301
+11 1
+.names g1205 g11945 g16309
+11 1
+.names g5868 g11948 g16310
+11 1
+.names g5869 g11949 g16311
+11 1
+.names g5870 g11950 g16312
+11 1
+.names g1898 g11954 g16321
+11 1
+.names g5877 g11958 g16322
+11 1
+.names g5878 g11959 g16323
+11 1
+.names g5879 g11960 g16324
+11 1
+.names g2591 g11963 g16335
+11 1
+.names g5662 g13300 g16336
+11 1
+.names g5894 g11968 g16342
+11 1
+.names g5895 g11969 g16343
+11 1
+.names g5896 g11970 g16344
+11 1
+.names g5897 g11971 g16345
+11 1
+.names g5900 g11982 g16347
+11 1
+.names g5901 g11983 g16348
+11 1
+.names g5902 g11984 g16349
+11 1
+.names g981 g11985 g16350
+11 1
+.names g1206 g11989 g16356
+11 1
+.names g5907 g11994 g16357
+11 1
+.names g5908 g11995 g16358
+11 1
+.names g5909 g11996 g16359
+11 1
+.names g1899 g11998 g16367
+11 1
+.names g5915 g12001 g16368
+11 1
+.names g5916 g12002 g16369
+11 1
+.names g5917 g12003 g16370
+11 1
+.names g2592 g12007 g16379
+11 1
+.names g5925 g12020 g16380
+11 1
+.names g5926 g12021 g16381
+11 1
+.names g5927 g12022 g16382
+11 1
+.names g5928 g12023 g16383
+11 1
+.names g5714 g13336 g16385
+11 1
+.names g5933 g12037 g16386
+11 1
+.names g5934 g12038 g16387
+11 1
+.names g5935 g12039 g16388
+11 1
+.names g5936 g12040 g16389
+11 1
+.names g982 g12041 g16390
+11 1
+.names g5939 g12051 g16391
+11 1
+.names g5940 g12052 g16392
+11 1
+.names g5941 g12053 g16393
+11 1
+.names g1675 g12054 g16394
+11 1
+.names g1900 g12058 g16400
+11 1
+.names g5946 g12063 g16401
+11 1
+.names g5947 g12064 g16402
+11 1
+.names g5948 g12065 g16403
+11 1
+.names g2593 g12067 g16411
+11 1
+.names g5954 g12075 g16413
+11 1
+.names g5955 g12076 g16414
+11 1
+.names g5956 g12077 g16415
+11 1
+.names g5957 g12078 g16416
+11 1
+.names g5759 g13356 g16417
+11 1
+.names g5959 g12084 g16418
+11 1
+.names g5960 g12085 g16419
+11 1
+.names g5961 g12086 g16420
+11 1
+.names g5962 g12087 g16421
+11 1
+.names g983 g12088 g16422
+11 1
+.names g5772 g13361 g16423
+11 1
+.names g5967 g12101 g16424
+11 1
+.names g5968 g12102 g16425
+11 1
+.names g5969 g12103 g16426
+11 1
+.names g5970 g12104 g16427
+11 1
+.names g1676 g12105 g16428
+11 1
+.names g5973 g12115 g16429
+11 1
+.names g5974 g12116 g16430
+11 1
+.names g5975 g12117 g16431
+11 1
+.names g2369 g12118 g16432
+11 1
+.names g2594 g12122 g16438
+11 1
+.names g5980 g12134 g16443
+11 1
+.names g5981 g12135 g16444
+11 1
+.names g5808 g13381 g16445
+11 1
+.names g5983 g12147 g16447
+11 1
+.names g5984 g12148 g16448
+11 1
+.names g5985 g12149 g16449
+11 1
+.names g5986 g12150 g16450
+11 1
+.names g5818 g13386 g16451
+11 1
+.names g5988 g12156 g16452
+11 1
+.names g5989 g12157 g16453
+11 1
+.names g5990 g12158 g16454
+11 1
+.names g5991 g12159 g16455
+11 1
+.names g1677 g12160 g16456
+11 1
+.names g5831 g13391 g16457
+11 1
+.names g5996 g12173 g16458
+11 1
+.names g5997 g12174 g16459
+11 1
+.names g5998 g12175 g16460
+11 1
+.names g5999 g12176 g16461
+11 1
+.names g2370 g12177 g16462
+11 1
+.names g14776 g14797 g16142 g16243 g16505
+1111 1
+.names g15065 g13724 g13764 g13797 g16513
+1111 1
+.names g14811 g14849 g16201 g16302 g16527
+1111 1
+.names g15161 g13774 g13805 g13825 g16535
+1111 1
+.names g14863 g14922 g16266 g16360 g16558
+1111 1
+.names g14936 g15003 g16325 g16404 g16590
+1111 1
+.names g15022 g15096 g16607
+11 1
+.names g15118 g15188 g16625
+11 1
+.names g15210 g15274 g16639
+11 1
+.names g15296 g15366 g16650
+11 1
+.names g6226 g14764 g16850
+11 1
+.names g15722 g8646 g16855
+11 1
+.names g6443 g14794 g16856
+11 1
+.names g15762 g8662 g16859
+11 1
+.names g15790 g8681 g16864
+11 1
+.names g6896 g14881 g16865
+11 1
+.names g15813 g8693 g16879
+11 1
+.names g7156 g14959 g16894
+11 1
+.names g7335 g15017 g16907
+11 1
+.names g7838 g15032 g16908
+11 1
+.names g6908 g15033 g16909
+11 1
+.names g7352 g15048 g16923
+11 1
+.names g7858 g15128 g16938
+11 1
+.names g7158 g15129 g16939
+11 1
+.names g7482 g15144 g16953
+11 1
+.names g7520 g15170 g16964
+11 1
+.names g7529 g15174 g16966
+11 1
+.names g7827 g15175 g16967
+11 1
+.names g6672 g15176 g16968
+11 1
+.names g7888 g15220 g16969
+11 1
+.names g7354 g15221 g16970
+11 1
+.names g7538 g15236 g16984
+11 1
+.names g7555 g15260 g16987
+11 1
+.names g7842 g15261 g16988
+11 1
+.names g6974 g15262 g16989
+11 1
+.names g7912 g15306 g16990
+11 1
+.names g7484 g15307 g16991
+11 1
+.names g7576 g15322 g16993
+11 1
+.names g7819 g15323 g16994
+11 1
+.names g7578 g15352 g16997
+11 1
+.names g7862 g15353 g16998
+11 1
+.names g7224 g15354 g16999
+11 1
+.names g3254 g10694 g14144 g17001
+111 1
+.names g7996 g15390 g17015
+11 1
+.names g7590 g15408 g17017
+11 1
+.names g7830 g15409 g17018
+11 1
+.names g7592 g15438 g17021
+11 1
+.names g7892 g15439 g17022
+11 1
+.names g7420 g15440 g17023
+11 1
+.names g7604 g15458 g17028
+11 1
+.names g3410 g10714 g14259 g17031
+111 1
+.names g8071 g15474 g17045
+11 1
+.names g7605 g15492 g17047
+11 1
+.names g7845 g15493 g17048
+11 1
+.names g7153 g15524 g17055
+11 1
+.names g7953 g15525 g17056
+11 1
+.names g7613 g15544 g17062
+11 1
+.names g3566 g10735 g14381 g17065
+111 1
+.names g8156 g15560 g17079
+11 1
+.names g7614 g15578 g17081
+11 1
+.names g7865 g15579 g17082
+11 1
+.names g7629 g13954 g17084
+11 1
+.names g7349 g15602 g17090
+11 1
+.names g8004 g15603 g17091
+11 1
+.names g7622 g15622 g17097
+11 1
+.names g3722 g10754 g14493 g17100
+111 1
+.names g8242 g15638 g17114
+11 1
+.names g7649 g14008 g17116
+11 1
+.names g7906 g15665 g17117
+11 1
+.names g7658 g14024 g17122
+11 1
+.names g7479 g15678 g17128
+11 1
+.names g8079 g15679 g17129
+11 1
+.names g7638 g15698 g17135
+11 1
+.names g7676 g14068 g17138
+11 1
+.names g7685 g14099 g17143
+11 1
+.names g7958 g15724 g17144
+11 1
+.names g7694 g14115 g17149
+11 1
+.names g7535 g15737 g17155
+11 1
+.names g8164 g15738 g17156
+11 1
+.names g7712 g14183 g17161
+11 1
+.names g7721 g14214 g17166
+11 1
+.names g8009 g15764 g17167
+11 1
+.names g7730 g14230 g17172
+11 1
+.names g7742 g14298 g17176
+11 1
+.names g7751 g14329 g17181
+11 1
+.names g8084 g15792 g17182
+11 1
+.names g7766 g14420 g17193
+11 1
+.names g8024 g15991 g17268
+11 1
+.names g8097 g15994 g17301
+11 1
+.names g8176 g15997 g17339
+11 1
+.names g3942 g14960 g17352
+11 1
+.names g3945 g14963 g17353
+11 1
+.names g8250 g16001 g17381
+11 1
+.names g8252 g16002 g17382
+11 1
+.names g3941 g16005 g17393
+11 1
+.names g6177 g15034 g17395
+11 1
+.names g4020 g15037 g17396
+11 1
+.names g4023 g15040 g17397
+11 1
+.names g4026 g15043 g17398
+11 1
+.names g4049 g15049 g17408
+11 1
+.names g4052 g15052 g17409
+11 1
+.names g3994 g16007 g17428
+11 1
+.names g6284 g16011 g17446
+11 1
+.names g4115 g15106 g17447
+11 1
+.names g4118 g15109 g17448
+11 1
+.names g4121 g15112 g17449
+11 1
+.names g4124 g15115 g17450
+11 1
+.names g4048 g16012 g17460
+11 1
+.names g6209 g15130 g17461
+11 1
+.names g4147 g15133 g17462
+11 1
+.names g4150 g15136 g17463
+11 1
+.names g4153 g15139 g17464
+11 1
+.names g4176 g15145 g17474
+11 1
+.names g4179 g15148 g17475
+11 1
+.names g4089 g16013 g17485
+11 1
+.names g4091 g16014 g17486
+11 1
+.names g6675 g16023 g17506
+11 1
+.names g4225 g15179 g17508
+11 1
+.names g4228 g15182 g17509
+11 1
+.names g4231 g15185 g17510
+11 1
+.names g6421 g16025 g17526
+11 1
+.names g4254 g15198 g17527
+11 1
+.names g4257 g15201 g17528
+11 1
+.names g4260 g15204 g17529
+11 1
+.names g4263 g15207 g17530
+11 1
+.names g4175 g16026 g17540
+11 1
+.names g6298 g15222 g17541
+11 1
+.names g4286 g15225 g17542
+11 1
+.names g4289 g15228 g17543
+11 1
+.names g4292 g15231 g17544
+11 1
+.names g4315 g15237 g17554
+11 1
+.names g4318 g15240 g17555
+11 1
+.names g4201 g16027 g17556
+11 1
+.names g4348 g15248 g17576
+11 1
+.names g4351 g15251 g17577
+11 1
+.names g4354 g15254 g17578
+11 1
+.names g6977 g16039 g17597
+11 1
+.names g4380 g15265 g17598
+11 1
+.names g4383 g15268 g17599
+11 1
+.names g4386 g15271 g17600
+11 1
+.names g6626 g16041 g17616
+11 1
+.names g4409 g15284 g17617
+11 1
+.names g4412 g15287 g17618
+11 1
+.names g4415 g15290 g17619
+11 1
+.names g4418 g15293 g17620
+11 1
+.names g4314 g16042 g17630
+11 1
+.names g6435 g15308 g17631
+11 1
+.names g4441 g15311 g17632
+11 1
+.names g4444 g15314 g17633
+11 1
+.names g4447 g15317 g17634
+11 1
+.names g4322 g16043 g17635
+11 1
+.names g4324 g16044 g17636
+11 1
+.names g4480 g15326 g17652
+11 1
+.names g4483 g15329 g17653
+11 1
+.names g4486 g15332 g17654
+11 1
+.names g4517 g15340 g17673
+11 1
+.names g4520 g15343 g17674
+11 1
+.names g4523 g15346 g17675
+11 1
+.names g7227 g16061 g17694
+11 1
+.names g4549 g15357 g17695
+11 1
+.names g4552 g15360 g17696
+11 1
+.names g4555 g15363 g17697
+11 1
+.names g6890 g16063 g17713
+11 1
+.names g4578 g15376 g17714
+11 1
+.names g4581 g15379 g17715
+11 1
+.names g4584 g15382 g17716
+11 1
+.names g4587 g15385 g17717
+11 1
+.names g4451 g16064 g17718
+11 1
+.names g2993 g16065 g17719
+11 1
+.names g4611 g15393 g17734
+11 1
+.names g4614 g15396 g17735
+11 1
+.names g4617 g15399 g17736
+11 1
+.names g4626 g15404 g17737
+11 1
+.names g4656 g15412 g17752
+11 1
+.names g4659 g15415 g17753
+11 1
+.names g4662 g15418 g17754
+11 1
+.names g4693 g15426 g17773
+11 1
+.names g4696 g15429 g17774
+11 1
+.names g4699 g15432 g17775
+11 1
+.names g7423 g16097 g17794
+11 1
+.names g4725 g15443 g17795
+11 1
+.names g4728 g15446 g17796
+11 1
+.names g4731 g15449 g17797
+11 1
+.names g4591 g16099 g17798
+11 1
+.names g4754 g15461 g17812
+11 1
+.names g4757 g15464 g17813
+11 1
+.names g4760 g15467 g17814
+11 1
+.names g4766 g15471 g17824
+11 1
+.names g4788 g15477 g17835
+11 1
+.names g4791 g15480 g17836
+11 1
+.names g4794 g15483 g17837
+11 1
+.names g4803 g15488 g17838
+11 1
+.names g4833 g15496 g17853
+11 1
+.names g4836 g15499 g17854
+11 1
+.names g4839 g15502 g17855
+11 1
+.names g4870 g15510 g17874
+11 1
+.names g4873 g15513 g17875
+11 1
+.names g4876 g15516 g17876
+11 1
+.names g2998 g15521 g17877
+11 1
+.names g4899 g15528 g17900
+11 1
+.names g4902 g15531 g17901
+11 1
+.names g4905 g15534 g17902
+11 1
+.names g4908 g15537 g17912
+11 1
+.names g4930 g15547 g17924
+11 1
+.names g4933 g15550 g17925
+11 1
+.names g4936 g15553 g17926
+11 1
+.names g4942 g15557 g17936
+11 1
+.names g4964 g15563 g17947
+11 1
+.names g4967 g15566 g17948
+11 1
+.names g4970 g15569 g17949
+11 1
+.names g4979 g15574 g17950
+11 1
+.names g5009 g15582 g17965
+11 1
+.names g5012 g15585 g17966
+11 1
+.names g5015 g15588 g17967
+11 1
+.names g5035 g15596 g17989
+11 1
+.names g5038 g15599 g17990
+11 1
+.names g5058 g15606 g18011
+11 1
+.names g5061 g15609 g18012
+11 1
+.names g5064 g15612 g18013
+11 1
+.names g5067 g15615 g18023
+11 1
+.names g5089 g15625 g18035
+11 1
+.names g5092 g15628 g18036
+11 1
+.names g5095 g15631 g18037
+11 1
+.names g5101 g15635 g18047
+11 1
+.names g5123 g15641 g18058
+11 1
+.names g5126 g15644 g18059
+11 1
+.names g5129 g15647 g18060
+11 1
+.names g5138 g15652 g18061
+11 1
+.names g7462 g15655 g18062
+11 1
+.names g5150 g15667 g18088
+11 1
+.names g5164 g15672 g18106
+11 1
+.names g5167 g15675 g18107
+11 1
+.names g5187 g15682 g18128
+11 1
+.names g5190 g15685 g18129
+11 1
+.names g5193 g15688 g18130
+11 1
+.names g5196 g15691 g18140
+11 1
+.names g5218 g15701 g18152
+11 1
+.names g5221 g15704 g18153
+11 1
+.names g5224 g15707 g18154
+11 1
+.names g5230 g15711 g18164
+11 1
+.names g2883 g16287 g18165
+11 1
+.names g7527 g15714 g18169
+11 1
+.names g5243 g15726 g18204
+11 1
+.names g5257 g15731 g18222
+11 1
+.names g5260 g15734 g18223
+11 1
+.names g5280 g15741 g18244
+11 1
+.names g5283 g15744 g18245
+11 1
+.names g5286 g15747 g18246
+11 1
+.names g5289 g15750 g18256
+11 1
+.names g5306 g15766 g18311
+11 1
+.names g5320 g15771 g18329
+11 1
+.names g5323 g15774 g18330
+11 1
+.names g2888 g15777 g18333
+11 1
+.names g5343 g15794 g18404
+11 1
+.names g14776 g14837 g16142 II24619
+111 1
+.names g13677 g13750 II24619 g18547
+111 1
+.names g14811 g14910 g16201 II24689
+111 1
+.names g13714 g13791 II24689 g18597
+111 1
+.names g14863 g14991 g16266 II24738
+111 1
+.names g13764 g13819 II24738 g18629
+111 1
+.names g14936 g15080 g16325 II24758
+111 1
+.names g13805 g13840 II24758 g18638
+111 1
+.names g14776 g14895 g16142 g13750 g18645
+1111 1
+.names g14895 g16142 g16243 g18647
+111 1
+.names g14811 g14976 g16201 g13791 g18648
+1111 1
+.names g14776 g14837 g13657 g16189 g18649
+1111 1
+.names g14976 g16201 g16302 g18650
+111 1
+.names g14863 g15065 g16266 g13819 g18651
+1111 1
+.names g14797 g13657 g13677 g16243 g18652
+1111 1
+.names g14811 g14910 g13687 g16254 g18653
+1111 1
+.names g15065 g16266 g16360 g18654
+111 1
+.names g14936 g15161 g16325 g13840 g18655
+1111 1
+.names g14776 g14837 g16189 g13706 g18665
+1111 1
+.names g14849 g13687 g13714 g16302 g18666
+1111 1
+.names g14863 g14991 g13724 g16313 g18667
+1111 1
+.names g15161 g16325 g16404 g18668
+111 1
+.names g14811 g14910 g16254 g13756 g18688
+1111 1
+.names g14922 g13724 g13764 g16360 g18689
+1111 1
+.names g14936 g15080 g13774 g16371 g18690
+1111 1
+.names g14863 g14991 g16313 g13797 g18717
+1111 1
+.names g15003 g13774 g13805 g16404 g18718
+1111 1
+.names g14936 g15080 g16371 g13825 g18753
+1111 1
+.names g13519 g16154 g18982
+11 1
+.names g13530 g16213 g18990
+11 1
+.names g14895 g13657 g13677 g13706 g18994
+1111 1
+.names g13541 g16278 g18997
+11 1
+.names g14976 g13687 g13714 g13756 g19007
+1111 1
+.names g13552 g16337 g19010
+11 1
+.names g18679 g14910 g13687 g16254 g19063
+1111 1
+.names g14797 g18692 g16142 g16189 g19079
+1111 1
+.names g18708 g14991 g13724 g16313 g19080
+1111 1
+.names g15904 g14642 g17215
+00 1
+.names g17215 g16540 g19087
+11 1
+.names g18656 g14797 g16189 g13706 g19088
+1111 1
+.names g14849 g18728 g16201 g16254 g19089
+1111 1
+.names g18744 g15080 g13774 g16371 g19090
+1111 1
+.names g14776 g18670 g18692 g16293 g19092
+1111 1
+.names g15933 g14669 g17218
+00 1
+.names g17218 g16572 g19093
+11 1
+.names g18679 g14849 g16254 g13756 g19094
+1111 1
+.names g14922 g18765 g16266 g16313 g19095
+1111 1
+.names g18656 g18670 g18720 II25280
+111 1
+.names g13657 g16243 II25280 g19097
+111 1
+.names g14811 g18699 g18728 g16351 g19099
+1111 1
+.names g15962 g14703 g17220
+00 1
+.names g17220 g16596 g19100
+11 1
+.names g18708 g14922 g16313 g13797 g19101
+1111 1
+.names g15003 g18796 g16325 g16371 g19102
+1111 1
+.names g18679 g18699 g18758 II25291
+111 1
+.names g13687 g16302 II25291 g19104
+111 1
+.names g14863 g18735 g18765 g16395 g19106
+1111 1
+.names g15981 g14737 g17223
+00 1
+.names g17223 g16616 g19107
+11 1
+.names g18744 g15003 g16371 g13825 g19108
+1111 1
+.names g18708 g18735 g18789 II25300
+111 1
+.names g13724 g16360 II25300 g19109
+111 1
+.names g14936 g18772 g18796 g16433 g19111
+1111 1
+.names g14657 g16633 g19112
+11 1
+.names g18744 g18772 g18815 II25311
+111 1
+.names g13774 g16404 II25311 g19116
+111 1
+.names g14691 g16644 g19117
+11 1
+.names g14725 g16656 g19124
+11 1
+.names g14753 g16673 g19131
+11 1
+.names g14642 g14657 g17159
+0- 1
+-0 1
+.names g17159 g16719 g19142
+11 1
+.names g14669 g14691 g17174
+0- 1
+-0 1
+.names g17174 g16761 g19143
+11 1
+.names g14703 g14725 g17191
+0- 1
+-0 1
+.names g17191 g16788 g19146
+11 1
+.names g14737 g14753 g17202
+0- 1
+-0 1
+.names g17202 g16817 g19148
+11 1
+.names g17189 g8602 g19150
+11 1
+.names g17200 g8614 g19155
+11 1
+.names g17207 g8627 g19161
+11 1
+.names g17212 g8637 g19166
+11 1
+.names II22706 II22707 g16662
+0- 1
+-0 1
+.names g16662 g12125 g19228
+11 1
+.names II22885 II22886 g16935
+0- 1
+-0 1
+.names g16935 g8802 g19236
+11 1
+.names g16867 g14158 g14071 g19241
+111 1
+.names g16662 g8817 g19248
+11 1
+.names g18725 g9527 g19252
+11 1
+.names g16895 g14273 g14186 g19254
+111 1
+.names g16749 g3124 g19260
+11 1
+.names g16924 g14395 g14301 g19267
+111 1
+.names g16954 g14507 g14423 g19282
+111 1
+.names g18063 g3111 g19284
+11 1
+.names g16749 g7642 g19285
+11 1
+.names g17029 g8580 g19289
+11 1
+.names g16867 g16543 g14071 g19303
+111 1
+.names g17063 g8587 g19307
+11 1
+.names g18063 g3110 g19316
+11 1
+.names g16749 g3126 g19317
+11 1
+.names g16867 g16515 g14158 g19320
+111 1
+.names g16895 g16575 g14186 g19324
+111 1
+.names g17098 g8594 g19328
+11 1
+.names g16895 g16546 g14273 g19347
+111 1
+.names g16924 g16599 g14301 g19351
+111 1
+.names g17136 g8605 g19355
+11 1
+.names g18063 g3112 g19356
+11 1
+.names g16924 g16578 g14395 g19381
+111 1
+.names g16954 g16619 g14423 g19385
+111 1
+.names g16954 g16602 g14507 g19413
+111 1
+.names g16884 g14797 g14776 g19449
+111 1
+.names g16913 g14849 g14811 g19476
+111 1
+.names g16943 g14922 g14863 g19499
+111 1
+.names g16974 g15003 g14936 g19520
+111 1
+.names g16884 g16722 g14776 g19531
+111 1
+.names g16884 g16697 g14797 g19540
+111 1
+.names g16913 g16764 g14811 g19541
+111 1
+.names g16913 g16728 g14849 g19544
+111 1
+.names g16943 g16791 g14863 g19545
+111 1
+.names g16943 g16770 g14922 g19547
+111 1
+.names g16974 g16820 g14936 g19548
+111 1
+.names g7950 g17230 g19549
+11 1
+.names g16974 g16797 g15003 g19551
+111 1
+.names g14956 g12564 g16829
+00 1
+.names g16829 g6048 g19552
+11 1
+.names g7990 g17237 g19553
+11 1
+.names g7993 g17240 g19554
+11 1
+.names g8001 g17243 g19555
+11 1
+.names g8053 g17249 g19557
+11 1
+.names g8056 g17252 g19558
+11 1
+.names g8059 g17255 g19559
+11 1
+.names g8065 g17259 g19560
+11 1
+.names g8068 g17262 g19561
+11 1
+.names g8076 g17265 g19562
+11 1
+.names g8123 g17272 g19564
+11 1
+.names g8126 g17275 g19565
+11 1
+.names g8129 g17278 g19566
+11 1
+.names g8138 g17282 g19567
+11 1
+.names g8141 g17285 g19568
+11 1
+.names g8144 g17288 g19569
+11 1
+.names g8150 g17291 g19570
+11 1
+.names g8153 g17294 g19571
+11 1
+.names g8161 g17297 g19572
+11 1
+.names g8191 g17304 g19574
+11 1
+.names g8194 g17307 g19575
+11 1
+.names g8197 g17310 g19576
+11 1
+.names g640 g18756 g19584
+11 1
+.names g692 g18757 g19585
+11 1
+.names g8209 g17315 g19586
+11 1
+.names g8212 g17318 g19587
+11 1
+.names g8215 g17321 g19588
+11 1
+.names g8224 g17324 g19589
+11 1
+.names g8227 g17327 g19590
+11 1
+.names g8230 g17330 g19591
+11 1
+.names g8236 g17333 g19592
+11 1
+.names g8239 g17336 g19593
+11 1
+.names g16935 g12555 g19594
+11 1
+.names g3922 g17342 g19597
+11 1
+.names g3925 g17345 g19598
+11 1
+.names g3928 g17348 g19599
+11 1
+.names g633 g18783 g19600
+11 1
+.names g640 g18784 g19601
+11 1
+.names g633 g18785 g19602
+11 1
+.names g692 g18786 g19603
+11 1
+.names g3948 g17354 g19604
+11 1
+.names g3951 g17357 g19605
+11 1
+.names g3954 g17360 g19606
+11 1
+.names g1326 g18787 g19614
+11 1
+.names g1378 g18788 g19615
+11 1
+.names g3966 g17363 g19616
+11 1
+.names g3969 g17366 g19617
+11 1
+.names g3972 g17369 g19618
+11 1
+.names g3981 g17372 g19619
+11 1
+.names g3984 g17375 g19620
+11 1
+.names g3987 g17378 g19621
+11 1
+.names g4000 g17384 g19623
+11 1
+.names g4003 g17387 g19624
+11 1
+.names g4006 g17390 g19625
+11 1
+.names g640 g18805 g19626
+11 1
+.names g633 g18806 g19627
+11 1
+.names g653 g18807 g19628
+11 1
+.names g692 g18808 g19629
+11 1
+.names g4029 g17399 g19630
+11 1
+.names g4032 g17402 g19631
+11 1
+.names g4035 g17405 g19632
+11 1
+.names g1319 g18809 g19633
+11 1
+.names g1326 g18810 g19634
+11 1
+.names g1319 g18811 g19635
+11 1
+.names g1378 g18812 g19636
+11 1
+.names g4055 g17410 g19637
+11 1
+.names g4058 g17413 g19638
+11 1
+.names g4061 g17416 g19639
+11 1
+.names g2020 g18813 g19647
+11 1
+.names g2072 g18814 g19648
+11 1
+.names g4073 g17419 g19649
+11 1
+.names g4076 g17422 g19650
+11 1
+.names g4079 g17425 g19651
+11 1
+.names g4095 g17430 g19653
+11 1
+.names g4098 g17433 g19654
+11 1
+.names g4101 g17436 g19655
+11 1
+.names g4104 g17439 g19656
+11 1
+.names g633 g18822 g19660
+11 1
+.names g653 g18823 g19661
+11 1
+.names g646 g18824 g19662
+11 1
+.names g4127 g17451 g19663
+11 1
+.names g4130 g17454 g19664
+11 1
+.names g4133 g17457 g19665
+11 1
+.names g1326 g18825 g19666
+11 1
+.names g1319 g18826 g19667
+11 1
+.names g1339 g18827 g19668
+11 1
+.names g1378 g18828 g19669
+11 1
+.names g4156 g17465 g19670
+11 1
+.names g4159 g17468 g19671
+11 1
+.names g4162 g17471 g19672
+11 1
+.names g2013 g18829 g19673
+11 1
+.names g2020 g18830 g19674
+11 1
+.names g2013 g18831 g19675
+11 1
+.names g2072 g18832 g19676
+11 1
+.names g4182 g17476 g19677
+11 1
+.names g4185 g17479 g19678
+11 1
+.names g4188 g17482 g19679
+11 1
+.names g2714 g18833 g19687
+11 1
+.names g2766 g18834 g19688
+11 1
+.names g15021 g12607 g16841
+00 1
+.names g16841 g10865 g19691
+11 1
+.names g4205 g17487 g19692
+11 1
+.names g4208 g17490 g19693
+11 1
+.names g4211 g17493 g19694
+11 1
+.names g4214 g17496 g19695
+11 1
+.names g653 g18838 g19697
+11 1
+.names g646 g18839 g19698
+11 1
+.names g660 g18840 g19699
+11 1
+.names g17815 g16024 g19700
+11 1
+.names g4234 g17511 g19701
+11 1
+.names g4237 g17514 g19702
+11 1
+.names g4240 g17517 g19703
+11 1
+.names g4243 g17520 g19704
+11 1
+.names g1319 g18841 g19708
+11 1
+.names g1339 g18842 g19709
+11 1
+.names g1332 g18843 g19710
+11 1
+.names g4266 g17531 g19711
+11 1
+.names g4269 g17534 g19712
+11 1
+.names g4272 g17537 g19713
+11 1
+.names g2020 g18844 g19714
+11 1
+.names g2013 g18845 g19715
+11 1
+.names g2033 g18846 g19716
+11 1
+.names g2072 g18847 g19717
+11 1
+.names g4295 g17545 g19718
+11 1
+.names g4298 g17548 g19719
+11 1
+.names g4301 g17551 g19720
+11 1
+.names g2707 g18848 g19721
+11 1
+.names g2714 g18849 g19722
+11 1
+.names g2707 g18850 g19723
+11 1
+.names g2766 g18851 g19724
+11 1
+.names g15095 g12650 g16847
+00 1
+.names g16847 g6131 g19726
+11 1
+.names g4329 g17557 g19727
+11 1
+.names g4332 g17560 g19728
+11 1
+.names g4335 g17563 g19729
+11 1
+.names g653 g17573 g19730
+11 1
+.names g646 g18853 g19731
+11 1
+.names g660 g18854 g19732
+11 1
+.names g672 g18855 g19733
+11 1
+.names g17815 g16034 g19734
+11 1
+.names g17903 g16035 g19735
+11 1
+.names g4360 g17579 g19736
+11 1
+.names g4363 g17582 g19737
+11 1
+.names g4366 g17585 g19738
+11 1
+.names g4369 g17588 g19739
+11 1
+.names g1339 g18856 g19741
+11 1
+.names g1332 g18857 g19742
+11 1
+.names g1346 g18858 g19743
+11 1
+.names g17927 g16040 g19744
+11 1
+.names g4389 g17601 g19745
+11 1
+.names g4392 g17604 g19746
+11 1
+.names g4395 g17607 g19747
+11 1
+.names g4398 g17610 g19748
+11 1
+.names g2013 g18859 g19752
+11 1
+.names g2033 g18860 g19753
+11 1
+.names g2026 g18861 g19754
+11 1
+.names g4421 g17621 g19755
+11 1
+.names g4424 g17624 g19756
+11 1
+.names g4427 g17627 g19757
+11 1
+.names g2714 g18862 g19758
+11 1
+.names g2707 g18863 g19759
+11 1
+.names g2727 g18864 g19760
+11 1
+.names g2766 g18865 g19761
+11 1
+.names g4453 g17637 g19764
+11 1
+.names g660 g18870 g19765
+11 1
+.names g672 g18871 g19766
+11 1
+.names g666 g18872 g19767
+11 1
+.names g17815 g16054 g19768
+11 1
+.names g17903 g16055 g19769
+11 1
+.names g4498 g17655 g19770
+11 1
+.names g4501 g17658 g19771
+11 1
+.names g4504 g17661 g19772
+11 1
+.names g1339 g17670 g19773
+11 1
+.names g1332 g18874 g19774
+11 1
+.names g1346 g18875 g19775
+11 1
+.names g1358 g18876 g19776
+11 1
+.names g17927 g16056 g19777
+11 1
+.names g18014 g16057 g19778
+11 1
+.names g4529 g17676 g19779
+11 1
+.names g4532 g17679 g19780
+11 1
+.names g4535 g17682 g19781
+11 1
+.names g4538 g17685 g19782
+11 1
+.names g2033 g18877 g19784
+11 1
+.names g2026 g18878 g19785
+11 1
+.names g2040 g18879 g19786
+11 1
+.names g18038 g16062 g19787
+11 1
+.names g4558 g17698 g19788
+11 1
+.names g4561 g17701 g19789
+11 1
+.names g4564 g17704 g19790
+11 1
+.names g4567 g17707 g19791
+11 1
+.names g2707 g18880 g19795
+11 1
+.names g2727 g18881 g19796
+11 1
+.names g2720 g18882 g19797
+11 1
+.names g18174 g18341 g17974 II26240
+111 1
+.names g17640 g18074 II26240 g19799
+111 1
+.names g672 g18891 g19802
+11 1
+.names g666 g18892 g19803
+11 1
+.names g679 g18893 g19804
+11 1
+.names g17903 g16088 g19805
+11 1
+.names g4629 g17738 g19806
+11 1
+.names g1346 g18896 g19807
+11 1
+.names g1358 g18897 g19808
+11 1
+.names g1352 g18898 g19809
+11 1
+.names g17927 g16090 g19810
+11 1
+.names g18014 g16091 g19811
+11 1
+.names g4674 g17755 g19812
+11 1
+.names g4677 g17758 g19813
+11 1
+.names g4680 g17761 g19814
+11 1
+.names g2033 g17770 g19815
+11 1
+.names g2026 g18900 g19816
+11 1
+.names g2040 g18901 g19817
+11 1
+.names g2052 g18902 g19818
+11 1
+.names g18038 g16092 g19819
+11 1
+.names g18131 g16093 g19820
+11 1
+.names g4705 g17776 g19821
+11 1
+.names g4708 g17779 g19822
+11 1
+.names g4711 g17782 g19823
+11 1
+.names g4714 g17785 g19824
+11 1
+.names g2727 g18903 g19826
+11 1
+.names g2720 g18904 g19827
+11 1
+.names g2734 g18905 g19828
+11 1
+.names g18155 g16098 g19829
+11 1
+.names g7143 g18908 g19836
+11 1
+.names g6901 g17799 g19837
+11 1
+.names g666 g18909 g19839
+11 1
+.names g679 g18910 g19840
+11 1
+.names g686 g18911 g19841
+11 1
+.names g18188 g18089 g17991 II26282
+111 1
+.names g14525 g13922 II26282 g19842
+111 1
+.names g18281 g18436 g18091 II26285
+111 1
+.names g17741 g18190 II26285 g19843
+111 1
+.names g1358 g18914 g19846
+11 1
+.names g1352 g18915 g19847
+11 1
+.names g1365 g18916 g19848
+11 1
+.names g18014 g16126 g19849
+11 1
+.names g4806 g17839 g19850
+11 1
+.names g2040 g18919 g19851
+11 1
+.names g2052 g18920 g19852
+11 1
+.names g2046 g18921 g19853
+11 1
+.names g18038 g16128 g19854
+11 1
+.names g18131 g16129 g19855
+11 1
+.names g4851 g17856 g19856
+11 1
+.names g4854 g17859 g19857
+11 1
+.names g4857 g17862 g19858
+11 1
+.names g2727 g17871 g19859
+11 1
+.names g2720 g18923 g19860
+11 1
+.names g2734 g18924 g19861
+11 1
+.names g2746 g18925 g19862
+11 1
+.names g18155 g16130 g19863
+11 1
+.names g18247 g16131 g19864
+11 1
+.names g14158 g14347 g16498
+00 1
+.names g16498 g16867 g19001 g19868
+111 1
+.names g679 g18926 g19869
+11 1
+.names g686 g18927 g19870
+11 1
+.names g18353 g13958 g14011 II26311
+111 1
+.names g14086 g18275 II26311 g19871
+111 1
+.names g1352 g18928 g19872
+11 1
+.names g1365 g18929 g19873
+11 1
+.names g1372 g18930 g19874
+11 1
+.names g18295 g18205 g18108 II26317
+111 1
+.names g14580 g13978 II26317 g19875
+111 1
+.names g18374 g18509 g18207 II26320
+111 1
+.names g17842 g18297 II26320 g19876
+111 1
+.names g2052 g18933 g19879
+11 1
+.names g2046 g18934 g19880
+11 1
+.names g2059 g18935 g19881
+11 1
+.names g18131 g16177 g19882
+11 1
+.names g4982 g17951 g19883
+11 1
+.names g2734 g18938 g19884
+11 1
+.names g2746 g18939 g19885
+11 1
+.names g2740 g18940 g19886
+11 1
+.names g18155 g16179 g19887
+11 1
+.names g18247 g16180 g19888
+11 1
+.names g2912 g18943 g19889
+11 1
+.names g686 g18945 g19895
+11 1
+.names g14273 g14459 g16520
+00 1
+.names g16520 g16895 g16507 g19899
+111 1
+.names g1365 g18946 g19900
+11 1
+.names g1372 g18947 g19901
+11 1
+.names g18448 g14028 g14102 II26348
+111 1
+.names g14201 g18368 II26348 g19902
+111 1
+.names g2046 g18948 g19903
+11 1
+.names g2059 g18949 g19904
+11 1
+.names g2066 g18950 g19905
+11 1
+.names g18388 g18312 g18224 II26354
+111 1
+.names g14614 g14048 II26354 g19906
+111 1
+.names g18469 g18573 g18314 II26357
+111 1
+.names g17954 g18390 II26357 g19907
+111 1
+.names g2746 g18953 g19910
+11 1
+.names g2740 g18954 g19911
+11 1
+.names g2753 g18955 g19912
+11 1
+.names g18247 g16236 g19913
+11 1
+.names g3018 g18958 g19914
+11 1
+.names g1372 g18961 g19920
+11 1
+.names g14395 g14546 g16551
+00 1
+.names g16551 g16924 g16529 g19924
+111 1
+.names g2059 g18962 g19925
+11 1
+.names g2066 g18963 g19926
+11 1
+.names g18521 g14119 g14217 II26377
+111 1
+.names g14316 g18463 II26377 g19927
+111 1
+.names g2740 g18964 g19928
+11 1
+.names g2753 g18965 g19929
+11 1
+.names g2760 g18966 g19930
+11 1
+.names g18483 g18405 g18331 II26383
+111 1
+.names g14637 g14139 II26383 g19931
+111 1
+.names g2917 g18166 g19932
+11 1
+.names g2066 g18972 g19935
+11 1
+.names g14507 g14601 g16583
+00 1
+.names g16583 g16954 g16560 g19939
+111 1
+.names g2753 g18973 g19940
+11 1
+.names g2760 g18974 g19941
+11 1
+.names g18585 g14234 g14332 II26396
+111 1
+.names g14438 g18536 II26396 g19942
+111 1
+.names g7562 g18976 g19943
+11 1
+.names g3028 g18258 g19944
+11 1
+.names g5293 g18278 g19949
+11 1
+.names g2760 g18987 g19952
+11 1
+.names g7566 g18334 g19953
+11 1
+.names II24625 II24626 g18553
+0- 1
+-0 1
+.names II24531 II24532 g18491
+0- 1
+-0 1
+.names II24453 II24454 g18431
+0- 1
+-0 1
+.names g18553 g18491 g18431 II26416
+111 1
+.names II24362 II24363 g18354
+0- 1
+-0 1
+.names II24272 II24273 g18276
+0- 1
+-0 1
+.names g18354 g18276 II26416 g19970
+111 1
+.names g5327 g18355 g19971
+11 1
+.names g5330 g18371 g19976
+11 1
+.names II24279 II24280 g18277
+0- 1
+-0 1
+.names II24187 II24188 g18189
+0- 1
+-0 1
+.names II24103 II24104 g18090
+0- 1
+-0 1
+.names g18277 g18189 g18090 II26432
+111 1
+.names II24029 II24030 g17992
+0- 1
+-0 1
+.names II23959 II23960 g17913
+0- 1
+-0 1
+.names g17992 g17913 II26432 g19982
+111 1
+.names g5352 g18432 g19983
+11 1
+.names II24695 II24696 g18603
+0- 1
+-0 1
+.names II24633 II24634 g18555
+0- 1
+-0 1
+.names II24554 II24555 g18504
+0- 1
+-0 1
+.names g18603 g18555 g18504 II26440
+111 1
+.names II24475 II24476 g18449
+0- 1
+-0 1
+.names II24381 II24382 g18369
+0- 1
+-0 1
+.names g18449 g18369 II26440 g20000
+111 1
+.names g5355 g18450 g20001
+11 1
+.names g5358 g18466 g20006
+11 1
+.names g18063 g3113 g20011
+11 1
+.names g16804 g3135 g20012
+11 1
+.names g17720 g12848 g20013
+11 1
+.names g7615 g16749 g20014
+11 1
+.names II24388 II24389 g18370
+0- 1
+-0 1
+.names II24299 II24300 g18296
+0- 1
+-0 1
+.names II24206 II24207 g18206
+0- 1
+-0 1
+.names g18370 g18296 g18206 II26464
+111 1
+.names II24124 II24125 g18109
+0- 1
+-0 1
+.names II24054 II24055 g18024
+0- 1
+-0 1
+.names g18109 g18024 II26464 g20020
+111 1
+.names g5369 g18505 g20021
+11 1
+.names II24744 II24745 g18635
+0- 1
+-0 1
+.names II24703 II24704 g18605
+0- 1
+-0 1
+.names II24656 II24657 g18568
+0- 1
+-0 1
+.names g18635 g18605 g18568 II26472
+111 1
+.names II24576 II24577 g18522
+0- 1
+-0 1
+.names II24494 II24495 g18464
+0- 1
+-0 1
+.names g18522 g18464 II26472 g20038
+111 1
+.names g5372 g18523 g20039
+11 1
+.names g5375 g18539 g20044
+11 1
+.names g16749 g3127 g20048
+11 1
+.names g17878 g3155 g20049
+11 1
+.names g18070 g3161 g20050
+11 1
+.names g18063 g3114 g20051
+11 1
+.names g16804 g3134 g20052
+11 1
+.names g17720 g12875 g20053
+11 1
+.names II24501 II24502 g18465
+0- 1
+-0 1
+.names II24408 II24409 g18389
+0- 1
+-0 1
+.names II24318 II24319 g18313
+0- 1
+-0 1
+.names g18465 g18389 g18313 II26500
+111 1
+.names II24227 II24228 g18225
+0- 1
+-0 1
+.names II24149 II24150 g18141
+0- 1
+-0 1
+.names g18225 g18141 II26500 g20062
+111 1
+.names g5382 g18569 g20063
+11 1
+.names II24764 II24765 g18644
+0- 1
+-0 1
+.names II24752 II24753 g18637
+0- 1
+-0 1
+.names II24726 II24727 g18618
+0- 1
+-0 1
+.names g18644 g18637 g18618 II26508
+111 1
+.names II24678 II24679 g18586
+0- 1
+-0 1
+.names II24595 II24596 g18537
+0- 1
+-0 1
+.names g18586 g18537 II26508 g20080
+111 1
+.names g5385 g18587 g20081
+11 1
+.names g17969 g3158 g20084
+11 1
+.names g18170 g3164 g20085
+11 1
+.names g18337 g3170 g20086
+11 1
+.names g16749 g7574 g20087
+11 1
+.names g16836 g3147 g20088
+11 1
+.names g17969 g9160 g20089
+11 1
+.names g18063 g3120 g20090
+11 1
+.names g16804 g3136 g20091
+11 1
+.names g16749 g7603 g20092
+11 1
+.names g18656 g18670 g18692 II26525
+111 1
+.names g13657 g13677 g13750 II26525 g20093
+1111 1
+.names g18656 g14837 g13657 II26528
+111 1
+.names g13677 g13706 II26528 g20094
+111 1
+.names II24602 II24603 g18538
+0- 1
+-0 1
+.names II24521 II24522 g18484
+0- 1
+-0 1
+.names II24427 II24428 g18406
+0- 1
+-0 1
+.names g18538 g18484 g18406 II26541
+111 1
+.names II24339 II24340 g18332
+0- 1
+-0 1
+.names II24252 II24253 g18257
+0- 1
+-0 1
+.names g18332 g18257 II26541 g20103
+111 1
+.names g5391 g18619 g20104
+11 1
+.names g18261 g3167 g20106
+11 1
+.names g18415 g3173 g20107
+11 1
+.names g18543 g3179 g20108
+11 1
+.names g17878 g9504 g20109
+11 1
+.names g18070 g9286 g20110
+11 1
+.names g18261 g9884 g20111
+11 1
+.names g16749 g3132 g20112
+11 1
+.names g16836 g3142 g20113
+11 1
+.names g17969 g9755 g20114
+11 1
+.names g16804 g3139 g20115
+11 1
+.names g14776 g18670 g18720 II26558
+111 1
+.names g16142 g13677 g13706 II26558 g20116
+1111 1
+.names g14776 g18720 g13657 II26561
+111 1
+.names g16189 g13706 II26561 g20117
+111 1
+.names g18679 g18699 g18728 II26564
+111 1
+.names g13687 g13714 g13791 II26564 g20118
+1111 1
+.names g18679 g14910 g13687 II26567
+111 1
+.names g13714 g13756 II26567 g20119
+111 1
+.names g18486 g3176 g20131
+11 1
+.names g18593 g3182 g20132
+11 1
+.names g18170 g9505 g20133
+11 1
+.names g18337 g9506 g20134
+11 1
+.names g18486 g9885 g20135
+11 1
+.names g17878 g9423 g20136
+11 1
+.names g18070 g9226 g20137
+11 1
+.names g18261 g9756 g20138
+11 1
+.names g16836 g3151 g20139
+11 1
+.names g14797 g14895 g16679
+00 1
+.names g16679 g16884 g16665 g20144
+111 1
+.names g14776 g18670 g16142 g16189 g20145
+1111 1
+.names g14811 g18699 g18758 II26590
+111 1
+.names g16201 g13714 g13756 II26590 g20146
+1111 1
+.names g14811 g18758 g13687 II26593
+111 1
+.names g16254 g13756 II26593 g20147
+111 1
+.names g18708 g18735 g18765 II26596
+111 1
+.names g13724 g13764 g13819 II26596 g20148
+1111 1
+.names g18708 g14991 g13724 II26599
+111 1
+.names g13764 g13797 II26599 g20149
+111 1
+.names g16809 g3185 g20156
+11 1
+.names g18415 g9287 g20157
+11 1
+.names g18543 g9886 g20158
+11 1
+.names g16809 g9288 g20159
+11 1
+.names g18170 g9424 g20160
+11 1
+.names g18337 g9426 g20161
+11 1
+.names g18486 g9757 g20162
+11 1
+.names g14797 g18692 g13657 II26615
+111 1
+.names g13677 g13750 II26615 g20177
+111 1
+.names g14849 g14976 g16705
+00 1
+.names g16705 g16913 g16686 g20182
+111 1
+.names g14811 g18699 g16201 g16254 g20183
+1111 1
+.names g14863 g18735 g18789 II26621
+111 1
+.names g16266 g13764 g13797 II26621 g20184
+1111 1
+.names g14863 g18789 g13724 II26624
+111 1
+.names g16313 g13797 II26624 g20185
+111 1
+.names g18744 g18772 g18796 II26627
+111 1
+.names g13774 g13805 g13840 II26627 g20186
+1111 1
+.names g18744 g15080 g13774 II26630
+111 1
+.names g13805 g13825 II26630 g20187
+111 1
+.names g18593 g9425 g20188
+11 1
+.names g16825 g9289 g20189
+11 1
+.names g18415 g9227 g20190
+11 1
+.names g18543 g9758 g20191
+11 1
+.names g16809 g9228 g20192
+11 1
+.names g18656 g18670 g16142 II26639
+111 1
+.names g13677 g13706 II26639 g20197
+111 1
+.names g14849 g18728 g13687 II26645
+111 1
+.names g13714 g13791 II26645 g20211
+111 1
+.names g14922 g15065 g16736
+00 1
+.names g16736 g16943 g16712 g20216
+111 1
+.names g14863 g18735 g16266 g16313 g20217
+1111 1
+.names g14936 g18772 g18815 II26651
+111 1
+.names g16325 g13805 g13825 II26651 g20218
+1111 1
+.names g14936 g18815 g13774 II26654
+111 1
+.names g16371 g13825 II26654 g20219
+111 1
+.names g18593 g9355 g20220
+11 1
+.names g16825 g10099 g20221
+11 1
+.names g18656 g18720 g13657 g16293 g20222
+1111 1
+.names g18679 g18699 g16201 II26661
+111 1
+.names g13714 g13756 II26661 g20227
+111 1
+.names g14922 g18765 g13724 II26667
+111 1
+.names g13764 g13819 II26667 g20241
+111 1
+.names g15003 g15161 g16778
+00 1
+.names g16778 g16974 g16743 g20246
+111 1
+.names g14936 g18772 g16325 g16371 g20247
+1111 1
+.names g18656 g14837 g16293 g20248
+111 1
+.names g18679 g18758 g13687 g16351 g20249
+1111 1
+.names g18708 g18735 g16266 II26676
+111 1
+.names g13764 g13797 II26676 g20254
+111 1
+.names g15003 g18796 g13774 II26682
+111 1
+.names g13805 g13840 II26682 g20268
+111 1
+.names g14797 g18692 g13657 g16243 g20270
+1111 1
+.names g18679 g14910 g16351 g20271
+111 1
+.names g18708 g18789 g13724 g16395 g20272
+1111 1
+.names g18744 g18772 g16325 II26690
+111 1
+.names g13805 g13825 II26690 g20277
+111 1
+.names g18670 g18692 g16142 II26695
+111 1
+.names g13677 g16243 II26695 g20280
+111 1
+.names g14849 g18728 g13687 g16302 g20282
+1111 1
+.names g18708 g14991 g16395 g20283
+111 1
+.names g18744 g18815 g13774 g16433 g20284
+1111 1
+.names g16846 g8103 g20285
+11 1
+.names g18699 g18728 g16201 II26708
+111 1
+.names g13714 g16302 II26708 g20291
+111 1
+.names g14922 g18765 g13724 g16360 g20293
+1111 1
+.names g18744 g15080 g16433 g20294
+111 1
+.names g18735 g18765 g16266 II26726
+111 1
+.names g13764 g16360 II26726 g20307
+111 1
+.names g15003 g18796 g13774 g16404 g20309
+1111 1
+.names g18772 g18796 g16325 II26745
+111 1
+.names g13805 g16404 II26745 g20326
+111 1
+.names g17351 g13644 g20460
+11 1
+.names g17314 g13669 g20472
+11 1
+.names g17313 g11827 g20480
+11 1
+.names g17281 g11859 g20486
+11 1
+.names g17258 g11894 g20492
+11 1
+.names g17648 g11933 g20499
+11 1
+.names g17566 g11973 g20502
+11 1
+.names g16298 g13318 g17507
+00 1
+.names g17507 g13817 g20503
+11 1
+.names g17499 g12025 g20506
+11 1
+.names g17445 g13836 g20512
+11 1
+.names g17394 g13849 g20525
+11 1
+.names g18656 g14837 g13657 g16189 g20538
+1111 1
+.names g4809 g19064 g20640
+11 1
+.names g5888 g19075 g20647
+11 1
+.names g4985 g19081 g20665
+11 1
+.names g5712 g19113 g20809
+11 1
+.names g5770 g19118 g20826
+11 1
+.names g5829 g19125 g20836
+11 1
+.names g5885 g19132 g20840
+11 1
+.names g20016 g14079 g14165 g21049
+111 1
+.names g20193 g12030 g21067
+11 1
+.names g20058 g14194 g14280 g21068
+111 1
+.names g20223 g12094 g21077
+11 1
+.names g20099 g14309 g14402 g21078
+111 1
+.names g19484 g14158 g19001 g21085
+111 1
+.names g20193 g12142 g21086
+11 1
+.names g20250 g12166 g21091
+11 1
+.names g20124 g14431 g14514 g21092
+111 1
+.names g19505 g14273 g16507 g21097
+111 1
+.names g20223 g12204 g21098
+11 1
+.names g20273 g12228 g21103
+11 1
+.names g19444 g17893 g14079 g21107
+111 1
+.names g19524 g14395 g16529 g21111
+111 1
+.names g20250 g12259 g21112
+11 1
+.names g19001 g16867 g20054
+00 1
+.names g20054 g14244 g21121
+11 1
+.names g20140 g12279 g21122
+11 1
+.names g19970 g19982 g21123
+11 1
+.names g19471 g18004 g14194 g21124
+111 1
+.names g19534 g14507 g16560 g21128
+111 1
+.names g20273 g12302 g21129
+11 1
+.names II25682 II25683 g19318
+0- 1
+-0 1
+.names II25634 II25635 g19300
+0- 1
+-0 1
+.names II25596 II25597 g19286
+0- 1
+-0 1
+.names g19318 g19300 g19286 II27695
+111 1
+.names II25561 II25562 g19271
+0- 1
+-0 1
+.names II25533 II25534 g19261
+0- 1
+-0 1
+.names g19271 g19261 II27695 g21136
+111 1
+.names g5750 g19272 g21137
+11 1
+.names g19484 g14347 g21138
+11 1
+.names g16507 g16895 g20095
+00 1
+.names g20095 g14366 g21140
+11 1
+.names g20178 g12315 g21141
+11 1
+.names g20000 g20020 g21142
+11 1
+.names g19494 g18121 g14309 g21143
+111 1
+.names II25540 II25541 g19262
+0- 1
+-0 1
+.names II25839 II25840 g19414
+0- 1
+-0 1
+.names II25801 II25802 g19386
+0- 1
+-0 1
+.names g19262 g19414 g19386 II27711
+111 1
+.names II25762 II25763 g19357
+0- 1
+-0 1
+.names II25722 II25723 g19334
+0- 1
+-0 1
+.names g19357 g19334 II27711 g21152
+111 1
+.names g20054 g16543 g16501 g21153
+111 1
+.names g20193 g12333 g21154
+11 1
+.names g20140 g12336 g21155
+11 1
+.names II25732 II25733 g19345
+0- 1
+-0 1
+.names II25691 II25692 g19321
+0- 1
+-0 1
+.names II25644 II25645 g19304
+0- 1
+-0 1
+.names g19345 g19321 g19304 II27717
+111 1
+.names II25606 II25607 g19290
+0- 1
+-0 1
+.names II25572 II25573 g19276
+0- 1
+-0 1
+.names g19290 g19276 II27717 g21156
+111 1
+.names g5809 g19291 g21157
+11 1
+.names g19505 g14459 g21158
+11 1
+.names g16529 g16924 g20120
+00 1
+.names g20120 g14478 g21160
+11 1
+.names g20212 g12343 g21161
+11 1
+.names g20038 g20062 g21162
+11 1
+.names g19515 g18237 g14431 g21163
+111 1
+.names II25579 II25580 g19277
+0- 1
+-0 1
+.names II25881 II25882 g19451
+0- 1
+-0 1
+.names II25847 II25848 g19416
+0- 1
+-0 1
+.names g19277 g19451 g19416 II27733
+111 1
+.names II25810 II25811 g19389
+0- 1
+-0 1
+.names II25772 II25773 g19368
+0- 1
+-0 1
+.names g19389 g19368 II27733 g21172
+111 1
+.names g20095 g16575 g16523 g21173
+111 1
+.names g20223 g12363 g21174
+11 1
+.names g20178 g12366 g21175
+11 1
+.names II25782 II25783 g19379
+0- 1
+-0 1
+.names II25741 II25742 g19348
+0- 1
+-0 1
+.names II25701 II25702 g19325
+0- 1
+-0 1
+.names g19379 g19348 g19325 II27739
+111 1
+.names II25654 II25655 g19308
+0- 1
+-0 1
+.names II25617 II25618 g19295
+0- 1
+-0 1
+.names g19308 g19295 II27739 g21176
+111 1
+.names g5865 g19309 g21177
+11 1
+.names g19524 g14546 g21178
+11 1
+.names g16560 g16954 g20150
+00 1
+.names g20150 g14565 g21180
+11 1
+.names g20242 g12373 g21181
+11 1
+.names g20080 g20103 g21182
+11 1
+.names g20140 g12379 g21188
+11 1
+.names II25624 II25625 g19296
+0- 1
+-0 1
+.names II25914 II25915 g19478
+0- 1
+-0 1
+.names II25889 II25890 g19453
+0- 1
+-0 1
+.names g19296 g19478 g19453 II27755
+111 1
+.names II25856 II25857 g19419
+0- 1
+-0 1
+.names II25820 II25821 g19400
+0- 1
+-0 1
+.names g19419 g19400 II27755 g21192
+111 1
+.names g20120 g16599 g16554 g21193
+111 1
+.names g20250 g12382 g21194
+11 1
+.names g20212 g12385 g21195
+11 1
+.names II25830 II25831 g19411
+0- 1
+-0 1
+.names II25791 II25792 g19382
+0- 1
+-0 1
+.names II25751 II25752 g19352
+0- 1
+-0 1
+.names g19411 g19382 g19352 II27761
+111 1
+.names II25711 II25712 g19329
+0- 1
+-0 1
+.names II25665 II25666 g19313
+0- 1
+-0 1
+.names g19329 g19313 II27761 g21196
+111 1
+.names g5912 g19330 g21197
+11 1
+.names g19534 g14601 g21198
+11 1
+.names g20178 g12409 g21203
+11 1
+.names II25672 II25673 g19314
+0- 1
+-0 1
+.names II25939 II25940 g19501
+0- 1
+-0 1
+.names II25922 II25923 g19480
+0- 1
+-0 1
+.names g19314 g19501 g19480 II27772
+111 1
+.names II25898 II25899 g19456
+0- 1
+-0 1
+.names II25866 II25867 g19430
+0- 1
+-0 1
+.names g19456 g19430 II27772 g21207
+111 1
+.names g20150 g16619 g16586 g21208
+111 1
+.names g20273 g12412 g21209
+11 1
+.names g20242 g12415 g21210
+11 1
+.names g20212 g12421 g21218
+11 1
+.names g20242 g12426 g21226
+11 1
+.names g19578 g14797 g16665 g21229
+111 1
+.names g19608 g14849 g16686 g21234
+111 1
+.names g19641 g14922 g16712 g21243
+111 1
+.names g16665 g16884 g20299
+00 1
+.names g20299 g14837 g21245
+11 1
+.names g19681 g15003 g16743 g21251
+111 1
+.names g19578 g14895 g21252
+11 1
+.names g16686 g16913 g20318
+00 1
+.names g20318 g14910 g21254
+11 1
+.names g20299 g16722 g16682 g21259
+111 1
+.names g19608 g14976 g21260
+11 1
+.names g16712 g16943 g20337
+00 1
+.names g20337 g14991 g21262
+11 1
+.names g20318 g16764 g16708 g21267
+111 1
+.names g19641 g15065 g21268
+11 1
+.names g16743 g16974 g20357
+00 1
+.names g20357 g15080 g21270
+11 1
+.names g20337 g16791 g16739 g21276
+111 1
+.names g19681 g15161 g21277
+11 1
+.names g20357 g16820 g16781 g21283
+111 1
+.names g9356 g20269 g21284
+11 1
+.names g9356 g20278 g21290
+11 1
+.names g9293 g20279 g21291
+11 1
+.names g9453 g20281 g21292
+11 1
+.names g9356 g20286 g21298
+11 1
+.names g9293 g20287 g21299
+11 1
+.names g9232 g20288 g21300
+11 1
+.names g9453 g20289 g21301
+11 1
+.names g9374 g20290 g21302
+11 1
+.names g9595 g20292 g21303
+11 1
+.names g9293 g20296 g21304
+11 1
+.names g9232 g20297 g21305
+11 1
+.names g9187 g20298 g21306
+11 1
+.names g9453 g20302 g21307
+11 1
+.names g9374 g20303 g21308
+11 1
+.names g9310 g20304 g21309
+11 1
+.names g9595 g20305 g21310
+11 1
+.names g9471 g20306 g21311
+11 1
+.names g9737 g20308 g21312
+11 1
+.names g9232 g20311 g21313
+11 1
+.names g9187 g20312 g21314
+11 1
+.names g9161 g20313 g21315
+11 1
+.names g9374 g20315 g21319
+11 1
+.names g9310 g20316 g21320
+11 1
+.names g9248 g20317 g21321
+11 1
+.names g9595 g20321 g21322
+11 1
+.names g9471 g20322 g21323
+11 1
+.names g9391 g20323 g21324
+11 1
+.names g9737 g20324 g21325
+11 1
+.names g9613 g20325 g21326
+11 1
+.names g9187 g20327 g21328
+11 1
+.names g9161 g20328 g21329
+11 1
+.names g9150 g20329 g21330
+11 1
+.names g9310 g20330 g21334
+11 1
+.names g9248 g20331 g21335
+11 1
+.names g9203 g20332 g21336
+11 1
+.names g9471 g20334 g21337
+11 1
+.names g9391 g20335 g21338
+11 1
+.names g9326 g20336 g21339
+11 1
+.names g9737 g20340 g21340
+11 1
+.names g9613 g20341 g21341
+11 1
+.names g9488 g20342 g21342
+11 1
+.names g9161 g20344 g21343
+11 1
+.names g9150 g20345 g21344
+11 1
+.names g15096 g20346 g21345
+11 1
+.names g9248 g20347 g21349
+11 1
+.names g9203 g20348 g21350
+11 1
+.names g9174 g20349 g21351
+11 1
+.names g9391 g20350 g21352
+11 1
+.names g9326 g20351 g21353
+11 1
+.names g9264 g20352 g21354
+11 1
+.names g9613 g20354 g21355
+11 1
+.names g9488 g20355 g21356
+11 1
+.names g9407 g20356 g21357
+11 1
+.names g9507 g20361 g21360
+11 1
+.names g9150 g20362 g21361
+11 1
+.names g15096 g20363 g21362
+11 1
+.names g15022 g20364 g21363
+11 1
+.names g9203 g20366 g21367
+11 1
+.names g9174 g20367 g21368
+11 1
+.names g15188 g20368 g21369
+11 1
+.names g9326 g20369 g21370
+11 1
+.names g9264 g20370 g21371
+11 1
+.names g9216 g20371 g21372
+11 1
+.names g9488 g20372 g21373
+11 1
+.names g9407 g20373 g21374
+11 1
+.names g9342 g20374 g21375
+11 1
+.names g9507 g20378 g21378
+11 1
+.names g9427 g20379 g21379
+11 1
+.names g15096 g20380 g21380
+11 1
+.names g15022 g20381 g21381
+11 1
+.names g6201 g19657 g21388
+11 1
+.names g9649 g20384 g21389
+11 1
+.names g9174 g20385 g21390
+11 1
+.names g15188 g20386 g21391
+11 1
+.names g15118 g20387 g21392
+11 1
+.names g9264 g20389 g21393
+11 1
+.names g9216 g20390 g21394
+11 1
+.names g15274 g20391 g21395
+11 1
+.names g9407 g20392 g21396
+11 1
+.names g9342 g20393 g21397
+11 1
+.names g9277 g20394 g21398
+11 1
+.names g9507 g20397 g21401
+11 1
+.names g9427 g20398 g21402
+11 1
+.names g15022 g20399 g21403
+11 1
+.names g6363 g20402 g21410
+11 1
+.names g9649 g20403 g21411
+11 1
+.names g9569 g20404 g21412
+11 1
+.names g15188 g20405 g21413
+11 1
+.names g15118 g20406 g21414
+11 1
+.names g6290 g19705 g21418
+11 1
+.names g9795 g20409 g21419
+11 1
+.names g9216 g20410 g21420
+11 1
+.names g15274 g20411 g21421
+11 1
+.names g15210 g20412 g21422
+11 1
+.names g9342 g20414 g21423
+11 1
+.names g9277 g20415 g21424
+11 1
+.names g15366 g20416 g21425
+11 1
+.names g9427 g20420 g21428
+11 1
+.names g9649 g20422 g21438
+11 1
+.names g9569 g20423 g21439
+11 1
+.names g15118 g20424 g21440
+11 1
+.names g6568 g20427 g21444
+11 1
+.names g9795 g20428 g21445
+11 1
+.names g9711 g20429 g21446
+11 1
+.names g15274 g20430 g21447
+11 1
+.names g15210 g20431 g21448
+11 1
+.names g6427 g19749 g21452
+11 1
+.names g9941 g20434 g21453
+11 1
+.names g9277 g20435 g21454
+11 1
+.names g15366 g20436 g21455
+11 1
+.names g15296 g20437 g21456
+11 1
+.names g9569 g20442 g21476
+11 1
+.names g9795 g20444 g21480
+11 1
+.names g9711 g20445 g21481
+11 1
+.names g15210 g20446 g21482
+11 1
+.names g6832 g20449 g21486
+11 1
+.names g9941 g20450 g21487
+11 1
+.names g9857 g20451 g21488
+11 1
+.names g15366 g20452 g21489
+11 1
+.names g15296 g20453 g21490
+11 1
+.names g6632 g19792 g21494
+11 1
+.names g3006 g20456 g21497
+11 1
+.names g9711 g20461 g21517
+11 1
+.names g9941 g20463 g21521
+11 1
+.names g9857 g20464 g21522
+11 1
+.names g15296 g20465 g21523
+11 1
+.names g7134 g20468 g21527
+11 1
+.names g17802 g18265 g17882 II28068
+111 1
+.names g9857 g20476 g21553
+11 1
+.names g13907 g14238 g13946 II28096
+111 1
+.names g13886 g14153 g19799 II28096 g21564
+1111 1
+.names g17914 g18358 g17993 II28103
+111 1
+.names g3002 g19890 g21589
+11 1
+.names g16498 g19484 g14071 g21593
+111 1
+.names g13963 g14360 g14016 II28126
+111 1
+.names g13927 g14268 g19843 II28126 g21597
+1111 1
+.names g18025 g18453 g18110 II28133
+111 1
+.names g7522 g20490 g21610
+11 1
+.names g7471 g19915 g21611
+11 1
+.names g16520 g19505 g14186 g21622
+111 1
+.names g14033 g14472 g14107 II28155
+111 1
+.names g13983 g14390 g19876 II28155 g21626
+1111 1
+.names g18142 g18526 g18226 II28162
+111 1
+.names g7549 g20496 g21635
+11 1
+.names g3398 g20500 g21639
+11 1
+.names g16551 g19524 g14301 g21650
+111 1
+.names g14124 g14559 g14222 II28181
+111 1
+.names g14053 g14502 g19907 II28181 g21654
+1111 1
+.names g2896 g20501 g21658
+11 1
+.names g3398 g20504 g21666
+11 1
+.names g3554 g20505 g21670
+11 1
+.names g16583 g19534 g14423 g21681
+111 1
+.names g3398 g20516 g21687
+11 1
+.names g3554 g20517 g21695
+11 1
+.names g3710 g20518 g21699
+11 1
+.names g2892 g19978 g21707
+11 1
+.names g3554 g20534 g21723
+11 1
+.names g3710 g20535 g21731
+11 1
+.names g3866 g20536 g21735
+11 1
+.names g3710 g20553 g21749
+11 1
+.names g3866 g20554 g21757
+11 1
+.names g7607 g20045 g21758
+11 1
+.names g3866 g19078 g21773
+11 1
+.names g16679 g19578 g14776 g21805
+111 1
+.names g16705 g19608 g14811 g21812
+111 1
+.names g16736 g19641 g14863 g21818
+111 1
+.names g16778 g19681 g14936 g21822
+111 1
+.names g19302 g11749 g21891
+11 1
+.names g14685 g8580 g17057 g19288
+0-- 1
+-0- 1
+--0 1
+.names g19288 g13011 g21892
+11 1
+.names g19323 g11749 g21899
+11 1
+.names g14719 g8587 g17092 g19306
+0-- 1
+-0- 1
+--0 1
+.names g19306 g13011 g21900
+11 1
+.names g5715 g20513 g21906
+11 1
+.names g19350 g11749 g21911
+11 1
+.names g14747 g8594 g17130 g19327
+0-- 1
+-0- 1
+--0 1
+.names g19327 g13011 g21912
+11 1
+.names g4456 g20519 g21913
+11 1
+.names g5773 g20531 g21920
+11 1
+.names g19384 g11749 g21925
+11 1
+.names g14768 g8605 g17157 g19354
+0-- 1
+-0- 1
+--0 1
+.names g19354 g13011 g21926
+11 1
+.names g4632 g20539 g21931
+11 1
+.names g5832 g20550 g21938
+11 1
+.names g291 g21187 g21990
+11 1
+.names g978 g21202 g22004
+11 1
+.names g1672 g21217 g22015
+11 1
+.names g2366 g21225 g22020
+11 1
+.names g3088 g16825 g19141
+0- 1
+-0 1
+.names g20108 g20132 g20156 g21133
+000 1
+.names g20086 g20107 g20131 g21116
+000 1
+.names g19141 g21133 g21116 II28582
+111 1
+.names g20050 g20085 g20106 g21104
+000 1
+.names g20012 g20049 g20084 g21095
+000 1
+.names g20011 g20048 g21084
+00 1
+.names g20159 g20189 g21167
+00 1
+.names g20135 g20158 g20188 g21147
+000 1
+.names g20111 g20134 g20157 g21134
+000 1
+.names g21167 g21147 g21134 II28594
+111 1
+.names g20089 g20110 g20133 g21117
+000 1
+.names g20052 g20088 g20109 g21105
+000 1
+.names g20013 g20051 g20087 g21096
+000 1
+.names g20192 g20221 g21183
+00 1
+.names g20162 g20191 g20220 g21168
+000 1
+.names g20138 g20161 g20190 g21148
+000 1
+.names g21183 g21168 g21148 II28609
+111 1
+.names g20114 g20137 g20160 g21135
+000 1
+.names g20091 g20113 g20136 g21118
+000 1
+.names g20053 g20090 g20112 g21106
+000 1
+.names g21564 g20986 g22187
+11 1
+.names g21597 g21012 g22196
+11 1
+.names g21271 g16881 g22201
+11 1
+.names g21626 g21036 g22202
+11 1
+.names g21895 g11976 g22206
+11 1
+.names g21278 g16910 g22207
+11 1
+.names g21654 g21057 g22208
+11 1
+.names g21661 g12027 g22211
+11 1
+.names g21907 g12045 g22214
+11 1
+.names g21285 g16940 g22215
+11 1
+.names g21690 g12091 g22220
+11 1
+.names g21921 g12109 g22223
+11 1
+.names g21293 g16971 g22224
+11 1
+.names g21716 g12136 g22228
+11 1
+.names g21661 g12139 g22229
+11 1
+.names g21726 g12163 g22235
+11 1
+.names g21939 g12181 g22238
+11 1
+.names g21742 g12198 g22244
+11 1
+.names g21690 g12201 g22245
+11 1
+.names g21752 g12225 g22250
+11 1
+.names g21716 g12239 g22254
+11 1
+.names g21661 g12242 g22255
+11 1
+.names g21766 g12253 g22264
+11 1
+.names g21726 g12256 g22265
+11 1
+.names g92 g21529 g22270
+11 1
+.names g21742 g12282 g22272
+11 1
+.names g21690 g12285 g22273
+11 1
+.names g21782 g12296 g22281
+11 1
+.names g21752 g12299 g22282
+11 1
+.names g21716 g12312 g22285
+11 1
+.names g780 g21565 g22289
+11 1
+.names g21766 g12318 g22291
+11 1
+.names g21726 g12321 g22292
+11 1
+.names g21742 g12340 g22305
+11 1
+.names g1466 g21598 g22309
+11 1
+.names g21782 g12346 g22311
+11 1
+.names g21752 g12349 g22312
+11 1
+.names g21766 g12370 g22333
+11 1
+.names g2160 g21627 g22337
+11 1
+.names g88 g21184 g22340
+11 1
+.names g21782 g12389 g22358
+11 1
+.names g776 g21199 g22363
+11 1
+.names g1462 g21214 g22383
+11 1
+.names g2156 g21222 g22398
+11 1
+.names g646 g21861 g22483
+11 1
+.names g13873 g21382 g22515
+11 1
+.names g20885 g17442 g22516
+11 1
+.names g21895 g12608 g22517
+11 1
+.names g1332 g21867 g22526
+11 1
+.names g13886 g21404 g22546
+11 1
+.names g13895 g21415 g22555
+11 1
+.names g20904 g17523 g22556
+11 1
+.names g21907 g12654 g22557
+11 1
+.names g2026 g21872 g22566
+11 1
+.names g13907 g21429 g22577
+11 1
+.names g21895 g12699 g22581
+11 1
+.names g13927 g21441 g22587
+11 1
+.names g13936 g21449 g22595
+11 1
+.names g20928 g17613 g22596
+11 1
+.names g21921 g12708 g22597
+11 1
+.names g2720 g21876 g22606
+11 1
+.names g13946 g21458 g22607
+11 1
+.names g660 g21473 g22610
+11 1
+.names g13963 g21477 g22614
+11 1
+.names g21907 g12756 g22618
+11 1
+.names g13983 g21483 g22624
+11 1
+.names g13992 g21491 g22632
+11 1
+.names g20956 g17710 g22633
+11 1
+.names g21939 g12765 g22634
+11 1
+.names g14767 g19552 g20841
+00 1
+.names g20841 g10927 g22637
+11 1
+.names g14001 g21498 g22638
+11 1
+.names g14016 g21505 g22643
+11 1
+.names g1346 g21514 g22646
+11 1
+.names g14033 g21518 g22650
+11 1
+.names g21921 g12798 g22654
+11 1
+.names g14053 g21524 g22660
+11 1
+.names g19691 g19726 g20920
+00 1
+.names g20920 g6153 g22665
+11 1
+.names g21825 g20014 g22666
+11 1
+.names g14062 g21530 g22667
+11 1
+.names g14092 g21537 g22674
+11 1
+.names g14107 g21541 g22679
+11 1
+.names g2040 g21550 g22682
+11 1
+.names g14124 g21554 g22686
+11 1
+.names g21939 g12837 g22690
+11 1
+.names g7338 g21883 g22699
+11 1
+.names g7146 g21558 g22700
+11 1
+.names g18174 g21561 g22701
+11 1
+.names g14177 g21566 g22707
+11 1
+.names g14207 g21573 g22714
+11 1
+.names g14222 g21577 g22719
+11 1
+.names g2734 g21586 g22722
+11 1
+.names g3036 g21886 g22726
+11 1
+.names g14238 g21590 g22727
+11 1
+.names g18281 g21594 g22732
+11 1
+.names g14292 g21599 g22738
+11 1
+.names g14322 g21606 g22745
+11 1
+.names g14342 g21612 g22754
+11 1
+.names g14360 g21619 g22759
+11 1
+.names g18374 g21623 g22764
+11 1
+.names g14414 g21628 g22770
+11 1
+.names g14454 g21640 g22788
+11 1
+.names g14472 g21647 g22793
+11 1
+.names g18469 g21651 g22798
+11 1
+.names g2920 g21655 g22804
+11 1
+.names g14541 g21671 g22830
+11 1
+.names g14559 g21678 g22835
+11 1
+.names g7583 g21902 g22841
+11 1
+.names g3032 g21682 g22842
+11 1
+.names g14596 g21700 g22869
+11 1
+.names g7587 g21708 g22874
+11 1
+.names g2924 g21927 g22906
+11 1
+.names g16840 g21400 g22984
+11 1
+.names g20842 g15859 g23104
+11 1
+.names g5857 g21050 g23106
+11 1
+.names g20850 g15890 g23118
+11 1
+.names g5904 g21069 g23119
+11 1
+.names g20858 g15923 g23127
+11 1
+.names g5943 g21079 g23128
+11 1
+.names g20866 g15952 g23138
+11 1
+.names g5977 g21093 g23139
+11 1
+.names g21533 g22408 g23409
+11 1
+.names g21569 g22421 g23414
+11 1
+.names g21271 g20842 g22755
+00 1
+.names g22755 g19577 g23419
+11 1
+.names g21602 g22443 g23423
+11 1
+.names g21278 g20850 g22789
+00 1
+.names g22789 g19607 g23428
+11 1
+.names g21631 g22476 g23432
+11 1
+.names g21285 g20858 g22831
+00 1
+.names g22831 g19640 g23434
+11 1
+.names g21293 g20866 g22870
+00 1
+.names g22870 g19680 g23440
+11 1
+.names g18552 g22547 g23451
+11 1
+.names g18602 g22588 g23458
+11 1
+.names g17988 g22609 g23462
+11 1
+.names g18634 g22625 g23467
+11 1
+.names g18105 g22645 g23471
+11 1
+.names g18643 g22661 g23476
+11 1
+.names g22945 g8847 g23483
+11 1
+.names g18221 g22681 g23484
+11 1
+.names g18328 g22721 g23494
+11 1
+.names g5802 g22300 g23496
+11 1
+.names g5890 g22753 g23510
+11 1
+.names g5858 g22328 g23512
+11 1
+.names g5929 g22787 g23525
+11 1
+.names g5905 g22353 g23527
+11 1
+.names g5963 g22829 g23536
+11 1
+.names g5944 g22376 g23538
+11 1
+.names g5992 g22868 g23544
+11 1
+.names g8062 g22405 g23547
+11 1
+.names g8132 g22409 g23550
+11 1
+.names g8135 g22412 g23551
+11 1
+.names g6136 g22415 g23552
+11 1
+.names g8147 g22418 g23554
+11 1
+.names g8200 g22422 g23558
+11 1
+.names g8203 g22425 g23559
+11 1
+.names g8206 g22428 g23560
+11 1
+.names g8218 g22431 g23563
+11 1
+.names g8221 g22434 g23564
+11 1
+.names g6146 g22437 g23565
+11 1
+.names g8233 g22440 g23567
+11 1
+.names g3931 g22445 g23571
+11 1
+.names g3934 g22448 g23572
+11 1
+.names g3937 g22451 g23573
+11 1
+.names g3957 g22455 g23577
+11 1
+.names g3960 g22458 g23578
+11 1
+.names g3963 g22461 g23579
+11 1
+.names g3975 g22464 g23582
+11 1
+.names g3978 g22467 g23583
+11 1
+.names g6167 g22470 g23584
+11 1
+.names g3990 g22473 g23586
+11 1
+.names g4009 g22477 g23590
+11 1
+.names g4012 g22480 g23591
+11 1
+.names g17640 g22986 g23592
+11 1
+.names g19441 g20885 g22845
+0- 1
+-0 1
+.names g22845 g20365 g23593
+11 1
+.names g4038 g22484 g23598
+11 1
+.names g4041 g22487 g23599
+11 1
+.names g4044 g22490 g23600
+11 1
+.names g4064 g22494 g23604
+11 1
+.names g4067 g22497 g23605
+11 1
+.names g4070 g22500 g23606
+11 1
+.names g4082 g22503 g23609
+11 1
+.names g4085 g22506 g23610
+11 1
+.names g6194 g22509 g23611
+11 1
+.names g4107 g22512 g23615
+11 1
+.names g17724 g22988 g23616
+11 1
+.names g16075 g20842 g21271 g22810
+000 1
+.names g22810 g20382 g23617
+11 1
+.names g20842 g20885 g22608
+0- 1
+-0 1
+.names g22608 g20383 g23618
+11 1
+.names g4136 g22520 g23622
+11 1
+.names g4139 g22523 g23623
+11 1
+.names g17741 g22989 g23624
+11 1
+.names g19468 g20904 g22880
+0- 1
+-0 1
+.names g22880 g20388 g23625
+11 1
+.names g4165 g22527 g23630
+11 1
+.names g4168 g22530 g23631
+11 1
+.names g4171 g22533 g23632
+11 1
+.names g4191 g22537 g23636
+11 1
+.names g4194 g22540 g23637
+11 1
+.names g4197 g22543 g23638
+11 1
+.names g21825 g22805 g23639
+11 1
+.names g17802 g22991 g23643
+11 1
+.names g16075 g20885 g22784
+00 1
+.names g22784 g17500 g23659
+11 1
+.names g4246 g22552 g23664
+11 1
+.names g17825 g22995 g23665
+11 1
+.names g16113 g20850 g21278 g22851
+000 1
+.names g22851 g20407 g23666
+11 1
+.names g20850 g20904 g22644
+0- 1
+-0 1
+.names g22644 g20408 g23667
+11 1
+.names g4275 g22560 g23671
+11 1
+.names g4278 g22563 g23672
+11 1
+.names g17842 g22996 g23673
+11 1
+.names g19491 g20928 g22915
+0- 1
+-0 1
+.names g22915 g20413 g23674
+11 1
+.names g4304 g22567 g23679
+11 1
+.names g4307 g22570 g23680
+11 1
+.names g4310 g22573 g23681
+11 1
+.names g17882 g22998 g23686
+11 1
+.names g16075 g21271 g22668
+0- 1
+-0 1
+.names g22668 g17570 g23687
+11 1
+.names g6513 g23001 g23689
+11 1
+.names g17914 g23002 g23693
+11 1
+.names g16113 g20904 g22826
+00 1
+.names g22826 g17591 g23709
+11 1
+.names g4401 g22592 g23714
+11 1
+.names g17937 g23006 g23715
+11 1
+.names g16164 g20858 g21285 g22886
+000 1
+.names g22886 g20432 g23716
+11 1
+.names g20858 g20928 g22680
+0- 1
+-0 1
+.names g22680 g20433 g23717
+11 1
+.names g4430 g22600 g23721
+11 1
+.names g4433 g22603 g23722
+11 1
+.names g17954 g23007 g23723
+11 1
+.names g19512 g20956 g22940
+0- 1
+-0 1
+.names g22940 g20438 g23724
+11 1
+.names g21825 g22843 g23726
+11 1
+.names g17974 g23008 g23734
+11 1
+.names g22949 g9450 g23735
+11 1
+.names g17993 g23012 g23740
+11 1
+.names g16113 g21278 g22708
+0- 1
+-0 1
+.names g22708 g17667 g23741
+11 1
+.names g6777 g23015 g23743
+11 1
+.names g18025 g23016 g23747
+11 1
+.names g16164 g20928 g22865
+00 1
+.names g22865 g17688 g23763
+11 1
+.names g4570 g22629 g23768
+11 1
+.names g18048 g23020 g23769
+11 1
+.names g16223 g20866 g21293 g22921
+000 1
+.names g22921 g20454 g23770
+11 1
+.names g20866 g20956 g22720
+0- 1
+-0 1
+.names g22720 g20455 g23771
+11 1
+.names g21825 g22875 g23772
+11 1
+.names g18074 g23021 g23776
+11 1
+.names g22949 g9528 g23777
+11 1
+.names g22954 g9531 g23778
+11 1
+.names g18091 g23024 g23789
+11 1
+.names g22958 g9592 g23790
+11 1
+.names g18110 g23028 g23795
+11 1
+.names g16164 g21285 g22739
+0- 1
+-0 1
+.names g22739 g17767 g23796
+11 1
+.names g7079 g23031 g23798
+11 1
+.names g18142 g23032 g23802
+11 1
+.names g16223 g20956 g22900
+00 1
+.names g22900 g17788 g23818
+11 1
+.names g3013 g23036 g23820
+11 1
+.names g14148 g23037 g23822
+11 1
+.names g22949 g9641 g23824
+11 1
+.names g22954 g9644 g23825
+11 1
+.names g18190 g23038 g23829
+11 1
+.names g22958 g9670 g23830
+11 1
+.names g22962 g9673 g23831
+11 1
+.names g18207 g23041 g23842
+11 1
+.names g22966 g9734 g23843
+11 1
+.names g18226 g23045 g23848
+11 1
+.names g16223 g21293 g22771
+0- 1
+-0 1
+.names g22771 g17868 g23849
+11 1
+.names g7329 g23048 g23851
+11 1
+.names g17719 g15453 g19179
+00 1
+.names g19179 g22696 g23852
+11 1
+.names g18265 g23049 g23854
+11 1
+.names g22954 g9767 g23855
+11 1
+.names g14263 g23056 g23857
+11 1
+.names g22958 g9787 g23859
+11 1
+.names g22962 g9790 g23860
+11 1
+.names g18297 g23057 g23864
+11 1
+.names g22966 g9816 g23865
+11 1
+.names g22971 g9819 g23866
+11 1
+.names g18314 g23060 g23877
+11 1
+.names g22975 g9880 g23878
+11 1
+.names g18341 g23064 g23886
+11 1
+.names g18358 g23069 g23888
+11 1
+.names g22962 g9913 g23889
+11 1
+.names g14385 g23074 g23891
+11 1
+.names g22966 g9933 g23893
+11 1
+.names g22971 g9936 g23894
+11 1
+.names g18390 g23075 g23898
+11 1
+.names g22975 g9962 g23899
+11 1
+.names g22980 g9965 g23900
+11 1
+.names g3010 g22750 g23904
+11 1
+.names g18436 g23079 g23907
+11 1
+.names g18453 g23082 g23909
+11 1
+.names g22971 g10067 g23910
+11 1
+.names g14497 g23087 g23912
+11 1
+.names g22975 g10087 g23914
+11 1
+.names g22980 g10090 g23915
+11 1
+.names g7545 g23088 g23917
+11 1
+.names g18509 g23095 g23939
+11 1
+.names g18526 g23098 g23941
+11 1
+.names g22980 g10176 g23942
+11 1
+.names g7570 g23103 g23944
+11 1
+.names g18573 g23112 g23971
+11 1
+.names g2903 g23115 g23972
+11 1
+.names g2900 g22903 g24029
+11 1
+.names g22014 g10969 g24211
+11 1
+.names g22825 g10999 g24217
+11 1
+.names g22979 g11042 g24221
+11 1
+.names g22219 g11045 g24224
+11 1
+.names g22232 g11105 g24229
+11 1
+.names g22243 g11157 g24236
+11 1
+.names g22259 g11228 g24241
+11 1
+.names g21982 g11291 g24246
+11 1
+.names g22551 g11297 g24247
+11 1
+.names g21995 g11370 g24253
+11 1
+.names g22003 g11438 g24256
+11 1
+.names g17086 g24134 g13626 g24427
+111 1
+.names g24115 g13614 g24429
+11 1
+.names g17124 g24153 g13637 g24431
+111 1
+.names g14642 g15904 g24115 g24432
+111 1
+.names g24134 g13626 g24433
+11 1
+.names g17151 g24168 g13649 g24435
+111 1
+.names g14669 g15933 g24134 g24436
+111 1
+.names g24153 g13637 g24437
+11 1
+.names g14703 g15962 g24153 g24439
+111 1
+.names g24168 g13649 g24440
+11 1
+.names g14737 g15981 g24168 g24441
+111 1
+.names g22984 g20285 g23545
+00 1
+.names g20092 g20115 g20139 g21119
+000 1
+.names g18414 g18485 g20295 g21227
+000 1
+.names g19933 g17896 g23403 g24529
+111 1
+.names g18548 g23089 g23403 g24540
+111 1
+.names g23420 g17896 g23052 g24541
+111 1
+.names g19950 g18007 g23410 g24542
+111 1
+.names g18548 g23420 g19948 g24550
+111 1
+.names g18598 g23107 g23410 g24552
+111 1
+.names g23429 g18007 g23071 g24553
+111 1
+.names g19977 g18124 g23415 g24554
+111 1
+.names g79 g23448 g24559
+11 1
+.names g18598 g23429 g19975 g24561
+111 1
+.names g18630 g23120 g23415 g24563
+111 1
+.names g23435 g18124 g23084 g24564
+111 1
+.names g20007 g18240 g23424 g24565
+111 1
+.names g767 g23455 g24569
+11 1
+.names g18630 g23435 g20005 g24571
+111 1
+.names g18639 g23129 g23424 g24573
+111 1
+.names g23441 g18240 g23100 g24574
+111 1
+.names g1453 g23464 g24578
+11 1
+.names g18639 g23441 g20043 g24580
+111 1
+.names g2147 g23473 g24585
+11 1
+.names g23486 g23478 g24590
+11 1
+.names g83 g23853 g24591
+11 1
+.names g23502 g23489 g24595
+11 1
+.names g771 g23887 g24596
+11 1
+.names g23518 g23505 g24603
+11 1
+.names g1457 g23908 g24604
+11 1
+.names g23533 g23521 g24610
+11 1
+.names g2151 g23940 g24611
+11 1
+.names g17203 g24115 g24644
+11 1
+.names g17208 g24134 g24664
+11 1
+.names g17214 g24153 g24683
+11 1
+.names g17217 g24168 g24700
+11 1
+.names g9232 g9150 g12780 g15454
+0-- 1
+-0- 1
+--0 1
+.names g15454 g24096 g24745
+11 1
+.names g15454 g24098 g24746
+11 1
+.names g9427 g24099 g24747
+11 1
+.names g672 g24101 g24748
+11 1
+.names g9310 g9174 g12819 g15540
+0-- 1
+-0- 1
+--0 1
+.names g15540 g24102 g24749
+11 1
+.names g15454 g24104 g24750
+11 1
+.names g9427 g24105 g24751
+11 1
+.names g9507 g24106 g24752
+11 1
+.names g15540 g24107 g24754
+11 1
+.names g9569 g24108 g24755
+11 1
+.names g1358 g24110 g24757
+11 1
+.names g9391 g9216 g12857 g15618
+0-- 1
+-0- 1
+--0 1
+.names g15618 g24111 g24758
+11 1
+.names g21825 g23885 g24759
+11 1
+.names g9427 g24112 g24760
+11 1
+.names g9507 g24113 g24761
+11 1
+.names g12876 g24114 g24762
+11 1
+.names g15540 g24121 g24767
+11 1
+.names g9569 g24122 g24768
+11 1
+.names g9649 g24123 g24769
+11 1
+.names g15618 g24124 g24772
+11 1
+.names g9711 g24125 g24773
+11 1
+.names g2052 g24127 g24774
+11 1
+.names g9488 g9277 g12898 g15694
+0-- 1
+-0- 1
+--0 1
+.names g15694 g24128 g24775
+11 1
+.names g9507 g24129 g24776
+11 1
+.names g12876 g24130 g24777
+11 1
+.names g9569 g24131 g24779
+11 1
+.names g9649 g24132 g24780
+11 1
+.names g12916 g24133 g24781
+11 1
+.names g15618 g24140 g24788
+11 1
+.names g9711 g24141 g24789
+11 1
+.names g9795 g24142 g24790
+11 1
+.names g15694 g24143 g24792
+11 1
+.names g9857 g24144 g24793
+11 1
+.names g2746 g24146 g24794
+11 1
+.names g22637 g22665 g24232
+00 1
+.names g12017 g24232 g24795
+11 1
+.names g12876 g24147 g24796
+11 1
+.names g9649 g24148 g24798
+11 1
+.names g12916 g24149 g24799
+11 1
+.names g9711 g24150 g24802
+11 1
+.names g9795 g24151 g24803
+11 1
+.names g12945 g24152 g24804
+11 1
+.names g15694 g24159 g24809
+11 1
+.names g9857 g24160 g24810
+11 1
+.names g9941 g24161 g24811
+11 1
+.names g21825 g23905 g24813
+11 1
+.names g12916 g24162 g24818
+11 1
+.names g9795 g24163 g24821
+11 1
+.names g12945 g24164 g24822
+11 1
+.names g9857 g24165 g24824
+11 1
+.names g9941 g24166 g24825
+11 1
+.names g12974 g24167 g24826
+11 1
+.names g20885 g22175 g24100
+0- 1
+-0 1
+.names g24100 g20401 g24831
+11 1
+.names g12945 g24175 g24838
+11 1
+.names g9941 g24176 g24840
+11 1
+.names g12974 g24177 g24841
+11 1
+.names g21825 g23918 g24843
+11 1
+.names g20904 g22190 g24109
+0- 1
+-0 1
+.names g24109 g20426 g24846
+11 1
+.names g12974 g24180 g24853
+11 1
+.names g18174 g23731 g24855
+11 1
+.names g24047 g18873 g24858
+11 1
+.names g20928 g22199 g24126
+0- 1
+-0 1
+.names g24126 g20448 g24861
+11 1
+.names g666 g23779 g24867
+11 1
+.names g24047 g18894 g24869
+11 1
+.names g18281 g23786 g24870
+11 1
+.names g24060 g18899 g24874
+11 1
+.names g20956 g22205 g24145
+0- 1
+-0 1
+.names g24145 g20467 g24876
+11 1
+.names g19830 g24210 g24878
+11 1
+.names g24047 g18912 g24881
+11 1
+.names g1352 g23832 g24882
+11 1
+.names g24060 g18917 g24884
+11 1
+.names g18374 g23839 g24885
+11 1
+.names g24073 g18922 g24888
+11 1
+.names g24060 g18931 g24898
+11 1
+.names g2046 g23867 g24899
+11 1
+.names g24073 g18936 g24901
+11 1
+.names g18469 g23874 g24902
+11 1
+.names g24084 g18941 g24905
+11 1
+.names g18886 g23879 g24906
+11 1
+.names g7466 g24220 g24907
+11 1
+.names g7342 g23882 g24908
+11 1
+.names g24073 g18951 g24921
+11 1
+.names g2740 g23901 g24922
+11 1
+.names g24084 g18956 g24924
+11 1
+.names g24084 g18967 g24938
+11 1
+.names g7595 g24251 g24964
+11 1
+.names g7600 g24030 g24974
+11 1
+.names g23444 g10880 g25086
+11 1
+.names g23444 g10915 g25102
+11 1
+.names g23444 g10974 g25117
+11 1
+.names g17051 g24115 g13614 g25128
+111 1
+.names g24183 g529 g24623
+00 1
+.names g24623 g20634 g25178
+11 1
+.names g24183 g530 g24636
+00 1
+.names g24636 g20673 g25181
+11 1
+.names g24183 g533 g24681
+00 1
+.names g24681 g20676 g25182
+11 1
+.names g24183 g534 g24694
+00 1
+.names g24694 g20735 g25184
+11 1
+.names g24094 g20842 g24633
+1- 1
+-1 1
+.names g24633 g16608 g25187
+11 1
+.names g24183 g531 g24652
+00 1
+.names g24652 g20763 g25188
+11 1
+.names g24183 g536 g24711
+00 1
+.names g24711 g20790 g25192
+11 1
+.names g24095 g20850 g24653
+1- 1
+-1 1
+.names g24653 g16626 g25193
+11 1
+.names g24097 g20858 g24672
+1- 1
+-1 1
+.names g24672 g16640 g25196
+11 1
+.names g24103 g20866 g24691
+1- 1
+-1 1
+.names g24691 g16651 g25198
+11 1
+.names g24648 g8700 g25269
+11 1
+.names g24648 g8714 g25277
+11 1
+.names g24668 g8719 g25278
+11 1
+.names g5606 g24815 g25281
+11 1
+.names g24648 g8748 g25282
+11 1
+.names g24668 g8752 g25286
+11 1
+.names g24687 g8757 g25287
+11 1
+.names g5631 g24834 g25289
+11 1
+.names g24668 g8771 g25290
+11 1
+.names g24687 g8775 g25294
+11 1
+.names g24704 g8780 g25295
+11 1
+.names g5659 g24850 g25299
+11 1
+.names g24687 g8794 g25300
+11 1
+.names g24704 g8798 g25304
+11 1
+.names g5697 g24864 g25309
+11 1
+.names g24704 g8813 g25310
+11 1
+.names g23688 g24183 g24682
+00 1
+.names g24682 g19358 g19335 g25318
+111 1
+.names g13880 g23483 g25075
+00 1
+.names g25075 g9669 g25321
+11 1
+.names g24644 g17892 g25328
+11 1
+.names g24644 g17984 g25334
+11 1
+.names g24664 g18003 g25337
+11 1
+.names g5851 g24600 g25342
+11 1
+.names g24644 g18084 g25346
+11 1
+.names g24664 g18101 g25348
+11 1
+.names g24683 g18120 g25351
+11 1
+.names g5898 g24607 g25356
+11 1
+.names g24664 g18200 g25360
+11 1
+.names g24683 g18217 g25362
+11 1
+.names g24700 g18236 g25365
+11 1
+.names g5937 g24619 g25371
+11 1
+.names g24683 g18307 g25375
+11 1
+.names g24700 g18324 g25377
+11 1
+.names g5971 g24630 g25388
+11 1
+.names g24700 g18400 g25392
+11 1
+.names g6142 g24763 g25453
+11 1
+.names g6163 g24784 g25457
+11 1
+.names g6190 g24805 g25461
+11 1
+.names g6222 g24827 g25466
+11 1
+.names g23593 g22516 g24479
+00 1
+.names g24479 g20400 g25470
+11 1
+.names g14148 g25087 g25475
+11 1
+.names g23617 g23659 g24480
+00 1
+.names g24480 g17567 g25482
+11 1
+.names g23618 g19696 g24481
+00 1
+.names g24481 g20421 g25483
+11 1
+.names g23625 g22556 g24485
+00 1
+.names g24485 g20425 g25487
+11 1
+.names g6707 g25094 g25505
+11 1
+.names g14263 g25095 g25506
+11 1
+.names g23666 g23709 g24487
+00 1
+.names g24487 g17664 g25513
+11 1
+.names g23667 g19740 g24488
+00 1
+.names g24488 g20443 g25514
+11 1
+.names g23674 g22596 g24489
+00 1
+.names g24489 g20447 g25518
+11 1
+.names g7009 g25104 g25552
+11 1
+.names g14385 g25105 g25553
+11 1
+.names g23716 g23763 g24494
+00 1
+.names g24494 g17764 g25560
+11 1
+.names g23717 g19783 g24495
+00 1
+.names g24495 g20462 g25561
+11 1
+.names g23724 g22633 g24496
+00 1
+.names g24496 g20466 g25565
+11 1
+.names g7259 g25110 g25618
+11 1
+.names g14497 g25111 g25619
+11 1
+.names g23770 g23818 g24504
+00 1
+.names g24504 g17865 g25626
+11 1
+.names g23771 g19825 g24505
+00 1
+.names g24505 g20477 g25627
+11 1
+.names g19836 g17877 g21008
+00 1
+.names g21008 g25115 g25628
+11 1
+.names g3024 g25116 g25629
+11 1
+.names g7455 g25120 g25697
+11 1
+.names g2908 g25126 g25881
+11 1
+.names g16211 g24229 g24800
+00 1
+.names g24800 g13670 g25951
+11 1
+.names g16161 g24224 g24783
+00 1
+.names g24783 g13699 g25953
+11 1
+.names g16160 g24221 g24782
+00 1
+.names g24782 g11869 g25957
+11 1
+.names g16119 g24217 g24770
+00 1
+.names g24770 g11901 g25961
+11 1
+.names g16089 g24211 g24756
+00 1
+.names g24756 g11944 g25963
+11 1
+.names g16422 g24256 g24871
+00 1
+.names g24871 g11986 g25968
+11 1
+.names g16390 g24253 g24859
+00 1
+.names g24859 g12042 g25972
+11 1
+.names g16356 g24247 g24847
+00 1
+.names g24847 g13838 g25973
+11 1
+.names g24183 g537 g24606
+00 1
+.names g24606 g21917 g25975
+11 1
+.names g16350 g24246 g24845
+00 1
+.names g24845 g12089 g25977
+11 1
+.names g16309 g24241 g24836
+00 1
+.names g24836 g13850 g25978
+11 1
+.names g24183 g532 g24663
+00 1
+.names g24663 g21928 g25980
+11 1
+.names g16262 g24236 g24819
+00 1
+.names g24819 g13858 g25981
+11 1
+.names g25422 g24912 g26023
+11 1
+.names g25301 g21102 g26024
+11 1
+.names g25431 g24929 g26026
+11 1
+.names g24482 g22319 g25418
+00 1
+.names g25418 g22271 g26027
+11 1
+.names g25438 g24941 g26028
+11 1
+.names g25445 g24952 g26029
+11 1
+.names g24482 g22319 g25429
+00 1
+.names g25429 g22304 g26030
+11 1
+.names g25379 g19415 g26032
+11 1
+.names g25395 g19452 g26033
+11 1
+.names g25405 g19479 g26034
+11 1
+.names g20842 g24429 g25523
+00 1
+.names g25523 g19483 g26035
+11 1
+.names g25413 g19502 g26036
+11 1
+.names g20850 g24433 g25589
+00 1
+.names g25589 g19504 g26038
+11 1
+.names g20858 g24437 g25668
+00 1
+.names g25668 g19523 g26039
+11 1
+.names g20866 g24440 g25745
+00 1
+.names g25745 g19533 g26040
+11 1
+.names g70 g25296 g26051
+11 1
+.names g24529 g24540 g25941
+00 1
+.names g25941 g21087 g26052
+11 1
+.names g758 g25306 g26053
+11 1
+.names g24542 g24552 g25944
+00 1
+.names g25944 g21099 g26054
+11 1
+.names g24541 g24550 g25943
+00 1
+.names g25943 g21108 g26060
+11 1
+.names g1444 g25315 g26061
+11 1
+.names g24554 g24563 g25947
+00 1
+.names g25947 g21113 g26062
+11 1
+.names g24553 g24561 g25946
+00 1
+.names g25946 g21125 g26067
+11 1
+.names g2138 g25324 g26068
+11 1
+.names g24565 g24573 g25949
+00 1
+.names g25949 g21130 g26069
+11 1
+.names g24564 g24571 g25948
+00 1
+.names g25948 g21144 g26074
+11 1
+.names g74 g25698 g26075
+11 1
+.names g24574 g24580 g25950
+00 1
+.names g25950 g21164 g26080
+11 1
+.names g762 g25771 g26082
+11 1
+.names g1448 g25825 g26085
+11 1
+.names g2142 g25860 g26091
+11 1
+.names g21825 g25630 g26157
+11 1
+.names g679 g25937 g26158
+11 1
+.names g1365 g25939 g26163
+11 1
+.names g686 g25454 g26166
+11 1
+.names g2059 g25942 g26171
+11 1
+.names g1372 g25458 g26186
+11 1
+.names g2753 g25945 g26188
+11 1
+.names g2066 g25463 g26207
+11 1
+.names g4217 g25467 g26212
+11 1
+.names g25895 g9306 g26213
+11 1
+.names g2760 g25472 g26231
+11 1
+.names g4340 g25476 g26233
+11 1
+.names g4343 g25479 g26234
+11 1
+.names g25895 g9368 g26235
+11 1
+.names g25899 g9371 g26236
+11 1
+.names g4372 g25484 g26243
+11 1
+.names g25903 g9387 g26244
+11 1
+.names g4465 g25493 g26257
+11 1
+.names g4468 g25496 g26258
+11 1
+.names g4471 g25499 g26259
+11 1
+.names g24831 g23687 g25254
+00 1
+.names g25254 g17649 g26260
+11 1
+.names g25895 g9443 g26261
+11 1
+.names g25899 g9446 g26262
+11 1
+.names g4476 g25502 g26263
+11 1
+.names g4509 g25507 g26268
+11 1
+.names g4512 g25510 g26269
+11 1
+.names g25903 g9465 g26270
+11 1
+.names g25907 g9468 g26271
+11 1
+.names g4541 g25515 g26278
+11 1
+.names g25911 g9484 g26279
+11 1
+.names g4592 g25524 g26288
+11 1
+.names g4595 g25527 g26289
+11 1
+.names g4598 g25530 g26290
+11 1
+.names g25899 g9524 g26291
+11 1
+.names g4603 g25533 g26292
+11 1
+.names g4606 g25536 g26293
+11 1
+.names g4641 g25540 g26298
+11 1
+.names g4644 g25543 g26299
+11 1
+.names g4647 g25546 g26300
+11 1
+.names g24846 g23741 g25258
+00 1
+.names g25258 g17749 g26301
+11 1
+.names g25903 g9585 g26302
+11 1
+.names g25907 g9588 g26303
+11 1
+.names g4652 g25549 g26307
+11 1
+.names g4685 g25554 g26309
+11 1
+.names g4688 g25557 g26310
+11 1
+.names g25911 g9607 g26311
+11 1
+.names g25915 g9610 g26312
+11 1
+.names g4717 g25562 g26316
+11 1
+.names g25919 g9626 g26317
+11 1
+.names g4737 g25573 g26318
+11 1
+.names g4740 g25576 g26319
+11 1
+.names g4743 g25579 g26324
+11 1
+.names g4746 g25582 g26325
+11 1
+.names g4749 g25585 g26326
+11 1
+.names g4769 g25590 g26332
+11 1
+.names g4772 g25593 g26333
+11 1
+.names g4775 g25596 g26334
+11 1
+.names g25907 g9666 g26335
+11 1
+.names g4780 g25599 g26339
+11 1
+.names g4783 g25602 g26340
+11 1
+.names g4818 g25606 g26342
+11 1
+.names g4821 g25609 g26343
+11 1
+.names g4824 g25612 g26344
+11 1
+.names g24861 g23796 g25261
+00 1
+.names g25261 g17850 g26345
+11 1
+.names g25911 g9727 g26346
+11 1
+.names g25915 g9730 g26347
+11 1
+.names g4829 g25615 g26348
+11 1
+.names g4862 g25620 g26350
+11 1
+.names g4865 g25623 g26351
+11 1
+.names g25919 g9749 g26352
+11 1
+.names g25923 g9752 g26353
+11 1
+.names g4882 g25634 g26357
+11 1
+.names g4888 g25637 g26361
+11 1
+.names g4891 g25640 g26362
+11 1
+.names g4894 g25643 g26363
+11 1
+.names g4913 g25652 g26365
+11 1
+.names g4916 g25655 g26366
+11 1
+.names g4919 g25658 g26371
+11 1
+.names g4922 g25661 g26372
+11 1
+.names g4925 g25664 g26373
+11 1
+.names g4945 g25669 g26379
+11 1
+.names g4948 g25672 g26380
+11 1
+.names g4951 g25675 g26381
+11 1
+.names g25915 g9812 g26382
+11 1
+.names g4956 g25678 g26383
+11 1
+.names g4959 g25681 g26384
+11 1
+.names g4994 g25685 g26386
+11 1
+.names g4997 g25688 g26387
+11 1
+.names g5000 g25691 g26388
+11 1
+.names g24876 g23849 g25264
+00 1
+.names g25264 g17962 g26389
+11 1
+.names g25919 g9873 g26390
+11 1
+.names g25923 g9876 g26391
+11 1
+.names g5005 g25694 g26392
+11 1
+.names g5027 g25700 g26396
+11 1
+.names g5030 g25703 g26397
+11 1
+.names g5041 g25711 g26400
+11 1
+.names g5047 g25714 g26404
+11 1
+.names g5050 g25717 g26405
+11 1
+.names g5053 g25720 g26406
+11 1
+.names g5072 g25729 g26408
+11 1
+.names g5075 g25732 g26409
+11 1
+.names g5078 g25735 g26414
+11 1
+.names g5081 g25738 g26415
+11 1
+.names g5084 g25741 g26416
+11 1
+.names g5104 g25746 g26422
+11 1
+.names g5107 g25749 g26423
+11 1
+.names g5110 g25752 g26424
+11 1
+.names g25923 g9958 g26425
+11 1
+.names g5115 g25755 g26426
+11 1
+.names g5118 g25758 g26427
+11 1
+.names g5145 g25767 g26432
+11 1
+.names g5156 g25773 g26437
+11 1
+.names g5159 g25776 g26438
+11 1
+.names g5170 g25784 g26441
+11 1
+.names g5176 g25787 g26445
+11 1
+.names g5179 g25790 g26446
+11 1
+.names g5182 g25793 g26447
+11 1
+.names g5201 g25802 g26449
+11 1
+.names g5204 g25805 g26450
+11 1
+.names g5207 g25808 g26455
+11 1
+.names g5210 g25811 g26456
+11 1
+.names g5213 g25814 g26457
+11 1
+.names g5238 g25821 g26464
+11 1
+.names g5249 g25827 g26469
+11 1
+.names g5252 g25830 g26470
+11 1
+.names g5263 g25838 g26473
+11 1
+.names g5269 g25841 g26477
+11 1
+.names g5272 g25844 g26478
+11 1
+.names g5275 g25847 g26479
+11 1
+.names g5301 g25856 g26488
+11 1
+.names g5312 g25862 g26493
+11 1
+.names g5315 g25865 g26494
+11 1
+.names g5338 g25877 g26504
+11 1
+.names g25274 g21066 g26663
+11 1
+.names g25283 g21076 g26668
+11 1
+.names g8580 g10730 g12431
+00 1
+.names g12431 g25318 g26673
+11 1
+.names g25291 g21090 g26674
+11 1
+.names g14657 g26508 g26754
+11 1
+.names g25426 g22319 g26083
+00 1
+.names g26083 g22239 g26755
+11 1
+.names g25426 g22319 g26113
+00 1
+.names g26113 g22240 g26756
+11 1
+.names g15962 g15942 g14677 g16614
+000 1
+.names g16614 g26521 g13637 g26758
+111 1
+.names g16539 g25183 g26356
+0- 1
+-0 1
+.names g26356 g19251 g26759
+11 1
+.names g6068 g24183 g25355 g26137
+000 1
+.names g26137 g22256 g26760
+11 1
+.names g6068 g24183 g25329 g26154
+000 1
+.names g26154 g22257 g26761
+11 1
+.names g14691 g26516 g26763
+11 1
+.names g15981 g15971 g14711 g16632
+000 1
+.names g16632 g26525 g13649 g26764
+111 1
+.names g16571 g25186 g26399
+0- 1
+-0 1
+.names g26399 g19265 g26765
+11 1
+.names g14725 g26521 g26766
+11 1
+.names g6068 g24183 g25319 g26087
+000 1
+.names g26087 g22287 g26767
+11 1
+.names g16595 g25190 g26440
+0- 1
+-0 1
+.names g26440 g19280 g26768
+11 1
+.names g14753 g26525 g26769
+11 1
+.names g25422 g25379 g25274 g26059
+0-- 1
+-0- 1
+--0 1
+.names g26059 g19287 g26770
+11 1
+.names g24912 g26508 g13614 g26771
+111 1
+.names g6068 g24183 g25347 g26145
+000 1
+.names g26145 g22303 g26773
+11 1
+.names g16615 g25195 g26472
+0- 1
+-0 1
+.names g26472 g19299 g26774
+11 1
+.names g6068 g24183 g25313 g26099
+000 1
+.names g26099 g22318 g26775
+11 1
+.names g25431 g25395 g25283 g26066
+0-- 1
+-0- 1
+--0 1
+.names g26066 g19305 g26777
+11 1
+.names g24929 g26516 g13626 g26778
+111 1
+.names g8278 g14657 g25422 g25379 g26119
+0--- 1
+-0-- 1
+--0- 1
+---0 1
+.names g26119 g16622 g26780
+11 1
+.names g25438 g25405 g25291 g26073
+0-- 1
+-0- 1
+--0 1
+.names g26073 g19326 g26783
+11 1
+.names g24941 g26521 g13637 g26784
+111 1
+.names g8287 g14691 g25431 g25395 g26129
+0--- 1
+-0-- 1
+--0- 1
+---0 1
+.names g26129 g16636 g26787
+11 1
+.names g25445 g25413 g25301 g26079
+0-- 1
+-0- 1
+--0 1
+.names g26079 g19353 g26790
+11 1
+.names g24952 g26525 g13649 g26791
+111 1
+.names g8296 g14725 g25438 g25405 g26143
+0--- 1
+-0-- 1
+--0- 1
+---0 1
+.names g26143 g16647 g26794
+11 1
+.names g8305 g14753 g25445 g25413 g26148
+0--- 1
+-0-- 1
+--0- 1
+---0 1
+.names g26148 g16659 g26797
+11 1
+.names g5623 g26209 g26829
+11 1
+.names g5651 g26237 g26833
+11 1
+.names g5689 g26275 g26842
+11 1
+.names g5664 g26056 g26845
+11 1
+.names g5741 g26313 g26851
+11 1
+.names g5716 g26063 g26853
+11 1
+.names g5774 g26070 g26860
+11 1
+.names g5833 g26076 g26866
+11 1
+.names g6157 g26533 g26955
+11 1
+.names g6184 g26538 g26958
+11 1
+.names g13907 g26175 g26961
+11 1
+.names g6180 g26178 g26962
+11 1
+.names g6216 g26539 g26963
+11 1
+.names g23066 g23051 g23320
+0- 1
+-0 1
+.names g23320 g26540 g26965
+11 1
+.names g13963 g26196 g26966
+11 1
+.names g6212 g26202 g26967
+11 1
+.names g6305 g26542 g26968
+11 1
+.names g23320 g26543 g26969
+11 1
+.names g19242 g21120 g19275 g21976
+0-- 1
+-0- 1
+--0 1
+.names g21976 g26544 g26970
+11 1
+.names g23080 g23070 g23325
+0- 1
+-0 1
+.names g23325 g26546 g26971
+11 1
+.names g14033 g26223 g26972
+11 1
+.names g6301 g26226 g26973
+11 1
+.names g23320 g26550 g26977
+11 1
+.names g21976 g26551 g26978
+11 1
+.names g22999 g22174 g23331
+0- 1
+-0 1
+.names g23331 g26552 g26979
+11 1
+.names g21980 g21975 g23360
+0- 1
+-0 1
+.names g23360 g26554 g26980
+11 1
+.names g23325 g26555 g26981
+11 1
+.names g19255 g21139 g19294 g21983
+0-- 1
+-0- 1
+--0 1
+.names g21983 g26556 g26982
+11 1
+.names g23096 g23083 g23335
+0- 1
+-0 1
+.names g23335 g26558 g26984
+11 1
+.names g14124 g26251 g26985
+11 1
+.names g6438 g26254 g26986
+11 1
+.names g21976 g26561 g26993
+11 1
+.names g23331 g26562 g26994
+11 1
+.names g21501 g21536 g21991
+0- 1
+-0 1
+.names g21991 g26563 g26995
+11 1
+.names g23360 g26564 g26996
+11 1
+.names g19450 g21244 g19503 g22050
+0-- 1
+-0- 1
+--0 1
+.names g22050 g26565 g26997
+11 1
+.names g23325 g26566 g26998
+11 1
+.names g21983 g26567 g26999
+11 1
+.names g23013 g22189 g23340
+0- 1
+-0 1
+.names g23340 g26568 g27000
+11 1
+.names g21987 g21981 g23364
+0- 1
+-0 1
+.names g23364 g26570 g27001
+11 1
+.names g23335 g26571 g27002
+11 1
+.names g19268 g21159 g19312 g21996
+0-- 1
+-0- 1
+--0 1
+.names g21996 g26572 g27003
+11 1
+.names g23113 g23099 g23344
+0- 1
+-0 1
+.names g23344 g26574 g27004
+11 1
+.names g23331 g26578 g27005
+11 1
+.names g21991 g26579 g27006
+11 1
+.names g23360 g26580 g27007
+11 1
+.names g22050 g26581 g27008
+11 1
+.names g23135 g22288 g23368
+0- 1
+-0 1
+.names g23368 g26582 g27009
+11 1
+.names g21983 g26584 g27016
+11 1
+.names g23340 g26585 g27017
+11 1
+.names g21540 g21572 g22005
+0- 1
+-0 1
+.names g22005 g26586 g27018
+11 1
+.names g23364 g26587 g27019
+11 1
+.names g19477 g21253 g19522 g22069
+0-- 1
+-0- 1
+--0 1
+.names g22069 g26588 g27020
+11 1
+.names g23335 g26589 g27021
+11 1
+.names g21996 g26590 g27022
+11 1
+.names g23029 g22198 g23349
+0- 1
+-0 1
+.names g23349 g26591 g27023
+11 1
+.names g22000 g21988 g23372
+0- 1
+-0 1
+.names g23372 g26593 g27024
+11 1
+.names g23344 g26594 g27025
+11 1
+.names g19283 g21179 g19333 g22009
+0-- 1
+-0- 1
+--0 1
+.names g22009 g26595 g27026
+11 1
+.names g21991 g26598 g27027
+11 1
+.names g22050 g26599 g27028
+11 1
+.names g23368 g26600 g27029
+11 1
+.names g21774 g21787 g22083
+0- 1
+-0 1
+.names g22083 g26601 g27030
+11 1
+.names g23340 g26602 g27031
+11 1
+.names g22005 g26603 g27032
+11 1
+.names g23364 g26604 g27033
+11 1
+.names g22069 g26605 g27034
+11 1
+.names g21968 g22308 g23377
+0- 1
+-0 1
+.names g23377 g26606 g27035
+11 1
+.names g21996 g26608 g27042
+11 1
+.names g23349 g26609 g27043
+11 1
+.names g21576 g21605 g22016
+0- 1
+-0 1
+.names g22016 g26610 g27044
+11 1
+.names g23372 g26611 g27045
+11 1
+.names g19500 g21261 g19532 g22093
+0-- 1
+-0- 1
+--0 1
+.names g22093 g26612 g27046
+11 1
+.names g23344 g26613 g27047
+11 1
+.names g22009 g26614 g27048
+11 1
+.names g23046 g22204 g23353
+0- 1
+-0 1
+.names g23353 g26615 g27049
+11 1
+.names g22013 g22001 g23381
+0- 1
+-0 1
+.names g23381 g26617 g27050
+11 1
+.names g4885 g26358 g27052
+11 1
+.names g23368 g26619 g27053
+11 1
+.names g22083 g26620 g27054
+11 1
+.names g22005 g26621 g27055
+11 1
+.names g22069 g26622 g27056
+11 1
+.names g23377 g26623 g27057
+11 1
+.names g21789 g21801 g22108
+0- 1
+-0 1
+.names g22108 g26624 g27058
+11 1
+.names g23349 g26625 g27059
+11 1
+.names g22016 g26626 g27060
+11 1
+.names g23372 g26627 g27061
+11 1
+.names g22093 g26628 g27062
+11 1
+.names g21971 g22336 g23388
+0- 1
+-0 1
+.names g23388 g26629 g27063
+11 1
+.names g22009 g26631 g27070
+11 1
+.names g23353 g26632 g27071
+11 1
+.names g21609 g21634 g22021
+0- 1
+-0 1
+.names g22021 g26633 g27072
+11 1
+.names g23381 g26634 g27073
+11 1
+.names g19521 g21269 g19542 g22118
+0-- 1
+-0- 1
+--0 1
+.names g22118 g26635 g27074
+11 1
+.names g5024 g26393 g27076
+11 1
+.names g22083 g26636 g27077
+11 1
+.names g5044 g26401 g27079
+11 1
+.names g23377 g26637 g27080
+11 1
+.names g22108 g26638 g27081
+11 1
+.names g22016 g26639 g27082
+11 1
+.names g22093 g26640 g27083
+11 1
+.names g23388 g26641 g27084
+11 1
+.names g21803 g21809 g22134
+0- 1
+-0 1
+.names g22134 g26642 g27085
+11 1
+.names g23353 g26643 g27086
+11 1
+.names g22021 g26644 g27087
+11 1
+.names g23381 g26645 g27088
+11 1
+.names g22118 g26646 g27089
+11 1
+.names g21973 g22361 g23395
+0- 1
+-0 1
+.names g23395 g26647 g27090
+11 1
+.names g5142 g26429 g27091
+11 1
+.names g5153 g26434 g27092
+11 1
+.names g22108 g26648 g27093
+11 1
+.names g5173 g26442 g27095
+11 1
+.names g23388 g26649 g27096
+11 1
+.names g22134 g26650 g27097
+11 1
+.names g22021 g26651 g27098
+11 1
+.names g22118 g26652 g27099
+11 1
+.names g23395 g26653 g27100
+11 1
+.names g21811 g21816 g22157
+0- 1
+-0 1
+.names g22157 g26654 g27101
+11 1
+.names g5235 g26461 g27103
+11 1
+.names g5246 g26466 g27104
+11 1
+.names g22134 g26656 g27105
+11 1
+.names g5266 g26474 g27107
+11 1
+.names g23395 g26657 g27108
+11 1
+.names g22157 g26658 g27109
+11 1
+.names g5298 g26485 g27110
+11 1
+.names g5309 g26490 g27111
+11 1
+.names g22157 g26662 g27112
+11 1
+.names g5335 g26501 g27115
+11 1
+.names g6068 g24183 g25305 g26110
+000 1
+.names g26110 g22213 g27178
+11 1
+.names g15904 g15880 g14630 g16570
+000 1
+.names g16570 g26508 g13614 g27181
+111 1
+.names g6068 g24183 g25335 g26151
+000 1
+.names g26151 g22217 g27182
+11 1
+.names g6068 g24183 g25368 g26126
+000 1
+.names g26126 g22230 g27185
+11 1
+.names g15933 g15913 g14650 g16594
+000 1
+.names g16594 g26516 g13626 g27187
+111 1
+.names g26096 g22319 g26905
+00 1
+.names g26905 g22241 g27240
+11 1
+.names II35124 II35125 g26934
+0- 1
+-0 1
+.names g10730 g26934 g27241
+11 1
+.names g26793 g8357 g27242
+11 1
+.names g26107 g22319 g26914
+00 1
+.names g26914 g22258 g27244
+11 1
+.names g26140 g22319 g26877
+00 1
+.names g26877 g22286 g27245
+11 1
+.names g24893 g26023 g26988
+00 1
+.names g26988 g16676 g27246
+11 1
+.names g24916 g26026 g27011
+00 1
+.names g27011 g16702 g27247
+11 1
+.names g24933 g26028 g27037
+00 1
+.names g27037 g16733 g27248
+11 1
+.names g24945 g26029 g27065
+00 1
+.names g27065 g16775 g27249
+11 1
+.names g61 g26837 g27355
+11 1
+.names g65 g26987 g27356
+11 1
+.names g749 g26846 g27358
+11 1
+.names g753 g27010 g27359
+11 1
+.names g1435 g26855 g27364
+11 1
+.names g1439 g27036 g27365
+11 1
+.names g27126 g8874 g27370
+11 1
+.names g2129 g26861 g27371
+11 1
+.names g2133 g27064 g27372
+11 1
+.names g17802 g27134 g27394
+11 1
+.names g692 g27135 g27396
+11 1
+.names g17914 g27136 g27407
+11 1
+.names g1378 g27137 g27409
+11 1
+.names g18025 g27138 g27425
+11 1
+.names g2072 g27139 g27427
+11 1
+.names g18142 g27141 g27446
+11 1
+.names g2766 g27142 g27448
+11 1
+.names g4456 g13565 g23009 g23945
+000 1
+.names g23945 g27146 g27495
+11 1
+.names g23945 g27148 g27509
+11 1
+.names g4632 g13573 g23025 g23974
+000 1
+.names g23974 g27151 g27516
+11 1
+.names g23945 g27153 g27530
+11 1
+.names g23974 g27155 g27534
+11 1
+.names g4809 g13582 g23042 g24004
+000 1
+.names g24004 g27159 g27541
+11 1
+.names g23974 g27162 g27552
+11 1
+.names g24004 g27164 g27554
+11 1
+.names g4985 g13602 g23061 g24038
+000 1
+.names g24038 g27167 g27561
+11 1
+.names g24004 g27172 g27568
+11 1
+.names g24038 g27173 g27570
+11 1
+.names g24038 g27177 g27578
+11 1
+.names g26796 g11004 g27656
+11 1
+.names g27114 g11051 g27657
+11 1
+.names g27132 g11114 g27659
+11 1
+.names g26835 g11117 g27660
+11 1
+.names g26841 g11173 g27661
+11 1
+.names g26849 g11243 g27666
+11 1
+.names g26140 g22319 g26885
+00 1
+.names g26885 g22212 g27671
+11 1
+.names g26854 g11312 g27673
+11 1
+.names g26782 g11386 g27679
+11 1
+.names g26983 g11392 g27680
+11 1
+.names g26788 g11456 g27681
+11 1
+.names g27185 g25178 g27496
+00 1
+.names g27496 g20649 g27719
+11 1
+.names g27182 g25980 g27481
+00 1
+.names g27481 g20652 g27720
+11 1
+.names g26775 g25192 g27579
+00 1
+.names g27579 g20655 g27721
+11 1
+.names g27178 g25975 g27464
+00 1
+.names g27464 g20679 g27723
+11 1
+.names g26761 g25182 g27532
+00 1
+.names g27532 g20704 g27725
+11 1
+.names g26760 g25181 g27531
+00 1
+.names g27531 g20732 g27726
+11 1
+.names g26770 g25187 g27414
+00 1
+.names g27414 g19301 g27727
+11 1
+.names g26767 g25184 g27564
+00 1
+.names g27564 g20766 g27728
+11 1
+.names g26777 g25193 g27435
+00 1
+.names g27435 g19322 g27729
+11 1
+.names g26783 g25196 g27454
+00 1
+.names g27454 g19349 g27730
+11 1
+.names g26790 g25198 g27470
+00 1
+.names g27470 g19383 g27731
+11 1
+.names g24958 g24633 g26771 g27492
+000 1
+.names g27492 g16758 g27732
+11 1
+.names g24969 g24653 g26778 g27513
+000 1
+.names g27513 g16785 g27733
+11 1
+.names g24982 g24672 g26784 g27538
+000 1
+.names g27538 g16814 g27734
+11 1
+.names g24993 g24691 g26791 g27558
+000 1
+.names g27558 g16832 g27737
+11 1
+.names g5642 g27449 g27770
+11 1
+.names g5680 g27465 g27772
+11 1
+.names g5732 g27484 g27773
+11 1
+.names g5702 g27361 g27774
+11 1
+.names g5790 g27506 g27775
+11 1
+.names g5760 g27367 g27779
+11 1
+.names g5819 g27373 g27783
+11 1
+.names g5875 g27376 g27790
+11 1
+.names g13873 g27387 g27904
+11 1
+.names g13886 g27391 g27908
+11 1
+.names g13895 g27397 g27909
+11 1
+.names g4017 g27401 g27913
+11 1
+.names g13927 g27404 g27914
+11 1
+.names g13936 g27410 g27915
+11 1
+.names g4112 g27416 g27922
+11 1
+.names g4144 g27419 g27923
+11 1
+.names g13983 g27422 g27924
+11 1
+.names g13992 g27428 g27926
+11 1
+.names g4221 g27432 g27931
+11 1
+.names g4251 g27437 g27935
+11 1
+.names g4283 g27440 g27936
+11 1
+.names g14053 g27443 g27938
+11 1
+.names g4376 g27451 g27945
+11 1
+.names g4406 g27456 g27949
+11 1
+.names g4438 g27459 g27951
+11 1
+.names g4545 g27467 g27963
+11 1
+.names g4575 g27472 g27968
+11 1
+.names g14238 g27475 g27970
+11 1
+.names g4721 g27486 g27984
+11 1
+.names g14342 g27489 g27985
+11 1
+.names g14360 g27498 g27991
+11 1
+.names g27590 g9770 g28008
+11 1
+.names g14454 g27510 g28009
+11 1
+.names g14472 g27518 g28015
+11 1
+.names g27590 g9895 g28027
+11 1
+.names g27595 g9898 g28028
+11 1
+.names g27599 g9916 g28035
+11 1
+.names g14541 g27535 g28036
+11 1
+.names g14559 g27543 g28042
+11 1
+.names g27590 g10018 g28050
+11 1
+.names g27595 g10021 g28051
+11 1
+.names g27599 g10049 g28057
+11 1
+.names g27604 g10052 g28058
+11 1
+.names g27608 g10070 g28065
+11 1
+.names g14596 g27555 g28066
+11 1
+.names g27595 g10109 g28073
+11 1
+.names g27599 g10127 g28079
+11 1
+.names g27604 g10130 g28080
+11 1
+.names g27608 g10158 g28086
+11 1
+.names g27613 g10161 g28087
+11 1
+.names g27617 g10179 g28094
+11 1
+.names g27604 g10214 g28098
+11 1
+.names g27608 g10232 g28104
+11 1
+.names g27613 g10235 g28105
+11 1
+.names g27617 g10263 g28111
+11 1
+.names g27622 g10266 g28112
+11 1
+.names g27613 g10316 g28116
+11 1
+.names g27617 g10334 g28122
+11 1
+.names g27622 g10337 g28123
+11 1
+.names g27622 g10409 g28127
+11 1
+.names g27349 g10898 g28171
+11 1
+.names g27349 g10940 g28176
+11 1
+.names g27349 g11008 g28188
+11 1
+.names g26773 g25188 g27573
+00 1
+.names g27573 g21914 g28193
+11 1
+.names g6087 g27632 g25385 g27855
+000 1
+.names g27855 g22246 g28319
+11 1
+.names g27632 g1218 g27854
+00 1
+.names g27854 g20637 g28320
+11 1
+.names g16321 g27666 g27937
+00 1
+.names g27937 g13868 g28322
+11 1
+.names II36301 II36302 g27838
+0- 1
+-0 1
+.names g8580 g27838 g28323
+11 1
+.names g27632 g1215 g27810
+00 1
+.names g27810 g20659 g28324
+11 1
+.names g6087 g27632 g25370 g27865
+000 1
+.names g27865 g22274 g28326
+11 1
+.names g6087 g27632 g25338 g27900
+000 1
+.names g27900 g22275 g28327
+11 1
+.names g27632 g1216 g27823
+00 1
+.names g27823 g20708 g28329
+11 1
+.names g27632 g1219 g27864
+00 1
+.names g27864 g20711 g28330
+11 1
+.names g6087 g27632 g25330 g27802
+000 1
+.names g27802 g22307 g28331
+11 1
+.names g6087 g27632 g25361 g27883
+000 1
+.names g27883 g22331 g28332
+11 1
+.names g27632 g1220 g27882
+00 1
+.names g27882 g20772 g28333
+11 1
+.names g27632 g1217 g27842
+00 1
+.names g27842 g20793 g28334
+11 1
+.names g6087 g27632 g25322 g27814
+000 1
+.names g27814 g22343 g28335
+11 1
+.names g27632 g1222 g27896
+00 1
+.names g27896 g20810 g28336
+11 1
+.names g26032 g27246 g28002
+00 1
+.names g28002 g19448 g28337
+11 1
+.names g26033 g27247 g28029
+00 1
+.names g28029 g19475 g28338
+11 1
+.names g26034 g27248 g28059
+00 1
+.names g28059 g19498 g28339
+11 1
+.names g26036 g27249 g28088
+00 1
+.names g28088 g19519 g28340
+11 1
+.names g56 g27969 g28373
+11 1
+.names g744 g27990 g28376
+11 1
+.names g52 g27776 g28378
+11 1
+.names g23742 g27632 g27868
+00 1
+.names g27868 g19390 g19369 g28379
+111 1
+.names g1430 g28014 g28380
+11 1
+.names g13902 g27370 g28157
+00 1
+.names g28157 g9815 g28381
+11 1
+.names g740 g27780 g28383
+11 1
+.names g2124 g28041 g28385
+11 1
+.names g1426 g27787 g28387
+11 1
+.names g2120 g27794 g28389
+11 1
+.names g7754 g27806 g28396
+11 1
+.names g7769 g27817 g28398
+11 1
+.names g7776 g27820 g28399
+11 1
+.names g7782 g27831 g28401
+11 1
+.names g7785 g27839 g28402
+11 1
+.names g7792 g27843 g28404
+11 1
+.names g7796 g27847 g28405
+11 1
+.names g7799 g27858 g28407
+11 1
+.names g7806 g27861 g28408
+11 1
+.names g7809 g27872 g28411
+11 1
+.names g7812 g27879 g28412
+11 1
+.names g7823 g27889 g28416
+11 1
+.names g17640 g28150 g28422
+11 1
+.names g17724 g28152 g28423
+11 1
+.names g17741 g28153 g28424
+11 1
+.names g28128 g9170 g28426
+11 1
+.names g26092 g28154 g28427
+11 1
+.names g17825 g28155 g28428
+11 1
+.names g17842 g28156 g28429
+11 1
+.names g28128 g9196 g28430
+11 1
+.names g26092 g28158 g28431
+11 1
+.names g28133 g9212 g28433
+11 1
+.names g26114 g28159 g28434
+11 1
+.names g17937 g28160 g28435
+11 1
+.names g17954 g28161 g28436
+11 1
+.names g17882 g27919 g28438
+11 1
+.names g28128 g9242 g28439
+11 1
+.names g26092 g28162 g28440
+11 1
+.names g28133 g9257 g28441
+11 1
+.names g26114 g28163 g28442
+11 1
+.names g28137 g9273 g28444
+11 1
+.names g26121 g28164 g28445
+11 1
+.names g18048 g28165 g28446
+11 1
+.names g17974 g27928 g28448
+11 1
+.names g17993 g27932 g28450
+11 1
+.names g28133 g9320 g28451
+11 1
+.names g26114 g28166 g28452
+11 1
+.names g28137 g9335 g28453
+11 1
+.names g26121 g28167 g28454
+11 1
+.names g28141 g9351 g28456
+11 1
+.names g26131 g28168 g28457
+11 1
+.names g18074 g27939 g28459
+11 1
+.names g18091 g27942 g28460
+11 1
+.names g18110 g27946 g28462
+11 1
+.names g28137 g9401 g28463
+11 1
+.names g26121 g28169 g28464
+11 1
+.names g28141 g9416 g28465
+11 1
+.names g26131 g28170 g28466
+11 1
+.names g18265 g28172 g28468
+11 1
+.names g18179 g27952 g28469
+11 1
+.names g18190 g27956 g28471
+11 1
+.names g18207 g27959 g28472
+11 1
+.names g18226 g27965 g28474
+11 1
+.names g28141 g9498 g28475
+11 1
+.names g26131 g28173 g28476
+11 1
+.names g18341 g28174 g28477
+11 1
+.names g18358 g28175 g28478
+11 1
+.names g18286 g27973 g28479
+11 1
+.names g18297 g27977 g28480
+11 1
+.names g18314 g27981 g28481
+11 1
+.names g18436 g28177 g28484
+11 1
+.names g18453 g28178 g28485
+11 1
+.names g18379 g27994 g28486
+11 1
+.names g18390 g27999 g28487
+11 1
+.names g18509 g28186 g28492
+11 1
+.names g18526 g28187 g28493
+11 1
+.names g18474 g28018 g28494
+11 1
+.names g18573 g28190 g28497
+11 1
+.names g16276 g27661 g27925
+00 1
+.names g27925 g13700 g28657
+11 1
+.names g16220 g27660 g27917
+00 1
+.names g27917 g13736 g28659
+11 1
+.names g16219 g27659 g27916
+00 1
+.names g27916 g11911 g28660
+11 1
+.names g16170 g27657 g27911
+00 1
+.names g27911 g11951 g28662
+11 1
+.names g16127 g27656 g27906
+00 1
+.names g27906 g11997 g28663
+11 1
+.names g16456 g27242 g27997
+00 1
+.names g27997 g12055 g28664
+11 1
+.names g6087 g27632 g25314 g27827
+000 1
+.names g27827 g22222 g28665
+11 1
+.names g16428 g27681 g27980
+00 1
+.names g27980 g12106 g28666
+11 1
+.names g16400 g27680 g27964
+00 1
+.names g27964 g13852 g28667
+11 1
+.names g6087 g27632 g25349 g27897
+000 1
+.names g27897 g22233 g28669
+11 1
+.names g27632 g1223 g27798
+00 1
+.names g27798 g21935 g28670
+11 1
+.names g16394 g27679 g27962
+00 1
+.names g27962 g12161 g28671
+11 1
+.names g16367 g27673 g27950
+00 1
+.names g27950 g13859 g28672
+11 1
+.names g8587 g10749 g12436
+00 1
+.names g12436 g28379 g28707
+11 1
+.names g27886 g22344 g28392
+00 1
+.names g28392 g22260 g28708
+11 1
+.names g27886 g22344 g28400
+00 1
+.names g28400 g22261 g28709
+11 1
+.names g27811 g22344 g28403
+00 1
+.names g28403 g22262 g28710
+11 1
+.names II37357 II37358 g28415
+0- 1
+-0 1
+.names g10749 g28415 g28711
+11 1
+.names g27824 g22344 g28406
+00 1
+.names g28406 g22276 g28712
+11 1
+.names g27748 g22344 g28410
+00 1
+.names g28410 g22290 g28713
+11 1
+.names g27869 g22344 g28394
+00 1
+.names g28394 g22306 g28714
+11 1
+.names g27748 g22344 g28414
+00 1
+.names g28414 g22332 g28715
+11 1
+.names g27727 g26780 g28449
+00 1
+.names g28449 g19319 g28716
+11 1
+.names g27729 g26787 g28461
+00 1
+.names g28461 g19346 g28717
+11 1
+.names g27730 g26794 g28473
+00 1
+.names g28473 g19380 g28718
+11 1
+.names g27731 g26797 g28482
+00 1
+.names g28482 g19412 g28719
+11 1
+.names g26035 g27732 g28523
+00 1
+.names g28523 g16694 g28722
+11 1
+.names g26038 g27733 g28551
+00 1
+.names g28551 g16725 g28724
+11 1
+.names g26039 g27734 g28578
+00 1
+.names g28578 g16767 g28726
+11 1
+.names g26040 g27737 g28606
+00 1
+.names g28606 g16794 g28729
+11 1
+.names g5751 g28483 g28834
+11 1
+.names g5810 g28491 g28836
+11 1
+.names g5866 g28496 g28838
+11 1
+.names g5913 g28500 g28840
+11 1
+.names g27478 g14630 g27834
+00 1
+.names g27834 g28554 g28841
+11 1
+.names g27834 g28581 g28843
+11 1
+.names g27501 g14650 g27850
+00 1
+.names g27850 g28582 g28844
+11 1
+.names g27834 g28608 g28846
+11 1
+.names g27850 g28609 g28847
+11 1
+.names g27521 g14677 g27875
+00 1
+.names g27875 g28610 g28848
+11 1
+.names g27850 g28616 g28849
+11 1
+.names g27875 g28617 g28850
+11 1
+.names g27546 g14711 g27892
+00 1
+.names g27892 g28618 g28851
+11 1
+.names g27875 g28623 g28852
+11 1
+.names g27892 g28624 g28853
+11 1
+.names g27892 g28629 g28854
+11 1
+.names g13946 g28639 g28880
+11 1
+.names g28612 g9199 g28881
+11 1
+.names g14001 g28640 g28892
+11 1
+.names g28612 g9245 g28893
+11 1
+.names g14016 g28641 g28897
+11 1
+.names g28619 g9260 g28898
+11 1
+.names g14062 g28642 g28909
+11 1
+.names g28612 g9303 g28910
+11 1
+.names g14092 g28643 g28914
+11 1
+.names g28619 g9323 g28915
+11 1
+.names g14107 g28644 g28919
+11 1
+.names g28625 g9338 g28923
+11 1
+.names g14153 g28645 g28931
+11 1
+.names g14177 g28646 g28935
+11 1
+.names g28619 g9384 g28936
+11 1
+.names g14207 g28647 g28940
+11 1
+.names g28625 g9404 g28944
+11 1
+.names g14222 g28648 g28948
+11 1
+.names g28630 g9419 g28949
+11 1
+.names g14268 g28649 g28958
+11 1
+.names g14292 g28650 g28962
+11 1
+.names g28625 g9481 g28966
+11 1
+.names g14322 g28651 g28970
+11 1
+.names g28630 g9501 g28971
+11 1
+.names g14390 g28652 g28986
+11 1
+.names g14414 g28653 g28996
+11 1
+.names g28630 g9623 g28997
+11 1
+.names g14502 g28655 g29022
+11 1
+.names g27869 g22344 g28397
+00 1
+.names g28397 g22221 g29130
+11 1
+.names g28319 g28324 g29031
+00 1
+.names g29031 g20684 g29174
+11 1
+.names g28669 g28320 g29009
+00 1
+.names g29009 g20687 g29175
+11 1
+.names g28335 g28336 g29097
+00 1
+.names g29097 g20690 g29176
+11 1
+.names g28665 g28670 g28982
+00 1
+.names g28982 g20714 g29180
+11 1
+.names g28327 g28330 g29064
+00 1
+.names g29064 g20739 g29183
+11 1
+.names g28326 g28329 g29063
+00 1
+.names g29063 g20769 g29186
+11 1
+.names g28331 g28333 g29083
+00 1
+.names g29083 g20796 g29188
+11 1
+.names g15022 g28741 g29196
+11 1
+.names g15096 g28751 g29200
+11 1
+.names g15118 g28755 g29203
+11 1
+.names g15188 g28764 g29208
+11 1
+.names g15210 g28768 g29211
+11 1
+.names g15274 g28775 g29217
+11 1
+.names g15296 g28779 g29220
+11 1
+.names g15366 g28785 g29225
+11 1
+.names g9293 g28791 g29229
+11 1
+.names g9356 g28796 g29232
+11 1
+.names g9374 g28799 g29233
+11 1
+.names g9427 g28804 g29234
+11 1
+.names g9453 g28807 g29235
+11 1
+.names g9471 g28810 g29236
+11 1
+.names g9569 g28814 g29238
+11 1
+.names g9595 g28817 g29239
+11 1
+.names g9613 g28820 g29240
+11 1
+.names g9711 g28823 g29241
+11 1
+.names g9737 g28826 g29242
+11 1
+.names g9857 g28829 g29243
+11 1
+.names g28855 g8836 g29248
+11 1
+.names g28855 g8856 g29251
+11 1
+.names g28859 g8863 g29252
+11 1
+.names g28855 g8885 g29255
+11 1
+.names g28859 g8894 g29256
+11 1
+.names g28863 g8901 g29257
+11 1
+.names g28859 g8925 g29259
+11 1
+.names g28863 g8934 g29260
+11 1
+.names g28867 g8941 g29261
+11 1
+.names g28863 g8965 g29262
+11 1
+.names g28867 g8974 g29263
+11 1
+.names g28867 g8997 g29264
+11 1
+.names g9161 g28512 g29001
+0- 1
+-0 1
+.names g29001 g28871 g29284
+11 1
+.names g9203 g28540 g29030
+0- 1
+-0 1
+.names g29030 g28883 g29289
+11 1
+.names g9264 g28567 g29053
+0- 1
+-0 1
+.names g29053 g28900 g29294
+11 1
+.names g9342 g28595 g29072
+0- 1
+-0 1
+.names g29072 g28925 g29300
+11 1
+.names g9187 g28512 g29026
+0- 1
+-0 1
+.names g29026 g28928 g29302
+11 1
+.names g9150 g28512 g28978
+0- 1
+-0 1
+.names g28978 g28951 g29310
+11 1
+.names g9248 g28540 g29049
+0- 1
+-0 1
+.names g29049 g28955 g29312
+11 1
+.names g9507 g28512 g29088
+0- 1
+-0 1
+.names g29088 g28972 g29320
+11 1
+.names g9174 g28540 g29008
+0- 1
+-0 1
+.names g29008 g28979 g29321
+11 1
+.names g9326 g28567 g29068
+0- 1
+-0 1
+.names g29068 g28983 g29323
+11 1
+.names g9649 g28540 g29096
+0- 1
+-0 1
+.names g29096 g29002 g29329
+11 1
+.names g9216 g28567 g29038
+0- 1
+-0 1
+.names g29038 g29010 g29330
+11 1
+.names g9407 g28595 g29080
+0- 1
+-0 1
+.names g29080 g29019 g29332
+11 1
+.names g9232 g28512 g29045
+0- 1
+-0 1
+.names g29045 g29023 g29336
+11 1
+.names g9795 g28567 g29103
+0- 1
+-0 1
+.names g29103 g29032 g29337
+11 1
+.names g9277 g28595 g29060
+0- 1
+-0 1
+.names g29060 g29042 g29338
+11 1
+.names g9310 g28540 g29062
+0- 1
+-0 1
+.names g29062 g29046 g29341
+11 1
+.names g9941 g28595 g29107
+0- 1
+-0 1
+.names g29107 g29054 g29342
+11 1
+.names g9391 g28567 g29076
+0- 1
+-0 1
+.names g29076 g29065 g29344
+11 1
+.names g9488 g28595 g29087
+0- 1
+-0 1
+.names g29087 g29077 g29346
+11 1
+.names g28332 g28334 g29090
+00 1
+.names g29090 g21932 g29411
+11 1
+.names g29190 g8375 g29464
+11 1
+.names g29191 g8424 g29465
+11 1
+.names II38379 II38380 g29265
+0- 1
+-0 1
+.names g8587 g29265 g29466
+11 1
+.names g28337 g28722 g29340
+00 1
+.names g29340 g19467 g29467
+11 1
+.names g28338 g28724 g29343
+00 1
+.names g29343 g19490 g29468
+11 1
+.names g28339 g28726 g29345
+00 1
+.names g29345 g19511 g29469
+11 1
+.names g28340 g28729 g29347
+00 1
+.names g29347 g19530 g29470
+11 1
+.names g21461 g29266 g29471
+11 1
+.names g21461 g29268 g29472
+11 1
+.names g21508 g29269 g29473
+11 1
+.names g21508 g29271 g29474
+11 1
+.names g21544 g29272 g29475
+11 1
+.names g21544 g29274 g29476
+11 1
+.names g21580 g29275 g29477
+11 1
+.names g21580 g29277 g29478
+11 1
+.names g21461 g29280 g29479
+11 1
+.names g21461 g29282 g29480
+11 1
+.names g21508 g29283 g29481
+11 1
+.names g21461 g29285 g29482
+11 1
+.names g21508 g29286 g29483
+11 1
+.names g21544 g29287 g29484
+11 1
+.names g21508 g29290 g29485
+11 1
+.names g21544 g29291 g29486
+11 1
+.names g21580 g29292 g29487
+11 1
+.names g21544 g29295 g29488
+11 1
+.names g21580 g29296 g29489
+11 1
+.names g21580 g29301 g29490
+11 1
+.names g29350 g8912 g29502
+11 1
+.names g28422 g27904 g28728
+00 1
+.names g28728 g29360 g29518
+11 1
+.names g28423 g27908 g28731
+00 1
+.names g28731 g29361 g29520
+11 1
+.names g28424 g27909 g28733
+00 1
+.names g28733 g29362 g29521
+11 1
+.names g27394 g26961 g27735
+00 1
+.names g27735 g29363 g29522
+11 1
+.names g28428 g27914 g28737
+00 1
+.names g28737 g29364 g29523
+11 1
+.names g28429 g27915 g28739
+00 1
+.names g28739 g29365 g29524
+11 1
+.names g28880 g28438 g29195
+00 1
+.names g29195 g29366 g29525
+11 1
+.names g27407 g26966 g27741
+00 1
+.names g27741 g29367 g29526
+11 1
+.names g28435 g27924 g28748
+00 1
+.names g28748 g29368 g29527
+11 1
+.names g28436 g27926 g28750
+00 1
+.names g28750 g29369 g29528
+11 1
+.names g28892 g28448 g29199
+00 1
+.names g29199 g29370 g29529
+11 1
+.names g28897 g28450 g29202
+00 1
+.names g29202 g29371 g29531
+11 1
+.names g27425 g26972 g27746
+00 1
+.names g27746 g29372 g29532
+11 1
+.names g28446 g27938 g28762
+00 1
+.names g28762 g29373 g29533
+11 1
+.names g28909 g28459 g29206
+00 1
+.names g29206 g29374 g29534
+11 1
+.names g28914 g28460 g29207
+00 1
+.names g29207 g29375 g29536
+11 1
+.names g28919 g28462 g29210
+00 1
+.names g29210 g29376 g29538
+11 1
+.names g27446 g26985 g27754
+00 1
+.names g27754 g29377 g29539
+11 1
+.names g25475 g24855 g26041
+00 1
+.names g26041 g29378 g29540
+11 1
+.names g28931 g28469 g29214
+00 1
+.names g29214 g29379 g29541
+11 1
+.names g28935 g28471 g29215
+00 1
+.names g29215 g29380 g29543
+11 1
+.names g28940 g28472 g29216
+00 1
+.names g29216 g29381 g29545
+11 1
+.names g28948 g28474 g29219
+00 1
+.names g29219 g29382 g29547
+11 1
+.names g28468 g27970 g28784
+00 1
+.names g28784 g29383 g29548
+11 1
+.names g25506 g24870 g26043
+00 1
+.names g26043 g29384 g29549
+11 1
+.names g28958 g28479 g29222
+00 1
+.names g29222 g29385 g29550
+11 1
+.names g28962 g28480 g29223
+00 1
+.names g29223 g29386 g29553
+11 1
+.names g28970 g28481 g29224
+00 1
+.names g29224 g29387 g29555
+11 1
+.names g28477 g27985 g28789
+00 1
+.names g28789 g29388 g29557
+11 1
+.names g28478 g27991 g28790
+00 1
+.names g28790 g29389 g29558
+11 1
+.names g25553 g24885 g26045
+00 1
+.names g26045 g29390 g29559
+11 1
+.names g28986 g28486 g29227
+00 1
+.names g29227 g29391 g29560
+11 1
+.names g28996 g28487 g29228
+00 1
+.names g29228 g29392 g29562
+11 1
+.names g28484 g28009 g28794
+00 1
+.names g28794 g29393 g29564
+11 1
+.names g28485 g28015 g28795
+00 1
+.names g28795 g29394 g29565
+11 1
+.names g25619 g24902 g26047
+00 1
+.names g26047 g29395 g29566
+11 1
+.names g29022 g28494 g29231
+00 1
+.names g29231 g29396 g29567
+11 1
+.names g28492 g28036 g28802
+00 1
+.names g28802 g29397 g29572
+11 1
+.names g28493 g28042 g28803
+00 1
+.names g28803 g29398 g29573
+11 1
+.names g28497 g28066 g28813
+00 1
+.names g28813 g29402 g29575
+11 1
+.names g29193 g11056 g29607
+11 1
+.names g29349 g11123 g29610
+11 1
+.names g29359 g11182 g29614
+11 1
+.names g29245 g11185 g29615
+11 1
+.names g29247 g11259 g29619
+11 1
+.names g29250 g11327 g29622
+11 1
+.names g29254 g11407 g29624
+11 1
+.names g29189 g11472 g29625
+11 1
+.names g29318 g11478 g29626
+11 1
+.names g29491 g10918 g29790
+11 1
+.names g29491 g10977 g29792
+11 1
+.names g29491 g11063 g29793
+11 1
+.names g6104 g29583 g25363 g29748
+000 1
+.names g29748 g22248 g29810
+11 1
+.names g29583 g1917 g29703
+00 1
+.names g29703 g20644 g29811
+11 1
+.names g16432 g29625 g29762
+00 1
+.names g29762 g12223 g29812
+11 1
+.names g16411 g29624 g29760
+00 1
+.names g29760 g13869 g29813
+11 1
+.names g6104 g29583 g25401 g29728
+000 1
+.names g29728 g22266 g29814
+11 1
+.names g29583 g1912 g29727
+00 1
+.names g29727 g20662 g29815
+11 1
+.names g16379 g29622 g29759
+00 1
+.names g29759 g13883 g29816
+11 1
+.names g29583 g1909 g29709
+00 1
+.names g29709 g20694 g29817
+11 1
+.names g6104 g29583 g25387 g29732
+000 1
+.names g29732 g22293 g29818
+11 1
+.names g6104 g29583 g25352 g29751
+000 1
+.names g29751 g22294 g29819
+11 1
+.names g29583 g1910 g29717
+00 1
+.names g29717 g20743 g29820
+11 1
+.names g29583 g1913 g29731
+00 1
+.names g29731 g20746 g29821
+11 1
+.names g6104 g29583 g25339 g29705
+000 1
+.names g29705 g22335 g29822
+11 1
+.names g6104 g29583 g25376 g29741
+000 1
+.names g29741 g22356 g29827
+11 1
+.names g29583 g1914 g29740
+00 1
+.names g29740 g20802 g29828
+11 1
+.names g29583 g1911 g29725
+00 1
+.names g29725 g20813 g29833
+11 1
+.names g6104 g29583 g25332 g29713
+000 1
+.names g29713 g22366 g29834
+11 1
+.names g29583 g1916 g29747
+00 1
+.names g29747 g20827 g29839
+11 1
+.names g23797 g29583 g29735
+00 1
+.names g29735 g19420 g19401 g29909
+111 1
+.names g13943 g29502 g29779
+00 1
+.names g29779 g9961 g29910
+11 1
+.names g29472 g29200 g29771
+00 1
+.names g29771 g28877 g29942
+11 1
+.names g29482 g29234 g29782
+00 1
+.names g29782 g28889 g29944
+11 1
+.names g29474 g29208 g29773
+00 1
+.names g29773 g28894 g29945
+11 1
+.names g29479 g29229 g29778
+00 1
+.names g29778 g28906 g29946
+11 1
+.names g29485 g29238 g29785
+00 1
+.names g29785 g28911 g29947
+11 1
+.names g29476 g29217 g29775
+00 1
+.names g29775 g28916 g29948
+11 1
+.names g29481 g29233 g29781
+00 1
+.names g29781 g28932 g29949
+11 1
+.names g29488 g29241 g29788
+00 1
+.names g29788 g28937 g29950
+11 1
+.names g29478 g29225 g29777
+00 1
+.names g29777 g28945 g29951
+11 1
+.names g29484 g29236 g29784
+00 1
+.names g29784 g28959 g29952
+11 1
+.names g29490 g29243 g29791
+00 1
+.names g29791 g28967 g29953
+11 1
+.names g29471 g29196 g29770
+00 1
+.names g29770 g28975 g29954
+11 1
+.names g29487 g29240 g29787
+00 1
+.names g29787 g28993 g29955
+11 1
+.names g29480 g29232 g29780
+00 1
+.names g29780 g28998 g29956
+11 1
+.names g29473 g29203 g29772
+00 1
+.names g29772 g29005 g29957
+11 1
+.names g29483 g29235 g29783
+00 1
+.names g29783 g29027 g29958
+11 1
+.names g29475 g29211 g29774
+00 1
+.names g29774 g29035 g29959
+11 1
+.names g29486 g29239 g29786
+00 1
+.names g29786 g29050 g29960
+11 1
+.names g29477 g29220 g29776
+00 1
+.names g29776 g29057 g29961
+11 1
+.names g29489 g29242 g29789
+00 1
+.names g29789 g29069 g29962
+11 1
+.names g16335 g29619 g29758
+00 1
+.names g29758 g13737 g29963
+11 1
+.names g16285 g29615 g29757
+00 1
+.names g29757 g13786 g29964
+11 1
+.names g16284 g29614 g29756
+00 1
+.names g29756 g11961 g29965
+11 1
+.names g16229 g29610 g29755
+00 1
+.names g29755 g12004 g29966
+11 1
+.names g16178 g29607 g29754
+00 1
+.names g29754 g12066 g29967
+11 1
+.names g13492 g29465 g29765
+00 1
+.names g29765 g12119 g29968
+11 1
+.names g6104 g29583 g25323 g29721
+000 1
+.names g29721 g22237 g29969
+11 1
+.names g16462 g29464 g29764
+00 1
+.names g29764 g12178 g29970
+11 1
+.names g16438 g29626 g29763
+00 1
+.names g29763 g13861 g29971
+11 1
+.names g29881 g8324 g29980
+11 1
+.names g29869 g8330 g29981
+11 1
+.names g29893 g8336 g29982
+11 1
+.names g29885 g8344 g29983
+11 1
+.names g29873 g8351 g29984
+11 1
+.names g29897 g8363 g29985
+11 1
+.names g29877 g8366 g29986
+11 1
+.names g29889 g8369 g29987
+11 1
+.names g29881 g8382 g29988
+11 1
+.names g29893 g8391 g29989
+11 1
+.names g29885 g8397 g29990
+11 1
+.names g29901 g8403 g29991
+11 1
+.names g8594 g10767 g12441
+00 1
+.names g12441 g29909 g29992
+11 1
+.names g29897 g8411 g29993
+11 1
+.names g29889 g8418 g29994
+11 1
+.names g29893 g8434 g29995
+11 1
+.names g29901 g8443 g29996
+11 1
+.names g29744 g22367 g29918
+00 1
+.names g29918 g22277 g29997
+11 1
+.names g29744 g22367 g29922
+00 1
+.names g29922 g22278 g29998
+11 1
+.names g29710 g22367 g29924
+00 1
+.names g29924 g22279 g29999
+11 1
+.names II39385 II39386 g29930
+0- 1
+-0 1
+.names g10767 g29930 g30000
+11 1
+.names g29897 g8449 g30001
+11 1
+.names g29905 g8455 g30002
+11 1
+.names g29901 g8469 g30003
+11 1
+.names g29718 g22367 g29926
+00 1
+.names g29926 g22295 g30004
+11 1
+.names g29905 g8478 g30005
+11 1
+.names g29673 g22367 g29928
+00 1
+.names g29928 g22310 g30006
+11 1
+.names g29905 g8494 g30007
+11 1
+.names g29736 g22367 g29919
+00 1
+.names g29919 g22334 g30008
+11 1
+.names g29673 g22367 g29929
+00 1
+.names g29929 g22357 g30009
+11 1
+.names g29823 g10963 g30077
+11 1
+.names g29823 g10988 g30079
+11 1
+.names g29829 g10996 g30080
+11 1
+.names g29823 g11022 g30081
+11 1
+.names g29829 g11036 g30082
+11 1
+.names g29835 g11048 g30083
+11 1
+.names g29829 g11092 g30085
+11 1
+.names g29835 g11108 g30086
+11 1
+.names g29840 g11120 g30087
+11 1
+.names g29844 g11138 g30088
+11 1
+.names g29835 g11160 g30089
+11 1
+.names g29840 g11176 g30090
+11 1
+.names g29844 g11202 g30091
+11 1
+.names g29849 g11205 g30092
+11 1
+.names g29853 g11222 g30093
+11 1
+.names g29840 g11246 g30094
+11 1
+.names g29857 g11265 g30095
+11 1
+.names g29844 g11268 g30096
+11 1
+.names g29849 g11271 g30097
+11 1
+.names g29853 g11284 g30098
+11 1
+.names g29861 g11287 g30099
+11 1
+.names g29865 g11306 g30100
+11 1
+.names g29857 g11341 g30101
+11 1
+.names g29849 g11348 g30102
+11 1
+.names g29869 g11358 g30103
+11 1
+.names g29853 g11361 g30104
+11 1
+.names g29861 g11364 g30105
+11 1
+.names g29865 g11379 g30106
+11 1
+.names g29873 g11382 g30107
+11 1
+.names g29877 g11401 g30108
+11 1
+.names g29857 g11411 g30109
+11 1
+.names g29881 g11417 g30110
+11 1
+.names g29869 g11425 g30111
+11 1
+.names g29861 g11432 g30112
+11 1
+.names g29885 g11444 g30113
+11 1
+.names g29865 g11447 g30114
+11 1
+.names g29873 g11450 g30115
+11 1
+.names g29736 g22367 g29921
+00 1
+.names g29921 g22236 g30116
+11 1
+.names g29877 g11465 g30117
+11 1
+.names g29889 g11468 g30118
+11 1
+.names g29827 g29833 g30070
+00 1
+.names g30070 g20641 g30123
+11 1
+.names g29814 g29817 g30065
+00 1
+.names g30065 g20719 g30127
+11 1
+.names g29810 g29815 g30062
+00 1
+.names g30062 g20722 g30128
+11 1
+.names g29834 g29839 g30071
+00 1
+.names g30071 g20725 g30129
+11 1
+.names g29969 g29811 g30059
+00 1
+.names g30059 g20749 g30131
+11 1
+.names g29819 g29821 g30068
+00 1
+.names g30068 g20776 g30132
+11 1
+.names g29818 g29820 g30067
+00 1
+.names g30067 g20799 g30133
+11 1
+.names g29822 g29828 g30069
+00 1
+.names g30069 g20816 g30138
+11 1
+.names g30036 g8921 g30216
+11 1
+.names g30036 g8955 g30217
+11 1
+.names g30040 g8961 g30218
+11 1
+.names g30036 g8980 g30219
+11 1
+.names g30040 g8987 g30220
+11 1
+.names g30044 g8993 g30221
+11 1
+.names g30040 g9010 g30222
+11 1
+.names g30044 g9016 g30223
+11 1
+.names g30048 g9022 g30224
+11 1
+.names g30044 g9035 g30225
+11 1
+.names g30048 g9041 g30226
+11 1
+.names g30048 g9058 g30227
+11 1
+.names g30187 g8321 g30327
+11 1
+.names g30195 g8333 g30330
+11 1
+.names g30191 g8341 g30333
+11 1
+.names g30203 g8347 g30334
+11 1
+.names g30199 g8354 g30337
+11 1
+.names g30207 g8372 g30340
+11 1
+.names g30195 g8388 g30345
+11 1
+.names g30203 g8400 g30348
+11 1
+.names g30199 g8408 g30351
+11 1
+.names g30211 g8414 g30352
+11 1
+.names g30207 g8421 g30355
+11 1
+.names g30203 g8440 g30361
+11 1
+.names g30211 g8452 g30364
+11 1
+.names g30207 g8460 g30367
+11 1
+.names II39690 II39691 g30228
+0- 1
+-0 1
+.names g8594 g30228 g30372
+11 1
+.names g30211 g8475 g30374
+11 1
+.names g30229 g8888 g30387
+11 1
+.names g30229 g8918 g30388
+11 1
+.names g30233 g8928 g30389
+11 1
+.names g30229 g8952 g30390
+11 1
+.names g30233 g8958 g30391
+11 1
+.names g30237 g8968 g30392
+11 1
+.names g30233 g8984 g30393
+11 1
+.names g30237 g8990 g30394
+11 1
+.names g30241 g9000 g30395
+11 1
+.names g30237 g9013 g30396
+11 1
+.names g30241 g9019 g30397
+11 1
+.names g30241 g9038 g30398
+11 1
+.names g30134 g10991 g30407
+11 1
+.names g30134 g11025 g30409
+11 1
+.names g30139 g11028 g30410
+11 1
+.names g30143 g11039 g30411
+11 1
+.names g30134 g11079 g30436
+11 1
+.names g30139 g11082 g30437
+11 1
+.names g30147 g11085 g30438
+11 1
+.names g30143 g11095 g30440
+11 1
+.names g30151 g11098 g30441
+11 1
+.names g30155 g11111 g30442
+11 1
+.names g30139 g11132 g30444
+11 1
+.names g30147 g11135 g30445
+11 1
+.names g30143 g11145 g30447
+11 1
+.names g30151 g11148 g30448
+11 1
+.names g30159 g11151 g30449
+11 1
+.names g30155 g11163 g30451
+11 1
+.names g30163 g11166 g30452
+11 1
+.names g30167 g11179 g30453
+11 1
+.names g30147 g11199 g30454
+11 1
+.names g30151 g11216 g30457
+11 1
+.names g30159 g11219 g30458
+11 1
+.names g30155 g11231 g30460
+11 1
+.names g30163 g11234 g30461
+11 1
+.names g30171 g11237 g30462
+11 1
+.names g30167 g11249 g30464
+11 1
+.names g30175 g11252 g30465
+11 1
+.names g30179 g11274 g30467
+11 1
+.names g30159 g11281 g30469
+11 1
+.names g30163 g11300 g30472
+11 1
+.names g30171 g11303 g30473
+11 1
+.names g30167 g11315 g30475
+11 1
+.names g30175 g11318 g30476
+11 1
+.names g30183 g11321 g30477
+11 1
+.names g30187 g11344 g30478
+11 1
+.names g30179 g11351 g30481
+11 1
+.names g30191 g11367 g30484
+11 1
+.names g30171 g11376 g30486
+11 1
+.names g30175 g11395 g30489
+11 1
+.names g30183 g11398 g30490
+11 1
+.names g30187 g11414 g30492
+11 1
+.names g30179 g11422 g30495
+11 1
+.names g30195 g11428 g30496
+11 1
+.names g30191 g11435 g30499
+11 1
+.names g30199 g11453 g30502
+11 1
+.names g30183 g11462 g30504
+11 1
+.names g30383 g10943 g30696
+11 1
+.names g30383 g11011 g30697
+11 1
+.names g30383 g11126 g30698
+11 1
+.names g6119 g30412 g25333 g30605
+000 1
+.names g30605 g22252 g30728
+11 1
+.names g6119 g30412 g25378 g30629
+000 1
+.names g30629 g22268 g30735
+11 1
+.names g30412 g2611 g30584
+00 1
+.names g30584 g20669 g30736
+11 1
+.names g6119 g30412 g25411 g30610
+000 1
+.names g30610 g22283 g30743
+11 1
+.names g30412 g2606 g30609
+00 1
+.names g30609 g20697 g30744
+11 1
+.names g30412 g2603 g30593
+00 1
+.names g30593 g20729 g30750
+11 1
+.names g6119 g30412 g25403 g30614
+000 1
+.names g30614 g22313 g30754
+11 1
+.names g6119 g30412 g25366 g30632
+000 1
+.names g30632 g22314 g30755
+11 1
+.names g30412 g2604 g30601
+00 1
+.names g30601 g20780 g30757
+11 1
+.names g30412 g2607 g30613
+00 1
+.names g30613 g20783 g30758
+11 1
+.names g6119 g30412 g25353 g30588
+000 1
+.names g30588 g22360 g30759
+11 1
+.names g6119 g30412 g25393 g30622
+000 1
+.names g30622 g22379 g30760
+11 1
+.names g30412 g2608 g30621
+00 1
+.names g30621 g20822 g30761
+11 1
+.names g30412 g2605 g30608
+00 1
+.names g30608 g20830 g30762
+11 1
+.names g6119 g30412 g25341 g30597
+000 1
+.names g30597 g22386 g30763
+11 1
+.names g30412 g2610 g30628
+00 1
+.names g30628 g20837 g30764
+11 1
+.names g23850 g30412 g30617
+00 1
+.names g30617 g19457 g19431 g30766
+111 1
+.names g30618 g22387 g30785
+00 1
+.names g30785 g22251 g30916
+11 1
+.names g8605 g10773 g12446
+00 1
+.names g12446 g30766 g30917
+11 1
+.names g30625 g22387 g30780
+00 1
+.names g30780 g22296 g30918
+11 1
+.names g30625 g22387 g30786
+00 1
+.names g30786 g22297 g30919
+11 1
+.names g30594 g22387 g30787
+00 1
+.names g30787 g22298 g30920
+11 1
+.names II40628 II40629 g30791
+0- 1
+-0 1
+.names g10773 g30791 g30921
+11 1
+.names g30602 g22387 g30788
+00 1
+.names g30788 g22315 g30922
+11 1
+.names g30575 g22387 g30789
+00 1
+.names g30789 g22338 g30923
+11 1
+.names g30618 g22387 g30783
+00 1
+.names g30783 g22359 g30924
+11 1
+.names g30575 g22387 g30790
+00 1
+.names g30790 g22380 g30925
+11 1
+.names g30760 g30762 g30935
+00 1
+.names g30935 g20666 g30944
+11 1
+.names g30743 g30750 g30931
+00 1
+.names g30931 g20754 g30945
+11 1
+.names g30735 g30744 g30930
+00 1
+.names g30930 g20757 g30946
+11 1
+.names g30763 g30764 g30936
+00 1
+.names g30936 g20760 g30947
+11 1
+.names g30728 g30736 g30929
+00 1
+.names g30929 g20786 g30948
+11 1
+.names g30755 g30758 g30933
+00 1
+.names g30933 g20806 g30949
+11 1
+.names g30754 g30757 g30932
+00 1
+.names g30932 g20819 g30950
+11 1
+.names g30759 g30761 g30934
+00 1
+.names g30934 g20833 g30951
+11 1
+.names II41065 II41066 g30952
+0- 1
+-0 1
+.names g8605 g30952 g30953
+11 1
+.names g2986 g5389 g9144
+1- 1
+-1 1
+.names g2929 g8022 g10778
+1- 1
+-1 1
+.names g7553 g11059 g12377
+1- 1
+-1 1
+.names g7573 g10779 g12407
+1- 1
+-1 1
+.names g9534 g3398 g12886
+1- 1
+-1 1
+.names g9676 g3554 g12926
+1- 1
+-1 1
+.names g9822 g3710 g12955
+1- 1
+-1 1
+.names g9968 g3866 g12984
+1- 1
+-1 1
+.names g15880 g14657 g16539
+1- 1
+-1 1
+.names g15913 g14691 g16571
+1- 1
+-1 1
+.names g15942 g14725 g16595
+1- 1
+-1 1
+.names g15971 g14753 g16615
+1- 1
+-1 1
+.names II23807 II23808 g17729
+0- 1
+-0 1
+.names II24016 II24017 g17979
+0- 1
+-0 1
+.names g17729 g17979 g19181
+1- 1
+-1 1
+.names II24437 II24438 g18419
+0- 1
+-0 1
+.names II23942 II23943 g17887
+0- 1
+-0 1
+.names g18419 g17887 g19186
+1- 1
+-1 1
+.names g18419 g17729 g19187
+1- 1
+-1 1
+.names II23894 II23895 g17830
+0- 1
+-0 1
+.names II24111 II24112 g18096
+0- 1
+-0 1
+.names g17830 g18096 g19188
+1- 1
+-1 1
+.names II23879 II23880 g17807
+0- 1
+-0 1
+.names g17807 g17887 g19191
+1- 1
+-1 1
+.names II24179 II24180 g18183
+0- 1
+-0 1
+.names II24264 II24265 g18270
+0- 1
+-0 1
+.names g18183 g18270 g19192
+1- 1
+-1 1
+.names II24538 II24539 g18492
+0- 1
+-0 1
+.names II24037 II24038 g17998
+0- 1
+-0 1
+.names g18492 g17998 g19193
+1- 1
+-1 1
+.names g18492 g17830 g19194
+1- 1
+-1 1
+.names II23982 II23983 g17942
+0- 1
+-0 1
+.names II24214 II24215 g18212
+0- 1
+-0 1
+.names g17942 g18212 g19195
+1- 1
+-1 1
+.names II24352 II24353 g18346
+0- 1
+-0 1
+.names II24444 II24445 g18424
+0- 1
+-0 1
+.names g18346 g18424 g19200
+1- 1
+-1 1
+.names g18183 g18424 g19201
+1- 1
+-1 1
+.names II23967 II23968 g17919
+0- 1
+-0 1
+.names g17919 g17998 g19202
+1- 1
+-1 1
+.names II24291 II24292 g18290
+0- 1
+-0 1
+.names II24373 II24374 g18363
+0- 1
+-0 1
+.names g18290 g18363 g19203
+1- 1
+-1 1
+.names II24640 II24641 g18556
+0- 1
+-0 1
+.names II24132 II24133 g18115
+0- 1
+-0 1
+.names g18556 g18115 g19204
+1- 1
+-1 1
+.names g18556 g17942 g19205
+1- 1
+-1 1
+.names II24077 II24078 g18053
+0- 1
+-0 1
+.names II24326 II24327 g18319
+0- 1
+-0 1
+.names g18053 g18319 g19206
+1- 1
+-1 1
+.names II24092 II24093 g18079
+0- 1
+-0 1
+.names g18079 g18346 g19209
+1- 1
+-1 1
+.names g18079 g18183 g19210
+1- 1
+-1 1
+.names II24465 II24466 g18441
+0- 1
+-0 1
+.names II24545 II24546 g18497
+0- 1
+-0 1
+.names g18441 g18497 g19211
+1- 1
+-1 1
+.names g18290 g18497 g19212
+1- 1
+-1 1
+.names II24062 II24063 g18030
+0- 1
+-0 1
+.names g18030 g18115 g19213
+1- 1
+-1 1
+.names II24400 II24401 g18383
+0- 1
+-0 1
+.names II24486 II24487 g18458
+0- 1
+-0 1
+.names g18383 g18458 g19214
+1- 1
+-1 1
+.names II24710 II24711 g18606
+0- 1
+-0 1
+.names II24235 II24236 g18231
+0- 1
+-0 1
+.names g18606 g18231 g19215
+1- 1
+-1 1
+.names g18606 g18053 g19216
+1- 1
+-1 1
+.names g18270 g18346 g19221
+1- 1
+-1 1
+.names II24195 II24196 g18195
+0- 1
+-0 1
+.names g18195 g18441 g19222
+1- 1
+-1 1
+.names g18195 g18290 g19223
+1- 1
+-1 1
+.names II24566 II24567 g18514
+0- 1
+-0 1
+.names II24647 II24648 g18561
+0- 1
+-0 1
+.names g18514 g18561 g19224
+1- 1
+-1 1
+.names g18383 g18561 g19225
+1- 1
+-1 1
+.names II24157 II24158 g18147
+0- 1
+-0 1
+.names g18147 g18231 g19226
+1- 1
+-1 1
+.names II24513 II24514 g18478
+0- 1
+-0 1
+.names II24587 II24588 g18531
+0- 1
+-0 1
+.names g18478 g18531 g19227
+1- 1
+-1 1
+.names II22999 II23000 g17024
+0- 1
+-0 1
+.names II22963 II22964 g17000
+0- 1
+-0 1
+.names II22937 II22938 g16992
+0- 1
+-0 1
+.names g17024 g17000 g16992 II25477
+1-- 1
+-1- 1
+--1 1
+.names II22918 II22919 g16985
+0- 1
+-0 1
+.names II22901 II22902 g16965
+0- 1
+-0 1
+.names g16985 g16965 II25477 g19230
+1-- 1
+-1- 1
+--1 1
+.names g18363 g18441 g19231
+1- 1
+-1 1
+.names II24307 II24308 g18302
+0- 1
+-0 1
+.names g18302 g18514 g19232
+1- 1
+-1 1
+.names g18302 g18383 g19233
+1- 1
+-1 1
+.names II24668 II24669 g18578
+0- 1
+-0 1
+.names II24717 II24718 g18611
+0- 1
+-0 1
+.names g18578 g18611 g19234
+1- 1
+-1 1
+.names g18478 g18611 g19235
+1- 1
+-1 1
+.names II23191 II23192 g17158
+0- 1
+-0 1
+.names II23153 II23154 g17137
+0- 1
+-0 1
+.names II23114 II23115 g17115
+0- 1
+-0 1
+.names g17158 g17137 g17115 II25495
+1-- 1
+-1- 1
+--1 1
+.names II23075 II23076 g17083
+0- 1
+-0 1
+.names II23035 II23036 g17050
+0- 1
+-0 1
+.names g17083 g17050 II25495 g19240
+1-- 1
+-1- 1
+--1 1
+.names g14244 g16501 g19242
+1- 1
+-1 1
+.names II23046 II23047 g17058
+0- 1
+-0 1
+.names II23009 II23010 g17030
+0- 1
+-0 1
+.names II22973 II22974 g17016
+0- 1
+-0 1
+.names g17058 g17030 g17016 II25500
+1-- 1
+-1- 1
+--1 1
+.names II22946 II22947 g16995
+0- 1
+-0 1
+.names II22925 II22926 g16986
+0- 1
+-0 1
+.names g16995 g16986 II25500 g19243
+1-- 1
+-1- 1
+--1 1
+.names g18458 g18514 g19244
+1- 1
+-1 1
+.names II24416 II24417 g18395
+0- 1
+-0 1
+.names g18395 g18578 g19245
+1- 1
+-1 1
+.names g18395 g18478 g19246
+1- 1
+-1 1
+.names g17729 g17807 g19250
+1- 1
+-1 1
+.names II23226 II23227 g17173
+0- 1
+-0 1
+.names II23199 II23200 g17160
+0- 1
+-0 1
+.names II23162 II23163 g17142
+0- 1
+-0 1
+.names g17173 g17160 g17142 II25516
+1-- 1
+-1- 1
+--1 1
+.names II23124 II23125 g17121
+0- 1
+-0 1
+.names II23083 II23084 g17085
+0- 1
+-0 1
+.names g17121 g17085 II25516 g19253
+1-- 1
+-1- 1
+--1 1
+.names g14366 g16523 g19255
+1- 1
+-1 1
+.names II23094 II23095 g17093
+0- 1
+-0 1
+.names II23056 II23057 g17064
+0- 1
+-0 1
+.names II23019 II23020 g17046
+0- 1
+-0 1
+.names g17093 g17064 g17046 II25521
+1-- 1
+-1- 1
+--1 1
+.names II22982 II22983 g17019
+0- 1
+-0 1
+.names II22953 II22954 g16996
+0- 1
+-0 1
+.names g17019 g16996 II25521 g19256
+1-- 1
+-1- 1
+--1 1
+.names g18531 g18578 g19257
+1- 1
+-1 1
+.names g17887 g17979 g19263
+1- 1
+-1 1
+.names g17830 g17919 g19264
+1- 1
+-1 1
+.names II23257 II23258 g17190
+0- 1
+-0 1
+.names II23234 II23235 g17175
+0- 1
+-0 1
+.names II23208 II23209 g17165
+0- 1
+-0 1
+.names g17190 g17175 g17165 II25549
+1-- 1
+-1- 1
+--1 1
+.names II23172 II23173 g17148
+0- 1
+-0 1
+.names II23132 II23133 g17123
+0- 1
+-0 1
+.names g17148 g17123 II25549 g19266
+1-- 1
+-1- 1
+--1 1
+.names g14478 g16554 g19268
+1- 1
+-1 1
+.names II23143 II23144 g17131
+0- 1
+-0 1
+.names II23104 II23105 g17099
+0- 1
+-0 1
+.names II23066 II23067 g17080
+0- 1
+-0 1
+.names g17131 g17099 g17080 II25554
+1-- 1
+-1- 1
+--1 1
+.names II23028 II23029 g17049
+0- 1
+-0 1
+.names II22989 II22990 g17020
+0- 1
+-0 1
+.names g17049 g17020 II25554 g19269
+1-- 1
+-1- 1
+--1 1
+.names g16867 g16515 g19001 g19275
+1-- 1
+-1- 1
+--1 1
+.names g17998 g18096 g19278
+1- 1
+-1 1
+.names g17942 g18030 g19279
+1- 1
+-1 1
+.names II23278 II23279 g17201
+0- 1
+-0 1
+.names II23265 II23266 g17192
+0- 1
+-0 1
+.names II23243 II23244 g17180
+0- 1
+-0 1
+.names g17201 g17192 g17180 II25588
+1-- 1
+-1- 1
+--1 1
+.names II23218 II23219 g17171
+0- 1
+-0 1
+.names II23180 II23181 g17150
+0- 1
+-0 1
+.names g17171 g17150 II25588 g19281
+1-- 1
+-1- 1
+--1 1
+.names g14565 g16586 g19283
+1- 1
+-1 1
+.names g16895 g16546 g16507 g19294
+1-- 1
+-1- 1
+--1 1
+.names g18115 g18212 g19297
+1- 1
+-1 1
+.names g18053 g18147 g19298
+1- 1
+-1 1
+.names g16924 g16578 g16529 g19312
+1-- 1
+-1- 1
+--1 1
+.names g18231 g18319 g19315
+1- 1
+-1 1
+.names g16954 g16602 g16560 g19333
+1-- 1
+-1- 1
+--1 1
+.names g14837 g16682 g19450
+1- 1
+-1 1
+.names g14910 g16708 g19477
+1- 1
+-1 1
+.names g14991 g16739 g19500
+1- 1
+-1 1
+.names g16884 g16697 g16665 g19503
+1-- 1
+-1- 1
+--1 1
+.names g15080 g16781 g19521
+1- 1
+-1 1
+.names g16913 g16728 g16686 g19522
+1-- 1
+-1- 1
+--1 1
+.names g16943 g16770 g16712 g19532
+1-- 1
+-1- 1
+--1 1
+.names g16974 g16797 g16743 g19542
+1-- 1
+-1- 1
+--1 1
+.names g17979 g17887 g17807 II26429
+1-- 1
+-1- 1
+--1 1
+.names g17729 g18419 II26429 g19981
+1-- 1
+-1- 1
+--1 1
+.names g18424 g18346 g18270 II26455
+1-- 1
+-1- 1
+--1 1
+.names g18183 g18079 II26455 g20015
+1-- 1
+-1- 1
+--1 1
+.names g18096 g17998 g17919 II26461
+1-- 1
+-1- 1
+--1 1
+.names g17830 g18492 II26461 g20019
+1-- 1
+-1- 1
+--1 1
+.names g18497 g18441 g18363 II26491
+1-- 1
+-1- 1
+--1 1
+.names g18290 g18195 II26491 g20057
+1-- 1
+-1- 1
+--1 1
+.names g18212 g18115 g18030 II26497
+1-- 1
+-1- 1
+--1 1
+.names g17942 g18556 II26497 g20061
+1-- 1
+-1- 1
+--1 1
+.names g18561 g18514 g18458 II26532
+1-- 1
+-1- 1
+--1 1
+.names g18383 g18302 II26532 g20098
+1-- 1
+-1- 1
+--1 1
+.names g18319 g18231 g18147 II26538
+1-- 1
+-1- 1
+--1 1
+.names g18053 g18606 II26538 g20102
+1-- 1
+-1- 1
+--1 1
+.names g18611 g18578 g18531 II26571
+1-- 1
+-1- 1
+--1 1
+.names g18478 g18395 II26571 g20123
+1-- 1
+-1- 1
+--1 1
+.names g19484 g16515 g14071 g21120
+1-- 1
+-1- 1
+--1 1
+.names g19505 g16546 g14186 g21139
+1-- 1
+-1- 1
+--1 1
+.names g19524 g16578 g14301 g21159
+1-- 1
+-1- 1
+--1 1
+.names g19534 g16602 g14423 g21179
+1-- 1
+-1- 1
+--1 1
+.names g19578 g16697 g14776 g21244
+1-- 1
+-1- 1
+--1 1
+.names g19608 g16728 g14811 g21253
+1-- 1
+-1- 1
+--1 1
+.names g19641 g16770 g14863 g21261
+1-- 1
+-1- 1
+--1 1
+.names g19681 g16797 g14936 g21269
+1-- 1
+-1- 1
+--1 1
+.names g16501 g16515 g20522
+0- 1
+-0 1
+.names g20522 g16867 g14071 g21501
+1-- 1
+-1- 1
+--1 1
+.names g20522 g19484 g19001 g21536
+1-- 1
+-1- 1
+--1 1
+.names g16523 g16546 g20542
+0- 1
+-0 1
+.names g20542 g16895 g14186 g21540
+1-- 1
+-1- 1
+--1 1
+.names g20542 g19505 g16507 g21572
+1-- 1
+-1- 1
+--1 1
+.names g16554 g16578 g19067
+0- 1
+-0 1
+.names g19067 g16924 g14301 g21576
+1-- 1
+-1- 1
+--1 1
+.names g19067 g19524 g16529 g21605
+1-- 1
+-1- 1
+--1 1
+.names g16586 g16602 g19084
+0- 1
+-0 1
+.names g19084 g16954 g14423 g21609
+1-- 1
+-1- 1
+--1 1
+.names g19084 g19534 g16560 g21634
+1-- 1
+-1- 1
+--1 1
+.names g16682 g16697 g19121
+0- 1
+-0 1
+.names g19121 g16884 g14776 g21774
+1-- 1
+-1- 1
+--1 1
+.names g19121 g19578 g16665 g21787
+1-- 1
+-1- 1
+--1 1
+.names g20197 g20177 g20145 II28305
+1-- 1
+-1- 1
+--1 1
+.names g20117 g20094 II28305 g21788
+1-- 1
+-1- 1
+--1 1
+.names g16708 g16728 g19128
+0- 1
+-0 1
+.names g19128 g16913 g14811 g21789
+1-- 1
+-1- 1
+--1 1
+.names g19092 g19088 g19079 II28318
+1-- 1
+-1- 1
+--1 1
+.names g16505 g20538 g18994 II28318 g21799
+1--- 1
+-1-- 1
+--1- 1
+---1 1
+.names g18665 g20270 g20248 g18647 g21800
+1--- 1
+-1-- 1
+--1- 1
+---1 1
+.names g19128 g19608 g16686 g21801
+1-- 1
+-1- 1
+--1 1
+.names g20227 g20211 g20183 II28323
+1-- 1
+-1- 1
+--1 1
+.names g20147 g20119 II28323 g21802
+1-- 1
+-1- 1
+--1 1
+.names g16739 g16770 g19135
+0- 1
+-0 1
+.names g19135 g16943 g14863 g21803
+1-- 1
+-1- 1
+--1 1
+.names g20116 g20093 g18547 g19097 g21806
+1--- 1
+-1-- 1
+--1- 1
+---1 1
+.names g19099 g19094 g19089 II28330
+1-- 1
+-1- 1
+--1 1
+.names g16527 g19063 g19007 II28330 g21807
+1--- 1
+-1-- 1
+--1- 1
+---1 1
+.names g18688 g20282 g20271 g18650 g21808
+1--- 1
+-1-- 1
+--1- 1
+---1 1
+.names g19135 g19641 g16712 g21809
+1-- 1
+-1- 1
+--1 1
+.names g20254 g20241 g20217 II28335
+1-- 1
+-1- 1
+--1 1
+.names g20185 g20149 II28335 g21810
+1-- 1
+-1- 1
+--1 1
+.names g16781 g16797 g19138
+0- 1
+-0 1
+.names g19138 g16974 g14936 g21811
+1-- 1
+-1- 1
+--1 1
+.names g20146 g20118 g18597 g19104 g21813
+1--- 1
+-1-- 1
+--1- 1
+---1 1
+.names g19106 g19101 g19095 II28341
+1-- 1
+-1- 1
+--1 1
+.names g16558 g19080 g16513 II28341 g21814
+1--- 1
+-1-- 1
+--1- 1
+---1 1
+.names g18717 g20293 g20283 g18654 g21815
+1--- 1
+-1-- 1
+--1- 1
+---1 1
+.names g19138 g19681 g16743 g21816
+1-- 1
+-1- 1
+--1 1
+.names g20277 g20268 g20247 II28346
+1-- 1
+-1- 1
+--1 1
+.names g20219 g20187 II28346 g21817
+1-- 1
+-1- 1
+--1 1
+.names g20184 g20148 g18629 g19109 g21819
+1--- 1
+-1-- 1
+--1- 1
+---1 1
+.names g19111 g19108 g19102 II28351
+1-- 1
+-1- 1
+--1 1
+.names g16590 g19090 g16535 II28351 g21820
+1--- 1
+-1-- 1
+--1- 1
+---1 1
+.names g18753 g20309 g20294 g18668 g21821
+1--- 1
+-1-- 1
+--1- 1
+---1 1
+.names g20218 g20186 g18638 g19116 g21823
+1--- 1
+-1-- 1
+--1- 1
+---1 1
+.names g20280 g18652 g18649 II28365
+1-- 1
+-1- 1
+--1 1
+.names g20222 g18645 II28365 g21844
+1-- 1
+-1- 1
+--1 1
+.names g20291 g18666 g18653 II28369
+1-- 1
+-1- 1
+--1 1
+.names g20249 g18648 II28369 g21846
+1-- 1
+-1- 1
+--1 1
+.names g20307 g18689 g18667 II28374
+1-- 1
+-1- 1
+--1 1
+.names g20272 g18651 II28374 g21849
+1-- 1
+-1- 1
+--1 1
+.names g20326 g18718 g18690 II28380
+1-- 1
+-1- 1
+--1 1
+.names g20284 g18655 II28380 g21856
+1-- 1
+-1- 1
+--1 1
+.names g16075 g20842 g22175
+1- 1
+-1 1
+.names g16113 g20850 g22190
+1- 1
+-1 1
+.names g16164 g20858 g22199
+1- 1
+-1 1
+.names g16223 g20866 g22205
+1- 1
+-1 1
+.names g499 g8983 g12451
+00 1
+.names g21207 g21266 g21196 g22385
+0-- 1
+-0- 1
+--0 1
+.names g14493 g22385 g23319
+1- 1
+-1 1
+.names g23106 g21906 g23688
+1- 1
+-1 1
+.names g23119 g21920 g23742
+1- 1
+-1 1
+.names g23128 g21938 g23797
+1- 1
+-1 1
+.names g23139 g20647 g23850
+1- 1
+-1 1
+.names g19387 g22401 g24239
+1- 1
+-1 1
+.names g21152 g21241 g21136 g22317
+0-- 1
+-0- 1
+--0 1
+.names g14144 g22317 g24244
+1- 1
+-1 1
+.names g19417 g22402 g24245
+1- 1
+-1 1
+.names g21172 g21249 g21156 g22342
+0-- 1
+-0- 1
+--0 1
+.names g14259 g22342 g24252
+1- 1
+-1 1
+.names g19454 g22403 g24254
+1- 1
+-1 1
+.names g21192 g21258 g21176 g22365
+0-- 1
+-0- 1
+--0 1
+.names g14381 g22365 g24257
+1- 1
+-1 1
+.names g19481 g22404 g24258
+1- 1
+-1 1
+.names g4456 g22985 g23922
+00 1
+.names g23922 g23945 g24965
+1- 1
+-1 1
+.names g4632 g22987 g23954
+00 1
+.names g23954 g23974 g24978
+1- 1
+-1 1
+.names g4809 g22990 g23983
+00 1
+.names g23983 g24004 g24989
+1- 1
+-1 1
+.names g4985 g22997 g24013
+00 1
+.names g24013 g24038 g25000
+1- 1
+-1 1
+.names g24958 g24893 g25183
+1- 1
+-1 1
+.names g24969 g24916 g25186
+1- 1
+-1 1
+.names g24982 g24933 g25190
+1- 1
+-1 1
+.names g24993 g24945 g25195
+1- 1
+-1 1
+.names g4456 g14831 g25078 g25852
+000 1
+.names g25852 g25870 g26320
+1- 1
+-1 1
+.names g4632 g14904 g25082 g25873
+000 1
+.names g25873 g25882 g26367
+1- 1
+-1 1
+.names g4809 g14985 g25091 g25885
+000 1
+.names g25885 g25887 g26410
+1- 1
+-1 1
+.names g4985 g15074 g25099 g25890
+000 1
+.names g25890 g25892 g26451
+1- 1
+-1 1
+.names g25367 g27415 g27738
+0- 1
+-0 1
+.names g25384 g27436 g27743
+0- 1
+-0 1
+.names g25400 g27455 g27751
+0- 1
+-0 1
+.names g25410 g27471 g27756
+0- 1
+-0 1
+.names g2981 g2874 II15167
+0- 1
+-0 1
+.names g2981 II15167 II15168
+0- 1
+-0 1
+.names g2874 II15167 II15169
+0- 1
+-0 1
+.names II15168 II15169 g7855
+0- 1
+-0 1
+.names g2975 g2978 II15183
+0- 1
+-0 1
+.names g2975 II15183 II15184
+0- 1
+-0 1
+.names g2978 II15183 II15185
+0- 1
+-0 1
+.names II15184 II15185 g7875
+0- 1
+-0 1
+.names g2956 g2959 II15190
+0- 1
+-0 1
+.names g2956 II15190 II15191
+0- 1
+-0 1
+.names g2959 II15190 II15192
+0- 1
+-0 1
+.names II15191 II15192 g7876
+0- 1
+-0 1
+.names g2969 g2972 II15204
+0- 1
+-0 1
+.names g2969 II15204 II15205
+0- 1
+-0 1
+.names g2972 II15204 II15206
+0- 1
+-0 1
+.names II15205 II15206 g7895
+0- 1
+-0 1
+.names g2947 g2953 II15211
+0- 1
+-0 1
+.names g2947 II15211 II15212
+0- 1
+-0 1
+.names g2953 II15211 II15213
+0- 1
+-0 1
+.names II15212 II15213 g7896
+0- 1
+-0 1
+.names g2963 g2966 II15237
+0- 1
+-0 1
+.names g2963 II15237 II15238
+0- 1
+-0 1
+.names g2966 II15237 II15239
+0- 1
+-0 1
+.names II15238 II15239 g7922
+0- 1
+-0 1
+.names g2941 g2944 II15244
+0- 1
+-0 1
+.names g2941 II15244 II15245
+0- 1
+-0 1
+.names g2944 II15244 II15246
+0- 1
+-0 1
+.names II15245 II15246 g7923
+0- 1
+-0 1
+.names g2935 g2938 II15276
+0- 1
+-0 1
+.names g2935 II15276 II15277
+0- 1
+-0 1
+.names g2938 II15276 II15278
+0- 1
+-0 1
+.names II15277 II15278 g7970
+0- 1
+-0 1
+.names g4203 g3998 II16879
+0- 1
+-0 1
+.names g4203 II16879 II16880
+0- 1
+-0 1
+.names g3998 II16879 II16881
+0- 1
+-0 1
+.names II16880 II16881 g9883
+0- 1
+-0 1
+.names g4734 g4452 II16965
+0- 1
+-0 1
+.names g4734 II16965 II16966
+0- 1
+-0 1
+.names g4452 II16965 II16967
+0- 1
+-0 1
+.names II16966 II16967 g10003
+0- 1
+-0 1
+.names g6637 g6309 II17059
+0- 1
+-0 1
+.names g6637 II17059 II17060
+0- 1
+-0 1
+.names g6309 II17059 II17061
+0- 1
+-0 1
+.names II17060 II17061 g10095
+0- 1
+-0 1
+.names g7465 g7142 II17149
+0- 1
+-0 1
+.names g7465 II17149 II17150
+0- 1
+-0 1
+.names g7142 II17149 II17151
+0- 1
+-0 1
+.names II17150 II17151 g10185
+0- 1
+-0 1
+.names g7875 g7855 II18106
+0- 1
+-0 1
+.names g7875 II18106 II18107
+0- 1
+-0 1
+.names g7855 II18106 II18108
+0- 1
+-0 1
+.names II18107 II18108 g11188
+0- 1
+-0 1
+.names g3997 g8181 II18113
+0- 1
+-0 1
+.names g3997 II18113 II18114
+0- 1
+-0 1
+.names g8181 II18113 II18115
+0- 1
+-0 1
+.names II18114 II18115 g11189
+0- 1
+-0 1
+.names g7922 g7895 II18190
+0- 1
+-0 1
+.names g7922 II18190 II18191
+0- 1
+-0 1
+.names g7895 II18190 II18192
+0- 1
+-0 1
+.names II18191 II18192 g11262
+0- 1
+-0 1
+.names g7896 g7876 II18197
+0- 1
+-0 1
+.names g7896 II18197 II18198
+0- 1
+-0 1
+.names g7876 II18197 II18199
+0- 1
+-0 1
+.names II18198 II18199 g11263
+0- 1
+-0 1
+.names g7975 g4202 II18204
+0- 1
+-0 1
+.names g7975 II18204 II18205
+0- 1
+-0 1
+.names g4202 II18204 II18206
+0- 1
+-0 1
+.names II18205 II18206 g11264
+0- 1
+-0 1
+.names g7970 g7923 II18280
+0- 1
+-0 1
+.names g7970 II18280 II18281
+0- 1
+-0 1
+.names g7923 II18280 II18282
+0- 1
+-0 1
+.names II18281 II18282 g11330
+0- 1
+-0 1
+.names g8256 g8102 II18287
+0- 1
+-0 1
+.names g8256 II18287 II18288
+0- 1
+-0 1
+.names g8102 II18287 II18289
+0- 1
+-0 1
+.names II18288 II18289 g11331
+0- 1
+-0 1
+.names g4325 g4093 II18368
+0- 1
+-0 1
+.names g4325 II18368 II18369
+0- 1
+-0 1
+.names g4093 II18368 II18370
+0- 1
+-0 1
+.names II18369 II18370 g11410
+0- 1
+-0 1
+.names g11410 g11331 II18799
+0- 1
+-0 1
+.names g11410 II18799 II18800
+0- 1
+-0 1
+.names g11331 II18799 II18801
+0- 1
+-0 1
+.names II18800 II18801 g11621
+0- 1
+-0 1
+.names g10003 g9883 II20031
+0- 1
+-0 1
+.names g10003 II20031 II20032
+0- 1
+-0 1
+.names g9883 II20031 II20033
+0- 1
+-0 1
+.names II20032 II20033 g12988
+0- 1
+-0 1
+.names g10185 g10095 II20048
+0- 1
+-0 1
+.names g10185 II20048 II20049
+0- 1
+-0 1
+.names g10095 II20048 II20050
+0- 1
+-0 1
+.names II20049 II20050 g12999
+0- 1
+-0 1
+.names g11262 g11188 II20429
+0- 1
+-0 1
+.names g11262 II20429 II20430
+0- 1
+-0 1
+.names g11188 II20429 II20431
+0- 1
+-0 1
+.names II20430 II20431 g13348
+0- 1
+-0 1
+.names g11330 g11263 II20465
+0- 1
+-0 1
+.names g11330 II20465 II20466
+0- 1
+-0 1
+.names g11263 II20465 II20467
+0- 1
+-0 1
+.names II20466 II20467 g13370
+0- 1
+-0 1
+.names g11264 g11189 II20504
+0- 1
+-0 1
+.names g11264 II20504 II20505
+0- 1
+-0 1
+.names g11189 II20504 II20506
+0- 1
+-0 1
+.names II20505 II20506 g13399
+0- 1
+-0 1
+.names g11621 g13399 II20743
+0- 1
+-0 1
+.names g11621 II20743 II20744
+0- 1
+-0 1
+.names g13399 II20743 II20745
+0- 1
+-0 1
+.names II20744 II20745 g13507
+0- 1
+-0 1
+.names g8580 g12463 g13893
+0- 1
+-0 1
+.names g8822 g12473 g12463 g13915
+0-- 1
+-0- 1
+--0 1
+.names g8587 g12478 g13934
+0- 1
+-0 1
+.names g10730 g12473 g13957
+0- 1
+-0 1
+.names g8846 g12490 g12478 g13971
+0-- 1
+-0- 1
+--0 1
+.names g8594 g12495 g13990
+0- 1
+-0 1
+.names g10749 g12490 g14027
+0- 1
+-0 1
+.names g8873 g12510 g12495 g14041
+0-- 1
+-0- 1
+--0 1
+.names g8605 g12515 g14060
+0- 1
+-0 1
+.names g10767 g12510 g14118
+0- 1
+-0 1
+.names g8911 g12527 g12515 g14132
+0-- 1
+-0- 1
+--0 1
+.names g10773 g12527 g14233
+0- 1
+-0 1
+.names g9187 g9161 g12780
+00 1
+.names g9248 g9203 g12819
+00 1
+.names g9326 g9264 g12857
+00 1
+.names g11481 g11332 g7928 g11069 g13401
+0000 1
+.names g9407 g9342 g12898
+00 1
+.names g11481 g11332 g11190 g7880 g13286
+0000 1
+.names g8183 g11332 g11190 g7880 g13313
+0000 1
+.names g8183 g11332 g7928 g11069 g11622
+0000 1
+.names g11481 g8045 g11190 g7880 g13332
+0000 1
+.names g11481 g8045 g7928 g11069 g11643
+0000 1
+.names g11481 g11332 g7928 g7880 g13375
+0000 1
+.names g8183 g8045 g7928 g11069 g11660
+0000 1
+.names g12999 g12988 II22062
+0- 1
+-0 1
+.names g12999 II22062 II22063
+0- 1
+-0 1
+.names g12988 II22062 II22064
+0- 1
+-0 1
+.names II22063 II22064 g15814
+0- 1
+-0 1
+.names g11481 g8045 g7928 g7880 g13024
+0000 1
+.names g11481 g11332 g11190 g11069 g13310
+0000 1
+.names g8183 g11332 g11190 g11069 g13331
+0000 1
+.names g11481 g8045 g11190 g11069 g13353
+0000 1
+.names g8183 g8045 g11190 g7880 g13354
+0000 1
+.names g8183 g8045 g11190 g11069 g13374
+0000 1
+.names g8183 g11332 g7928 g7880 g13404
+0000 1
+.names g2962 g13348 II22282
+0- 1
+-0 1
+.names g2962 II22282 II22283
+0- 1
+-0 1
+.names g13348 II22282 II22284
+0- 1
+-0 1
+.names g2934 g13370 II22316
+0- 1
+-0 1
+.names g2934 II22316 II22317
+0- 1
+-0 1
+.names g13370 II22316 II22318
+0- 1
+-0 1
+.names g11737 g7152 g15978
+00 1
+.names g13507 g15978 II22630
+0- 1
+-0 1
+.names g13507 II22630 II22631
+0- 1
+-0 1
+.names g15978 II22630 II22632
+0- 1
+-0 1
+.names g11737 g7345 g15661
+00 1
+.names g13348 g15661 II22705
+0- 1
+-0 1
+.names g13348 II22705 II22706
+0- 1
+-0 1
+.names g15661 II22705 II22707
+0- 1
+-0 1
+.names g13370 g15661 II22884
+0- 1
+-0 1
+.names g13370 II22884 II22885
+0- 1
+-0 1
+.names g15661 II22884 II22886
+0- 1
+-0 1
+.names g15022 g14000 II22900
+0- 1
+-0 1
+.names g15022 II22900 II22901
+0- 1
+-0 1
+.names g14000 II22900 II22902
+0- 1
+-0 1
+.names g15096 g13945 II22917
+0- 1
+-0 1
+.names g15096 II22917 II22918
+0- 1
+-0 1
+.names g13945 II22917 II22919
+0- 1
+-0 1
+.names g15118 g14091 II22924
+0- 1
+-0 1
+.names g15118 II22924 II22925
+0- 1
+-0 1
+.names g14091 II22924 II22926
+0- 1
+-0 1
+.names g9150 g13906 II22936
+0- 1
+-0 1
+.names g9150 II22936 II22937
+0- 1
+-0 1
+.names g13906 II22936 II22938
+0- 1
+-0 1
+.names g15188 g14015 II22945
+0- 1
+-0 1
+.names g15188 II22945 II22946
+0- 1
+-0 1
+.names g14015 II22945 II22947
+0- 1
+-0 1
+.names g15210 g14206 II22952
+0- 1
+-0 1
+.names g15210 II22952 II22953
+0- 1
+-0 1
+.names g14206 II22952 II22954
+0- 1
+-0 1
+.names g9161 g13885 II22962
+0- 1
+-0 1
+.names g9161 II22962 II22963
+0- 1
+-0 1
+.names g13885 II22962 II22964
+0- 1
+-0 1
+.names g9174 g13962 II22972
+0- 1
+-0 1
+.names g9174 II22972 II22973
+0- 1
+-0 1
+.names g13962 II22972 II22974
+0- 1
+-0 1
+.names g15274 g14106 II22981
+0- 1
+-0 1
+.names g15274 II22981 II22982
+0- 1
+-0 1
+.names g14106 II22981 II22983
+0- 1
+-0 1
+.names g15296 g14321 II22988
+0- 1
+-0 1
+.names g15296 II22988 II22989
+0- 1
+-0 1
+.names g14321 II22988 II22990
+0- 1
+-0 1
+.names g9187 g13872 II22998
+0- 1
+-0 1
+.names g9187 II22998 II22999
+0- 1
+-0 1
+.names g13872 II22998 II23000
+0- 1
+-0 1
+.names g9203 g13926 II23008
+0- 1
+-0 1
+.names g9203 II23008 II23009
+0- 1
+-0 1
+.names g13926 II23008 II23010
+0- 1
+-0 1
+.names g9216 g14032 II23018
+0- 1
+-0 1
+.names g9216 II23018 II23019
+0- 1
+-0 1
+.names g14032 II23018 II23020
+0- 1
+-0 1
+.names g15366 g14221 II23027
+0- 1
+-0 1
+.names g15366 II23027 II23028
+0- 1
+-0 1
+.names g14221 II23027 II23029
+0- 1
+-0 1
+.names g9232 g13864 II23034
+0- 1
+-0 1
+.names g9232 II23034 II23035
+0- 1
+-0 1
+.names g13864 II23034 II23036
+0- 1
+-0 1
+.names g9248 g13894 II23045
+0- 1
+-0 1
+.names g9248 II23045 II23046
+0- 1
+-0 1
+.names g13894 II23045 II23047
+0- 1
+-0 1
+.names g9264 g13982 II23055
+0- 1
+-0 1
+.names g9264 II23055 II23056
+0- 1
+-0 1
+.names g13982 II23055 II23057
+0- 1
+-0 1
+.names g9277 g14123 II23065
+0- 1
+-0 1
+.names g9277 II23065 II23066
+0- 1
+-0 1
+.names g14123 II23065 II23067
+0- 1
+-0 1
+.names g9293 g13856 II23074
+0- 1
+-0 1
+.names g9293 II23074 II23075
+0- 1
+-0 1
+.names g13856 II23074 II23076
+0- 1
+-0 1
+.names g9310 g13879 II23082
+0- 1
+-0 1
+.names g9310 II23082 II23083
+0- 1
+-0 1
+.names g13879 II23082 II23084
+0- 1
+-0 1
+.names g9326 g13935 II23093
+0- 1
+-0 1
+.names g9326 II23093 II23094
+0- 1
+-0 1
+.names g13935 II23093 II23095
+0- 1
+-0 1
+.names g9342 g14052 II23103
+0- 1
+-0 1
+.names g9342 II23103 II23104
+0- 1
+-0 1
+.names g14052 II23103 II23105
+0- 1
+-0 1
+.names g9356 g13848 II23113
+0- 1
+-0 1
+.names g9356 II23113 II23114
+0- 1
+-0 1
+.names g13848 II23113 II23115
+0- 1
+-0 1
+.names g9374 g13866 II23123
+0- 1
+-0 1
+.names g9374 II23123 II23124
+0- 1
+-0 1
+.names g13866 II23123 II23125
+0- 1
+-0 1
+.names g9391 g13901 II23131
+0- 1
+-0 1
+.names g9391 II23131 II23132
+0- 1
+-0 1
+.names g13901 II23131 II23133
+0- 1
+-0 1
+.names g9407 g13991 II23142
+0- 1
+-0 1
+.names g9407 II23142 II23143
+0- 1
+-0 1
+.names g13991 II23142 II23144
+0- 1
+-0 1
+.names g9427 g14061 II23152
+0- 1
+-0 1
+.names g9427 II23152 II23153
+0- 1
+-0 1
+.names g14061 II23152 II23154
+0- 1
+-0 1
+.names g9453 g13857 II23161
+0- 1
+-0 1
+.names g9453 II23161 II23162
+0- 1
+-0 1
+.names g13857 II23161 II23163
+0- 1
+-0 1
+.names g9471 g13881 II23171
+0- 1
+-0 1
+.names g9471 II23171 II23172
+0- 1
+-0 1
+.names g13881 II23171 II23173
+0- 1
+-0 1
+.names g9488 g13942 II23179
+0- 1
+-0 1
+.names g9488 II23179 II23180
+0- 1
+-0 1
+.names g13942 II23179 II23181
+0- 1
+-0 1
+.names g9507 g13999 II23190
+0- 1
+-0 1
+.names g9507 II23190 II23191
+0- 1
+-0 1
+.names g13999 II23190 II23192
+0- 1
+-0 1
+.names g9569 g14176 II23198
+0- 1
+-0 1
+.names g9569 II23198 II23199
+0- 1
+-0 1
+.names g14176 II23198 II23200
+0- 1
+-0 1
+.names g9595 g13867 II23207
+0- 1
+-0 1
+.names g9595 II23207 II23208
+0- 1
+-0 1
+.names g13867 II23207 II23209
+0- 1
+-0 1
+.names g9613 g13903 II23217
+0- 1
+-0 1
+.names g9613 II23217 II23218
+0- 1
+-0 1
+.names g13903 II23217 II23219
+0- 1
+-0 1
+.names g9649 g14090 II23225
+0- 1
+-0 1
+.names g9649 II23225 II23226
+0- 1
+-0 1
+.names g14090 II23225 II23227
+0- 1
+-0 1
+.names g9711 g14291 II23233
+0- 1
+-0 1
+.names g9711 II23233 II23234
+0- 1
+-0 1
+.names g14291 II23233 II23235
+0- 1
+-0 1
+.names g9737 g13882 II23242
+0- 1
+-0 1
+.names g9737 II23242 II23243
+0- 1
+-0 1
+.names g13882 II23242 II23244
+0- 1
+-0 1
+.names g9795 g14205 II23256
+0- 1
+-0 1
+.names g9795 II23256 II23257
+0- 1
+-0 1
+.names g14205 II23256 II23258
+0- 1
+-0 1
+.names g9857 g14413 II23264
+0- 1
+-0 1
+.names g9857 II23264 II23265
+0- 1
+-0 1
+.names g14413 II23264 II23266
+0- 1
+-0 1
+.names g9941 g14320 II23277
+0- 1
+-0 1
+.names g9941 II23277 II23278
+0- 1
+-0 1
+.names g14320 II23277 II23279
+0- 1
+-0 1
+.names g14062 g9150 II23806
+0- 1
+-0 1
+.names g14062 II23806 II23807
+0- 1
+-0 1
+.names g9150 II23806 II23808
+0- 1
+-0 1
+.names g14001 g9187 II23878
+0- 1
+-0 1
+.names g14001 II23878 II23879
+0- 1
+-0 1
+.names g9187 II23878 II23880
+0- 1
+-0 1
+.names g14177 g9174 II23893
+0- 1
+-0 1
+.names g14177 II23893 II23894
+0- 1
+-0 1
+.names g9174 II23893 II23895
+0- 1
+-0 1
+.names g13946 g9293 II23941
+0- 1
+-0 1
+.names g13946 II23941 II23942
+0- 1
+-0 1
+.names g9293 II23941 II23943
+0- 1
+-0 1
+.names g6513 g14171 II23958
+0- 1
+-0 1
+.names g6513 II23958 II23959
+0- 1
+-0 1
+.names g14171 II23958 II23960
+0- 1
+-0 1
+.names g14092 g9248 II23966
+0- 1
+-0 1
+.names g14092 II23966 II23967
+0- 1
+-0 1
+.names g9248 II23966 II23968
+0- 1
+-0 1
+.names g14292 g9216 II23981
+0- 1
+-0 1
+.names g14292 II23981 II23982
+0- 1
+-0 1
+.names g9216 II23981 II23983
+0- 1
+-0 1
+.names g7548 g15814 II24005
+0- 1
+-0 1
+.names g7548 II24005 II24006
+0- 1
+-0 1
+.names g15814 II24005 II24007
+0- 1
+-0 1
+.names g13907 g9427 II24015
+0- 1
+-0 1
+.names g13907 II24015 II24016
+0- 1
+-0 1
+.names g9427 II24015 II24017
+0- 1
+-0 1
+.names g6201 g14086 II24028
+0- 1
+-0 1
+.names g6201 II24028 II24029
+0- 1
+-0 1
+.names g14086 II24028 II24030
+0- 1
+-0 1
+.names g14016 g9374 II24036
+0- 1
+-0 1
+.names g14016 II24036 II24037
+0- 1
+-0 1
+.names g9374 II24036 II24038
+0- 1
+-0 1
+.names g6777 g14286 II24053
+0- 1
+-0 1
+.names g6777 II24053 II24054
+0- 1
+-0 1
+.names g14286 II24053 II24055
+0- 1
+-0 1
+.names g14207 g9326 II24061
+0- 1
+-0 1
+.names g14207 II24061 II24062
+0- 1
+-0 1
+.names g9326 II24061 II24063
+0- 1
+-0 1
+.names g14414 g9277 II24076
+0- 1
+-0 1
+.names g14414 II24076 II24077
+0- 1
+-0 1
+.names g9277 II24076 II24078
+0- 1
+-0 1
+.names g13886 g15096 II24091
+0- 1
+-0 1
+.names g13886 II24091 II24092
+0- 1
+-0 1
+.names g15096 II24091 II24093
+0- 1
+-0 1
+.names g6363 g14011 II24102
+0- 1
+-0 1
+.names g6363 II24102 II24103
+0- 1
+-0 1
+.names g14011 II24102 II24104
+0- 1
+-0 1
+.names g13963 g9569 II24110
+0- 1
+-0 1
+.names g13963 II24110 II24111
+0- 1
+-0 1
+.names g9569 II24110 II24112
+0- 1
+-0 1
+.names g6290 g14201 II24123
+0- 1
+-0 1
+.names g6290 II24123 II24124
+0- 1
+-0 1
+.names g14201 II24123 II24125
+0- 1
+-0 1
+.names g14107 g9471 II24131
+0- 1
+-0 1
+.names g14107 II24131 II24132
+0- 1
+-0 1
+.names g9471 II24131 II24133
+0- 1
+-0 1
+.names g7079 g14408 II24148
+0- 1
+-0 1
+.names g7079 II24148 II24149
+0- 1
+-0 1
+.names g14408 II24148 II24150
+0- 1
+-0 1
+.names g14322 g9407 II24156
+0- 1
+-0 1
+.names g14322 II24156 II24157
+0- 1
+-0 1
+.names g9407 II24156 II24158
+0- 1
+-0 1
+.names g13873 g9161 II24178
+0- 1
+-0 1
+.names g13873 II24178 II24179
+0- 1
+-0 1
+.names g9161 II24178 II24180
+0- 1
+-0 1
+.names g6177 g13958 II24186
+0- 1
+-0 1
+.names g6177 II24186 II24187
+0- 1
+-0 1
+.names g13958 II24186 II24188
+0- 1
+-0 1
+.names g13927 g15188 II24194
+0- 1
+-0 1
+.names g13927 II24194 II24195
+0- 1
+-0 1
+.names g15188 II24194 II24196
+0- 1
+-0 1
+.names g6568 g14102 II24205
+0- 1
+-0 1
+.names g6568 II24205 II24206
+0- 1
+-0 1
+.names g14102 II24205 II24207
+0- 1
+-0 1
+.names g14033 g9711 II24213
+0- 1
+-0 1
+.names g14033 II24213 II24214
+0- 1
+-0 1
+.names g9711 II24213 II24215
+0- 1
+-0 1
+.names g6427 g14316 II24226
+0- 1
+-0 1
+.names g6427 II24226 II24227
+0- 1
+-0 1
+.names g14316 II24226 II24228
+0- 1
+-0 1
+.names g14222 g9613 II24234
+0- 1
+-0 1
+.names g14222 II24234 II24235
+0- 1
+-0 1
+.names g9613 II24234 II24236
+0- 1
+-0 1
+.names g7329 g14520 II24251
+0- 1
+-0 1
+.names g7329 II24251 II24252
+0- 1
+-0 1
+.names g14520 II24251 II24253
+0- 1
+-0 1
+.names g14342 g9232 II24263
+0- 1
+-0 1
+.names g14342 II24263 II24264
+0- 1
+-0 1
+.names g9232 II24263 II24265
+0- 1
+-0 1
+.names g6180 g13922 II24271
+0- 1
+-0 1
+.names g6180 II24271 II24272
+0- 1
+-0 1
+.names g13922 II24271 II24273
+0- 1
+-0 1
+.names g6284 g13918 II24278
+0- 1
+-0 1
+.names g6284 II24278 II24279
+0- 1
+-0 1
+.names g13918 II24278 II24280
+0- 1
+-0 1
+.names g13895 g9203 II24290
+0- 1
+-0 1
+.names g13895 II24290 II24291
+0- 1
+-0 1
+.names g9203 II24290 II24292
+0- 1
+-0 1
+.names g6209 g14028 II24298
+0- 1
+-0 1
+.names g6209 II24298 II24299
+0- 1
+-0 1
+.names g14028 II24298 II24300
+0- 1
+-0 1
+.names g13983 g15274 II24306
+0- 1
+-0 1
+.names g13983 II24306 II24307
+0- 1
+-0 1
+.names g15274 II24306 II24308
+0- 1
+-0 1
+.names g6832 g14217 II24317
+0- 1
+-0 1
+.names g6832 II24317 II24318
+0- 1
+-0 1
+.names g14217 II24317 II24319
+0- 1
+-0 1
+.names g14124 g9857 II24325
+0- 1
+-0 1
+.names g14124 II24325 II24326
+0- 1
+-0 1
+.names g9857 II24325 II24327
+0- 1
+-0 1
+.names g6632 g14438 II24338
+0- 1
+-0 1
+.names g6632 II24338 II24339
+0- 1
+-0 1
+.names g14438 II24338 II24340
+0- 1
+-0 1
+.names g14238 g9356 II24351
+0- 1
+-0 1
+.names g14238 II24351 II24352
+0- 1
+-0 1
+.names g9356 II24351 II24353
+0- 1
+-0 1
+.names g6157 g14525 II24361
+0- 1
+-0 1
+.names g6157 II24361 II24362
+0- 1
+-0 1
+.names g14525 II24361 II24363
+0- 1
+-0 1
+.names g14454 g9310 II24372
+0- 1
+-0 1
+.names g14454 II24372 II24373
+0- 1
+-0 1
+.names g9310 II24372 II24374
+0- 1
+-0 1
+.names g6212 g13978 II24380
+0- 1
+-0 1
+.names g6212 II24380 II24381
+0- 1
+-0 1
+.names g13978 II24380 II24382
+0- 1
+-0 1
+.names g6421 g13974 II24387
+0- 1
+-0 1
+.names g6421 II24387 II24388
+0- 1
+-0 1
+.names g13974 II24387 II24389
+0- 1
+-0 1
+.names g13936 g9264 II24399
+0- 1
+-0 1
+.names g13936 II24399 II24400
+0- 1
+-0 1
+.names g9264 II24399 II24401
+0- 1
+-0 1
+.names g6298 g14119 II24407
+0- 1
+-0 1
+.names g6298 II24407 II24408
+0- 1
+-0 1
+.names g14119 II24407 II24409
+0- 1
+-0 1
+.names g14053 g15366 II24415
+0- 1
+-0 1
+.names g14053 II24415 II24416
+0- 1
+-0 1
+.names g15366 II24415 II24417
+0- 1
+-0 1
+.names g7134 g14332 II24426
+0- 1
+-0 1
+.names g7134 II24426 II24427
+0- 1
+-0 1
+.names g14332 II24426 II24428
+0- 1
+-0 1
+.names g14153 g15022 II24436
+0- 1
+-0 1
+.names g14153 II24436 II24437
+0- 1
+-0 1
+.names g15022 II24436 II24438
+0- 1
+-0 1
+.names g14148 g9507 II24443
+0- 1
+-0 1
+.names g14148 II24443 II24444
+0- 1
+-0 1
+.names g9507 II24443 II24445
+0- 1
+-0 1
+.names g6142 g14450 II24452
+0- 1
+-0 1
+.names g6142 II24452 II24453
+0- 1
+-0 1
+.names g14450 II24452 II24454
+0- 1
+-0 1
+.names g14360 g9453 II24464
+0- 1
+-0 1
+.names g14360 II24464 II24465
+0- 1
+-0 1
+.names g9453 II24464 II24466
+0- 1
+-0 1
+.names g6184 g14580 II24474
+0- 1
+-0 1
+.names g6184 II24474 II24475
+0- 1
+-0 1
+.names g14580 II24474 II24476
+0- 1
+-0 1
+.names g14541 g9391 II24485
+0- 1
+-0 1
+.names g14541 II24485 II24486
+0- 1
+-0 1
+.names g9391 II24485 II24487
+0- 1
+-0 1
+.names g6301 g14048 II24493
+0- 1
+-0 1
+.names g6301 II24493 II24494
+0- 1
+-0 1
+.names g14048 II24493 II24495
+0- 1
+-0 1
+.names g6626 g14044 II24500
+0- 1
+-0 1
+.names g6626 II24500 II24501
+0- 1
+-0 1
+.names g14044 II24500 II24502
+0- 1
+-0 1
+.names g13992 g9342 II24512
+0- 1
+-0 1
+.names g13992 II24512 II24513
+0- 1
+-0 1
+.names g9342 II24512 II24514
+0- 1
+-0 1
+.names g6435 g14234 II24520
+0- 1
+-0 1
+.names g6435 II24520 II24521
+0- 1
+-0 1
+.names g14234 II24520 II24522
+0- 1
+-0 1
+.names g6707 g14355 II24530
+0- 1
+-0 1
+.names g6707 II24530 II24531
+0- 1
+-0 1
+.names g14355 II24530 II24532
+0- 1
+-0 1
+.names g14268 g15118 II24537
+0- 1
+-0 1
+.names g14268 II24537 II24538
+0- 1
+-0 1
+.names g15118 II24537 II24539
+0- 1
+-0 1
+.names g14263 g9649 II24544
+0- 1
+-0 1
+.names g14263 II24544 II24545
+0- 1
+-0 1
+.names g9649 II24544 II24546
+0- 1
+-0 1
+.names g6163 g14537 II24553
+0- 1
+-0 1
+.names g6163 II24553 II24554
+0- 1
+-0 1
+.names g14537 II24553 II24555
+0- 1
+-0 1
+.names g14472 g9595 II24565
+0- 1
+-0 1
+.names g14472 II24565 II24566
+0- 1
+-0 1
+.names g9595 II24565 II24567
+0- 1
+-0 1
+.names g6216 g14614 II24575
+0- 1
+-0 1
+.names g6216 II24575 II24576
+0- 1
+-0 1
+.names g14614 II24575 II24577
+0- 1
+-0 1
+.names g14596 g9488 II24586
+0- 1
+-0 1
+.names g14596 II24586 II24587
+0- 1
+-0 1
+.names g9488 II24586 II24588
+0- 1
+-0 1
+.names g6438 g14139 II24594
+0- 1
+-0 1
+.names g6438 II24594 II24595
+0- 1
+-0 1
+.names g14139 II24594 II24596
+0- 1
+-0 1
+.names g6890 g14135 II24601
+0- 1
+-0 1
+.names g6890 II24601 II24602
+0- 1
+-0 1
+.names g14135 II24601 II24603
+0- 1
+-0 1
+.names g15814 g15978 II24611
+0- 1
+-0 1
+.names g15814 II24611 II24612
+0- 1
+-0 1
+.names g15978 II24611 II24613
+0- 1
+-0 1
+.names g6136 g14252 II24624
+0- 1
+-0 1
+.names g6136 II24624 II24625
+0- 1
+-0 1
+.names g14252 II24624 II24626
+0- 1
+-0 1
+.names g7009 g14467 II24632
+0- 1
+-0 1
+.names g7009 II24632 II24633
+0- 1
+-0 1
+.names g14467 II24632 II24634
+0- 1
+-0 1
+.names g14390 g15210 II24639
+0- 1
+-0 1
+.names g14390 II24639 II24640
+0- 1
+-0 1
+.names g15210 II24639 II24641
+0- 1
+-0 1
+.names g14385 g9795 II24646
+0- 1
+-0 1
+.names g14385 II24646 II24647
+0- 1
+-0 1
+.names g9795 II24646 II24648
+0- 1
+-0 1
+.names g6190 g14592 II24655
+0- 1
+-0 1
+.names g6190 II24655 II24656
+0- 1
+-0 1
+.names g14592 II24655 II24657
+0- 1
+-0 1
+.names g14559 g9737 II24667
+0- 1
+-0 1
+.names g14559 II24667 II24668
+0- 1
+-0 1
+.names g9737 II24667 II24669
+0- 1
+-0 1
+.names g6305 g14637 II24677
+0- 1
+-0 1
+.names g6305 II24677 II24678
+0- 1
+-0 1
+.names g14637 II24677 II24679
+0- 1
+-0 1
+.names g6146 g14374 II24694
+0- 1
+-0 1
+.names g6146 II24694 II24695
+0- 1
+-0 1
+.names g14374 II24694 II24696
+0- 1
+-0 1
+.names g7259 g14554 II24702
+0- 1
+-0 1
+.names g7259 II24702 II24703
+0- 1
+-0 1
+.names g14554 II24702 II24704
+0- 1
+-0 1
+.names g14502 g15296 II24709
+0- 1
+-0 1
+.names g14502 II24709 II24710
+0- 1
+-0 1
+.names g15296 II24709 II24711
+0- 1
+-0 1
+.names g14497 g9941 II24716
+0- 1
+-0 1
+.names g14497 II24716 II24717
+0- 1
+-0 1
+.names g9941 II24716 II24718
+0- 1
+-0 1
+.names g6222 g14626 II24725
+0- 1
+-0 1
+.names g6222 II24725 II24726
+0- 1
+-0 1
+.names g14626 II24725 II24727
+0- 1
+-0 1
+.names g6167 g14486 II24743
+0- 1
+-0 1
+.names g6167 II24743 II24744
+0- 1
+-0 1
+.names g14486 II24743 II24745
+0- 1
+-0 1
+.names g7455 g14609 II24751
+0- 1
+-0 1
+.names g7455 II24751 II24752
+0- 1
+-0 1
+.names g14609 II24751 II24753
+0- 1
+-0 1
+.names g6194 g14573 II24763
+0- 1
+-0 1
+.names g6194 II24763 II24764
+0- 1
+-0 1
+.names g14573 II24763 II24765
+0- 1
+-0 1
+.names g8029 g13507 II25030
+0- 1
+-0 1
+.names g8029 II25030 II25031
+0- 1
+-0 1
+.names g13507 II25030 II25032
+0- 1
+-0 1
+.names g52 g18179 II25532
+0- 1
+-0 1
+.names g52 II25532 II25533
+0- 1
+-0 1
+.names g18179 II25532 II25534
+0- 1
+-0 1
+.names g92 g18174 II25539
+0- 1
+-0 1
+.names g92 II25539 II25540
+0- 1
+-0 1
+.names g18174 II25539 II25541
+0- 1
+-0 1
+.names g56 g17724 II25560
+0- 1
+-0 1
+.names g56 II25560 II25561
+0- 1
+-0 1
+.names g17724 II25560 II25562
+0- 1
+-0 1
+.names g740 g18286 II25571
+0- 1
+-0 1
+.names g740 II25571 II25572
+0- 1
+-0 1
+.names g18286 II25571 II25573
+0- 1
+-0 1
+.names g780 g18281 II25578
+0- 1
+-0 1
+.names g780 II25578 II25579
+0- 1
+-0 1
+.names g18281 II25578 II25580
+0- 1
+-0 1
+.names g61 g18074 II25595
+0- 1
+-0 1
+.names g61 II25595 II25596
+0- 1
+-0 1
+.names g18074 II25595 II25597
+0- 1
+-0 1
+.names g744 g17825 II25605
+0- 1
+-0 1
+.names g744 II25605 II25606
+0- 1
+-0 1
+.names g17825 II25605 II25607
+0- 1
+-0 1
+.names g1426 g18379 II25616
+0- 1
+-0 1
+.names g1426 II25616 II25617
+0- 1
+-0 1
+.names g18379 II25616 II25618
+0- 1
+-0 1
+.names g1466 g18374 II25623
+0- 1
+-0 1
+.names g1466 II25623 II25624
+0- 1
+-0 1
+.names g18374 II25623 II25625
+0- 1
+-0 1
+.names g65 g17640 II25633
+0- 1
+-0 1
+.names g65 II25633 II25634
+0- 1
+-0 1
+.names g17640 II25633 II25635
+0- 1
+-0 1
+.names g749 g18190 II25643
+0- 1
+-0 1
+.names g749 II25643 II25644
+0- 1
+-0 1
+.names g18190 II25643 II25645
+0- 1
+-0 1
+.names g1430 g17937 II25653
+0- 1
+-0 1
+.names g1430 II25653 II25654
+0- 1
+-0 1
+.names g17937 II25653 II25655
+0- 1
+-0 1
+.names g2120 g18474 II25664
+0- 1
+-0 1
+.names g2120 II25664 II25665
+0- 1
+-0 1
+.names g18474 II25664 II25666
+0- 1
+-0 1
+.names g2160 g18469 II25671
+0- 1
+-0 1
+.names g2160 II25671 II25672
+0- 1
+-0 1
+.names g18469 II25671 II25673
+0- 1
+-0 1
+.names g70 g17974 II25681
+0- 1
+-0 1
+.names g70 II25681 II25682
+0- 1
+-0 1
+.names g17974 II25681 II25683
+0- 1
+-0 1
+.names g753 g17741 II25690
+0- 1
+-0 1
+.names g753 II25690 II25691
+0- 1
+-0 1
+.names g17741 II25690 II25692
+0- 1
+-0 1
+.names g1435 g18297 II25700
+0- 1
+-0 1
+.names g1435 II25700 II25701
+0- 1
+-0 1
+.names g18297 II25700 II25702
+0- 1
+-0 1
+.names g2124 g18048 II25710
+0- 1
+-0 1
+.names g2124 II25710 II25711
+0- 1
+-0 1
+.names g18048 II25710 II25712
+0- 1
+-0 1
+.names g74 g18341 II25721
+0- 1
+-0 1
+.names g74 II25721 II25722
+0- 1
+-0 1
+.names g18341 II25721 II25723
+0- 1
+-0 1
+.names g758 g18091 II25731
+0- 1
+-0 1
+.names g758 II25731 II25732
+0- 1
+-0 1
+.names g18091 II25731 II25733
+0- 1
+-0 1
+.names g1439 g17842 II25740
+0- 1
+-0 1
+.names g1439 II25740 II25741
+0- 1
+-0 1
+.names g17842 II25740 II25742
+0- 1
+-0 1
+.names g2129 g18390 II25750
+0- 1
+-0 1
+.names g2129 II25750 II25751
+0- 1
+-0 1
+.names g18390 II25750 II25752
+0- 1
+-0 1
+.names g79 g17882 II25761
+0- 1
+-0 1
+.names g79 II25761 II25762
+0- 1
+-0 1
+.names g17882 II25761 II25763
+0- 1
+-0 1
+.names g762 g18436 II25771
+0- 1
+-0 1
+.names g762 II25771 II25772
+0- 1
+-0 1
+.names g18436 II25771 II25773
+0- 1
+-0 1
+.names g1444 g18207 II25781
+0- 1
+-0 1
+.names g1444 II25781 II25782
+0- 1
+-0 1
+.names g18207 II25781 II25783
+0- 1
+-0 1
+.names g2133 g17954 II25790
+0- 1
+-0 1
+.names g2133 II25790 II25791
+0- 1
+-0 1
+.names g17954 II25790 II25792
+0- 1
+-0 1
+.names g83 g18265 II25800
+0- 1
+-0 1
+.names g83 II25800 II25801
+0- 1
+-0 1
+.names g18265 II25800 II25802
+0- 1
+-0 1
+.names g767 g17993 II25809
+0- 1
+-0 1
+.names g767 II25809 II25810
+0- 1
+-0 1
+.names g17993 II25809 II25811
+0- 1
+-0 1
+.names g1448 g18509 II25819
+0- 1
+-0 1
+.names g1448 II25819 II25820
+0- 1
+-0 1
+.names g18509 II25819 II25821
+0- 1
+-0 1
+.names g2138 g18314 II25829
+0- 1
+-0 1
+.names g2138 II25829 II25830
+0- 1
+-0 1
+.names g18314 II25829 II25831
+0- 1
+-0 1
+.names g88 g17802 II25838
+0- 1
+-0 1
+.names g88 II25838 II25839
+0- 1
+-0 1
+.names g17802 II25838 II25840
+0- 1
+-0 1
+.names g771 g18358 II25846
+0- 1
+-0 1
+.names g771 II25846 II25847
+0- 1
+-0 1
+.names g18358 II25846 II25848
+0- 1
+-0 1
+.names g1453 g18110 II25855
+0- 1
+-0 1
+.names g1453 II25855 II25856
+0- 1
+-0 1
+.names g18110 II25855 II25857
+0- 1
+-0 1
+.names g2142 g18573 II25865
+0- 1
+-0 1
+.names g2142 II25865 II25866
+0- 1
+-0 1
+.names g18573 II25865 II25867
+0- 1
+-0 1
+.names g776 g17914 II25880
+0- 1
+-0 1
+.names g776 II25880 II25881
+0- 1
+-0 1
+.names g17914 II25880 II25882
+0- 1
+-0 1
+.names g1457 g18453 II25888
+0- 1
+-0 1
+.names g1457 II25888 II25889
+0- 1
+-0 1
+.names g18453 II25888 II25890
+0- 1
+-0 1
+.names g2147 g18226 II25897
+0- 1
+-0 1
+.names g2147 II25897 II25898
+0- 1
+-0 1
+.names g18226 II25897 II25899
+0- 1
+-0 1
+.names g1462 g18025 II25913
+0- 1
+-0 1
+.names g1462 II25913 II25914
+0- 1
+-0 1
+.names g18025 II25913 II25915
+0- 1
+-0 1
+.names g2151 g18526 II25921
+0- 1
+-0 1
+.names g2151 II25921 II25922
+0- 1
+-0 1
+.names g18526 II25921 II25923
+0- 1
+-0 1
+.names g2156 g18142 II25938
+0- 1
+-0 1
+.names g2156 II25938 II25939
+0- 1
+-0 1
+.names g18142 II25938 II25940
+0- 1
+-0 1
+.names g18165 g15753 g19219
+00 1
+.names g14079 g19444 II28189
+0- 1
+-0 1
+.names g14079 II28189 II28190
+0- 1
+-0 1
+.names g19444 II28189 II28191
+0- 1
+-0 1
+.names II28190 II28191 g21660
+0- 1
+-0 1
+.names g14194 g19471 II28217
+0- 1
+-0 1
+.names g14194 II28217 II28218
+0- 1
+-0 1
+.names g19471 II28217 II28219
+0- 1
+-0 1
+.names II28218 II28219 g21689
+0- 1
+-0 1
+.names g14309 g19494 II28247
+0- 1
+-0 1
+.names g14309 II28247 II28248
+0- 1
+-0 1
+.names g19494 II28247 II28249
+0- 1
+-0 1
+.names II28248 II28249 g21725
+0- 1
+-0 1
+.names g14431 g19515 II28271
+0- 1
+-0 1
+.names g14431 II28271 II28272
+0- 1
+-0 1
+.names g19515 II28271 II28273
+0- 1
+-0 1
+.names II28272 II28273 g21751
+0- 1
+-0 1
+.names g17807 g19181 g19186 g21848
+0-- 1
+-0- 1
+--0 1
+.names g17979 g19187 g19191 g21850
+0-- 1
+-0- 1
+--0 1
+.names g17919 g19188 g19193 g21855
+0-- 1
+-0- 1
+--0 1
+.names g18079 g19192 g19200 g21857
+0-- 1
+-0- 1
+--0 1
+.names g18096 g19194 g19202 g21858
+0-- 1
+-0- 1
+--0 1
+.names g18030 g19195 g19204 g21859
+0-- 1
+-0- 1
+--0 1
+.names g18270 g19201 g19209 g21860
+0-- 1
+-0- 1
+--0 1
+.names g18195 g19203 g19211 g21862
+0-- 1
+-0- 1
+--0 1
+.names g18212 g19205 g19213 g21863
+0-- 1
+-0- 1
+--0 1
+.names g18147 g19206 g19215 g21864
+0-- 1
+-0- 1
+--0 1
+.names g18424 g19210 g19221 g21865
+0-- 1
+-0- 1
+--0 1
+.names g18363 g19212 g19222 g21866
+0-- 1
+-0- 1
+--0 1
+.names g18302 g19214 g19224 g21868
+0-- 1
+-0- 1
+--0 1
+.names g18319 g19216 g19226 g21869
+0-- 1
+-0- 1
+--0 1
+.names g18497 g19223 g19231 g21870
+0-- 1
+-0- 1
+--0 1
+.names g18458 g19225 g19232 g21871
+0-- 1
+-0- 1
+--0 1
+.names g18395 g19227 g19234 g21873
+0-- 1
+-0- 1
+--0 1
+.names g18561 g19233 g19244 g21874
+0-- 1
+-0- 1
+--0 1
+.names g18531 g19235 g19245 g21875
+0-- 1
+-0- 1
+--0 1
+.names g18611 g19246 g19257 g21877
+0-- 1
+-0- 1
+--0 1
+.names g18419 g19250 g19263 g21879
+0-- 1
+-0- 1
+--0 1
+.names g18492 g19264 g19278 g21881
+0-- 1
+-0- 1
+--0 1
+.names g18556 g19279 g19297 g21885
+0-- 1
+-0- 1
+--0 1
+.names g18606 g19298 g19315 g21888
+0-- 1
+-0- 1
+--0 1
+.names g19889 g18062 g21048
+00 1
+.names g19914 g18169 g21065
+00 1
+.names g13519 g19289 g21887
+00 1
+.names g21887 g13519 II28726
+0- 1
+-0 1
+.names g21887 II28726 II28727
+0- 1
+-0 1
+.names g13519 II28726 II28728
+0- 1
+-0 1
+.names g13530 g19307 g21890
+00 1
+.names g21890 g13530 II28741
+0- 1
+-0 1
+.names g21890 II28741 II28742
+0- 1
+-0 1
+.names g13530 II28741 II28743
+0- 1
+-0 1
+.names g13541 g19328 g21893
+00 1
+.names g21893 g13541 II28753
+0- 1
+-0 1
+.names g21893 II28753 II28754
+0- 1
+-0 1
+.names g13541 II28753 II28755
+0- 1
+-0 1
+.names g13552 g19355 g21901
+00 1
+.names g21901 g13552 II28765
+0- 1
+-0 1
+.names g21901 II28765 II28766
+0- 1
+-0 1
+.names g13552 II28765 II28767
+0- 1
+-0 1
+.names g19240 g19230 g21211
+00 1
+.names g19253 g19243 g21219
+00 1
+.names g19266 g19256 g21230
+00 1
+.names g19281 g19269 g21235
+00 1
+.names g21850 g21848 g21879 g22809
+0-- 1
+-0- 1
+--0 1
+.names g21865 g21860 g21857 g22844
+0-- 1
+-0- 1
+--0 1
+.names g8278 g21660 g22846
+0- 1
+-0 1
+.names g21858 g21855 g21881 g22850
+0-- 1
+-0- 1
+--0 1
+.names g21870 g21866 g21862 g22879
+0-- 1
+-0- 1
+--0 1
+.names g8287 g21689 g22881
+0- 1
+-0 1
+.names g21863 g21859 g21885 g22885
+0-- 1
+-0- 1
+--0 1
+.names g21874 g21871 g21868 g22914
+0-- 1
+-0- 1
+--0 1
+.names g8296 g21725 g22916
+0- 1
+-0 1
+.names g21869 g21864 g21888 g22920
+0-- 1
+-0- 1
+--0 1
+.names g21877 g21875 g21873 g22939
+0-- 1
+-0- 1
+--0 1
+.names g8305 g21751 g22941
+0- 1
+-0 1
+.names g21138 g19303 g19320 g23066
+000 1
+.names g21121 g21153 g23051
+00 1
+.names g21158 g19324 g19347 g23080
+000 1
+.names g21140 g21173 g23070
+00 1
+.names g21085 g19241 g22999
+00 1
+.names g19868 g21593 g22174
+00 1
+.names g21178 g19351 g19381 g23096
+000 1
+.names g21160 g21193 g23083
+00 1
+.names g21097 g19254 g23013
+00 1
+.names g19899 g21622 g22189
+00 1
+.names g21198 g19385 g19413 g23113
+000 1
+.names g21180 g21208 g23099
+00 1
+.names g21111 g19267 g23029
+00 1
+.names g19924 g21650 g22198
+00 1
+.names g21128 g19282 g23046
+00 1
+.names g19939 g21681 g22204
+00 1
+.names g21252 g19531 g19540 g21980
+000 1
+.names g21245 g21259 g21975
+00 1
+.names g21260 g19541 g19544 g21987
+000 1
+.names g21254 g21267 g21981
+00 1
+.names g21229 g19449 g23135
+00 1
+.names g20144 g21805 g22288
+00 1
+.names g21268 g19545 g19547 g22000
+000 1
+.names g21262 g21276 g21988
+00 1
+.names g18435 g22812 g23376
+0- 1
+-0 1
+.names g21234 g19476 g21968
+00 1
+.names g20182 g21812 g22308
+00 1
+.names g21277 g19548 g19551 g22013
+000 1
+.names g21270 g21283 g22001
+00 1
+.names g18508 g22852 g23387
+0- 1
+-0 1
+.names g21243 g19499 g21971
+00 1
+.names g20216 g21818 g22336
+00 1
+.names g18572 g22887 g23394
+0- 1
+-0 1
+.names g21251 g19520 g21973
+00 1
+.names g20246 g21822 g22361
+00 1
+.names g18622 g22922 g23402
+0- 1
+-0 1
+.names g22846 g14079 II30790
+0- 1
+-0 1
+.names g22846 II30790 II30791
+0- 1
+-0 1
+.names g14079 II30790 II30792
+0- 1
+-0 1
+.names g22881 g14194 II30868
+0- 1
+-0 1
+.names g22881 II30868 II30869
+0- 1
+-0 1
+.names g14194 II30868 II30870
+0- 1
+-0 1
+.names g22916 g14309 II30952
+0- 1
+-0 1
+.names g22916 II30952 II30953
+0- 1
+-0 1
+.names g14309 II30952 II30954
+0- 1
+-0 1
+.names g22941 g14431 II31035
+0- 1
+-0 1
+.names g22941 II31035 II31036
+0- 1
+-0 1
+.names g14431 II31035 II31037
+0- 1
+-0 1
+.names g22812 g13958 g23906
+0- 1
+-0 1
+.names g22812 g13922 g23936
+0- 1
+-0 1
+.names g22812 g13918 g23937
+0- 1
+-0 1
+.names g22852 g14028 g23938
+0- 1
+-0 1
+.names g22812 g14525 g23953
+0- 1
+-0 1
+.names g22852 g13978 g23968
+0- 1
+-0 1
+.names g22852 g13974 g23969
+0- 1
+-0 1
+.names g22887 g14119 g23970
+0- 1
+-0 1
+.names g22812 g14450 g23973
+0- 1
+-0 1
+.names g22852 g14580 g23982
+0- 1
+-0 1
+.names g22887 g14048 g23997
+0- 1
+-0 1
+.names g22887 g14044 g23998
+0- 1
+-0 1
+.names g22922 g14234 g23999
+0- 1
+-0 1
+.names g22812 g14355 g24002
+0- 1
+-0 1
+.names g22852 g14537 g24003
+0- 1
+-0 1
+.names g22887 g14614 g24012
+0- 1
+-0 1
+.names g22922 g14139 g24027
+0- 1
+-0 1
+.names g22922 g14135 g24028
+0- 1
+-0 1
+.names g22812 g14252 g24034
+0- 1
+-0 1
+.names g22852 g14467 g24036
+0- 1
+-0 1
+.names g22887 g14592 g24037
+0- 1
+-0 1
+.names g22922 g14637 g24046
+0- 1
+-0 1
+.names g22812 g14171 g24052
+0- 1
+-0 1
+.names g22852 g14374 g24054
+0- 1
+-0 1
+.names g22887 g14554 g24056
+0- 1
+-0 1
+.names g22922 g14626 g24057
+0- 1
+-0 1
+.names g22812 g14086 g24058
+0- 1
+-0 1
+.names g22852 g14286 g24065
+0- 1
+-0 1
+.names g22887 g14486 g24067
+0- 1
+-0 1
+.names g22922 g14609 g24069
+0- 1
+-0 1
+.names g22812 g14011 g24070
+0- 1
+-0 1
+.names g22852 g14201 g24071
+0- 1
+-0 1
+.names g22887 g14408 g24078
+0- 1
+-0 1
+.names g22922 g14573 g24080
+0- 1
+-0 1
+.names g22852 g14102 g24081
+0- 1
+-0 1
+.names g22887 g14316 g24082
+0- 1
+-0 1
+.names g22922 g14520 g24089
+0- 1
+-0 1
+.names g22887 g14217 g24090
+0- 1
+-0 1
+.names g22922 g14438 g24091
+0- 1
+-0 1
+.names g22922 g14332 g24093
+0- 1
+-0 1
+.names g17903 g23936 II32265
+0- 1
+-0 1
+.names g17903 II32265 II32266
+0- 1
+-0 1
+.names g23936 II32265 II32267
+0- 1
+-0 1
+.names g17815 g23953 II32284
+0- 1
+-0 1
+.names g17815 II32284 II32285
+0- 1
+-0 1
+.names g23953 II32284 II32286
+0- 1
+-0 1
+.names g18014 g23968 II32295
+0- 1
+-0 1
+.names g18014 II32295 II32296
+0- 1
+-0 1
+.names g23968 II32295 II32297
+0- 1
+-0 1
+.names g17903 g23973 II32308
+0- 1
+-0 1
+.names g17903 II32308 II32309
+0- 1
+-0 1
+.names g23973 II32308 II32310
+0- 1
+-0 1
+.names g17927 g23982 II32323
+0- 1
+-0 1
+.names g17927 II32323 II32324
+0- 1
+-0 1
+.names g23982 II32323 II32325
+0- 1
+-0 1
+.names g18131 g23997 II32333
+0- 1
+-0 1
+.names g18131 II32333 II32334
+0- 1
+-0 1
+.names g23997 II32333 II32335
+0- 1
+-0 1
+.names g17815 g24002 II32345
+0- 1
+-0 1
+.names g17815 II32345 II32346
+0- 1
+-0 1
+.names g24002 II32345 II32347
+0- 1
+-0 1
+.names g18014 g24003 II32355
+0- 1
+-0 1
+.names g18014 II32355 II32356
+0- 1
+-0 1
+.names g24003 II32355 II32357
+0- 1
+-0 1
+.names g18038 g24012 II32368
+0- 1
+-0 1
+.names g18038 II32368 II32369
+0- 1
+-0 1
+.names g24012 II32368 II32370
+0- 1
+-0 1
+.names g18247 g24027 II32378
+0- 1
+-0 1
+.names g18247 II32378 II32379
+0- 1
+-0 1
+.names g24027 II32378 II32380
+0- 1
+-0 1
+.names g17903 g24034 II32391
+0- 1
+-0 1
+.names g17903 II32391 II32392
+0- 1
+-0 1
+.names g24034 II32391 II32393
+0- 1
+-0 1
+.names g17927 g24036 II32400
+0- 1
+-0 1
+.names g17927 II32400 II32401
+0- 1
+-0 1
+.names g24036 II32400 II32402
+0- 1
+-0 1
+.names g18131 g24037 II32409
+0- 1
+-0 1
+.names g18131 II32409 II32410
+0- 1
+-0 1
+.names g24037 II32409 II32411
+0- 1
+-0 1
+.names g18155 g24046 II32422
+0- 1
+-0 1
+.names g18155 II32422 II32423
+0- 1
+-0 1
+.names g24046 II32422 II32424
+0- 1
+-0 1
+.names g17815 g24052 II32430
+0- 1
+-0 1
+.names g17815 II32430 II32431
+0- 1
+-0 1
+.names g24052 II32430 II32432
+0- 1
+-0 1
+.names g18014 g24054 II32443
+0- 1
+-0 1
+.names g18014 II32443 II32444
+0- 1
+-0 1
+.names g24054 II32443 II32445
+0- 1
+-0 1
+.names g18038 g24056 II32451
+0- 1
+-0 1
+.names g18038 II32451 II32452
+0- 1
+-0 1
+.names g24056 II32451 II32453
+0- 1
+-0 1
+.names g18247 g24057 II32460
+0- 1
+-0 1
+.names g18247 II32460 II32461
+0- 1
+-0 1
+.names g24057 II32460 II32462
+0- 1
+-0 1
+.names g17903 g24058 II32468
+0- 1
+-0 1
+.names g17903 II32468 II32469
+0- 1
+-0 1
+.names g24058 II32468 II32470
+0- 1
+-0 1
+.names g17927 g24065 II32478
+0- 1
+-0 1
+.names g17927 II32478 II32479
+0- 1
+-0 1
+.names g24065 II32478 II32480
+0- 1
+-0 1
+.names g18131 g24067 II32490
+0- 1
+-0 1
+.names g18131 II32490 II32491
+0- 1
+-0 1
+.names g24067 II32490 II32492
+0- 1
+-0 1
+.names g18155 g24069 II32498
+0- 1
+-0 1
+.names g18155 II32498 II32499
+0- 1
+-0 1
+.names g24069 II32498 II32500
+0- 1
+-0 1
+.names g17815 g24070 II32509
+0- 1
+-0 1
+.names g17815 II32509 II32510
+0- 1
+-0 1
+.names g24070 II32509 II32511
+0- 1
+-0 1
+.names g18014 g24071 II32518
+0- 1
+-0 1
+.names g18014 II32518 II32519
+0- 1
+-0 1
+.names g24071 II32518 II32520
+0- 1
+-0 1
+.names g18038 g24078 II32526
+0- 1
+-0 1
+.names g18038 II32526 II32527
+0- 1
+-0 1
+.names g24078 II32526 II32528
+0- 1
+-0 1
+.names g18247 g24080 II32538
+0- 1
+-0 1
+.names g18247 II32538 II32539
+0- 1
+-0 1
+.names g24080 II32538 II32540
+0- 1
+-0 1
+.names g17903 g23906 II32546
+0- 1
+-0 1
+.names g17903 II32546 II32547
+0- 1
+-0 1
+.names g23906 II32546 II32548
+0- 1
+-0 1
+.names g17927 g24081 II32559
+0- 1
+-0 1
+.names g17927 II32559 II32560
+0- 1
+-0 1
+.names g24081 II32559 II32561
+0- 1
+-0 1
+.names g18131 g24082 II32567
+0- 1
+-0 1
+.names g18131 II32567 II32568
+0- 1
+-0 1
+.names g24082 II32567 II32569
+0- 1
+-0 1
+.names g18155 g24089 II32575
+0- 1
+-0 1
+.names g18155 II32575 II32576
+0- 1
+-0 1
+.names g24089 II32575 II32577
+0- 1
+-0 1
+.names g17815 g23937 II32586
+0- 1
+-0 1
+.names g17815 II32586 II32587
+0- 1
+-0 1
+.names g23937 II32586 II32588
+0- 1
+-0 1
+.names g18014 g23938 II32595
+0- 1
+-0 1
+.names g18014 II32595 II32596
+0- 1
+-0 1
+.names g23938 II32595 II32597
+0- 1
+-0 1
+.names g18038 g24090 II32607
+0- 1
+-0 1
+.names g18038 II32607 II32608
+0- 1
+-0 1
+.names g24090 II32607 II32609
+0- 1
+-0 1
+.names g18247 g24091 II32615
+0- 1
+-0 1
+.names g18247 II32615 II32616
+0- 1
+-0 1
+.names g24091 II32615 II32617
+0- 1
+-0 1
+.names g17927 g23969 II32624
+0- 1
+-0 1
+.names g17927 II32624 II32625
+0- 1
+-0 1
+.names g23969 II32624 II32626
+0- 1
+-0 1
+.names g18131 g23970 II32633
+0- 1
+-0 1
+.names g18131 II32633 II32634
+0- 1
+-0 1
+.names g23970 II32633 II32635
+0- 1
+-0 1
+.names g18155 g24093 II32645
+0- 1
+-0 1
+.names g18155 II32645 II32646
+0- 1
+-0 1
+.names g24093 II32645 II32647
+0- 1
+-0 1
+.names g18038 g23998 II32659
+0- 1
+-0 1
+.names g18038 II32659 II32660
+0- 1
+-0 1
+.names g23998 II32659 II32661
+0- 1
+-0 1
+.names g18247 g23999 II32668
+0- 1
+-0 1
+.names g18247 II32668 II32669
+0- 1
+-0 1
+.names g23999 II32668 II32670
+0- 1
+-0 1
+.names g23009 g18490 g4456 g23823
+000 1
+.names g23823 g14165 II32677
+0- 1
+-0 1
+.names g23823 II32677 II32678
+0- 1
+-0 1
+.names g14165 II32677 II32679
+0- 1
+-0 1
+.names g18155 g24028 II32686
+0- 1
+-0 1
+.names g18155 II32686 II32687
+0- 1
+-0 1
+.names g24028 II32686 II32688
+0- 1
+-0 1
+.names g23025 g18554 g4632 g23858
+000 1
+.names g23858 g14280 II32695
+0- 1
+-0 1
+.names g23858 II32695 II32696
+0- 1
+-0 1
+.names g14280 II32695 II32697
+0- 1
+-0 1
+.names g23042 g18604 g4809 g23892
+000 1
+.names g23892 g14402 II32708
+0- 1
+-0 1
+.names g23892 II32708 II32709
+0- 1
+-0 1
+.names g14402 II32708 II32710
+0- 1
+-0 1
+.names g23061 g18636 g4985 g23913
+000 1
+.names g23913 g14514 II32724
+0- 1
+-0 1
+.names g23913 II32724 II32725
+0- 1
+-0 1
+.names g14514 II32724 II32726
+0- 1
+-0 1
+.names g23822 g22701 g24517
+00 1
+.names g23857 g22732 g24530
+00 1
+.names g23891 g22764 g24543
+00 1
+.names g23912 g22798 g24555
+00 1
+.names g26110 g26099 II35020
+0- 1
+-0 1
+.names g26110 II35020 II35021
+0- 1
+-0 1
+.names g26099 II35020 II35022
+0- 1
+-0 1
+.names II35021 II35022 g26859
+0- 1
+-0 1
+.names g26087 g26154 II35034
+0- 1
+-0 1
+.names g26087 II35034 II35035
+0- 1
+-0 1
+.names g26154 II35034 II35036
+0- 1
+-0 1
+.names II35035 II35036 g26865
+0- 1
+-0 1
+.names g26151 g26145 II35042
+0- 1
+-0 1
+.names g26151 II35042 II35043
+0- 1
+-0 1
+.names g26145 II35042 II35044
+0- 1
+-0 1
+.names II35043 II35044 g26867
+0- 1
+-0 1
+.names g26137 g26126 II35057
+0- 1
+-0 1
+.names g26137 II35057 II35058
+0- 1
+-0 1
+.names g26126 II35057 II35059
+0- 1
+-0 1
+.names II35058 II35059 g26874
+0- 1
+-0 1
+.names g24613 g24506 g25699
+00 1
+.names g24708 g24490 g25569
+00 1
+.names g24717 g24497 g25631
+00 1
+.names g24624 g24520 g25772
+00 1
+.names g24720 g24500 g25648
+00 1
+.names g24728 g24509 g25708
+00 1
+.names g24638 g24533 g25826
+00 1
+.names g24731 g24512 g25725
+00 1
+.names g24736 g24523 g25781
+00 1
+.names g24657 g24546 g25861
+00 1
+.names g24739 g24526 g25798
+00 1
+.names g24742 g24536 g25835
+00 1
+.names g6068 g24183 g25383 g26107
+000 1
+.names g6068 g24183 g25394 g26096
+000 1
+.names g26107 g26096 II35123
+0- 1
+-0 1
+.names g26107 II35123 II35124
+0- 1
+-0 1
+.names g26096 II35123 II35125
+0- 1
+-0 1
+.names g26867 g26874 II35701
+0- 1
+-0 1
+.names g26867 II35701 II35702
+0- 1
+-0 1
+.names g26874 II35701 II35703
+0- 1
+-0 1
+.names II35702 II35703 g27379
+0- 1
+-0 1
+.names g26859 g26865 II35714
+0- 1
+-0 1
+.names g26859 II35714 II35715
+0- 1
+-0 1
+.names g26865 II35714 II35716
+0- 1
+-0 1
+.names II35715 II35716 g27382
+0- 1
+-0 1
+.names g26663 g21913 g26989
+00 1
+.names g26668 g21931 g27012
+00 1
+.names g26674 g20640 g27038
+00 1
+.names g26024 g20665 g27066
+00 1
+.names g4456 g26081 g27051
+00 1
+.names g27051 g14831 II35904
+0- 1
+-0 1
+.names g27051 II35904 II35905
+0- 1
+-0 1
+.names g14831 II35904 II35906
+0- 1
+-0 1
+.names g4632 g26084 g27078
+00 1
+.names g27078 g14904 II35944
+0- 1
+-0 1
+.names g27078 II35944 II35945
+0- 1
+-0 1
+.names g14904 II35944 II35946
+0- 1
+-0 1
+.names g4809 g26090 g27094
+00 1
+.names g27094 g14985 II35974
+0- 1
+-0 1
+.names g27094 II35974 II35975
+0- 1
+-0 1
+.names g14985 II35974 II35976
+0- 1
+-0 1
+.names g4985 g26103 g27106
+00 1
+.names g27106 g15074 II35992
+0- 1
+-0 1
+.names g27106 II35992 II35993
+0- 1
+-0 1
+.names g15074 II35992 II35994
+0- 1
+-0 1
+.names g23104 g27181 g25128 g27415
+000 1
+.names g23118 g27187 g24427 g27436
+000 1
+.names g23127 g26758 g24431 g27455
+000 1
+.names g23138 g26764 g24435 g27471
+000 1
+.names g26759 g19087 g27527
+00 1
+.names g27527 g15859 II36256
+0- 1
+-0 1
+.names g27527 II36256 II36257
+0- 1
+-0 1
+.names g15859 II36256 II36258
+0- 1
+-0 1
+.names II36257 II36258 g27801
+0- 1
+-0 1
+.names g26765 g19093 g27549
+00 1
+.names g27549 g15890 II36270
+0- 1
+-0 1
+.names g27549 II36270 II36271
+0- 1
+-0 1
+.names g15890 II36270 II36272
+0- 1
+-0 1
+.names II36271 II36272 g27809
+0- 1
+-0 1
+.names g26768 g19100 g27565
+00 1
+.names g27565 g15923 II36289
+0- 1
+-0 1
+.names g27565 II36289 II36290
+0- 1
+-0 1
+.names g15923 II36289 II36291
+0- 1
+-0 1
+.names II36290 II36291 g27830
+0- 1
+-0 1
+.names g27382 g27379 II36300
+0- 1
+-0 1
+.names g27382 II36300 II36301
+0- 1
+-0 1
+.names g27379 II36300 II36302
+0- 1
+-0 1
+.names g26774 g19107 g27575
+00 1
+.names g27575 g15952 II36314
+0- 1
+-0 1
+.names g27575 II36314 II36315
+0- 1
+-0 1
+.names g15952 II36314 II36316
+0- 1
+-0 1
+.names II36315 II36316 g27846
+0- 1
+-0 1
+.names g4456 g26873 g27529
+00 1
+.names g27529 g14885 II36591
+0- 1
+-0 1
+.names g27529 II36591 II36592
+0- 1
+-0 1
+.names g14885 II36591 II36593
+0- 1
+-0 1
+.names g4632 g26882 g27551
+00 1
+.names g27551 g14966 II36666
+0- 1
+-0 1
+.names g27551 II36666 II36667
+0- 1
+-0 1
+.names g14966 II36666 II36668
+0- 1
+-0 1
+.names g4809 g26891 g27567
+00 1
+.names g27567 g15055 II36731
+0- 1
+-0 1
+.names g27567 II36731 II36732
+0- 1
+-0 1
+.names g15055 II36731 II36733
+0- 1
+-0 1
+.names g4985 g26901 g27577
+00 1
+.names g27577 g15151 II36779
+0- 1
+-0 1
+.names g27577 II36779 II36780
+0- 1
+-0 1
+.names g15151 II36779 II36781
+0- 1
+-0 1
+.names g27827 g27814 II37295
+0- 1
+-0 1
+.names g27827 II37295 II37296
+0- 1
+-0 1
+.names g27814 II37295 II37297
+0- 1
+-0 1
+.names II37296 II37297 g28384
+0- 1
+-0 1
+.names g27802 g27900 II37303
+0- 1
+-0 1
+.names g27802 II37303 II37304
+0- 1
+-0 1
+.names g27900 II37303 II37305
+0- 1
+-0 1
+.names II37304 II37305 g28386
+0- 1
+-0 1
+.names g27897 g27883 II37311
+0- 1
+-0 1
+.names g27897 II37311 II37312
+0- 1
+-0 1
+.names g27883 II37311 II37313
+0- 1
+-0 1
+.names II37312 II37313 g28388
+0- 1
+-0 1
+.names g27865 g27855 II37322
+0- 1
+-0 1
+.names g27865 II37322 II37323
+0- 1
+-0 1
+.names g27855 II37322 II37324
+0- 1
+-0 1
+.names II37323 II37324 g28391
+0- 1
+-0 1
+.names g6087 g27632 g25399 g27824
+000 1
+.names g6087 g27632 g25404 g27811
+000 1
+.names g27824 g27811 II37356
+0- 1
+-0 1
+.names g27824 II37356 II37357
+0- 1
+-0 1
+.names g27811 II37356 II37358
+0- 1
+-0 1
+.names g28388 g28391 II37813
+0- 1
+-0 1
+.names g28388 II37813 II37814
+0- 1
+-0 1
+.names g28391 II37813 II37815
+0- 1
+-0 1
+.names II37814 II37815 g28842
+0- 1
+-0 1
+.names g28384 g28386 II37822
+0- 1
+-0 1
+.names g28384 II37822 II37823
+0- 1
+-0 1
+.names g28386 II37822 II37824
+0- 1
+-0 1
+.names II37823 II37824 g28845
+0- 1
+-0 1
+.names g28845 g28842 II38378
+0- 1
+-0 1
+.names g28845 II38378 II38379
+0- 1
+-0 1
+.names g28842 II38378 II38380
+0- 1
+-0 1
+.names g28716 g19112 g29303
+00 1
+.names g29303 g15904 II38810
+0- 1
+-0 1
+.names g29303 II38810 II38811
+0- 1
+-0 1
+.names g15904 II38810 II38812
+0- 1
+-0 1
+.names g28717 g19117 g29313
+00 1
+.names g29313 g15933 II38820
+0- 1
+-0 1
+.names g29313 II38820 II38821
+0- 1
+-0 1
+.names g15933 II38820 II38822
+0- 1
+-0 1
+.names g28718 g19124 g29324
+00 1
+.names g29324 g15962 II38831
+0- 1
+-0 1
+.names g29324 II38831 II38832
+0- 1
+-0 1
+.names g15962 II38831 II38833
+0- 1
+-0 1
+.names g28719 g19131 g29333
+00 1
+.names g29333 g15981 II38841
+0- 1
+-0 1
+.names g29333 II38841 II38842
+0- 1
+-0 1
+.names g15981 II38841 II38843
+0- 1
+-0 1
+.names g29721 g29713 II39323
+0- 1
+-0 1
+.names g29721 II39323 II39324
+0- 1
+-0 1
+.names g29713 II39323 II39325
+0- 1
+-0 1
+.names II39324 II39325 g29911
+0- 1
+-0 1
+.names g29705 g29751 II39331
+0- 1
+-0 1
+.names g29705 II39331 II39332
+0- 1
+-0 1
+.names g29751 II39331 II39333
+0- 1
+-0 1
+.names II39332 II39333 g29913
+0- 1
+-0 1
+.names g29748 g29741 II39339
+0- 1
+-0 1
+.names g29748 II39339 II39340
+0- 1
+-0 1
+.names g29741 II39339 II39341
+0- 1
+-0 1
+.names II39340 II39341 g29915
+0- 1
+-0 1
+.names g29732 g29728 II39347
+0- 1
+-0 1
+.names g29732 II39347 II39348
+0- 1
+-0 1
+.names g29728 II39347 II39349
+0- 1
+-0 1
+.names II39348 II39349 g29917
+0- 1
+-0 1
+.names g29467 g19142 g29766
+00 1
+.names g29766 g15880 II39359
+0- 1
+-0 1
+.names g29766 II39359 II39360
+0- 1
+-0 1
+.names g15880 II39359 II39361
+0- 1
+-0 1
+.names II39360 II39361 g29923
+0- 1
+-0 1
+.names g29468 g19143 g29767
+00 1
+.names g29767 g15913 II39367
+0- 1
+-0 1
+.names g29767 II39367 II39368
+0- 1
+-0 1
+.names g15913 II39367 II39369
+0- 1
+-0 1
+.names II39368 II39369 g29925
+0- 1
+-0 1
+.names g29469 g19146 g29768
+00 1
+.names g29768 g15942 II39375
+0- 1
+-0 1
+.names g29768 II39375 II39376
+0- 1
+-0 1
+.names g15942 II39375 II39377
+0- 1
+-0 1
+.names II39376 II39377 g29927
+0- 1
+-0 1
+.names g6104 g29583 g25409 g29718
+000 1
+.names g6104 g29583 g25412 g29710
+000 1
+.names g29718 g29710 II39384
+0- 1
+-0 1
+.names g29718 II39384 II39385
+0- 1
+-0 1
+.names g29710 II39384 II39386
+0- 1
+-0 1
+.names g29470 g19148 g29769
+00 1
+.names g29769 g15971 II39391
+0- 1
+-0 1
+.names g29769 II39391 II39392
+0- 1
+-0 1
+.names g15971 II39391 II39393
+0- 1
+-0 1
+.names II39392 II39393 g29931
+0- 1
+-0 1
+.names g29915 g29917 II39532
+0- 1
+-0 1
+.names g29915 II39532 II39533
+0- 1
+-0 1
+.names g29917 II39532 II39534
+0- 1
+-0 1
+.names II39533 II39534 g30034
+0- 1
+-0 1
+.names g29911 g29913 II39539
+0- 1
+-0 1
+.names g29911 II39539 II39540
+0- 1
+-0 1
+.names g29913 II39539 II39541
+0- 1
+-0 1
+.names II39540 II39541 g30035
+0- 1
+-0 1
+.names g30035 g30034 II39689
+0- 1
+-0 1
+.names g30035 II39689 II39690
+0- 1
+-0 1
+.names g30034 II39689 II39691
+0- 1
+-0 1
+.names g30605 g30597 II40558
+0- 1
+-0 1
+.names g30605 II40558 II40559
+0- 1
+-0 1
+.names g30597 II40558 II40560
+0- 1
+-0 1
+.names II40559 II40560 g30768
+0- 1
+-0 1
+.names g30588 g30632 II40571
+0- 1
+-0 1
+.names g30588 II40571 II40572
+0- 1
+-0 1
+.names g30632 II40571 II40573
+0- 1
+-0 1
+.names II40572 II40573 g30771
+0- 1
+-0 1
+.names g30629 g30622 II40587
+0- 1
+-0 1
+.names g30629 II40587 II40588
+0- 1
+-0 1
+.names g30622 II40587 II40589
+0- 1
+-0 1
+.names II40588 II40589 g30775
+0- 1
+-0 1
+.names g30614 g30610 II40603
+0- 1
+-0 1
+.names g30614 II40603 II40604
+0- 1
+-0 1
+.names g30610 II40603 II40605
+0- 1
+-0 1
+.names II40604 II40605 g30779
+0- 1
+-0 1
+.names g6119 g30412 g25417 g30602
+000 1
+.names g6119 g30412 g25419 g30594
+000 1
+.names g30602 g30594 II40627
+0- 1
+-0 1
+.names g30602 II40627 II40628
+0- 1
+-0 1
+.names g30594 II40627 II40629
+0- 1
+-0 1
+.names g30775 g30779 II41010
+0- 1
+-0 1
+.names g30775 II41010 II41011
+0- 1
+-0 1
+.names g30779 II41010 II41012
+0- 1
+-0 1
+.names II41011 II41012 g30926
+0- 1
+-0 1
+.names g30768 g30771 II41017
+0- 1
+-0 1
+.names g30768 II41017 II41018
+0- 1
+-0 1
+.names g30771 II41017 II41019
+0- 1
+-0 1
+.names II41018 II41019 g30927
+0- 1
+-0 1
+.names g30927 g30926 II41064
+0- 1
+-0 1
+.names g30927 II41064 II41065
+0- 1
+-0 1
+.names g30926 II41064 II41066
+0- 1
+-0 1
+.names g6200 g12457 g10952 g16020
+000 1
+.names g6289 g12467 g10952 g16036
+000 1
+.names g6426 g12482 g10952 g16058
+000 1
+.names g10952 g6140 g12487 g16082
+000 1
+.names g6631 g12499 g10952 g16094
+000 1
+.names g10952 g6161 g12507 g16120
+000 1
+.names g10952 g6188 g12524 g16171
+000 1
+.names g10952 g6220 g12539 g16230
+000 1
+.names g16082 g14249 g18352
+00 1
+.names g16020 g14352 g18430
+00 1
+.names g16120 g14371 g18447
+00 1
+.names g16036 g14464 g18503
+00 1
+.names g16171 g14483 g18520
+00 1
+.names g16058 g14551 g18567
+00 1
+.names g16230 g14570 g18584
+00 1
+.names g16094 g14606 g18617
+00 1
+.names g17446 g15178 g19160
+00 1
+.names g17526 g15264 g19165
+00 1
+.names g17616 g15356 g19171
+00 1
+.names g17713 g15442 g19177
+00 1
+.names g19600 g17395 g20878
+00 1
+.names g19633 g17461 g20895
+00 1
+.names g19673 g17541 g20914
+00 1
+.names g19721 g17631 g20938
+00 1
+.names g19943 g18333 g21083
+00 1
+.names g20016 g14079 g14165 g21618
+000 1
+.names g20058 g14194 g14280 g21646
+000 1
+.names g20099 g14309 g14402 g21677
+000 1
+.names g20124 g14431 g14514 g21706
+000 1
+.names g19444 g17893 g14079 g21738
+000 1
+.names g19471 g18004 g14194 g21762
+000 1
+.names g19494 g18121 g14309 g21778
+000 1
+.names g19515 g18237 g14431 g21793
+000 1
+.names g21410 g19730 g22144
+00 1
+.names g21444 g19773 g22165
+00 1
+.names g21486 g19815 g22181
+00 1
+.names g21497 g19837 g22186
+00 1
+.names g21527 g19859 g22195
+00 1
+.names g21610 g19932 g22210
+00 1
+.names g21635 g19944 g22216
+00 1
+.names g21658 g19953 g22227
+00 1
+.names g21618 g21049 g22985
+00 1
+.names g21646 g21068 g22987
+00 1
+.names g21677 g21078 g22990
+00 1
+.names g21706 g21092 g22997
+00 1
+.names g21738 g21107 g23009
+00 1
+.names g21762 g21124 g23025
+00 1
+.names g21778 g21143 g23042
+00 1
+.names g21793 g21163 g23061
+00 1
+.names g22483 g21388 g23386
+00 1
+.names g22526 g21418 g23393
+00 1
+.names g22566 g21452 g23401
+00 1
+.names g22606 g21494 g23408
+00 1
+.names g22699 g21589 g23427
+00 1
+.names g22726 g21611 g23433
+00 1
+.names g22841 g21707 g23461
+00 1
+.names g22906 g21758 g23477
+00 1
+.names g22270 g21137 g24227
+00 1
+.names g22289 g21157 g24234
+00 1
+.names g22309 g21177 g24242
+00 1
+.names g22337 g21197 g24249
+00 1
+.names g23544 g22398 g24428
+00 1
+.names g23643 g22577 g24486
+00 1
+.names g23686 g22607 g24490
+00 1
+.names g23689 g22610 g24492
+00 1
+.names g23693 g22614 g24493
+00 1
+.names g23734 g22638 g24497
+00 1
+.names g23740 g22643 g24500
+00 1
+.names g23743 g22646 g24502
+00 1
+.names g23747 g22650 g24503
+00 1
+.names g23776 g22667 g24506
+00 1
+.names g23789 g22674 g24509
+00 1
+.names g23795 g22679 g24512
+00 1
+.names g23798 g22682 g24514
+00 1
+.names g23802 g22686 g24515
+00 1
+.names g23820 g22700 g24516
+00 1
+.names g23829 g22707 g24520
+00 1
+.names g23842 g22714 g24523
+00 1
+.names g23848 g22719 g24526
+00 1
+.names g23851 g22722 g24528
+00 1
+.names g23864 g22738 g24533
+00 1
+.names g23877 g22745 g24536
+00 1
+.names g23898 g22770 g24546
+00 1
+.names g23917 g22804 g24558
+00 1
+.names g23944 g22842 g24566
+00 1
+.names g23972 g22874 g24575
+00 1
+.names g23592 g22515 g24613
+00 1
+.names g23616 g22546 g24622
+00 1
+.names g23624 g22555 g24624
+00 1
+.names g23665 g22587 g24637
+00 1
+.names g23673 g22595 g24638
+00 1
+.names g23715 g22624 g24656
+00 1
+.names g23723 g22632 g24657
+00 1
+.names g23769 g22660 g24675
+00 1
+.names g23854 g22727 g24708
+00 1
+.names g23886 g22754 g24717
+00 1
+.names g23888 g22759 g24720
+00 1
+.names g23907 g22788 g24728
+00 1
+.names g23909 g22793 g24731
+00 1
+.names g23939 g22830 g24736
+00 1
+.names g23941 g22835 g24739
+00 1
+.names g23971 g22869 g24742
+00 1
+.names g23409 g22187 g25076
+00 1
+.names g23414 g22196 g25077
+00 1
+.names g23419 g22201 g25078
+00 1
+.names g23423 g22202 g25081
+00 1
+.names g23428 g22207 g25082
+00 1
+.names g23432 g22208 g25085
+00 1
+.names g23434 g22215 g25091
+00 1
+.names g23440 g22224 g25099
+00 1
+.names g23510 g22340 g25125
+00 1
+.names g23525 g22363 g25127
+00 1
+.names g23536 g22383 g25129
+00 1
+.names g24748 g23552 g25208
+00 1
+.names g24757 g23565 g25216
+00 1
+.names g24774 g23584 g25226
+00 1
+.names g24794 g23611 g25238
+00 1
+.names g24907 g23904 g25273
+00 1
+.names g24964 g24029 g25311
+00 1
+.names g24183 g24616 g25426
+00 1
+.names g24591 g23496 g25962
+00 1
+.names g24596 g23512 g25967
+00 1
+.names g24604 g23527 g25974
+00 1
+.names g24611 g23538 g25979
+00 1
+.names g25505 g24867 g26042
+00 1
+.names g25552 g24882 g26044
+00 1
+.names g25618 g24899 g26046
+00 1
+.names g25629 g24908 g26049
+00 1
+.names g25697 g24922 g26050
+00 1
+.names g25881 g24974 g26055
+00 1
+.names g25470 g25482 g26081
+00 1
+.names g25487 g25513 g26084
+00 1
+.names g25518 g25560 g26090
+00 1
+.names g25565 g25626 g26103
+00 1
+.names g24183 g25430 g26140
+00 1
+.names g25281 g24559 g26560
+00 1
+.names g25289 g24569 g26583
+00 1
+.names g25299 g24578 g26607
+00 1
+.names g25309 g24585 g26630
+00 1
+.names g26158 g25453 g26799
+00 1
+.names g26163 g25457 g26800
+00 1
+.names g26171 g25461 g26801
+00 1
+.names g26188 g25466 g26802
+00 1
+.names g25483 g26260 g26873
+00 1
+.names g25514 g26301 g26882
+00 1
+.names g25561 g26345 g26891
+00 1
+.names g25627 g26389 g26901
+00 1
+.names g26075 g25342 g27175
+00 1
+.names g26082 g25356 g27179
+00 1
+.names g26085 g25371 g27184
+00 1
+.names g26091 g25388 g27188
+00 1
+.names g26955 g26166 g27250
+00 1
+.names g26958 g26186 g27251
+00 1
+.names g26963 g26207 g27252
+00 1
+.names g26968 g26231 g27254
+00 1
+.names g26754 g24432 g27478
+00 1
+.names g26763 g24436 g27501
+00 1
+.names g26766 g24439 g27521
+00 1
+.names g26769 g24441 g27546
+00 1
+.names g26829 g26051 g27629
+00 1
+.names g26833 g26053 g27631
+00 1
+.names g26842 g26061 g27655
+00 1
+.names g26851 g26068 g27658
+00 1
+.names g27396 g26962 g27736
+00 1
+.names g27409 g26967 g27742
+00 1
+.names g27427 g26973 g27747
+00 1
+.names g27448 g26986 g27755
+00 1
+.names g27632 g25437 g27869
+00 1
+.names g27632 g24627 g27886
+00 1
+.names g27356 g26845 g28185
+00 1
+.names g27359 g26853 g28189
+00 1
+.names g27365 g26860 g28191
+00 1
+.names g27372 g26866 g28192
+00 1
+.names g27770 g27355 g28654
+00 1
+.names g27772 g27358 g28656
+00 1
+.names g27773 g27364 g28658
+00 1
+.names g27775 g27371 g28661
+00 1
+.names g28373 g27774 g29126
+00 1
+.names g28376 g27779 g29127
+00 1
+.names g28380 g27783 g29128
+00 1
+.names g28385 g27790 g29129
+00 1
+.names g28834 g28378 g29399
+00 1
+.names g28836 g28383 g29403
+00 1
+.names g28838 g28387 g29406
+00 1
+.names g28840 g28389 g29409
+00 1
+.names g29583 g25444 g29736
+00 1
+.names g29583 g24641 g29744
+00 1
+.names g30412 g25449 g30618
+00 1
+.names g30412 g24660 g30625
+00 1
+.end
diff --git a/abc70930/examples/s38584.bench b/abc70930/examples/s38584.bench
new file mode 100644
index 00000000..b6135ca4
--- /dev/null
+++ b/abc70930/examples/s38584.bench
@@ -0,0 +1,21008 @@
+# 12 inputs
+# 278 outputs
+# 1452 D-type flipflops
+# 7805 inverters
+# 11448 gates (5516 ANDs + 2126 NANDs + 2621 ORs + 1185 NORs)
+
+INPUT(g35)
+INPUT(g36)
+INPUT(g6744)
+INPUT(g6745)
+INPUT(g6746)
+INPUT(g6747)
+INPUT(g6748)
+INPUT(g6749)
+INPUT(g6750)
+INPUT(g6751)
+INPUT(g6752)
+INPUT(g6753)
+
+OUTPUT(g7243)
+OUTPUT(g7245)
+OUTPUT(g7257)
+OUTPUT(g7260)
+OUTPUT(g7540)
+OUTPUT(g7916)
+OUTPUT(g7946)
+OUTPUT(g8132)
+OUTPUT(g8178)
+OUTPUT(g8215)
+OUTPUT(g8235)
+OUTPUT(g8277)
+OUTPUT(g8279)
+OUTPUT(g8283)
+OUTPUT(g8291)
+OUTPUT(g8342)
+OUTPUT(g8344)
+OUTPUT(g8353)
+OUTPUT(g8358)
+OUTPUT(g8398)
+OUTPUT(g8403)
+OUTPUT(g8416)
+OUTPUT(g8475)
+OUTPUT(g8719)
+OUTPUT(g8783)
+OUTPUT(g8784)
+OUTPUT(g8785)
+OUTPUT(g8786)
+OUTPUT(g8787)
+OUTPUT(g8788)
+OUTPUT(g8789)
+OUTPUT(g8839)
+OUTPUT(g8870)
+OUTPUT(g8915)
+OUTPUT(g8916)
+OUTPUT(g8917)
+OUTPUT(g8918)
+OUTPUT(g8919)
+OUTPUT(g8920)
+OUTPUT(g9019)
+OUTPUT(g9048)
+OUTPUT(g9251)
+OUTPUT(g9497)
+OUTPUT(g9553)
+OUTPUT(g9555)
+OUTPUT(g9615)
+OUTPUT(g9617)
+OUTPUT(g9680)
+OUTPUT(g9682)
+OUTPUT(g9741)
+OUTPUT(g9743)
+OUTPUT(g9817)
+OUTPUT(g10122)
+OUTPUT(g10306)
+OUTPUT(g10500)
+OUTPUT(g10527)
+OUTPUT(g11349)
+OUTPUT(g11388)
+OUTPUT(g11418)
+OUTPUT(g11447)
+OUTPUT(g11678)
+OUTPUT(g11770)
+OUTPUT(g12184)
+OUTPUT(g12238)
+OUTPUT(g12300)
+OUTPUT(g12350)
+OUTPUT(g12368)
+OUTPUT(g12422)
+OUTPUT(g12470)
+OUTPUT(g12832)
+OUTPUT(g12919)
+OUTPUT(g12923)
+OUTPUT(g13039)
+OUTPUT(g13049)
+OUTPUT(g13068)
+OUTPUT(g13085)
+OUTPUT(g13099)
+OUTPUT(g13259)
+OUTPUT(g13272)
+OUTPUT(g13865)
+OUTPUT(g13881)
+OUTPUT(g13895)
+OUTPUT(g13906)
+OUTPUT(g13926)
+OUTPUT(g13966)
+OUTPUT(g14096)
+OUTPUT(g14125)
+OUTPUT(g14147)
+OUTPUT(g14167)
+OUTPUT(g14189)
+OUTPUT(g14201)
+OUTPUT(g14217)
+OUTPUT(g14421)
+OUTPUT(g14451)
+OUTPUT(g14518)
+OUTPUT(g14597)
+OUTPUT(g14635)
+OUTPUT(g14662)
+OUTPUT(g14673)
+OUTPUT(g14694)
+OUTPUT(g14705)
+OUTPUT(g14738)
+OUTPUT(g14749)
+OUTPUT(g14779)
+OUTPUT(g14828)
+OUTPUT(g16603)
+OUTPUT(g16624)
+OUTPUT(g16627)
+OUTPUT(g16656)
+OUTPUT(g16659)
+OUTPUT(g16686)
+OUTPUT(g16693)
+OUTPUT(g16718)
+OUTPUT(g16722)
+OUTPUT(g16744)
+OUTPUT(g16748)
+OUTPUT(g16775)
+OUTPUT(g16874)
+OUTPUT(g16924)
+OUTPUT(g16955)
+OUTPUT(g17291)
+OUTPUT(g17316)
+OUTPUT(g17320)
+OUTPUT(g17400)
+OUTPUT(g17404)
+OUTPUT(g17423)
+OUTPUT(g17519)
+OUTPUT(g17577)
+OUTPUT(g17580)
+OUTPUT(g17604)
+OUTPUT(g17607)
+OUTPUT(g17639)
+OUTPUT(g17646)
+OUTPUT(g17649)
+OUTPUT(g17674)
+OUTPUT(g17678)
+OUTPUT(g17685)
+OUTPUT(g17688)
+OUTPUT(g17711)
+OUTPUT(g17715)
+OUTPUT(g17722)
+OUTPUT(g17739)
+OUTPUT(g17743)
+OUTPUT(g17760)
+OUTPUT(g17764)
+OUTPUT(g17778)
+OUTPUT(g17787)
+OUTPUT(g17813)
+OUTPUT(g17819)
+OUTPUT(g17845)
+OUTPUT(g17871)
+OUTPUT(g18092)
+OUTPUT(g18094)
+OUTPUT(g18095)
+OUTPUT(g18096)
+OUTPUT(g18097)
+OUTPUT(g18098)
+OUTPUT(g18099)
+OUTPUT(g18100)
+OUTPUT(g18101)
+OUTPUT(g18881)
+OUTPUT(g19334)
+OUTPUT(g19357)
+OUTPUT(g20049)
+OUTPUT(g20557)
+OUTPUT(g20652)
+OUTPUT(g20654)
+OUTPUT(g20763)
+OUTPUT(g20899)
+OUTPUT(g20901)
+OUTPUT(g21176)
+OUTPUT(g21245)
+OUTPUT(g21270)
+OUTPUT(g21292)
+OUTPUT(g21698)
+OUTPUT(g21727)
+OUTPUT(g23002)
+OUTPUT(g23190)
+OUTPUT(g23612)
+OUTPUT(g23652)
+OUTPUT(g23683)
+OUTPUT(g23759)
+OUTPUT(g24151)
+OUTPUT(g25114)
+OUTPUT(g25167)
+OUTPUT(g25219)
+OUTPUT(g25259)
+OUTPUT(g25582)
+OUTPUT(g25583)
+OUTPUT(g25584)
+OUTPUT(g25585)
+OUTPUT(g25586)
+OUTPUT(g25587)
+OUTPUT(g25588)
+OUTPUT(g25589)
+OUTPUT(g25590)
+OUTPUT(g26801)
+OUTPUT(g26875)
+OUTPUT(g26876)
+OUTPUT(g26877)
+OUTPUT(g27831)
+OUTPUT(g28030)
+OUTPUT(g28041)
+OUTPUT(g28042)
+OUTPUT(g28753)
+OUTPUT(g29210)
+OUTPUT(g29211)
+OUTPUT(g29212)
+OUTPUT(g29213)
+OUTPUT(g29214)
+OUTPUT(g29215)
+OUTPUT(g29216)
+OUTPUT(g29217)
+OUTPUT(g29218)
+OUTPUT(g29219)
+OUTPUT(g29220)
+OUTPUT(g29221)
+OUTPUT(g30327)
+OUTPUT(g30329)
+OUTPUT(g30330)
+OUTPUT(g30331)
+OUTPUT(g30332)
+OUTPUT(g31521)
+OUTPUT(g31656)
+OUTPUT(g31665)
+OUTPUT(g31793)
+OUTPUT(g31860)
+OUTPUT(g31861)
+OUTPUT(g31862)
+OUTPUT(g31863)
+OUTPUT(g32185)
+OUTPUT(g32429)
+OUTPUT(g32454)
+OUTPUT(g32975)
+OUTPUT(g33079)
+OUTPUT(g33435)
+OUTPUT(g33533)
+OUTPUT(g33636)
+OUTPUT(g33659)
+OUTPUT(g33874)
+OUTPUT(g33894)
+OUTPUT(g33935)
+OUTPUT(g33945)
+OUTPUT(g33946)
+OUTPUT(g33947)
+OUTPUT(g33948)
+OUTPUT(g33949)
+OUTPUT(g33950)
+OUTPUT(g33959)
+OUTPUT(g34201)
+OUTPUT(g34221)
+OUTPUT(g34232)
+OUTPUT(g34233)
+OUTPUT(g34234)
+OUTPUT(g34235)
+OUTPUT(g34236)
+OUTPUT(g34237)
+OUTPUT(g34238)
+OUTPUT(g34239)
+OUTPUT(g34240)
+OUTPUT(g34383)
+OUTPUT(g34425)
+OUTPUT(g34435)
+OUTPUT(g34436)
+OUTPUT(g34437)
+OUTPUT(g34597)
+OUTPUT(g34788)
+OUTPUT(g34839)
+OUTPUT(g34913)
+OUTPUT(g34915)
+OUTPUT(g34917)
+OUTPUT(g34919)
+OUTPUT(g34921)
+OUTPUT(g34923)
+OUTPUT(g34925)
+OUTPUT(g34927)
+OUTPUT(g34956)
+OUTPUT(g34972)
+
+g72 = DFF(g24166)
+g73 = DFF(g24167)
+g84 = DFF(g24168)
+g90 = DFF(g24169)
+g91 = DFF(g24170)
+g92 = DFF(g24171)
+g99 = DFF(g24172)
+g100 = DFF(g24173)
+g110 = DFF(g34848)
+g112 = DFF(g34879)
+g113 = DFF(g24174)
+g114 = DFF(g24175)
+g115 = DFF(g24176)
+g116 = DFF(g24177)
+g120 = DFF(g24178)
+g124 = DFF(g24179)
+g125 = DFF(g24180)
+g126 = DFF(g24181)
+g127 = DFF(g24182)
+g134 = DFF(g24183)
+g135 = DFF(g24184)
+g44 = DFF(g24185)
+g45 = DFF(g34990)
+g46 = DFF(g34991)
+g47 = DFF(g34992)
+g48 = DFF(g34993)
+g49 = DFF(g34994)
+g50 = DFF(g34995)
+g51 = DFF(g34996)
+g52 = DFF(g34997)
+g53 = DFF(g24161)
+g54 = DFF(g24162)
+g55 = DFF(g35002)
+g56 = DFF(g24163)
+g57 = DFF(g24164)
+g58 = DFF(g30328)
+g63 = DFF(g34847)
+g71 = DFF(g34786)
+g85 = DFF(g34717)
+g93 = DFF(g34878)
+g101 = DFF(g34787)
+g111 = DFF(g34718)
+g43 = DFF(g34789)
+g64 = DFF(g24165)
+g65 = DFF(g34785)
+g70 = DFF(g18093)
+g4507 = DFF(g30458)
+g4459 = DFF(g34253)
+g4369 = DFF(g26970)
+g4473 = DFF(g34256)
+g4462 = DFF(g34254)
+g4581 = DFF(g26969)
+g4467 = DFF(g34255)
+g4474 = DFF(g10384)
+g4477 = DFF(g26960)
+g4480 = DFF(g31896)
+g4495 = DFF(g33036)
+g4498 = DFF(g33037)
+g4501 = DFF(g33038)
+g4504 = DFF(g33039)
+g4512 = DFF(g33040)
+g4521 = DFF(g26971)
+g4527 = DFF(g28082)
+g4515 = DFF(g26964)
+g4519 = DFF(g33616)
+g4520 = DFF(g6972)
+g4483 = DFF(g4520)
+g4486 = DFF(g26961)
+g4489 = DFF(g26962)
+g4492 = DFF(g26963)
+g4537 = DFF(g34024)
+g4423 = DFF(g4537)
+g4540 = DFF(g31897)
+g4543 = DFF(g33042)
+g4567 = DFF(g33043)
+g4546 = DFF(g33045)
+g4549 = DFF(g33041)
+g4552 = DFF(g33044)
+g4570 = DFF(g33617)
+g4571 = DFF(g6974)
+g4555 = DFF(g4571)
+g4558 = DFF(g26966)
+g4561 = DFF(g26968)
+g4564 = DFF(g26967)
+g4534 = DFF(g34023)
+g4420 = DFF(g26965)
+g4438 = DFF(g26953)
+g4449 = DFF(g26955)
+g4443 = DFF(g4449)
+g4446 = DFF(g26954)
+g4452 = DFF(g4446)
+g4434 = DFF(g26956)
+g4430 = DFF(g26957)
+g4427 = DFF(g26952)
+g4375 = DFF(g26951)
+g4414 = DFF(g26946)
+g4411 = DFF(g4414)
+g4408 = DFF(g26945)
+g4405 = DFF(g4408)
+g4401 = DFF(g26948)
+g4388 = DFF(g26949)
+g4382 = DFF(g26947)
+g4417 = DFF(g31895)
+g4392 = DFF(g26950)
+g4456 = DFF(g25692)
+g4455 = DFF(g26959)
+g1 = DFF(g26958)
+g4304 = DFF(g24281)
+g4308 = DFF(g4304)
+g2932 = DFF(g24282)
+g4639 = DFF(g34025)
+g4621 = DFF(g34460)
+g4628 = DFF(g34457)
+g4633 = DFF(g34458)
+g4643 = DFF(g34259)
+g4340 = DFF(g34459)
+g4349 = DFF(g34257)
+g4358 = DFF(g34258)
+g66 = DFF(g24334)
+g4531 = DFF(g24335)
+g4311 = DFF(g34449)
+g4322 = DFF(g34450)
+g4332 = DFF(g34455)
+g4584 = DFF(g34451)
+g4593 = DFF(g34452)
+g4601 = DFF(g34453)
+g4608 = DFF(g34454)
+g4616 = DFF(g34456)
+g4366 = DFF(g26944)
+g4372 = DFF(g34882)
+g4836 = DFF(g34265)
+g4864 = DFF(g34034)
+g4871 = DFF(g34035)
+g4878 = DFF(g34036)
+g4843 = DFF(g34466)
+g4849 = DFF(g34465)
+g4854 = DFF(g34467)
+g4859 = DFF(g34468)
+g4917 = DFF(g34638)
+g4922 = DFF(g34639)
+g4907 = DFF(g34640)
+g4912 = DFF(g34641)
+g4927 = DFF(g34642)
+g4931 = DFF(g21904)
+g4932 = DFF(g21905)
+g4572 = DFF(g29279)
+g4578 = DFF(g29278)
+g4999 = DFF(g25694)
+g5002 = DFF(g4999)
+g5005 = DFF(g5002)
+g5008 = DFF(g5005)
+g4983 = DFF(g34041)
+g4991 = DFF(g34038)
+g4966 = DFF(g34039)
+g4975 = DFF(g34037)
+g4899 = DFF(g34040)
+g4894 = DFF(g28087)
+g4888 = DFF(g34266)
+g4939 = DFF(g28088)
+g4933 = DFF(g34267)
+g4950 = DFF(g28089)
+g4944 = DFF(g34268)
+g4961 = DFF(g28090)
+g4955 = DFF(g34269)
+g4646 = DFF(g34260)
+g4674 = DFF(g34026)
+g4681 = DFF(g34027)
+g4688 = DFF(g34028)
+g4653 = DFF(g34462)
+g4659 = DFF(g34461)
+g4664 = DFF(g34463)
+g4669 = DFF(g34464)
+g4727 = DFF(g34633)
+g4732 = DFF(g34634)
+g4717 = DFF(g34635)
+g4722 = DFF(g34636)
+g4737 = DFF(g34637)
+g4741 = DFF(g21902)
+g4742 = DFF(g21903)
+g59 = DFF(g29277)
+g4575 = DFF(g29276)
+g4809 = DFF(g25693)
+g4812 = DFF(g4809)
+g4815 = DFF(g4812)
+g4818 = DFF(g4815)
+g4793 = DFF(g34033)
+g4801 = DFF(g34030)
+g4776 = DFF(g34031)
+g4785 = DFF(g34029)
+g4709 = DFF(g34032)
+g4704 = DFF(g28083)
+g4698 = DFF(g34261)
+g4749 = DFF(g28084)
+g4743 = DFF(g34262)
+g4760 = DFF(g28085)
+g4754 = DFF(g34263)
+g4771 = DFF(g28086)
+g4765 = DFF(g34264)
+g5313 = DFF(g24336)
+g5290 = DFF(g5313)
+g5320 = DFF(g5290)
+g5276 = DFF(g5320)
+g5283 = DFF(g5276)
+g5308 = DFF(g5283)
+g5327 = DFF(g5308)
+g5331 = DFF(g5327)
+g5335 = DFF(g5331)
+g5339 = DFF(g5335)
+g5343 = DFF(g24337)
+g5348 = DFF(g24338)
+g5352 = DFF(g24339)
+g5357 = DFF(g33618)
+g5297 = DFF(g33619)
+g5101 = DFF(g25700)
+g5109 = DFF(g5101)
+g5062 = DFF(g25702)
+g5105 = DFF(g25701)
+g5112 = DFF(g5105)
+g5022 = DFF(g25703)
+g5016 = DFF(g31898)
+g5029 = DFF(g31902)
+g5033 = DFF(g31904)
+g5037 = DFF(g31899)
+g5041 = DFF(g31900)
+g5046 = DFF(g31901)
+g5052 = DFF(g31903)
+g5057 = DFF(g33046)
+g5069 = DFF(g28092)
+g5073 = DFF(g28091)
+g5077 = DFF(g25704)
+g5080 = DFF(g25695)
+g5084 = DFF(g25696)
+g5092 = DFF(g25697)
+g5097 = DFF(g25698)
+g86 = DFF(g25699)
+g5164 = DFF(g30459)
+g5170 = DFF(g33047)
+g5176 = DFF(g33048)
+g5180 = DFF(g33049)
+g5188 = DFF(g33050)
+g5196 = DFF(g30460)
+g5224 = DFF(g30464)
+g5240 = DFF(g30468)
+g5256 = DFF(g30472)
+g5204 = DFF(g30476)
+g5200 = DFF(g30461)
+g5228 = DFF(g30465)
+g5244 = DFF(g30469)
+g5260 = DFF(g30473)
+g5212 = DFF(g30477)
+g5208 = DFF(g30462)
+g5232 = DFF(g30466)
+g5248 = DFF(g30470)
+g5264 = DFF(g30474)
+g5220 = DFF(g30478)
+g5216 = DFF(g30463)
+g5236 = DFF(g30467)
+g5252 = DFF(g30471)
+g5268 = DFF(g30475)
+g5272 = DFF(g30479)
+g128 = DFF(g28093)
+g5156 = DFF(g29285)
+g5120 = DFF(g25708)
+g5115 = DFF(g29280)
+g5124 = DFF(g29281)
+g5128 = DFF(g25705)
+g5134 = DFF(g29282)
+g5138 = DFF(g29283)
+g5142 = DFF(g29284)
+g5148 = DFF(g25706)
+g5152 = DFF(g25707)
+g5160 = DFF(g34643)
+g5659 = DFF(g24340)
+g5637 = DFF(g5659)
+g5666 = DFF(g5637)
+g5623 = DFF(g5666)
+g5630 = DFF(g5623)
+g5654 = DFF(g5630)
+g5673 = DFF(g5654)
+g5677 = DFF(g5673)
+g5681 = DFF(g5677)
+g5685 = DFF(g5681)
+g5689 = DFF(g24341)
+g5694 = DFF(g24342)
+g5698 = DFF(g24343)
+g5703 = DFF(g33620)
+g5644 = DFF(g33621)
+g5448 = DFF(g25714)
+g5456 = DFF(g5448)
+g5406 = DFF(g25716)
+g5452 = DFF(g25715)
+g5459 = DFF(g5452)
+g5366 = DFF(g25717)
+g5360 = DFF(g31905)
+g5373 = DFF(g31909)
+g5377 = DFF(g31911)
+g5381 = DFF(g31906)
+g5385 = DFF(g31907)
+g5390 = DFF(g31908)
+g5396 = DFF(g31910)
+g5401 = DFF(g33051)
+g5413 = DFF(g28095)
+g5417 = DFF(g28094)
+g5421 = DFF(g25718)
+g5424 = DFF(g25709)
+g5428 = DFF(g25710)
+g5436 = DFF(g25711)
+g5441 = DFF(g25712)
+g5445 = DFF(g25713)
+g5511 = DFF(g30480)
+g5517 = DFF(g33052)
+g5523 = DFF(g33053)
+g5527 = DFF(g33054)
+g5535 = DFF(g33055)
+g5543 = DFF(g30481)
+g5571 = DFF(g30485)
+g5587 = DFF(g30489)
+g5603 = DFF(g30493)
+g5551 = DFF(g30497)
+g5547 = DFF(g30482)
+g5575 = DFF(g30486)
+g5591 = DFF(g30490)
+g5607 = DFF(g30494)
+g5559 = DFF(g30498)
+g5555 = DFF(g30483)
+g5579 = DFF(g30487)
+g5595 = DFF(g30491)
+g5611 = DFF(g30495)
+g5567 = DFF(g30499)
+g5563 = DFF(g30484)
+g5583 = DFF(g30488)
+g5599 = DFF(g30492)
+g5615 = DFF(g30496)
+g5619 = DFF(g30500)
+g4821 = DFF(g28096)
+g5503 = DFF(g29291)
+g5467 = DFF(g25722)
+g5462 = DFF(g29286)
+g5471 = DFF(g29287)
+g5475 = DFF(g25719)
+g5481 = DFF(g29288)
+g5485 = DFF(g29289)
+g5489 = DFF(g29290)
+g5495 = DFF(g25720)
+g5499 = DFF(g25721)
+g5507 = DFF(g34644)
+g6005 = DFF(g24344)
+g5983 = DFF(g6005)
+g6012 = DFF(g5983)
+g5969 = DFF(g6012)
+g5976 = DFF(g5969)
+g6000 = DFF(g5976)
+g6019 = DFF(g6000)
+g6023 = DFF(g6019)
+g6027 = DFF(g6023)
+g6031 = DFF(g6027)
+g6035 = DFF(g24345)
+g6040 = DFF(g24346)
+g6044 = DFF(g24347)
+g6049 = DFF(g33622)
+g5990 = DFF(g33623)
+g5794 = DFF(g25728)
+g5802 = DFF(g5794)
+g5752 = DFF(g25730)
+g5798 = DFF(g25729)
+g5805 = DFF(g5798)
+g5712 = DFF(g25731)
+g5706 = DFF(g31912)
+g5719 = DFF(g31916)
+g5723 = DFF(g31918)
+g5727 = DFF(g31913)
+g5731 = DFF(g31914)
+g5736 = DFF(g31915)
+g5742 = DFF(g31917)
+g5747 = DFF(g33056)
+g5759 = DFF(g28098)
+g5763 = DFF(g28097)
+g5767 = DFF(g25732)
+g5770 = DFF(g25723)
+g5774 = DFF(g25724)
+g5782 = DFF(g25725)
+g5787 = DFF(g25726)
+g5791 = DFF(g25727)
+g5857 = DFF(g30501)
+g5863 = DFF(g33057)
+g5869 = DFF(g33058)
+g5873 = DFF(g33059)
+g5881 = DFF(g33060)
+g5889 = DFF(g30502)
+g5917 = DFF(g30506)
+g5933 = DFF(g30510)
+g5949 = DFF(g30514)
+g5897 = DFF(g30518)
+g5893 = DFF(g30503)
+g5921 = DFF(g30507)
+g5937 = DFF(g30511)
+g5953 = DFF(g30515)
+g5905 = DFF(g30519)
+g5901 = DFF(g30504)
+g5925 = DFF(g30508)
+g5941 = DFF(g30512)
+g5957 = DFF(g30516)
+g5913 = DFF(g30520)
+g5909 = DFF(g30505)
+g5929 = DFF(g30509)
+g5945 = DFF(g30513)
+g5961 = DFF(g30517)
+g5965 = DFF(g30521)
+g4831 = DFF(g28099)
+g5849 = DFF(g29297)
+g5813 = DFF(g25736)
+g5808 = DFF(g29292)
+g5817 = DFF(g29293)
+g5821 = DFF(g25733)
+g5827 = DFF(g29294)
+g5831 = DFF(g29295)
+g5835 = DFF(g29296)
+g5841 = DFF(g25734)
+g5845 = DFF(g25735)
+g5853 = DFF(g34645)
+g6351 = DFF(g24348)
+g6329 = DFF(g6351)
+g6358 = DFF(g6329)
+g6315 = DFF(g6358)
+g6322 = DFF(g6315)
+g6346 = DFF(g6322)
+g6365 = DFF(g6346)
+g6369 = DFF(g6365)
+g6373 = DFF(g6369)
+g6377 = DFF(g6373)
+g6381 = DFF(g24349)
+g6386 = DFF(g24350)
+g6390 = DFF(g24351)
+g6395 = DFF(g33624)
+g6336 = DFF(g33625)
+g6140 = DFF(g25742)
+g6148 = DFF(g6140)
+g6098 = DFF(g25744)
+g6144 = DFF(g25743)
+g6151 = DFF(g6144)
+g6058 = DFF(g25745)
+g6052 = DFF(g31919)
+g6065 = DFF(g31923)
+g6069 = DFF(g31925)
+g6073 = DFF(g31920)
+g6077 = DFF(g31921)
+g6082 = DFF(g31922)
+g6088 = DFF(g31924)
+g6093 = DFF(g33061)
+g6105 = DFF(g28101)
+g6109 = DFF(g28100)
+g6113 = DFF(g25746)
+g6116 = DFF(g25737)
+g6120 = DFF(g25738)
+g6128 = DFF(g25739)
+g6133 = DFF(g25740)
+g6137 = DFF(g25741)
+g6203 = DFF(g30522)
+g6209 = DFF(g33062)
+g6215 = DFF(g33063)
+g6219 = DFF(g33064)
+g6227 = DFF(g33065)
+g6235 = DFF(g30523)
+g6263 = DFF(g30527)
+g6279 = DFF(g30531)
+g6295 = DFF(g30535)
+g6243 = DFF(g30539)
+g6239 = DFF(g30524)
+g6267 = DFF(g30528)
+g6283 = DFF(g30532)
+g6299 = DFF(g30536)
+g6251 = DFF(g30540)
+g6247 = DFF(g30525)
+g6271 = DFF(g30529)
+g6287 = DFF(g30533)
+g6303 = DFF(g30537)
+g6259 = DFF(g30541)
+g6255 = DFF(g30526)
+g6275 = DFF(g30530)
+g6291 = DFF(g30534)
+g6307 = DFF(g30538)
+g6311 = DFF(g30542)
+g4826 = DFF(g28102)
+g6195 = DFF(g29303)
+g6159 = DFF(g25750)
+g6154 = DFF(g29298)
+g6163 = DFF(g29299)
+g6167 = DFF(g25747)
+g6173 = DFF(g29300)
+g6177 = DFF(g29301)
+g6181 = DFF(g29302)
+g6187 = DFF(g25748)
+g6191 = DFF(g25749)
+g6199 = DFF(g34646)
+g6697 = DFF(g24352)
+g6675 = DFF(g6697)
+g6704 = DFF(g6675)
+g6661 = DFF(g6704)
+g6668 = DFF(g6661)
+g6692 = DFF(g6668)
+g6711 = DFF(g6692)
+g6715 = DFF(g6711)
+g6719 = DFF(g6715)
+g6723 = DFF(g6719)
+g6727 = DFF(g24353)
+g6732 = DFF(g24354)
+g6736 = DFF(g24355)
+g6741 = DFF(g33626)
+g6682 = DFF(g33627)
+g6486 = DFF(g25756)
+g6494 = DFF(g6486)
+g6444 = DFF(g25758)
+g6490 = DFF(g25757)
+g6497 = DFF(g6490)
+g6404 = DFF(g25759)
+g6398 = DFF(g31926)
+g6411 = DFF(g31930)
+g6415 = DFF(g31932)
+g6419 = DFF(g31927)
+g6423 = DFF(g31928)
+g6428 = DFF(g31929)
+g6434 = DFF(g31931)
+g6439 = DFF(g33066)
+g6451 = DFF(g28104)
+g6455 = DFF(g28103)
+g6459 = DFF(g25760)
+g6462 = DFF(g25751)
+g6466 = DFF(g25752)
+g6474 = DFF(g25753)
+g6479 = DFF(g25754)
+g6483 = DFF(g25755)
+g6549 = DFF(g30543)
+g6555 = DFF(g33067)
+g6561 = DFF(g33068)
+g6565 = DFF(g33069)
+g6573 = DFF(g33070)
+g6581 = DFF(g30544)
+g6609 = DFF(g30548)
+g6625 = DFF(g30552)
+g6641 = DFF(g30556)
+g6589 = DFF(g30560)
+g6585 = DFF(g30545)
+g6613 = DFF(g30549)
+g6629 = DFF(g30553)
+g6645 = DFF(g30557)
+g6597 = DFF(g30561)
+g6593 = DFF(g30546)
+g6617 = DFF(g30550)
+g6633 = DFF(g30554)
+g6649 = DFF(g30558)
+g6605 = DFF(g30562)
+g6601 = DFF(g30547)
+g6621 = DFF(g30551)
+g6637 = DFF(g30555)
+g6653 = DFF(g30559)
+g6657 = DFF(g30563)
+g5011 = DFF(g28105)
+g6541 = DFF(g29309)
+g6505 = DFF(g25764)
+g6500 = DFF(g29304)
+g6509 = DFF(g29305)
+g6513 = DFF(g25761)
+g6519 = DFF(g29306)
+g6523 = DFF(g29307)
+g6527 = DFF(g29308)
+g6533 = DFF(g25762)
+g6537 = DFF(g25763)
+g6545 = DFF(g34647)
+g3303 = DFF(g24267)
+g3281 = DFF(g3303)
+g3310 = DFF(g3281)
+g3267 = DFF(g3310)
+g3274 = DFF(g3267)
+g3298 = DFF(g3274)
+g3317 = DFF(g3298)
+g3321 = DFF(g3317)
+g3325 = DFF(g3321)
+g3329 = DFF(g3325)
+g3338 = DFF(g24268)
+g3343 = DFF(g24269)
+g3347 = DFF(g24270)
+g3352 = DFF(g33609)
+g3288 = DFF(g33610)
+g3092 = DFF(g25648)
+g3100 = DFF(g3092)
+g3050 = DFF(g25650)
+g3096 = DFF(g25649)
+g3103 = DFF(g3096)
+g3010 = DFF(g25651)
+g3004 = DFF(g31873)
+g3017 = DFF(g31877)
+g3021 = DFF(g31879)
+g3025 = DFF(g31874)
+g3029 = DFF(g31875)
+g3034 = DFF(g31876)
+g3040 = DFF(g31878)
+g3045 = DFF(g33020)
+g3057 = DFF(g28062)
+g3061 = DFF(g28061)
+g3065 = DFF(g25652)
+g3068 = DFF(g25643)
+g3072 = DFF(g25644)
+g3080 = DFF(g25645)
+g3085 = DFF(g25646)
+g3089 = DFF(g25647)
+g3155 = DFF(g30393)
+g3161 = DFF(g33021)
+g3167 = DFF(g33022)
+g3171 = DFF(g33023)
+g3179 = DFF(g33024)
+g3187 = DFF(g30394)
+g3215 = DFF(g30398)
+g3231 = DFF(g30402)
+g3247 = DFF(g30406)
+g3195 = DFF(g30410)
+g3191 = DFF(g30395)
+g3219 = DFF(g30399)
+g3235 = DFF(g30403)
+g3251 = DFF(g30407)
+g3203 = DFF(g30411)
+g3199 = DFF(g30396)
+g3223 = DFF(g30400)
+g3239 = DFF(g30404)
+g3255 = DFF(g30408)
+g3211 = DFF(g30412)
+g3207 = DFF(g30397)
+g3227 = DFF(g30401)
+g3243 = DFF(g30405)
+g3259 = DFF(g30409)
+g3263 = DFF(g30413)
+g3333 = DFF(g28063)
+g3147 = DFF(g29262)
+g3111 = DFF(g25656)
+g3106 = DFF(g29257)
+g3115 = DFF(g29258)
+g3119 = DFF(g25653)
+g3125 = DFF(g29259)
+g3129 = DFF(g29260)
+g3133 = DFF(g29261)
+g3139 = DFF(g25654)
+g3143 = DFF(g25655)
+g3151 = DFF(g34625)
+g3654 = DFF(g24271)
+g3632 = DFF(g3654)
+g3661 = DFF(g3632)
+g3618 = DFF(g3661)
+g3625 = DFF(g3618)
+g3649 = DFF(g3625)
+g3668 = DFF(g3649)
+g3672 = DFF(g3668)
+g3676 = DFF(g3672)
+g3680 = DFF(g3676)
+g3689 = DFF(g24272)
+g3694 = DFF(g24273)
+g3698 = DFF(g24274)
+g3703 = DFF(g33611)
+g3639 = DFF(g33612)
+g3443 = DFF(g25662)
+g3451 = DFF(g3443)
+g3401 = DFF(g25664)
+g3447 = DFF(g25663)
+g3454 = DFF(g3447)
+g3361 = DFF(g25665)
+g3355 = DFF(g31880)
+g3368 = DFF(g31884)
+g3372 = DFF(g31886)
+g3376 = DFF(g31881)
+g3380 = DFF(g31882)
+g3385 = DFF(g31883)
+g3391 = DFF(g31885)
+g3396 = DFF(g33025)
+g3408 = DFF(g28065)
+g3412 = DFF(g28064)
+g3416 = DFF(g25666)
+g3419 = DFF(g25657)
+g3423 = DFF(g25658)
+g3431 = DFF(g25659)
+g3436 = DFF(g25660)
+g3440 = DFF(g25661)
+g3506 = DFF(g30414)
+g3512 = DFF(g33026)
+g3518 = DFF(g33027)
+g3522 = DFF(g33028)
+g3530 = DFF(g33029)
+g3538 = DFF(g30415)
+g3566 = DFF(g30419)
+g3582 = DFF(g30423)
+g3598 = DFF(g30427)
+g3546 = DFF(g30431)
+g3542 = DFF(g30416)
+g3570 = DFF(g30420)
+g3586 = DFF(g30424)
+g3602 = DFF(g30428)
+g3554 = DFF(g30432)
+g3550 = DFF(g30417)
+g3574 = DFF(g30421)
+g3590 = DFF(g30425)
+g3606 = DFF(g30429)
+g3562 = DFF(g30433)
+g3558 = DFF(g30418)
+g3578 = DFF(g30422)
+g3594 = DFF(g30426)
+g3610 = DFF(g30430)
+g3614 = DFF(g30434)
+g3684 = DFF(g28066)
+g3498 = DFF(g29268)
+g3462 = DFF(g25670)
+g3457 = DFF(g29263)
+g3466 = DFF(g29264)
+g3470 = DFF(g25667)
+g3476 = DFF(g29265)
+g3480 = DFF(g29266)
+g3484 = DFF(g29267)
+g3490 = DFF(g25668)
+g3494 = DFF(g25669)
+g3502 = DFF(g34626)
+g4005 = DFF(g24275)
+g3983 = DFF(g4005)
+g4012 = DFF(g3983)
+g3969 = DFF(g4012)
+g3976 = DFF(g3969)
+g4000 = DFF(g3976)
+g4019 = DFF(g4000)
+g4023 = DFF(g4019)
+g4027 = DFF(g4023)
+g4031 = DFF(g4027)
+g4040 = DFF(g24276)
+g4045 = DFF(g24277)
+g4049 = DFF(g24278)
+g4054 = DFF(g33613)
+g3990 = DFF(g33614)
+g3794 = DFF(g25676)
+g3802 = DFF(g3794)
+g3752 = DFF(g25678)
+g3798 = DFF(g25677)
+g3805 = DFF(g3798)
+g3712 = DFF(g25679)
+g3706 = DFF(g31887)
+g3719 = DFF(g31891)
+g3723 = DFF(g31893)
+g3727 = DFF(g31888)
+g3731 = DFF(g31889)
+g3736 = DFF(g31890)
+g3742 = DFF(g31892)
+g3747 = DFF(g33030)
+g3759 = DFF(g28068)
+g3763 = DFF(g28067)
+g3767 = DFF(g25680)
+g3770 = DFF(g25671)
+g3774 = DFF(g25672)
+g3782 = DFF(g25673)
+g3787 = DFF(g25674)
+g3791 = DFF(g25675)
+g3857 = DFF(g30435)
+g3863 = DFF(g33031)
+g3869 = DFF(g33032)
+g3873 = DFF(g33033)
+g3881 = DFF(g33034)
+g3889 = DFF(g30436)
+g3917 = DFF(g30440)
+g3933 = DFF(g30444)
+g3949 = DFF(g30448)
+g3897 = DFF(g30452)
+g3893 = DFF(g30437)
+g3921 = DFF(g30441)
+g3937 = DFF(g30445)
+g3953 = DFF(g30449)
+g3905 = DFF(g30453)
+g3901 = DFF(g30438)
+g3925 = DFF(g30442)
+g3941 = DFF(g30446)
+g3957 = DFF(g30450)
+g3913 = DFF(g30454)
+g3909 = DFF(g30439)
+g3929 = DFF(g30443)
+g3945 = DFF(g30447)
+g3961 = DFF(g30451)
+g3965 = DFF(g30455)
+g4035 = DFF(g28069)
+g3849 = DFF(g29274)
+g3813 = DFF(g25684)
+g3808 = DFF(g29269)
+g3817 = DFF(g29270)
+g3821 = DFF(g25681)
+g3827 = DFF(g29271)
+g3831 = DFF(g29272)
+g3835 = DFF(g29273)
+g3841 = DFF(g25682)
+g3845 = DFF(g25683)
+g3853 = DFF(g34627)
+g4165 = DFF(g28079)
+g4169 = DFF(g28080)
+g4125 = DFF(g28081)
+g4072 = DFF(g25691)
+g4064 = DFF(g25685)
+g4057 = DFF(g25686)
+g4141 = DFF(g25687)
+g4082 = DFF(g26938)
+g4076 = DFF(g28070)
+g4087 = DFF(g29275)
+g4093 = DFF(g30456)
+g4098 = DFF(g31894)
+g4108 = DFF(g33035)
+g4104 = DFF(g33615)
+g4145 = DFF(g26939)
+g4112 = DFF(g28071)
+g4116 = DFF(g28072)
+g4119 = DFF(g28073)
+g4122 = DFF(g28074)
+g4153 = DFF(g30457)
+g4164 = DFF(g26940)
+g4129 = DFF(g28075)
+g4132 = DFF(g28076)
+g4135 = DFF(g28077)
+g4138 = DFF(g28078)
+g4172 = DFF(g34733)
+g4176 = DFF(g34734)
+g4146 = DFF(g34628)
+g4157 = DFF(g34629)
+g4258 = DFF(g21893)
+g4264 = DFF(g21894)
+g4269 = DFF(g21895)
+g4273 = DFF(g24280)
+g4239 = DFF(g21892)
+g4294 = DFF(g21900)
+g4297 = DFF(g4294)
+g4300 = DFF(g34735)
+g4253 = DFF(g34630)
+g4249 = DFF(g34631)
+g4245 = DFF(g34632)
+g4277 = DFF(g21896)
+g4281 = DFF(g4277)
+g4284 = DFF(g21897)
+g4287 = DFF(g21898)
+g4291 = DFF(g4287)
+g2946 = DFF(g21899)
+g4191 = DFF(g21901)
+g4188 = DFF(g4191)
+g4194 = DFF(g4188)
+g4197 = DFF(g4194)
+g4200 = DFF(g4197)
+g4204 = DFF(g4200)
+g4207 = DFF(g4204)
+g4210 = DFF(g4207)
+g4180 = DFF(g4210)
+g4185 = DFF(g21891)
+g4213 = DFF(g4185)
+g4216 = DFF(g4213)
+g4219 = DFF(g4216)
+g4222 = DFF(g4219)
+g4226 = DFF(g4222)
+g4229 = DFF(g4226)
+g4232 = DFF(g4229)
+g4235 = DFF(g4232)
+g4242 = DFF(g24279)
+g305 = DFF(g26880)
+g311 = DFF(g26881)
+g336 = DFF(g26886)
+g324 = DFF(g26887)
+g316 = DFF(g26883)
+g319 = DFF(g26882)
+g329 = DFF(g26885)
+g333 = DFF(g26884)
+g344 = DFF(g26890)
+g347 = DFF(g344)
+g351 = DFF(g26891)
+g355 = DFF(g26892)
+g74 = DFF(g26893)
+g106 = DFF(g26889)
+g341 = DFF(g26888)
+g637 = DFF(g24212)
+g640 = DFF(g637)
+g559 = DFF(g640)
+g562 = DFF(g25613)
+g568 = DFF(g26895)
+g572 = DFF(g28045)
+g586 = DFF(g29224)
+g577 = DFF(g30334)
+g582 = DFF(g31866)
+g590 = DFF(g32978)
+g595 = DFF(g33538)
+g599 = DFF(g33964)
+g604 = DFF(g34251)
+g608 = DFF(g34438)
+g613 = DFF(g34599)
+g617 = DFF(g34724)
+g622 = DFF(g34790)
+g626 = DFF(g34849)
+g632 = DFF(g34880)
+g859 = DFF(g26900)
+g869 = DFF(g859)
+g875 = DFF(g869)
+g878 = DFF(g875)
+g881 = DFF(g878)
+g884 = DFF(g881)
+g887 = DFF(g884)
+g872 = DFF(g887)
+g225 = DFF(g26901)
+g255 = DFF(g26902)
+g232 = DFF(g26903)
+g262 = DFF(g26904)
+g239 = DFF(g26905)
+g269 = DFF(g26906)
+g246 = DFF(g26907)
+g446 = DFF(g26908)
+g890 = DFF(g34440)
+g862 = DFF(g26909)
+g896 = DFF(g26910)
+g901 = DFF(g25620)
+g391 = DFF(g26911)
+g365 = DFF(g25595)
+g358 = DFF(g365)
+g370 = DFF(g25597)
+g376 = DFF(g25596)
+g385 = DFF(g25598)
+g203 = DFF(g25599)
+g854 = DFF(g32980)
+g847 = DFF(g24216)
+g703 = DFF(g24214)
+g837 = DFF(g24215)
+g843 = DFF(g25619)
+g812 = DFF(g26898)
+g817 = DFF(g25617)
+g832 = DFF(g25618)
+g822 = DFF(g26899)
+g827 = DFF(g28055)
+g723 = DFF(g29229)
+g645 = DFF(g28046)
+g681 = DFF(g28047)
+g699 = DFF(g28053)
+g650 = DFF(g28049)
+g655 = DFF(g28050)
+g718 = DFF(g28051)
+g661 = DFF(g28052)
+g728 = DFF(g28054)
+g79 = DFF(g26896)
+g691 = DFF(g28048)
+g686 = DFF(g25614)
+g667 = DFF(g25615)
+g671 = DFF(g29225)
+g676 = DFF(g29226)
+g714 = DFF(g29227)
+g499 = DFF(g25609)
+g504 = DFF(g25610)
+g513 = DFF(g25611)
+g518 = DFF(g25612)
+g528 = DFF(g26894)
+g482 = DFF(g28044)
+g490 = DFF(g29223)
+g417 = DFF(g24209)
+g411 = DFF(g29222)
+g424 = DFF(g24202)
+g475 = DFF(g24208)
+g441 = DFF(g24207)
+g437 = DFF(g24206)
+g433 = DFF(g24205)
+g429 = DFF(g24204)
+g401 = DFF(g24203)
+g392 = DFF(g24200)
+g405 = DFF(g24201)
+g182 = DFF(g25602)
+g174 = DFF(g25601)
+g168 = DFF(g25600)
+g460 = DFF(g25605)
+g452 = DFF(g25604)
+g457 = DFF(g25603)
+g471 = DFF(g25608)
+g464 = DFF(g25607)
+g468 = DFF(g25606)
+g479 = DFF(g24210)
+g102 = DFF(g33962)
+g496 = DFF(g33963)
+g732 = DFF(g25616)
+g753 = DFF(g26897)
+g799 = DFF(g24213)
+g802 = DFF(g799)
+g736 = DFF(g802)
+g739 = DFF(g29228)
+g744 = DFF(g30335)
+g749 = DFF(g31867)
+g758 = DFF(g32979)
+g763 = DFF(g33539)
+g767 = DFF(g33965)
+g772 = DFF(g34252)
+g776 = DFF(g34439)
+g781 = DFF(g34600)
+g785 = DFF(g34725)
+g790 = DFF(g34791)
+g794 = DFF(g34850)
+g807 = DFF(g34881)
+g554 = DFF(g34911)
+g538 = DFF(g34719)
+g546 = DFF(g34722)
+g542 = DFF(g24211)
+g534 = DFF(g34723)
+g550 = DFF(g34720)
+g136 = DFF(g34598)
+g199 = DFF(g34721)
+g278 = DFF(g25594)
+g283 = DFF(g28043)
+g287 = DFF(g31865)
+g291 = DFF(g32977)
+g294 = DFF(g33535)
+g298 = DFF(g33961)
+g142 = DFF(g34250)
+g146 = DFF(g30333)
+g164 = DFF(g31864)
+g150 = DFF(g32976)
+g153 = DFF(g33534)
+g157 = DFF(g33960)
+g160 = DFF(g34249)
+g301 = DFF(g33536)
+g222 = DFF(g33537)
+g194 = DFF(g25592)
+g191 = DFF(g194)
+g209 = DFF(g25593)
+g215 = DFF(g25591)
+g218 = DFF(g215)
+g1249 = DFF(g24247)
+g1266 = DFF(g25630)
+g1280 = DFF(g26919)
+g1252 = DFF(g28058)
+g1256 = DFF(g29235)
+g1259 = DFF(g30342)
+g1263 = DFF(g31870)
+g1270 = DFF(g32984)
+g1274 = DFF(g33542)
+g1277 = DFF(g32985)
+g1418 = DFF(g24254)
+g1422 = DFF(g1418)
+g1426 = DFF(g1422)
+g1430 = DFF(g1426)
+g1548 = DFF(g24260)
+g1564 = DFF(g24262)
+g1559 = DFF(g25638)
+g1554 = DFF(g25637)
+g1570 = DFF(g24258)
+g1585 = DFF(g1570)
+g1589 = DFF(g24261)
+g1576 = DFF(g24255)
+g1579 = DFF(g1576)
+g1339 = DFF(g24259)
+g1500 = DFF(g24256)
+g1582 = DFF(g1500)
+g1333 = DFF(g1582)
+g1399 = DFF(g24257)
+g1459 = DFF(g1399)
+g1322 = DFF(g1459)
+g1514 = DFF(g30344)
+g1526 = DFF(g30345)
+g1521 = DFF(g24252)
+g1306 = DFF(g25636)
+g1532 = DFF(g24253)
+g1536 = DFF(g26925)
+g1542 = DFF(g30346)
+g1413 = DFF(g30347)
+g1395 = DFF(g25634)
+g1404 = DFF(g26921)
+g1319 = DFF(g24248)
+g1312 = DFF(g25631)
+g1351 = DFF(g25632)
+g1345 = DFF(g28059)
+g1361 = DFF(g30343)
+g1367 = DFF(g31871)
+g1373 = DFF(g32986)
+g1379 = DFF(g33543)
+g1384 = DFF(g25633)
+g1389 = DFF(g26920)
+g1489 = DFF(g24249)
+g1495 = DFF(g24250)
+g1442 = DFF(g24251)
+g1437 = DFF(g29236)
+g1478 = DFF(g26924)
+g1454 = DFF(g29239)
+g1448 = DFF(g26922)
+g1467 = DFF(g29237)
+g1472 = DFF(g26923)
+g1484 = DFF(g29238)
+g1300 = DFF(g25635)
+g1291 = DFF(g34602)
+g1296 = DFF(g34729)
+g1283 = DFF(g34730)
+g1287 = DFF(g34731)
+g1311 = DFF(g21724)
+g929 = DFF(g21725)
+g904 = DFF(g24231)
+g921 = DFF(g25621)
+g936 = DFF(g26912)
+g907 = DFF(g28056)
+g911 = DFF(g29230)
+g914 = DFF(g30336)
+g918 = DFF(g31868)
+g925 = DFF(g32981)
+g930 = DFF(g33540)
+g933 = DFF(g32982)
+g1075 = DFF(g24238)
+g1079 = DFF(g1075)
+g1083 = DFF(g1079)
+g1087 = DFF(g1083)
+g1205 = DFF(g24244)
+g1221 = DFF(g24246)
+g1216 = DFF(g25629)
+g1211 = DFF(g25628)
+g1227 = DFF(g24242)
+g1242 = DFF(g1227)
+g1246 = DFF(g24245)
+g1233 = DFF(g24239)
+g1236 = DFF(g1233)
+g996 = DFF(g24243)
+g1157 = DFF(g24240)
+g1239 = DFF(g1157)
+g990 = DFF(g1239)
+g1056 = DFF(g24241)
+g1116 = DFF(g1056)
+g979 = DFF(g1116)
+g1171 = DFF(g30338)
+g1183 = DFF(g30339)
+g1178 = DFF(g24236)
+g962 = DFF(g25627)
+g1189 = DFF(g24237)
+g1193 = DFF(g26918)
+g1199 = DFF(g30340)
+g1070 = DFF(g30341)
+g1052 = DFF(g25625)
+g1061 = DFF(g26914)
+g976 = DFF(g24232)
+g969 = DFF(g25622)
+g1008 = DFF(g25623)
+g1002 = DFF(g28057)
+g1018 = DFF(g30337)
+g1024 = DFF(g31869)
+g1030 = DFF(g32983)
+g1036 = DFF(g33541)
+g1041 = DFF(g25624)
+g1046 = DFF(g26913)
+g1146 = DFF(g24233)
+g1152 = DFF(g24234)
+g1099 = DFF(g24235)
+g1094 = DFF(g29231)
+g1135 = DFF(g26917)
+g1111 = DFF(g29234)
+g1105 = DFF(g26915)
+g1124 = DFF(g29232)
+g1129 = DFF(g26916)
+g1141 = DFF(g29233)
+g956 = DFF(g25626)
+g947 = DFF(g34601)
+g952 = DFF(g34726)
+g939 = DFF(g34727)
+g943 = DFF(g34728)
+g967 = DFF(g21722)
+g968 = DFF(g21723)
+g1592 = DFF(g33544)
+g1644 = DFF(g33551)
+g1636 = DFF(g33545)
+g1668 = DFF(g33546)
+g1682 = DFF(g33971)
+g1687 = DFF(g33547)
+g1604 = DFF(g33972)
+g1600 = DFF(g33966)
+g1608 = DFF(g33967)
+g1620 = DFF(g33970)
+g1616 = DFF(g33969)
+g1612 = DFF(g33968)
+g1632 = DFF(g30348)
+g1624 = DFF(g32987)
+g1648 = DFF(g32988)
+g1664 = DFF(g32990)
+g1657 = DFF(g32989)
+g1677 = DFF(g29240)
+g1691 = DFF(g29241)
+g1696 = DFF(g30349)
+g1700 = DFF(g30350)
+g1706 = DFF(g33548)
+g1710 = DFF(g33549)
+g1714 = DFF(g33550)
+g1720 = DFF(g30351)
+g1724 = DFF(g30352)
+g1728 = DFF(g33552)
+g1779 = DFF(g33559)
+g1772 = DFF(g33553)
+g1802 = DFF(g33554)
+g1816 = DFF(g33978)
+g1821 = DFF(g33555)
+g1740 = DFF(g33979)
+g1736 = DFF(g33973)
+g1744 = DFF(g33974)
+g1756 = DFF(g33977)
+g1752 = DFF(g33976)
+g1748 = DFF(g33975)
+g1768 = DFF(g30353)
+g1760 = DFF(g32991)
+g1783 = DFF(g32992)
+g1798 = DFF(g32994)
+g1792 = DFF(g32993)
+g1811 = DFF(g29242)
+g1825 = DFF(g29243)
+g1830 = DFF(g30354)
+g1834 = DFF(g30355)
+g1840 = DFF(g33556)
+g1844 = DFF(g33557)
+g1848 = DFF(g33558)
+g1854 = DFF(g30356)
+g1858 = DFF(g30357)
+g1862 = DFF(g33560)
+g1913 = DFF(g33567)
+g1906 = DFF(g33561)
+g1936 = DFF(g33562)
+g1950 = DFF(g33985)
+g1955 = DFF(g33563)
+g1874 = DFF(g33986)
+g1870 = DFF(g33980)
+g1878 = DFF(g33981)
+g1890 = DFF(g33984)
+g1886 = DFF(g33983)
+g1882 = DFF(g33982)
+g1902 = DFF(g30358)
+g1894 = DFF(g32995)
+g1917 = DFF(g32996)
+g1932 = DFF(g32998)
+g1926 = DFF(g32997)
+g1945 = DFF(g29244)
+g1959 = DFF(g29245)
+g1964 = DFF(g30359)
+g1968 = DFF(g30360)
+g1974 = DFF(g33564)
+g1978 = DFF(g33565)
+g1982 = DFF(g33566)
+g1988 = DFF(g30361)
+g1992 = DFF(g30362)
+g1996 = DFF(g33568)
+g2047 = DFF(g33575)
+g2040 = DFF(g33569)
+g2070 = DFF(g33570)
+g2084 = DFF(g33992)
+g2089 = DFF(g33571)
+g2008 = DFF(g33993)
+g2004 = DFF(g33987)
+g2012 = DFF(g33988)
+g2024 = DFF(g33991)
+g2020 = DFF(g33990)
+g2016 = DFF(g33989)
+g2036 = DFF(g30363)
+g2028 = DFF(g32999)
+g2051 = DFF(g33000)
+g2066 = DFF(g33002)
+g2060 = DFF(g33001)
+g2079 = DFF(g29246)
+g2093 = DFF(g29247)
+g2098 = DFF(g30364)
+g2102 = DFF(g30365)
+g2108 = DFF(g33572)
+g2112 = DFF(g33573)
+g2116 = DFF(g33574)
+g2122 = DFF(g30366)
+g2126 = DFF(g30367)
+g2130 = DFF(g34603)
+g2138 = DFF(g34604)
+g2145 = DFF(g34605)
+g2151 = DFF(g18421)
+g2152 = DFF(g18422)
+g2153 = DFF(g33576)
+g2204 = DFF(g33583)
+g2197 = DFF(g33577)
+g2227 = DFF(g33578)
+g2241 = DFF(g33999)
+g2246 = DFF(g33579)
+g2165 = DFF(g34000)
+g2161 = DFF(g33994)
+g2169 = DFF(g33995)
+g2181 = DFF(g33998)
+g2177 = DFF(g33997)
+g2173 = DFF(g33996)
+g2193 = DFF(g30368)
+g2185 = DFF(g33003)
+g2208 = DFF(g33004)
+g2223 = DFF(g33006)
+g2217 = DFF(g33005)
+g2236 = DFF(g29248)
+g2250 = DFF(g29249)
+g2255 = DFF(g30369)
+g2259 = DFF(g30370)
+g2265 = DFF(g33580)
+g2269 = DFF(g33581)
+g2273 = DFF(g33582)
+g2279 = DFF(g30371)
+g2283 = DFF(g30372)
+g2287 = DFF(g33584)
+g2338 = DFF(g33591)
+g2331 = DFF(g33585)
+g2361 = DFF(g33586)
+g2375 = DFF(g34006)
+g2380 = DFF(g33587)
+g2299 = DFF(g34007)
+g2295 = DFF(g34001)
+g2303 = DFF(g34002)
+g2315 = DFF(g34005)
+g2311 = DFF(g34004)
+g2307 = DFF(g34003)
+g2327 = DFF(g30373)
+g2319 = DFF(g33007)
+g2342 = DFF(g33008)
+g2357 = DFF(g33010)
+g2351 = DFF(g33009)
+g2370 = DFF(g29250)
+g2384 = DFF(g29251)
+g2389 = DFF(g30374)
+g2393 = DFF(g30375)
+g2399 = DFF(g33588)
+g2403 = DFF(g33589)
+g2407 = DFF(g33590)
+g2413 = DFF(g30376)
+g2417 = DFF(g30377)
+g2421 = DFF(g33592)
+g2472 = DFF(g33599)
+g2465 = DFF(g33593)
+g2495 = DFF(g33594)
+g2509 = DFF(g34013)
+g2514 = DFF(g33595)
+g2433 = DFF(g34014)
+g2429 = DFF(g34008)
+g2437 = DFF(g34009)
+g2449 = DFF(g34012)
+g2445 = DFF(g34011)
+g2441 = DFF(g34010)
+g2461 = DFF(g30378)
+g2453 = DFF(g33011)
+g2476 = DFF(g33012)
+g2491 = DFF(g33014)
+g2485 = DFF(g33013)
+g2504 = DFF(g29252)
+g2518 = DFF(g29253)
+g2523 = DFF(g30379)
+g2527 = DFF(g30380)
+g2533 = DFF(g33596)
+g2537 = DFF(g33597)
+g2541 = DFF(g33598)
+g2547 = DFF(g30381)
+g2551 = DFF(g30382)
+g2555 = DFF(g33600)
+g2606 = DFF(g33607)
+g2599 = DFF(g33601)
+g2629 = DFF(g33602)
+g2643 = DFF(g34020)
+g2648 = DFF(g33603)
+g2567 = DFF(g34021)
+g2563 = DFF(g34015)
+g2571 = DFF(g34016)
+g2583 = DFF(g34019)
+g2579 = DFF(g34018)
+g2575 = DFF(g34017)
+g2595 = DFF(g30383)
+g2587 = DFF(g33015)
+g2610 = DFF(g33016)
+g2625 = DFF(g33018)
+g2619 = DFF(g33017)
+g2638 = DFF(g29254)
+g2652 = DFF(g29255)
+g2657 = DFF(g30384)
+g2661 = DFF(g30385)
+g2667 = DFF(g33604)
+g2671 = DFF(g33605)
+g2675 = DFF(g33606)
+g2681 = DFF(g30386)
+g2685 = DFF(g30387)
+g2689 = DFF(g34606)
+g2697 = DFF(g34607)
+g2704 = DFF(g34608)
+g2710 = DFF(g18527)
+g2711 = DFF(g18528)
+g2837 = DFF(g26935)
+g2841 = DFF(g26936)
+g2712 = DFF(g26937)
+g2715 = DFF(g24263)
+g2719 = DFF(g25639)
+g2724 = DFF(g26926)
+g2729 = DFF(g28060)
+g2735 = DFF(g29256)
+g2741 = DFF(g30388)
+g2748 = DFF(g31872)
+g2756 = DFF(g33019)
+g2759 = DFF(g33608)
+g2763 = DFF(g34022)
+g2767 = DFF(g26927)
+g2779 = DFF(g26928)
+g2791 = DFF(g26929)
+g2795 = DFF(g26930)
+g2787 = DFF(g34444)
+g2783 = DFF(g34442)
+g2775 = DFF(g34443)
+g2771 = DFF(g34441)
+g2831 = DFF(g30391)
+g121 = DFF(g30389)
+g2799 = DFF(g26931)
+g2811 = DFF(g26932)
+g2823 = DFF(g26933)
+g2827 = DFF(g26934)
+g2819 = DFF(g34448)
+g2815 = DFF(g34446)
+g2807 = DFF(g34447)
+g2803 = DFF(g34445)
+g2834 = DFF(g30392)
+g117 = DFF(g30390)
+g2999 = DFF(g34805)
+g2994 = DFF(g34732)
+g2988 = DFF(g34624)
+g2868 = DFF(g34616)
+g2873 = DFF(g34615)
+g2890 = DFF(g34799)
+g2844 = DFF(g34609)
+g2852 = DFF(g34610)
+g2860 = DFF(g34611)
+g2894 = DFF(g34612)
+g37 = DFF(g34613)
+g94 = DFF(g34614)
+g2848 = DFF(g34792)
+g2856 = DFF(g34793)
+g2864 = DFF(g34794)
+g2898 = DFF(g34795)
+g2882 = DFF(g34796)
+g2878 = DFF(g34797)
+g2886 = DFF(g34798)
+g2980 = DFF(g34800)
+g2984 = DFF(g34980)
+g2907 = DFF(g34617)
+g2912 = DFF(g34618)
+g2922 = DFF(g34619)
+g2936 = DFF(g34620)
+g2950 = DFF(g34621)
+g2960 = DFF(g34622)
+g2970 = DFF(g34623)
+g2902 = DFF(g34801)
+g2917 = DFF(g34802)
+g2927 = DFF(g34803)
+g2941 = DFF(g34806)
+g2955 = DFF(g34807)
+g2965 = DFF(g34808)
+g2975 = DFF(g34804)
+g3003 = DFF(g21726)
+g5 = DFF(g12833)
+g6 = DFF(g34589)
+g7 = DFF(g34590)
+g8 = DFF(g34591)
+g9 = DFF(g34592)
+g16 = DFF(g34593)
+g19 = DFF(g34594)
+g28 = DFF(g34595)
+g31 = DFF(g34596)
+g34 = DFF(g34877)
+g12 = DFF(g30326)
+g22 = DFF(g29209)
+g25 = DFF(g15048)
+
+I11617 = NOT(g1)
+g6754 = NOT(I11617)
+I11620 = NOT(g1)
+g6755 = NOT(I11620)
+I11623 = NOT(g28)
+g6756 = NOT(I11623)
+I11626 = NOT(g31)
+g6767 = NOT(I11626)
+I11629 = NOT(g19)
+g6772 = NOT(I11629)
+I11632 = NOT(g16)
+g6782 = NOT(I11632)
+I11635 = NOT(g9)
+g6789 = NOT(I11635)
+g6799 = NOT(g199)
+g6800 = NOT(g203)
+g6801 = NOT(g391)
+g6802 = NOT(g468)
+g6803 = NOT(g496)
+g6804 = NOT(g490)
+g6808 = NOT(g554)
+g6809 = NOT(g341)
+g6810 = NOT(g723)
+g6811 = NOT(g714)
+g6814 = NOT(g632)
+g6815 = NOT(g929)
+g6816 = NOT(g933)
+g6817 = NOT(g956)
+g6818 = NOT(g976)
+g6819 = NOT(g1046)
+g6820 = NOT(g1070)
+I11655 = NOT(g1246)
+g6821 = NOT(I11655)
+g6825 = NOT(g979)
+g6826 = NOT(g218)
+g6827 = NOT(g1277)
+g6828 = NOT(g1300)
+g6829 = NOT(g1319)
+g6830 = NOT(g1389)
+g6831 = NOT(g1413)
+I11665 = NOT(g1589)
+g6832 = NOT(I11665)
+g6836 = NOT(g1322)
+g6837 = NOT(g968)
+g6838 = NOT(g1724)
+g6839 = NOT(g1858)
+g6840 = NOT(g1992)
+g6841 = NOT(g2145)
+g6845 = NOT(g2126)
+g6846 = NOT(g2152)
+g6847 = NOT(g2283)
+g6848 = NOT(g2417)
+g6849 = NOT(g2551)
+g6850 = NOT(g2704)
+g6854 = NOT(g2685)
+g6855 = NOT(g2711)
+I11682 = NOT(g2756)
+g6856 = NOT(I11682)
+I11685 = NOT(g117)
+g6867 = NOT(I11685)
+I11688 = NOT(g70)
+g6868 = NOT(I11688)
+I11691 = NOT(g36)
+g6869 = NOT(I11691)
+g6870 = NOT(g3089)
+g6873 = NOT(g3151)
+g6874 = NOT(g3143)
+I11697 = NOT(g3352)
+g6875 = NOT(I11697)
+g6887 = NOT(g3333)
+I11701 = NOT(g4164)
+g6888 = NOT(I11701)
+g6895 = NOT(g3288)
+g6900 = NOT(g3440)
+g6903 = NOT(g3502)
+g6904 = NOT(g3494)
+I11708 = NOT(g3703)
+g6905 = NOT(I11708)
+g6917 = NOT(g3684)
+g6918 = NOT(g3639)
+g6923 = NOT(g3791)
+g6926 = NOT(g3853)
+g6927 = NOT(g3845)
+I11716 = NOT(g4054)
+g6928 = NOT(I11716)
+g6940 = NOT(g4035)
+g6941 = NOT(g3990)
+I11721 = NOT(g4145)
+g6946 = NOT(I11721)
+g6953 = NOT(g4157)
+g6954 = NOT(g4138)
+I11726 = NOT(g4273)
+g6955 = NOT(I11726)
+g6956 = NOT(g4242)
+g6957 = NOT(g2932)
+g6958 = NOT(g4372)
+g6959 = NOT(g4420)
+g6960 = NOT(g1)
+I11734 = NOT(g4473)
+g6961 = NOT(I11734)
+I11737 = NOT(g4467)
+g6971 = NOT(I11737)
+I11740 = NOT(g4519)
+g6972 = NOT(I11740)
+I11743 = NOT(g4564)
+g6973 = NOT(I11743)
+I11746 = NOT(g4570)
+g6974 = NOT(I11746)
+g6975 = NOT(g4507)
+I11750 = NOT(g4474)
+g6976 = NOT(I11750)
+I11753 = NOT(g4492)
+g6977 = NOT(I11753)
+g6978 = NOT(g4616)
+g6982 = NOT(g4531)
+g6983 = NOT(g4698)
+g6984 = NOT(g4709)
+g6985 = NOT(g4669)
+g6986 = NOT(g4743)
+g6987 = NOT(g4754)
+g6988 = NOT(g4765)
+g6989 = NOT(g4575)
+g6990 = NOT(g4742)
+g6991 = NOT(g4888)
+g6992 = NOT(g4899)
+g6993 = NOT(g4859)
+g6994 = NOT(g4933)
+g6995 = NOT(g4944)
+g6996 = NOT(g4955)
+g6997 = NOT(g4578)
+g6998 = NOT(g4932)
+g6999 = NOT(g86)
+g7002 = NOT(g5160)
+g7003 = NOT(g5152)
+I11777 = NOT(g5357)
+g7004 = NOT(I11777)
+g7017 = NOT(g128)
+g7018 = NOT(g5297)
+g7023 = NOT(g5445)
+g7026 = NOT(g5507)
+g7027 = NOT(g5499)
+I11785 = NOT(g5703)
+g7028 = NOT(I11785)
+g7040 = NOT(g4821)
+g7041 = NOT(g5644)
+g7046 = NOT(g5791)
+g7049 = NOT(g5853)
+g7050 = NOT(g5845)
+I11793 = NOT(g6049)
+g7051 = NOT(I11793)
+g7063 = NOT(g4831)
+g7064 = NOT(g5990)
+g7069 = NOT(g6137)
+g7072 = NOT(g6199)
+g7073 = NOT(g6191)
+I11801 = NOT(g6395)
+g7074 = NOT(I11801)
+g7086 = NOT(g4826)
+g7087 = NOT(g6336)
+g7092 = NOT(g6483)
+g7095 = NOT(g6545)
+g7096 = NOT(g6537)
+I11809 = NOT(g6741)
+g7097 = NOT(I11809)
+g7109 = NOT(g5011)
+g7110 = NOT(g6682)
+g7115 = NOT(g12)
+g7116 = NOT(g22)
+I11816 = NOT(g93)
+g7117 = NOT(I11816)
+g7118 = NOT(g832)
+I11820 = NOT(g3869)
+g7121 = NOT(I11820)
+g7132 = NOT(g4558)
+g7134 = NOT(g5029)
+g7138 = NOT(g5360)
+I11835 = NOT(g101)
+g7148 = NOT(I11835)
+g7149 = NOT(g4564)
+g7153 = NOT(g5373)
+g7157 = NOT(g5706)
+I11843 = NOT(g111)
+g7161 = NOT(I11843)
+g7162 = NOT(g4521)
+g7163 = NOT(g4593)
+g7166 = NOT(g4311)
+g7170 = NOT(g5719)
+g7174 = NOT(g6052)
+g7178 = NOT(g4392)
+g7183 = NOT(g4608)
+g7187 = NOT(g6065)
+g7191 = NOT(g6398)
+g7195 = NOT(g25)
+I11860 = NOT(g43)
+g7196 = NOT(I11860)
+g7197 = NOT(g812)
+g7202 = NOT(g4639)
+g7212 = NOT(g6411)
+g7216 = NOT(g822)
+g7219 = NOT(g4405)
+g7222 = NOT(g4427)
+g7224 = NOT(g4601)
+g7231 = NOT(g5)
+g7232 = NOT(g4411)
+g7235 = NOT(g4521)
+g7236 = NOT(g4608)
+g7239 = NOT(g5033)
+I11892 = NOT(g4408)
+g7243 = NOT(I11892)
+g7244 = NOT(g4408)
+I11896 = NOT(g4446)
+g7245 = NOT(I11896)
+g7246 = NOT(g4446)
+g7247 = NOT(g5377)
+g7252 = NOT(g1592)
+I11903 = NOT(g4414)
+g7257 = NOT(I11903)
+g7258 = NOT(g4414)
+g7259 = NOT(g4375)
+I11908 = NOT(g4449)
+g7260 = NOT(I11908)
+g7261 = NOT(g4449)
+g7262 = NOT(g5723)
+g7266 = NOT(g35)
+g7267 = NOT(g1604)
+g7268 = NOT(g1636)
+g7275 = NOT(g1728)
+g7280 = NOT(g2153)
+g7285 = NOT(g4643)
+g7289 = NOT(g4382)
+g7293 = NOT(g4452)
+g7296 = NOT(g5313)
+g7297 = NOT(g6069)
+g7301 = NOT(g925)
+g7308 = NOT(g1668)
+g7314 = NOT(g1740)
+g7315 = NOT(g1772)
+g7322 = NOT(g1862)
+g7327 = NOT(g2165)
+g7328 = NOT(g2197)
+g7335 = NOT(g2287)
+g7340 = NOT(g4443)
+g7343 = NOT(g5290)
+g7344 = NOT(g5659)
+g7345 = NOT(g6415)
+g7349 = NOT(g1270)
+g7356 = NOT(g1802)
+g7361 = NOT(g1874)
+g7362 = NOT(g1906)
+g7369 = NOT(g1996)
+g7374 = NOT(g2227)
+g7379 = NOT(g2299)
+g7380 = NOT(g2331)
+g7387 = NOT(g2421)
+g7392 = NOT(g4438)
+g7393 = NOT(g5320)
+g7394 = NOT(g5637)
+g7395 = NOT(g6005)
+g7397 = NOT(g890)
+g7400 = NOT(g911)
+g7405 = NOT(g1936)
+g7410 = NOT(g2008)
+g7411 = NOT(g2040)
+g7418 = NOT(g2361)
+g7423 = NOT(g2433)
+g7424 = NOT(g2465)
+g7431 = NOT(g2555)
+g7436 = NOT(g5276)
+g7437 = NOT(g5666)
+g7438 = NOT(g5983)
+g7439 = NOT(g6351)
+g7440 = NOT(g329)
+g7441 = NOT(g862)
+g7443 = NOT(g914)
+g7446 = NOT(g1256)
+g7451 = NOT(g2070)
+g7456 = NOT(g2495)
+g7461 = NOT(g2567)
+g7462 = NOT(g2599)
+g7470 = NOT(g5623)
+g7471 = NOT(g6012)
+g7472 = NOT(g6329)
+g7473 = NOT(g6697)
+I11980 = NOT(g66)
+g7474 = NOT(I11980)
+g7475 = NOT(g896)
+g7479 = NOT(g1008)
+g7487 = NOT(g1259)
+g7490 = NOT(g2629)
+g7495 = NOT(g4375)
+g7496 = NOT(g5969)
+g7497 = NOT(g6358)
+g7498 = NOT(g6675)
+I11992 = NOT(g763)
+g7502 = NOT(I11992)
+g7503 = NOT(g1351)
+g7512 = NOT(g5283)
+g7513 = NOT(g6315)
+g7514 = NOT(g6704)
+I12000 = NOT(g582)
+g7515 = NOT(I12000)
+I12003 = NOT(g767)
+g7516 = NOT(I12003)
+g7517 = NOT(g962)
+g7518 = NOT(g1024)
+g7519 = NOT(g1157)
+g7521 = NOT(g5630)
+g7522 = NOT(g6661)
+g7523 = NOT(g305)
+I12013 = NOT(g590)
+g7526 = NOT(I12013)
+I12016 = NOT(g772)
+g7527 = NOT(I12016)
+g7528 = NOT(g930)
+g7532 = NOT(g1157)
+g7533 = NOT(g1306)
+g7534 = NOT(g1367)
+g7535 = NOT(g1500)
+g7536 = NOT(g5976)
+g7537 = NOT(g311)
+I12026 = NOT(g344)
+g7540 = NOT(I12026)
+g7541 = NOT(g344)
+I12030 = NOT(g595)
+g7542 = NOT(I12030)
+I12033 = NOT(g776)
+g7543 = NOT(I12033)
+g7544 = NOT(g918)
+g7548 = NOT(g1036)
+g7553 = NOT(g1274)
+g7557 = NOT(g1500)
+I12041 = NOT(g2741)
+g7558 = NOT(I12041)
+g7563 = NOT(g6322)
+g7564 = NOT(g336)
+I12046 = NOT(g613)
+g7565 = NOT(I12046)
+I12049 = NOT(g781)
+g7566 = NOT(I12049)
+g7577 = NOT(g1263)
+g7581 = NOT(g1379)
+I12056 = NOT(g2748)
+g7586 = NOT(I12056)
+g7591 = NOT(g6668)
+g7592 = NOT(g347)
+I12061 = NOT(g562)
+g7593 = NOT(I12061)
+I12064 = NOT(g617)
+g7594 = NOT(I12064)
+I12067 = NOT(g739)
+g7595 = NOT(I12067)
+I12070 = NOT(g785)
+g7596 = NOT(I12070)
+g7597 = NOT(g952)
+I12083 = NOT(g568)
+g7615 = NOT(I12083)
+I12086 = NOT(g622)
+g7616 = NOT(I12086)
+I12089 = NOT(g744)
+g7617 = NOT(I12089)
+I12092 = NOT(g790)
+g7618 = NOT(I12092)
+g7619 = NOT(g1296)
+I12103 = NOT(g572)
+g7623 = NOT(I12103)
+I12106 = NOT(g626)
+g7624 = NOT(I12106)
+I12109 = NOT(g749)
+g7625 = NOT(I12109)
+I12112 = NOT(g794)
+g7626 = NOT(I12112)
+g7627 = NOT(g4311)
+g7631 = NOT(g74)
+I12117 = NOT(g586)
+g7632 = NOT(I12117)
+I12120 = NOT(g632)
+g7633 = NOT(I12120)
+I12123 = NOT(g758)
+g7634 = NOT(I12123)
+g7635 = NOT(g1002)
+g7636 = NOT(g4098)
+I12128 = NOT(g4253)
+g7640 = NOT(I12128)
+g7643 = NOT(g4322)
+I12132 = NOT(g577)
+g7647 = NOT(I12132)
+I12135 = NOT(g807)
+g7648 = NOT(I12135)
+g7649 = NOT(g1345)
+g7650 = NOT(g4064)
+g7655 = NOT(g4332)
+I12141 = NOT(g599)
+g7659 = NOT(I12141)
+I12144 = NOT(g554)
+g7660 = NOT(I12144)
+g7666 = NOT(g4076)
+g7670 = NOT(g4104)
+I12151 = NOT(g604)
+g7674 = NOT(I12151)
+g7680 = NOT(g4108)
+g7686 = NOT(g4659)
+I12159 = NOT(g608)
+g7689 = NOT(I12159)
+g7693 = NOT(g4849)
+g7697 = NOT(g4087)
+I12167 = NOT(g5176)
+g7704 = NOT(I12167)
+g7715 = NOT(g1178)
+g7716 = NOT(g1199)
+I12172 = NOT(g2715)
+g7717 = NOT(I12172)
+g7733 = NOT(g4093)
+I12176 = NOT(g5523)
+g7738 = NOT(I12176)
+g7749 = NOT(g996)
+g7750 = NOT(g1070)
+g7751 = NOT(g1521)
+g7752 = NOT(g1542)
+I12183 = NOT(g2719)
+g7753 = NOT(I12183)
+g7765 = NOT(g4165)
+I12189 = NOT(g5869)
+g7766 = NOT(I12189)
+g7778 = NOT(g1339)
+g7779 = NOT(g1413)
+g7780 = NOT(g2878)
+g7785 = NOT(g4621)
+g7788 = NOT(g4674)
+I12199 = NOT(g6215)
+g7791 = NOT(I12199)
+g7802 = NOT(g324)
+g7805 = NOT(g4366)
+g7806 = NOT(g4681)
+g7809 = NOT(g4864)
+I12214 = NOT(g6561)
+g7812 = NOT(I12214)
+g7824 = NOT(g4169)
+g7827 = NOT(g4688)
+g7828 = NOT(g4871)
+I12227 = NOT(g34)
+g7831 = NOT(I12227)
+g7835 = NOT(g4125)
+g7840 = NOT(g4878)
+g7841 = NOT(g904)
+g7845 = NOT(g1146)
+g7851 = NOT(g921)
+g7854 = NOT(g1152)
+g7858 = NOT(g947)
+g7863 = NOT(g1249)
+g7867 = NOT(g1489)
+g7868 = NOT(g1099)
+g7870 = NOT(g1193)
+g7873 = NOT(g1266)
+g7876 = NOT(g1495)
+g7880 = NOT(g1291)
+g7886 = NOT(g1442)
+g7888 = NOT(g1536)
+g7891 = NOT(g2994)
+g7892 = NOT(g4801)
+g7898 = NOT(g4991)
+g7903 = NOT(g969)
+g7907 = NOT(g3072)
+g7908 = NOT(g4157)
+g7909 = NOT(g936)
+g7913 = NOT(g1052)
+I12300 = NOT(g1157)
+g7916 = NOT(I12300)
+g7917 = NOT(g1157)
+g7922 = NOT(g1312)
+g7926 = NOT(g3423)
+g7927 = NOT(g4064)
+g7928 = NOT(g4776)
+g7933 = NOT(g907)
+g7936 = NOT(g1061)
+g7939 = NOT(g1280)
+g7943 = NOT(g1395)
+I12314 = NOT(g1500)
+g7946 = NOT(I12314)
+g7947 = NOT(g1500)
+g7952 = NOT(g3774)
+g7953 = NOT(g4966)
+g7957 = NOT(g1252)
+g7960 = NOT(g1404)
+g7963 = NOT(g4146)
+g7964 = NOT(g3155)
+g7970 = NOT(g4688)
+g7971 = NOT(g4818)
+g7972 = NOT(g1046)
+g7975 = NOT(g3040)
+g7980 = NOT(g3161)
+g7985 = NOT(g3506)
+g7991 = NOT(g4878)
+g7992 = NOT(g5008)
+I12333 = NOT(g45)
+g7993 = NOT(I12333)
+I12336 = NOT(g52)
+g7994 = NOT(I12336)
+g7995 = NOT(g153)
+g7998 = NOT(g392)
+g8002 = NOT(g1389)
+g8005 = NOT(g3025)
+g8009 = NOT(g3106)
+g8011 = NOT(g3167)
+g8016 = NOT(g3391)
+g8021 = NOT(g3512)
+g8026 = NOT(g3857)
+I12355 = NOT(g46)
+g8032 = NOT(I12355)
+g8033 = NOT(g157)
+g8037 = NOT(g405)
+I12360 = NOT(g528)
+g8038 = NOT(I12360)
+g8046 = NOT(g528)
+g8052 = NOT(g1211)
+g8055 = NOT(g1236)
+g8056 = NOT(g1246)
+g8057 = NOT(g3068)
+g8058 = NOT(g3115)
+g8059 = NOT(g3171)
+g8064 = NOT(g3376)
+g8068 = NOT(g3457)
+g8070 = NOT(g3518)
+g8075 = NOT(g3742)
+g8080 = NOT(g3863)
+I12382 = NOT(g47)
+g8085 = NOT(I12382)
+g8087 = NOT(g1157)
+g8088 = NOT(g1554)
+g8091 = NOT(g1579)
+g8092 = NOT(g1589)
+g8093 = NOT(g1624)
+g8097 = NOT(g3029)
+g8102 = NOT(g3072)
+g8106 = NOT(g3133)
+g8107 = NOT(g3179)
+g8112 = NOT(g3419)
+g8113 = NOT(g3466)
+g8114 = NOT(g3522)
+g8119 = NOT(g3727)
+g8123 = NOT(g3808)
+g8125 = NOT(g3869)
+g8130 = NOT(g4515)
+I12411 = NOT(g4809)
+g8132 = NOT(I12411)
+g8133 = NOT(g4809)
+I12415 = NOT(g48)
+g8134 = NOT(I12415)
+I12418 = NOT(g55)
+g8135 = NOT(I12418)
+g8136 = NOT(g269)
+g8137 = NOT(g411)
+g8138 = NOT(g1500)
+g8139 = NOT(g1648)
+g8146 = NOT(g1760)
+g8150 = NOT(g2185)
+g8154 = NOT(g3139)
+g8155 = NOT(g3380)
+g8160 = NOT(g3423)
+g8164 = NOT(g3484)
+g8165 = NOT(g3530)
+g8170 = NOT(g3770)
+g8171 = NOT(g3817)
+g8172 = NOT(g3873)
+I12437 = NOT(g4999)
+g8178 = NOT(I12437)
+g8179 = NOT(g4999)
+g8180 = NOT(g262)
+g8181 = NOT(g424)
+g8183 = NOT(g482)
+g8186 = NOT(g990)
+g8187 = NOT(g1657)
+g8195 = NOT(g1783)
+g8201 = NOT(g1894)
+g8205 = NOT(g2208)
+g8211 = NOT(g2319)
+I12451 = NOT(g3092)
+g8215 = NOT(I12451)
+g8216 = NOT(g3092)
+g8217 = NOT(g3143)
+g8218 = NOT(g3490)
+g8219 = NOT(g3731)
+g8224 = NOT(g3774)
+g8228 = NOT(g3835)
+g8229 = NOT(g3881)
+I12463 = NOT(g4812)
+g8235 = NOT(I12463)
+g8236 = NOT(g4812)
+g8237 = NOT(g255)
+g8239 = NOT(g1056)
+g8240 = NOT(g1333)
+g8241 = NOT(g1792)
+g8249 = NOT(g1917)
+g8255 = NOT(g2028)
+g8259 = NOT(g2217)
+g8267 = NOT(g2342)
+g8273 = NOT(g2453)
+I12483 = NOT(g3096)
+g8277 = NOT(I12483)
+g8278 = NOT(g3096)
+I12487 = NOT(g3443)
+g8279 = NOT(I12487)
+g8280 = NOT(g3443)
+g8281 = NOT(g3494)
+g8282 = NOT(g3841)
+I12493 = NOT(g5002)
+g8283 = NOT(I12493)
+g8284 = NOT(g5002)
+I12497 = NOT(g49)
+g8285 = NOT(I12497)
+g8286 = NOT(g53)
+g8287 = NOT(g160)
+g8290 = NOT(g218)
+I12503 = NOT(g215)
+g8291 = NOT(I12503)
+g8296 = NOT(g246)
+g8297 = NOT(g142)
+g8300 = NOT(g1242)
+g8301 = NOT(g1399)
+g8302 = NOT(g1926)
+g8310 = NOT(g2051)
+g8316 = NOT(g2351)
+g8324 = NOT(g2476)
+g8330 = NOT(g2587)
+g8334 = NOT(g3034)
+g8340 = NOT(g3050)
+g8341 = NOT(g3119)
+I12519 = NOT(g3447)
+g8342 = NOT(I12519)
+g8343 = NOT(g3447)
+I12523 = NOT(g3794)
+g8344 = NOT(I12523)
+g8345 = NOT(g3794)
+g8346 = NOT(g3845)
+g8350 = NOT(g4646)
+I12530 = NOT(g4815)
+g8353 = NOT(I12530)
+g8354 = NOT(g4815)
+I12534 = NOT(g50)
+g8355 = NOT(I12534)
+g8356 = NOT(g54)
+I12538 = NOT(g58)
+g8357 = NOT(I12538)
+I12541 = NOT(g194)
+g8358 = NOT(I12541)
+g8362 = NOT(g194)
+g8363 = NOT(g239)
+g8364 = NOT(g1585)
+g8365 = NOT(g2060)
+g8373 = NOT(g2485)
+g8381 = NOT(g2610)
+g8387 = NOT(g3080)
+g8388 = NOT(g3010)
+g8389 = NOT(g3125)
+g8390 = NOT(g3385)
+g8396 = NOT(g3401)
+g8397 = NOT(g3470)
+I12563 = NOT(g3798)
+g8398 = NOT(I12563)
+g8399 = NOT(g3798)
+g8400 = NOT(g4836)
+I12568 = NOT(g5005)
+g8403 = NOT(I12568)
+g8404 = NOT(g5005)
+I12572 = NOT(g51)
+g8405 = NOT(I12572)
+g8406 = NOT(g232)
+g8407 = NOT(g1171)
+I12577 = NOT(g1227)
+g8411 = NOT(I12577)
+I12580 = NOT(g1239)
+g8416 = NOT(I12580)
+g8418 = NOT(g2619)
+g8426 = NOT(g3045)
+g8431 = NOT(g3085)
+g8438 = NOT(g3100)
+g8439 = NOT(g3129)
+g8440 = NOT(g3431)
+g8441 = NOT(g3361)
+g8442 = NOT(g3476)
+g8443 = NOT(g3736)
+g8449 = NOT(g3752)
+g8450 = NOT(g3821)
+g8451 = NOT(g4057)
+g8456 = NOT(g56)
+g8457 = NOT(g225)
+g8458 = NOT(g294)
+g8462 = NOT(g1183)
+g8466 = NOT(g1514)
+I12605 = NOT(g1570)
+g8470 = NOT(I12605)
+I12608 = NOT(g1582)
+g8475 = NOT(I12608)
+g8477 = NOT(g3061)
+g8478 = NOT(g3103)
+g8479 = NOT(g3057)
+g8480 = NOT(g3147)
+I12618 = NOT(g3338)
+g8481 = NOT(I12618)
+g8492 = NOT(g3396)
+g8497 = NOT(g3436)
+g8504 = NOT(g3451)
+g8505 = NOT(g3480)
+g8506 = NOT(g3782)
+g8507 = NOT(g3712)
+g8508 = NOT(g3827)
+g8509 = NOT(g4141)
+g8514 = NOT(g4258)
+I12631 = NOT(g1242)
+g8515 = NOT(I12631)
+g8519 = NOT(g287)
+g8522 = NOT(g298)
+g8526 = NOT(g1526)
+g8531 = NOT(g3288)
+g8534 = NOT(g3338)
+g8538 = NOT(g3412)
+g8539 = NOT(g3454)
+g8540 = NOT(g3408)
+g8541 = NOT(g3498)
+I12644 = NOT(g3689)
+g8542 = NOT(I12644)
+g8553 = NOT(g3747)
+g8558 = NOT(g3787)
+g8565 = NOT(g3802)
+g8566 = NOT(g3831)
+g8567 = NOT(g4082)
+g8571 = NOT(g57)
+I12654 = NOT(g1585)
+g8572 = NOT(I12654)
+g8575 = NOT(g291)
+g8579 = NOT(g2771)
+g8584 = NOT(g3639)
+g8587 = NOT(g3689)
+g8591 = NOT(g3763)
+g8592 = NOT(g3805)
+g8593 = NOT(g3759)
+g8594 = NOT(g3849)
+I12666 = NOT(g4040)
+g8595 = NOT(I12666)
+g8606 = NOT(g4653)
+g8607 = NOT(g37)
+g8608 = NOT(g278)
+g8612 = NOT(g2775)
+g8616 = NOT(g2803)
+g8620 = NOT(g3065)
+g8623 = NOT(g3990)
+g8626 = NOT(g4040)
+g8630 = NOT(g4843)
+g8631 = NOT(g283)
+g8635 = NOT(g2783)
+g8639 = NOT(g2807)
+g8644 = NOT(g3352)
+g8647 = NOT(g3416)
+g8650 = NOT(g4664)
+g8651 = NOT(g758)
+g8654 = NOT(g1087)
+g8655 = NOT(g2787)
+g8659 = NOT(g2815)
+g8663 = NOT(g3343)
+g8666 = NOT(g3703)
+g8669 = NOT(g3767)
+g8672 = NOT(g4669)
+g8673 = NOT(g4737)
+g8676 = NOT(g4821)
+g8677 = NOT(g4854)
+g8680 = NOT(g686)
+g8681 = NOT(g763)
+g8685 = NOT(g1430)
+g8686 = NOT(g2819)
+g8696 = NOT(g3347)
+g8697 = NOT(g3694)
+g8700 = NOT(g4054)
+I12709 = NOT(g4284)
+g8703 = NOT(I12709)
+I12712 = NOT(g59)
+g8712 = NOT(I12712)
+g8713 = NOT(g4826)
+g8714 = NOT(g4859)
+g8715 = NOT(g4927)
+g8718 = NOT(g3333)
+I12719 = NOT(g365)
+g8719 = NOT(I12719)
+g8725 = NOT(g739)
+g8733 = NOT(g3698)
+g8734 = NOT(g4045)
+I12735 = NOT(g4572)
+g8740 = NOT(I12735)
+g8741 = NOT(g4821)
+g8742 = NOT(g4035)
+g8743 = NOT(g550)
+g8744 = NOT(g691)
+g8745 = NOT(g744)
+g8748 = NOT(g776)
+g8756 = NOT(g4049)
+I12746 = NOT(g4087)
+g8757 = NOT(I12746)
+I12749 = NOT(g4575)
+g8763 = NOT(I12749)
+g8764 = NOT(g4826)
+g8765 = NOT(g3333)
+g8766 = NOT(g572)
+g8770 = NOT(g749)
+g8774 = NOT(g781)
+I12758 = NOT(g4093)
+g8778 = NOT(I12758)
+I12761 = NOT(g4188)
+g8783 = NOT(I12761)
+I12764 = NOT(g4194)
+g8784 = NOT(I12764)
+I12767 = NOT(g4197)
+g8785 = NOT(I12767)
+I12770 = NOT(g4200)
+g8786 = NOT(I12770)
+I12773 = NOT(g4204)
+g8787 = NOT(I12773)
+I12776 = NOT(g4207)
+g8788 = NOT(I12776)
+I12779 = NOT(g4210)
+g8789 = NOT(I12779)
+I12787 = NOT(g4311)
+g8791 = NOT(I12787)
+I12790 = NOT(g4340)
+g8792 = NOT(I12790)
+I12793 = NOT(g4578)
+g8795 = NOT(I12793)
+g8796 = NOT(g4785)
+g8804 = NOT(g4035)
+I12799 = NOT(g59)
+g8805 = NOT(I12799)
+g8807 = NOT(g79)
+g8808 = NOT(g595)
+I12805 = NOT(g4098)
+g8812 = NOT(I12805)
+I12808 = NOT(g4322)
+g8818 = NOT(I12808)
+I12811 = NOT(g4340)
+g8821 = NOT(I12811)
+g8822 = NOT(g4975)
+g8830 = NOT(g767)
+g8833 = NOT(g794)
+g8836 = NOT(g736)
+I12819 = NOT(g4277)
+g8839 = NOT(I12819)
+g8840 = NOT(g4277)
+I12823 = NOT(g4311)
+g8841 = NOT(I12823)
+I12826 = NOT(g4349)
+g8844 = NOT(I12826)
+g8848 = NOT(g358)
+g8851 = NOT(g590)
+g8854 = NOT(g613)
+g8858 = NOT(g671)
+g8859 = NOT(g772)
+I12837 = NOT(g4222)
+g8870 = NOT(I12837)
+g8872 = NOT(g4258)
+I12855 = NOT(g4311)
+g8876 = NOT(I12855)
+I12858 = NOT(g4340)
+g8879 = NOT(I12858)
+I12861 = NOT(g4372)
+g8880 = NOT(I12861)
+g8883 = NOT(g4709)
+g8890 = NOT(g376)
+g8891 = NOT(g582)
+g8895 = NOT(g599)
+g8898 = NOT(g676)
+g8899 = NOT(g807)
+g8903 = NOT(g1075)
+g8912 = NOT(g4180)
+g8914 = NOT(g4264)
+I12884 = NOT(g4213)
+g8915 = NOT(I12884)
+I12887 = NOT(g4216)
+g8916 = NOT(I12887)
+I12890 = NOT(g4219)
+g8917 = NOT(I12890)
+I12893 = NOT(g4226)
+g8918 = NOT(I12893)
+I12896 = NOT(g4229)
+g8919 = NOT(I12896)
+I12899 = NOT(g4232)
+g8920 = NOT(I12899)
+I12907 = NOT(g4322)
+g8922 = NOT(I12907)
+I12910 = NOT(g4340)
+g8925 = NOT(I12910)
+g8928 = NOT(g4340)
+g8938 = NOT(g4899)
+g8944 = NOT(g370)
+g8945 = NOT(g608)
+g8948 = NOT(g785)
+g8951 = NOT(g554)
+g8954 = NOT(g1079)
+g8955 = NOT(g1418)
+g8964 = NOT(g4269)
+I12927 = NOT(g4332)
+g8971 = NOT(I12927)
+I12930 = NOT(g4349)
+g8974 = NOT(I12930)
+g8977 = NOT(g4349)
+I12935 = NOT(g6753)
+g8989 = NOT(I12935)
+g8990 = NOT(g146)
+g8993 = NOT(g385)
+g8997 = NOT(g577)
+g9000 = NOT(g632)
+g9003 = NOT(g790)
+g9007 = NOT(g1083)
+g9011 = NOT(g1422)
+g9014 = NOT(g3004)
+g9018 = NOT(g4273)
+I12950 = NOT(g4287)
+g9019 = NOT(I12950)
+g9020 = NOT(g4287)
+I12954 = NOT(g4358)
+g9021 = NOT(I12954)
+g9024 = NOT(g4358)
+g9030 = NOT(g4793)
+g9036 = NOT(g5084)
+g9037 = NOT(g164)
+g9040 = NOT(g499)
+g9044 = NOT(g604)
+I12963 = NOT(g640)
+g9048 = NOT(I12963)
+g9049 = NOT(g640)
+g9050 = NOT(g1087)
+g9051 = NOT(g1426)
+g9056 = NOT(g3017)
+g9060 = NOT(g3355)
+g9064 = NOT(g4983)
+g9070 = NOT(g5428)
+g9071 = NOT(g2831)
+g9072 = NOT(g2994)
+g9073 = NOT(g150)
+g9077 = NOT(g504)
+g9083 = NOT(g626)
+g9086 = NOT(g847)
+g9091 = NOT(g1430)
+g9095 = NOT(g3368)
+g9099 = NOT(g3706)
+g9103 = NOT(g5774)
+I12987 = NOT(g12)
+g9104 = NOT(I12987)
+g9152 = NOT(g2834)
+I12991 = NOT(g6752)
+g9153 = NOT(I12991)
+I12994 = NOT(g6748)
+g9154 = NOT(I12994)
+I12997 = NOT(g351)
+g9155 = NOT(I12997)
+g9158 = NOT(g513)
+g9162 = NOT(g622)
+g9166 = NOT(g837)
+g9174 = NOT(g1205)
+g9180 = NOT(g3719)
+g9184 = NOT(g6120)
+I13007 = NOT(g65)
+g9185 = NOT(I13007)
+I13010 = NOT(g6749)
+g9186 = NOT(I13010)
+g9187 = NOT(g518)
+g9194 = NOT(g827)
+g9197 = NOT(g1221)
+g9200 = NOT(g1548)
+g9206 = NOT(g5164)
+g9212 = NOT(g6466)
+I13020 = NOT(g6750)
+g9213 = NOT(I13020)
+g9214 = NOT(g617)
+g9220 = NOT(g843)
+g9223 = NOT(g1216)
+g9226 = NOT(g1564)
+g9229 = NOT(g5052)
+g9234 = NOT(g5170)
+g9239 = NOT(g5511)
+I13031 = NOT(g6747)
+g9245 = NOT(I13031)
+g9247 = NOT(g1559)
+g9250 = NOT(g1600)
+I13037 = NOT(g4304)
+g9251 = NOT(I13037)
+g9252 = NOT(g4304)
+g9253 = NOT(g5037)
+g9257 = NOT(g5115)
+g9259 = NOT(g5176)
+g9264 = NOT(g5396)
+g9269 = NOT(g5517)
+g9274 = NOT(g5857)
+I13054 = NOT(g6744)
+g9280 = NOT(I13054)
+I13057 = NOT(g112)
+g9281 = NOT(I13057)
+g9282 = NOT(g723)
+g9283 = NOT(g1736)
+g9284 = NOT(g2161)
+g9285 = NOT(g2715)
+g9291 = NOT(g3021)
+g9298 = NOT(g5080)
+g9299 = NOT(g5124)
+g9300 = NOT(g5180)
+g9305 = NOT(g5381)
+g9309 = NOT(g5462)
+g9311 = NOT(g5523)
+g9316 = NOT(g5742)
+g9321 = NOT(g5863)
+g9326 = NOT(g6203)
+g9332 = NOT(g64)
+g9333 = NOT(g417)
+g9337 = NOT(g1608)
+g9338 = NOT(g1870)
+g9339 = NOT(g2295)
+I13094 = NOT(g2724)
+g9340 = NOT(I13094)
+g9354 = NOT(g2719)
+g9360 = NOT(g3372)
+g9364 = NOT(g5041)
+g9369 = NOT(g5084)
+g9373 = NOT(g5142)
+g9374 = NOT(g5188)
+g9379 = NOT(g5424)
+g9380 = NOT(g5471)
+g9381 = NOT(g5527)
+g9386 = NOT(g5727)
+g9390 = NOT(g5808)
+g9392 = NOT(g5869)
+g9397 = NOT(g6088)
+g9402 = NOT(g6209)
+g9407 = NOT(g6549)
+g9413 = NOT(g1744)
+g9414 = NOT(g2004)
+g9415 = NOT(g2169)
+g9416 = NOT(g2429)
+I13124 = NOT(g2729)
+g9417 = NOT(I13124)
+g9429 = NOT(g3723)
+g9433 = NOT(g5148)
+g9434 = NOT(g5385)
+g9439 = NOT(g5428)
+g9443 = NOT(g5489)
+g9444 = NOT(g5535)
+g9449 = NOT(g5770)
+g9450 = NOT(g5817)
+g9451 = NOT(g5873)
+g9456 = NOT(g6073)
+g9460 = NOT(g6154)
+g9462 = NOT(g6215)
+g9467 = NOT(g6434)
+g9472 = NOT(g6555)
+I13149 = NOT(g6745)
+g9477 = NOT(I13149)
+I13152 = NOT(g6746)
+g9478 = NOT(I13152)
+g9480 = NOT(g559)
+g9484 = NOT(g1612)
+g9488 = NOT(g1878)
+g9489 = NOT(g2303)
+g9490 = NOT(g2563)
+g9491 = NOT(g2729)
+g9492 = NOT(g2759)
+g9496 = NOT(g3303)
+I13166 = NOT(g5101)
+g9497 = NOT(I13166)
+g9498 = NOT(g5101)
+g9499 = NOT(g5152)
+g9500 = NOT(g5495)
+g9501 = NOT(g5731)
+g9506 = NOT(g5774)
+g9510 = NOT(g5835)
+g9511 = NOT(g5881)
+g9516 = NOT(g6116)
+g9517 = NOT(g6163)
+g9518 = NOT(g6219)
+g9523 = NOT(g6419)
+g9527 = NOT(g6500)
+g9529 = NOT(g6561)
+g9534 = NOT(g90)
+g9537 = NOT(g1748)
+g9541 = NOT(g2012)
+g9542 = NOT(g2173)
+g9546 = NOT(g2437)
+g9547 = NOT(g2735)
+g9551 = NOT(g3281)
+g9552 = NOT(g3654)
+I13202 = NOT(g5105)
+g9553 = NOT(I13202)
+g9554 = NOT(g5105)
+I13206 = NOT(g5448)
+g9555 = NOT(I13206)
+g9556 = NOT(g5448)
+g9557 = NOT(g5499)
+g9558 = NOT(g5841)
+g9559 = NOT(g6077)
+g9564 = NOT(g6120)
+g9568 = NOT(g6181)
+g9569 = NOT(g6227)
+g9574 = NOT(g6462)
+g9575 = NOT(g6509)
+g9576 = NOT(g6565)
+g9581 = NOT(g91)
+g9582 = NOT(g703)
+g9585 = NOT(g1616)
+g9590 = NOT(g1882)
+g9594 = NOT(g2307)
+g9598 = NOT(g2571)
+g9599 = NOT(g3310)
+g9600 = NOT(g3632)
+g9601 = NOT(g4005)
+g9607 = NOT(g5046)
+g9613 = NOT(g5062)
+g9614 = NOT(g5128)
+I13236 = NOT(g5452)
+g9615 = NOT(I13236)
+g9616 = NOT(g5452)
+I13240 = NOT(g5794)
+g9617 = NOT(I13240)
+g9618 = NOT(g5794)
+g9619 = NOT(g5845)
+g9620 = NOT(g6187)
+g9621 = NOT(g6423)
+g9626 = NOT(g6466)
+g9630 = NOT(g6527)
+g9631 = NOT(g6573)
+g9636 = NOT(g72)
+I13252 = NOT(g6751)
+g9637 = NOT(I13252)
+g9638 = NOT(g1620)
+g9639 = NOT(g1752)
+g9644 = NOT(g2016)
+g9648 = NOT(g2177)
+g9653 = NOT(g2441)
+g9657 = NOT(g2763)
+g9660 = NOT(g3267)
+g9661 = NOT(g3661)
+g9662 = NOT(g3983)
+g9669 = NOT(g5092)
+g9670 = NOT(g5022)
+g9671 = NOT(g5134)
+g9672 = NOT(g5390)
+g9678 = NOT(g5406)
+g9679 = NOT(g5475)
+I13276 = NOT(g5798)
+g9680 = NOT(I13276)
+g9681 = NOT(g5798)
+I13280 = NOT(g6140)
+g9682 = NOT(I13280)
+g9683 = NOT(g6140)
+g9684 = NOT(g6191)
+g9685 = NOT(g6533)
+g9686 = NOT(g73)
+I13287 = NOT(g110)
+g9687 = NOT(I13287)
+g9688 = NOT(g113)
+g9689 = NOT(g124)
+g9690 = NOT(g732)
+g9691 = NOT(g1706)
+g9692 = NOT(g1756)
+g9693 = NOT(g1886)
+g9698 = NOT(g2181)
+g9699 = NOT(g2311)
+g9704 = NOT(g2575)
+g9708 = NOT(g2741)
+g9713 = NOT(g3618)
+g9714 = NOT(g4012)
+g9716 = NOT(g5057)
+g9721 = NOT(g5097)
+g9728 = NOT(g5109)
+g9729 = NOT(g5138)
+g9730 = NOT(g5436)
+g9731 = NOT(g5366)
+g9732 = NOT(g5481)
+g9733 = NOT(g5736)
+g9739 = NOT(g5752)
+g9740 = NOT(g5821)
+I13317 = NOT(g6144)
+g9741 = NOT(I13317)
+g9742 = NOT(g6144)
+I13321 = NOT(g6486)
+g9743 = NOT(I13321)
+g9744 = NOT(g6486)
+g9745 = NOT(g6537)
+I13326 = NOT(g66)
+g9746 = NOT(I13326)
+I13329 = NOT(g86)
+g9747 = NOT(I13329)
+g9748 = NOT(g114)
+g9749 = NOT(g1691)
+g9751 = NOT(g1710)
+g9752 = NOT(g1840)
+g9753 = NOT(g1890)
+g9754 = NOT(g2020)
+g9759 = NOT(g2265)
+g9760 = NOT(g2315)
+g9761 = NOT(g2445)
+g9766 = NOT(g2748)
+g9771 = NOT(g3969)
+I13352 = NOT(g4146)
+g9772 = NOT(I13352)
+g9776 = NOT(g5073)
+g9777 = NOT(g5112)
+g9778 = NOT(g5069)
+g9779 = NOT(g5156)
+I13360 = NOT(g5343)
+g9780 = NOT(I13360)
+g9792 = NOT(g5401)
+g9797 = NOT(g5441)
+g9804 = NOT(g5456)
+g9805 = NOT(g5485)
+g9806 = NOT(g5782)
+g9807 = NOT(g5712)
+g9808 = NOT(g5827)
+g9809 = NOT(g6082)
+g9815 = NOT(g6098)
+g9816 = NOT(g6167)
+I13374 = NOT(g6490)
+g9817 = NOT(I13374)
+g9818 = NOT(g6490)
+g9819 = NOT(g92)
+g9820 = NOT(g99)
+g9821 = NOT(g115)
+g9822 = NOT(g125)
+g9824 = NOT(g1825)
+g9826 = NOT(g1844)
+g9827 = NOT(g1974)
+g9828 = NOT(g2024)
+g9829 = NOT(g2250)
+g9831 = NOT(g2269)
+g9832 = NOT(g2399)
+g9833 = NOT(g2449)
+g9834 = NOT(g2579)
+g9839 = NOT(g2724)
+g9842 = NOT(g3274)
+g9843 = NOT(g4311)
+g9848 = NOT(g4462)
+g9853 = NOT(g5297)
+g9856 = NOT(g5343)
+g9860 = NOT(g5417)
+g9861 = NOT(g5459)
+g9862 = NOT(g5413)
+g9863 = NOT(g5503)
+I13424 = NOT(g5689)
+g9864 = NOT(I13424)
+g9875 = NOT(g5747)
+g9880 = NOT(g5787)
+g9887 = NOT(g5802)
+g9888 = NOT(g5831)
+g9889 = NOT(g6128)
+g9890 = NOT(g6058)
+g9891 = NOT(g6173)
+g9892 = NOT(g6428)
+g9898 = NOT(g6444)
+g9899 = NOT(g6513)
+g9900 = NOT(g6)
+g9901 = NOT(g84)
+g9902 = NOT(g100)
+g9903 = NOT(g681)
+g9905 = NOT(g802)
+g9907 = NOT(g1959)
+g9909 = NOT(g1978)
+g9910 = NOT(g2108)
+g9911 = NOT(g2384)
+g9913 = NOT(g2403)
+g9914 = NOT(g2533)
+g9915 = NOT(g2583)
+g9916 = NOT(g3625)
+I13473 = NOT(g4157)
+g9917 = NOT(I13473)
+g9920 = NOT(g4322)
+g9924 = NOT(g5644)
+g9927 = NOT(g5689)
+g9931 = NOT(g5763)
+g9932 = NOT(g5805)
+g9933 = NOT(g5759)
+g9934 = NOT(g5849)
+I13483 = NOT(g6035)
+g9935 = NOT(I13483)
+g9946 = NOT(g6093)
+g9951 = NOT(g6133)
+g9958 = NOT(g6148)
+g9959 = NOT(g6177)
+g9960 = NOT(g6474)
+g9961 = NOT(g6404)
+g9962 = NOT(g6519)
+g9963 = NOT(g7)
+g9964 = NOT(g126)
+g9965 = NOT(g127)
+g9969 = NOT(g1682)
+g9970 = NOT(g1714)
+g9971 = NOT(g2093)
+g9973 = NOT(g2112)
+g9974 = NOT(g2518)
+g9976 = NOT(g2537)
+g9977 = NOT(g2667)
+g9978 = NOT(g2756)
+g9982 = NOT(g3976)
+g9983 = NOT(g4239)
+g9985 = NOT(g4332)
+g9989 = NOT(g5077)
+g9992 = NOT(g5990)
+g9995 = NOT(g6035)
+g9999 = NOT(g6109)
+g10000 = NOT(g6151)
+g10001 = NOT(g6105)
+g10002 = NOT(g6195)
+I13539 = NOT(g6381)
+g10003 = NOT(I13539)
+g10014 = NOT(g6439)
+g10019 = NOT(g6479)
+g10026 = NOT(g6494)
+g10027 = NOT(g6523)
+g10028 = NOT(g8)
+I13548 = NOT(g94)
+g10029 = NOT(I13548)
+g10030 = NOT(g116)
+I13552 = NOT(g121)
+g10031 = NOT(I13552)
+g10032 = NOT(g562)
+g10033 = NOT(g655)
+g10035 = NOT(g1720)
+g10036 = NOT(g1816)
+g10037 = NOT(g1848)
+g10038 = NOT(g2241)
+g10039 = NOT(g2273)
+g10040 = NOT(g2652)
+g10042 = NOT(g2671)
+g10043 = NOT(g1632)
+g10044 = NOT(g5357)
+g10047 = NOT(g5421)
+g10050 = NOT(g6336)
+g10053 = NOT(g6381)
+g10057 = NOT(g6455)
+g10058 = NOT(g6497)
+g10059 = NOT(g6451)
+g10060 = NOT(g6541)
+I13581 = NOT(g6727)
+g10061 = NOT(I13581)
+g10072 = NOT(g9)
+g10073 = NOT(g134)
+g10074 = NOT(g718)
+g10077 = NOT(g1724)
+g10078 = NOT(g1854)
+g10079 = NOT(g1950)
+g10080 = NOT(g1982)
+g10081 = NOT(g2279)
+g10082 = NOT(g2375)
+g10083 = NOT(g2407)
+g10084 = NOT(g2837)
+g10085 = NOT(g1768)
+g10086 = NOT(g2193)
+I13597 = NOT(g4417)
+g10087 = NOT(I13597)
+g10090 = NOT(g5348)
+g10093 = NOT(g5703)
+g10096 = NOT(g5767)
+g10099 = NOT(g6682)
+g10102 = NOT(g6727)
+g10106 = NOT(g16)
+I13606 = NOT(g74)
+g10107 = NOT(I13606)
+g10108 = NOT(g120)
+g10109 = NOT(g135)
+g10110 = NOT(g661)
+g10111 = NOT(g1858)
+g10112 = NOT(g1988)
+g10113 = NOT(g2084)
+g10114 = NOT(g2116)
+g10115 = NOT(g2283)
+g10116 = NOT(g2413)
+g10117 = NOT(g2509)
+g10118 = NOT(g2541)
+g10119 = NOT(g2841)
+g10120 = NOT(g1902)
+g10121 = NOT(g2327)
+I13623 = NOT(g4294)
+g10122 = NOT(I13623)
+g10129 = NOT(g5352)
+g10130 = NOT(g5694)
+g10133 = NOT(g6049)
+g10136 = NOT(g6113)
+g10139 = NOT(g136)
+g10140 = NOT(g19)
+I13634 = NOT(g79)
+g10141 = NOT(I13634)
+I13637 = NOT(g102)
+g10142 = NOT(I13637)
+g10143 = NOT(g568)
+g10147 = NOT(g728)
+g10150 = NOT(g1700)
+g10151 = NOT(g1992)
+g10152 = NOT(g2122)
+g10153 = NOT(g2417)
+g10154 = NOT(g2547)
+g10155 = NOT(g2643)
+g10156 = NOT(g2675)
+g10157 = NOT(g2036)
+g10158 = NOT(g2461)
+g10159 = NOT(g4477)
+g10165 = NOT(g5698)
+g10166 = NOT(g6040)
+g10169 = NOT(g6395)
+g10172 = NOT(g6459)
+g10175 = NOT(g28)
+g10176 = NOT(g44)
+g10177 = NOT(g1834)
+g10178 = NOT(g2126)
+g10180 = NOT(g2259)
+g10181 = NOT(g2551)
+g10182 = NOT(g2681)
+g10183 = NOT(g2595)
+g10184 = NOT(g4486)
+g10190 = NOT(g6044)
+g10191 = NOT(g6386)
+g10194 = NOT(g6741)
+g10197 = NOT(g31)
+I13672 = NOT(g106)
+g10198 = NOT(I13672)
+g10199 = NOT(g1968)
+g10200 = NOT(g2138)
+g10203 = NOT(g2393)
+g10204 = NOT(g2685)
+g10206 = NOT(g4489)
+g10212 = NOT(g6390)
+g10213 = NOT(g6732)
+I13684 = NOT(g128)
+g10216 = NOT(I13684)
+g10217 = NOT(g2102)
+g10218 = NOT(g2527)
+g10219 = NOT(g2697)
+g10222 = NOT(g4492)
+g10223 = NOT(g4561)
+g10229 = NOT(g6736)
+I13694 = NOT(g117)
+g10230 = NOT(I13694)
+g10231 = NOT(g2661)
+g10232 = NOT(g4527)
+I13699 = NOT(g4581)
+g10233 = NOT(I13699)
+g10261 = NOT(g4555)
+g10262 = NOT(g586)
+I13705 = NOT(g63)
+g10272 = NOT(I13705)
+I13708 = NOT(g136)
+g10273 = NOT(I13708)
+g10274 = NOT(g976)
+g10275 = NOT(g4584)
+g10278 = NOT(g4628)
+I13715 = NOT(g71)
+g10287 = NOT(I13715)
+I13718 = NOT(g890)
+g10288 = NOT(I13718)
+g10289 = NOT(g1319)
+I13723 = NOT(g3167)
+g10295 = NOT(I13723)
+I13726 = NOT(g4537)
+g10306 = NOT(I13726)
+g10308 = NOT(g4459)
+g10311 = NOT(g4633)
+I13740 = NOT(g85)
+g10319 = NOT(I13740)
+g10320 = NOT(g817)
+I13744 = NOT(g3518)
+g10323 = NOT(I13744)
+g10334 = NOT(g4420)
+g10335 = NOT(g4483)
+g10337 = NOT(g5016)
+I13759 = NOT(g6754)
+g10347 = NOT(I13759)
+I13762 = NOT(g6755)
+g10348 = NOT(I13762)
+g10349 = NOT(g6956)
+g10350 = NOT(g6800)
+g10351 = NOT(g6802)
+g10352 = NOT(g6804)
+g10353 = NOT(g6803)
+g10354 = NOT(g6811)
+g10355 = NOT(g6816)
+g10356 = NOT(g6819)
+g10357 = NOT(g6825)
+g10358 = NOT(g6827)
+g10359 = NOT(g6830)
+g10360 = NOT(g6836)
+g10361 = NOT(g6841)
+g10362 = NOT(g6850)
+I13779 = NOT(g6868)
+g10363 = NOT(I13779)
+g10364 = NOT(g6869)
+g10365 = NOT(g6867)
+g10366 = NOT(g6895)
+g10367 = NOT(g6870)
+g10368 = NOT(g6887)
+g10369 = NOT(g6873)
+g10370 = NOT(g7095)
+g10371 = NOT(g6918)
+g10372 = NOT(g6900)
+g10373 = NOT(g6917)
+g10374 = NOT(g6903)
+g10375 = NOT(g6941)
+g10376 = NOT(g6923)
+g10377 = NOT(g6940)
+g10378 = NOT(g6926)
+g10379 = NOT(g6953)
+g10380 = NOT(g6960)
+g10381 = NOT(g6957)
+g10382 = NOT(g6958)
+g10383 = NOT(g6978)
+I13802 = NOT(g6971)
+g10384 = NOT(I13802)
+I13805 = NOT(g6976)
+g10385 = NOT(I13805)
+g10386 = NOT(g6982)
+g10387 = NOT(g6996)
+g10388 = NOT(g6983)
+g10389 = NOT(g6986)
+g10390 = NOT(g6987)
+g10391 = NOT(g6988)
+g10392 = NOT(g6989)
+g10393 = NOT(g6991)
+g10394 = NOT(g6994)
+g10395 = NOT(g6995)
+g10396 = NOT(g6997)
+g10397 = NOT(g7018)
+g10398 = NOT(g6999)
+g10399 = NOT(g7017)
+g10400 = NOT(g7002)
+g10401 = NOT(g7041)
+g10402 = NOT(g7023)
+g10403 = NOT(g7040)
+g10404 = NOT(g7026)
+g10405 = NOT(g7064)
+g10406 = NOT(g7046)
+g10407 = NOT(g7063)
+g10408 = NOT(g7049)
+g10409 = NOT(g7087)
+g10410 = NOT(g7069)
+g10411 = NOT(g7086)
+g10412 = NOT(g7072)
+g10413 = NOT(g7110)
+g10414 = NOT(g7092)
+g10415 = NOT(g7109)
+g10416 = NOT(g10318)
+g10417 = NOT(g7117)
+g10418 = NOT(g8818)
+g10419 = NOT(g8821)
+g10420 = NOT(g9239)
+g10427 = NOT(g10053)
+g10428 = NOT(g9631)
+g10429 = NOT(g7148)
+I13847 = NOT(g7266)
+g10430 = NOT(I13847)
+I13857 = NOT(g9780)
+g10473 = NOT(I13857)
+g10474 = NOT(g8841)
+g10475 = NOT(g8844)
+g10487 = NOT(g10233)
+g10489 = NOT(g9259)
+g10490 = NOT(g9274)
+g10497 = NOT(g10102)
+g10498 = NOT(g7161)
+I13872 = NOT(g7474)
+g10499 = NOT(I13872)
+I13875 = NOT(g1233)
+g10500 = NOT(I13875)
+g10502 = NOT(g8876)
+g10503 = NOT(g8879)
+g10504 = NOT(g8763)
+g10509 = NOT(g10233)
+g10518 = NOT(g9311)
+g10519 = NOT(g9326)
+I13889 = NOT(g7598)
+g10521 = NOT(I13889)
+I13892 = NOT(g1576)
+g10527 = NOT(I13892)
+g10530 = NOT(g8922)
+g10531 = NOT(g8925)
+g10532 = NOT(g10233)
+g10533 = NOT(g8795)
+g10540 = NOT(g9392)
+g10541 = NOT(g9407)
+g10542 = NOT(g7196)
+I13906 = NOT(g7620)
+g10544 = NOT(I13906)
+g10553 = NOT(g8971)
+g10554 = NOT(g8974)
+g10564 = NOT(g9462)
+g10570 = NOT(g9021)
+g10571 = NOT(g10233)
+g10572 = NOT(g10233)
+g10581 = NOT(g9529)
+g10582 = NOT(g7116)
+g10597 = NOT(g10233)
+g10606 = NOT(g10233)
+g10607 = NOT(g10233)
+g10608 = NOT(g9155)
+g10612 = NOT(g10233)
+g10613 = NOT(g10233)
+g10620 = NOT(g10233)
+g10621 = NOT(g7567)
+I13968 = NOT(g7697)
+g10627 = NOT(I13968)
+g10652 = NOT(g7601)
+I13979 = NOT(g7733)
+g10658 = NOT(I13979)
+g10664 = NOT(g8928)
+I13990 = NOT(g7636)
+g10678 = NOT(I13990)
+I13995 = NOT(g8744)
+g10685 = NOT(I13995)
+g10708 = NOT(g7836)
+I14006 = NOT(g9104)
+g10710 = NOT(I14006)
+g10725 = NOT(g7846)
+I14016 = NOT(g9104)
+g10727 = NOT(I14016)
+g10741 = NOT(g8411)
+g10761 = NOT(g8411)
+g10762 = NOT(g8470)
+I14033 = NOT(g8912)
+g10776 = NOT(I14033)
+g10794 = NOT(g8470)
+g10795 = NOT(g7202)
+g10804 = NOT(g9772)
+I14046 = NOT(g9900)
+g10805 = NOT(I14046)
+I14050 = NOT(g9963)
+g10812 = NOT(I14050)
+g10815 = NOT(g9917)
+I14054 = NOT(g10028)
+g10816 = NOT(I14054)
+g10830 = NOT(g10087)
+I14069 = NOT(g9104)
+g10851 = NOT(I14069)
+g10857 = NOT(g8712)
+g10872 = NOT(g7567)
+I14079 = NOT(g7231)
+g10877 = NOT(I14079)
+g10881 = NOT(g7567)
+g10882 = NOT(g7601)
+g10897 = NOT(g7601)
+g10960 = NOT(g9007)
+g10980 = NOT(g9051)
+I14119 = NOT(g7824)
+g10981 = NOT(I14119)
+g11011 = NOT(g10274)
+g11017 = NOT(g10289)
+g11026 = NOT(g8434)
+g11030 = NOT(g8292)
+g11031 = NOT(g8609)
+g11033 = NOT(g8500)
+g11034 = NOT(g7611)
+g11038 = NOT(g8632)
+g11042 = NOT(g8691)
+g11043 = NOT(g8561)
+I14158 = NOT(g8806)
+g11048 = NOT(I14158)
+g11110 = NOT(g8728)
+g11122 = NOT(g8751)
+g11128 = NOT(g7993)
+g11129 = NOT(g7994)
+I14192 = NOT(g10233)
+g11136 = NOT(I14192)
+g11143 = NOT(g8032)
+g11147 = NOT(g8417)
+g11164 = NOT(g8085)
+I14222 = NOT(g8286)
+g11165 = NOT(I14222)
+g11170 = NOT(g8476)
+g11181 = NOT(g8134)
+I14241 = NOT(g8356)
+g11182 = NOT(I14241)
+g11183 = NOT(g8135)
+g11192 = NOT(g8038)
+I14267 = NOT(g7835)
+g11202 = NOT(I14267)
+I14271 = NOT(g8456)
+g11204 = NOT(I14271)
+g11214 = NOT(g9602)
+g11215 = NOT(g8285)
+g11233 = NOT(g9664)
+g11234 = NOT(g8355)
+I14301 = NOT(g8571)
+g11235 = NOT(I14301)
+g11236 = NOT(g8357)
+I14305 = NOT(g8805)
+g11237 = NOT(I14305)
+g11249 = NOT(g8405)
+g11250 = NOT(g7502)
+g11268 = NOT(g7515)
+g11269 = NOT(g7516)
+I14326 = NOT(g8607)
+g11290 = NOT(I14326)
+g11291 = NOT(g7526)
+g11293 = NOT(g7527)
+g11294 = NOT(g7598)
+g11316 = NOT(g8967)
+I14346 = NOT(g10233)
+g11317 = NOT(I14346)
+g11324 = NOT(g7542)
+g11325 = NOT(g7543)
+g11336 = NOT(g7620)
+g11344 = NOT(g9015)
+I14365 = NOT(g3303)
+g11349 = NOT(I14365)
+I14381 = NOT(g8300)
+g11367 = NOT(I14381)
+g11371 = NOT(g7565)
+g11373 = NOT(g7566)
+g11383 = NOT(g9061)
+I14395 = NOT(g3654)
+g11388 = NOT(I14395)
+I14409 = NOT(g8364)
+g11398 = NOT(I14409)
+g11401 = NOT(g7593)
+g11402 = NOT(g7594)
+g11403 = NOT(g7595)
+g11404 = NOT(g7596)
+g11413 = NOT(g9100)
+I14424 = NOT(g4005)
+g11418 = NOT(I14424)
+g11425 = NOT(g7640)
+g11428 = NOT(g7615)
+g11429 = NOT(g7616)
+g11430 = NOT(g7617)
+g11431 = NOT(g7618)
+I14450 = NOT(g4191)
+g11447 = NOT(I14450)
+I14455 = NOT(g10197)
+g11450 = NOT(I14455)
+g11467 = NOT(g7623)
+g11468 = NOT(g7624)
+g11470 = NOT(g7625)
+g11471 = NOT(g7626)
+g11472 = NOT(g7918)
+I14475 = NOT(g10175)
+g11498 = NOT(I14475)
+g11509 = NOT(g7632)
+g11510 = NOT(g7633)
+g11512 = NOT(g7634)
+g11513 = NOT(g7948)
+g11519 = NOT(g8481)
+I14505 = NOT(g10140)
+g11547 = NOT(I14505)
+g11560 = NOT(g7647)
+g11562 = NOT(g7648)
+g11576 = NOT(g8542)
+I14537 = NOT(g10106)
+g11592 = NOT(I14537)
+g11608 = NOT(g7659)
+g11609 = NOT(g7660)
+g11615 = NOT(g6875)
+g11631 = NOT(g8595)
+I14550 = NOT(g10072)
+g11640 = NOT(I14550)
+g11652 = NOT(g7674)
+g11663 = NOT(g6905)
+g11677 = NOT(g7689)
+I14563 = NOT(g802)
+g11678 = NOT(I14563)
+I14567 = NOT(g9708)
+g11686 = NOT(I14567)
+I14570 = NOT(g7932)
+g11691 = NOT(I14570)
+g11702 = NOT(g6928)
+I14576 = NOT(g8791)
+g11705 = NOT(I14576)
+I14579 = NOT(g8792)
+g11706 = NOT(I14579)
+I14584 = NOT(g9766)
+g11709 = NOT(I14584)
+g11714 = NOT(g8107)
+I14589 = NOT(g8818)
+g11720 = NOT(I14589)
+g11721 = NOT(g10074)
+I14593 = NOT(g9978)
+g11724 = NOT(I14593)
+g11735 = NOT(g8534)
+g11736 = NOT(g8165)
+g11741 = NOT(g10033)
+I14602 = NOT(g9340)
+g11744 = NOT(I14602)
+g11753 = NOT(g8587)
+g11754 = NOT(g8229)
+g11762 = NOT(g7964)
+g11769 = NOT(g8626)
+I14619 = NOT(g4185)
+g11770 = NOT(I14619)
+I14623 = NOT(g8925)
+g11772 = NOT(I14623)
+g11779 = NOT(g9602)
+g11786 = NOT(g7549)
+I14630 = NOT(g7717)
+g11790 = NOT(I14630)
+I14633 = NOT(g9340)
+g11793 = NOT(I14633)
+g11796 = NOT(g7985)
+g11810 = NOT(g9664)
+g11811 = NOT(g9724)
+g11812 = NOT(g7567)
+g11815 = NOT(g7582)
+g11819 = NOT(g7717)
+I14644 = NOT(g7717)
+g11820 = NOT(I14644)
+I14647 = NOT(g7717)
+g11823 = NOT(I14647)
+I14650 = NOT(g9340)
+g11826 = NOT(I14650)
+I14653 = NOT(g9417)
+g11829 = NOT(I14653)
+g11832 = NOT(g8011)
+g11833 = NOT(g8026)
+g11841 = NOT(g9800)
+I14660 = NOT(g9746)
+g11842 = NOT(I14660)
+I14663 = NOT(g9747)
+g11845 = NOT(I14663)
+g11849 = NOT(g7601)
+I14668 = NOT(g7753)
+g11852 = NOT(I14668)
+I14671 = NOT(g7717)
+g11855 = NOT(I14671)
+g11861 = NOT(g8070)
+g11865 = NOT(g10124)
+g11866 = NOT(g9883)
+I14679 = NOT(g9332)
+g11867 = NOT(I14679)
+g11868 = NOT(g9185)
+I14684 = NOT(g7717)
+g11872 = NOT(I14684)
+I14687 = NOT(g7753)
+g11875 = NOT(I14687)
+I14690 = NOT(g9340)
+g11878 = NOT(I14690)
+g11884 = NOT(g8125)
+g11888 = NOT(g10160)
+g11889 = NOT(g9954)
+I14702 = NOT(g7717)
+g11894 = NOT(I14702)
+I14705 = NOT(g7717)
+g11897 = NOT(I14705)
+I14708 = NOT(g9417)
+g11900 = NOT(I14708)
+g11910 = NOT(g10185)
+g11911 = NOT(g10022)
+g11912 = NOT(g8989)
+I14727 = NOT(g7753)
+g11917 = NOT(I14727)
+I14730 = NOT(g7717)
+g11920 = NOT(I14730)
+g11927 = NOT(g10207)
+I14742 = NOT(g9534)
+g11928 = NOT(I14742)
+I14745 = NOT(g10029)
+g11929 = NOT(I14745)
+g11930 = NOT(g9281)
+I14749 = NOT(g10031)
+g11931 = NOT(I14749)
+I14761 = NOT(g7753)
+g11941 = NOT(I14761)
+g11948 = NOT(g10224)
+I14773 = NOT(g9581)
+g11949 = NOT(I14773)
+g11963 = NOT(g9153)
+g11964 = NOT(g9154)
+I14797 = NOT(g9636)
+g11965 = NOT(I14797)
+I14800 = NOT(g10107)
+g11966 = NOT(I14800)
+I14823 = NOT(g8056)
+g11981 = NOT(I14823)
+g11984 = NOT(g9186)
+I14827 = NOT(g9686)
+g11985 = NOT(I14827)
+I14830 = NOT(g10141)
+g11986 = NOT(I14830)
+I14833 = NOT(g10142)
+g11987 = NOT(I14833)
+I14836 = NOT(g9688)
+g11988 = NOT(I14836)
+I14839 = NOT(g9689)
+g11989 = NOT(I14839)
+g11991 = NOT(g9485)
+I14862 = NOT(g8092)
+g12009 = NOT(I14862)
+g12012 = NOT(g9213)
+I14866 = NOT(g9748)
+g12013 = NOT(I14866)
+g12018 = NOT(g9538)
+g12021 = NOT(g9543)
+g12036 = NOT(g9245)
+I14893 = NOT(g9819)
+g12037 = NOT(I14893)
+I14896 = NOT(g9820)
+g12038 = NOT(I14896)
+I14899 = NOT(g10198)
+g12039 = NOT(I14899)
+I14902 = NOT(g9821)
+g12040 = NOT(I14902)
+I14905 = NOT(g9822)
+g12041 = NOT(I14905)
+g12047 = NOT(g9591)
+g12051 = NOT(g9595)
+g12054 = NOT(g7690)
+I14932 = NOT(g9901)
+g12074 = NOT(I14932)
+I14935 = NOT(g9902)
+g12075 = NOT(I14935)
+g12076 = NOT(g9280)
+I14939 = NOT(g10216)
+g12077 = NOT(I14939)
+g12082 = NOT(g9645)
+g12086 = NOT(g9654)
+g12088 = NOT(g7701)
+g12107 = NOT(g9687)
+I14964 = NOT(g10230)
+g12108 = NOT(I14964)
+I14967 = NOT(g9964)
+g12109 = NOT(I14967)
+I14970 = NOT(g9965)
+g12110 = NOT(I14970)
+g12122 = NOT(g9705)
+I14999 = NOT(g10030)
+g12143 = NOT(I14999)
+g12180 = NOT(g9477)
+g12181 = NOT(g9478)
+I15030 = NOT(g10073)
+g12182 = NOT(I15030)
+I15033 = NOT(g10273)
+g12183 = NOT(I15033)
+I15036 = NOT(g799)
+g12184 = NOT(I15036)
+I15070 = NOT(g10108)
+g12217 = NOT(I15070)
+I15073 = NOT(g10109)
+g12218 = NOT(I15073)
+g12233 = NOT(g10338)
+I15102 = NOT(g5313)
+g12238 = NOT(I15102)
+g12295 = NOT(g7139)
+I15144 = NOT(g5659)
+g12300 = NOT(I15144)
+g12321 = NOT(g9637)
+I15162 = NOT(g10176)
+g12322 = NOT(I15162)
+g12337 = NOT(g9340)
+g12345 = NOT(g7158)
+I15190 = NOT(g6005)
+g12350 = NOT(I15190)
+I15205 = NOT(g10139)
+g12367 = NOT(I15205)
+I15208 = NOT(g637)
+g12368 = NOT(I15208)
+g12378 = NOT(g9417)
+I15223 = NOT(g10119)
+g12381 = NOT(I15223)
+g12399 = NOT(g9920)
+g12417 = NOT(g7175)
+I15238 = NOT(g6351)
+g12422 = NOT(I15238)
+I15250 = NOT(g9152)
+g12430 = NOT(I15250)
+g12440 = NOT(g9985)
+g12465 = NOT(g7192)
+I15284 = NOT(g6697)
+g12470 = NOT(I15284)
+I15295 = NOT(g8515)
+g12477 = NOT(I15295)
+g12487 = NOT(g9340)
+I15316 = NOT(g10087)
+g12490 = NOT(I15316)
+g12497 = NOT(g9780)
+g12543 = NOT(g9417)
+g12546 = NOT(g8740)
+g12563 = NOT(g9864)
+g12598 = NOT(g7004)
+g12614 = NOT(g9935)
+I15382 = NOT(g9071)
+g12640 = NOT(I15382)
+g12656 = NOT(g7028)
+g12672 = NOT(g10003)
+g12705 = NOT(g7051)
+g12721 = NOT(g10061)
+g12738 = NOT(g9374)
+g12749 = NOT(g7074)
+g12760 = NOT(g10272)
+g12778 = NOT(g9856)
+g12779 = NOT(g9444)
+g12790 = NOT(g7097)
+g12793 = NOT(g10287)
+g12804 = NOT(g9927)
+g12805 = NOT(g9511)
+g12811 = NOT(g10319)
+g12818 = NOT(g8792)
+g12820 = NOT(g10233)
+g12823 = NOT(g9206)
+g12830 = NOT(g9995)
+g12831 = NOT(g9569)
+I15448 = NOT(g10877)
+g12833 = NOT(I15448)
+g12834 = NOT(g10349)
+g12835 = NOT(g10352)
+g12836 = NOT(g10351)
+g12837 = NOT(g10354)
+g12838 = NOT(g10353)
+g12839 = NOT(g10350)
+g12840 = NOT(g10356)
+g12841 = NOT(g10357)
+g12842 = NOT(g10355)
+g12843 = NOT(g10359)
+g12844 = NOT(g10360)
+g12845 = NOT(g10358)
+I15474 = NOT(g10364)
+g12857 = NOT(I15474)
+g12859 = NOT(g10366)
+g12860 = NOT(g10368)
+g12861 = NOT(g10367)
+g12862 = NOT(g10370)
+g12863 = NOT(g10371)
+g12864 = NOT(g10373)
+g12865 = NOT(g10372)
+g12866 = NOT(g10369)
+g12867 = NOT(g10375)
+g12868 = NOT(g10377)
+g12869 = NOT(g10376)
+g12870 = NOT(g10374)
+g12871 = NOT(g10378)
+g12872 = NOT(g10379)
+g12873 = NOT(g10380)
+g12874 = NOT(g10383)
+I15494 = NOT(g10385)
+g12875 = NOT(I15494)
+g12878 = NOT(g10386)
+g12879 = NOT(g10381)
+g12880 = NOT(g10387)
+g12881 = NOT(g10388)
+g12882 = NOT(g10389)
+g12883 = NOT(g10390)
+g12884 = NOT(g10392)
+g12885 = NOT(g10382)
+g12886 = NOT(g10393)
+g12887 = NOT(g10394)
+g12888 = NOT(g10395)
+g12889 = NOT(g10396)
+g12890 = NOT(g10397)
+g12891 = NOT(g10399)
+g12892 = NOT(g10398)
+g12893 = NOT(g10391)
+g12894 = NOT(g10401)
+g12895 = NOT(g10403)
+g12896 = NOT(g10402)
+g12897 = NOT(g10400)
+g12898 = NOT(g10405)
+g12899 = NOT(g10407)
+g12900 = NOT(g10406)
+g12901 = NOT(g10404)
+g12902 = NOT(g10409)
+g12903 = NOT(g10411)
+g12904 = NOT(g10410)
+g12905 = NOT(g10408)
+g12906 = NOT(g10413)
+g12907 = NOT(g10415)
+g12908 = NOT(g10414)
+g12909 = NOT(g10412)
+g12914 = NOT(g12235)
+I15533 = NOT(g11867)
+g12918 = NOT(I15533)
+I15536 = NOT(g1227)
+g12919 = NOT(I15536)
+g12921 = NOT(g12228)
+g12922 = NOT(g12297)
+I15542 = NOT(g1570)
+g12923 = NOT(I15542)
+g12929 = NOT(g12550)
+g12930 = NOT(g12347)
+I15550 = NOT(g10430)
+g12932 = NOT(I15550)
+g12936 = NOT(g12601)
+g12937 = NOT(g12419)
+I15556 = NOT(g11928)
+g12938 = NOT(I15556)
+g12940 = NOT(g11744)
+g12944 = NOT(g12659)
+g12945 = NOT(g12467)
+I15564 = NOT(g11949)
+g12946 = NOT(I15564)
+g12950 = NOT(g12708)
+I15569 = NOT(g11965)
+g12951 = NOT(I15569)
+I15572 = NOT(g10499)
+g12952 = NOT(I15572)
+I15577 = NOT(g10430)
+g12955 = NOT(I15577)
+g12967 = NOT(g11790)
+g12968 = NOT(g11793)
+g12975 = NOT(g12752)
+I15587 = NOT(g11985)
+g12976 = NOT(I15587)
+I15590 = NOT(g11988)
+g12977 = NOT(I15590)
+I15593 = NOT(g11989)
+g12978 = NOT(I15593)
+I15600 = NOT(g10430)
+g12983 = NOT(I15600)
+g12995 = NOT(g11820)
+g12996 = NOT(g11823)
+g12997 = NOT(g11826)
+g12998 = NOT(g11829)
+I15609 = NOT(g12013)
+g13003 = NOT(I15609)
+g13007 = NOT(g11852)
+g13008 = NOT(g11855)
+I15617 = NOT(g12037)
+g13009 = NOT(I15617)
+I15620 = NOT(g12038)
+g13010 = NOT(I15620)
+I15623 = NOT(g12040)
+g13011 = NOT(I15623)
+I15626 = NOT(g12041)
+g13012 = NOT(I15626)
+g13014 = NOT(g11872)
+g13015 = NOT(g11875)
+g13016 = NOT(g11878)
+I15633 = NOT(g12074)
+g13017 = NOT(I15633)
+I15636 = NOT(g12075)
+g13018 = NOT(I15636)
+g13022 = NOT(g11894)
+g13023 = NOT(g11897)
+g13024 = NOT(g11900)
+g13026 = NOT(g11018)
+I15647 = NOT(g12109)
+g13027 = NOT(I15647)
+I15650 = NOT(g12110)
+g13028 = NOT(I15650)
+g13033 = NOT(g11917)
+g13034 = NOT(g11920)
+g13036 = NOT(g10981)
+g13037 = NOT(g10981)
+I15663 = NOT(g5308)
+g13039 = NOT(I15663)
+I15667 = NOT(g12143)
+g13041 = NOT(I15667)
+g13045 = NOT(g11941)
+I15677 = NOT(g5654)
+g13049 = NOT(I15677)
+g13051 = NOT(g11964)
+I15682 = NOT(g12182)
+g13055 = NOT(I15682)
+g13061 = NOT(g10981)
+g13062 = NOT(g10981)
+g13064 = NOT(g11705)
+g13065 = NOT(g10476)
+I15697 = NOT(g6000)
+g13068 = NOT(I15697)
+g13070 = NOT(g11984)
+I15702 = NOT(g12217)
+g13074 = NOT(I15702)
+I15705 = NOT(g12218)
+g13075 = NOT(I15705)
+g13082 = NOT(g10981)
+I15717 = NOT(g6346)
+g13085 = NOT(I15717)
+g13087 = NOT(g12012)
+I15727 = NOT(g10981)
+g13096 = NOT(I15727)
+I15732 = NOT(g6692)
+g13099 = NOT(I15732)
+I15736 = NOT(g12322)
+g13101 = NOT(I15736)
+g13103 = NOT(g10905)
+g13106 = NOT(g10981)
+g13107 = NOT(g10476)
+g13116 = NOT(g10935)
+g13117 = NOT(g10981)
+g13120 = NOT(g10632)
+g13132 = NOT(g10632)
+g13133 = NOT(g11330)
+I15765 = NOT(g10823)
+g13138 = NOT(I15765)
+g13140 = NOT(g10632)
+g13141 = NOT(g11374)
+g13142 = NOT(g10632)
+I15773 = NOT(g10430)
+g13144 = NOT(I15773)
+g13173 = NOT(g10632)
+g13174 = NOT(g10741)
+g13175 = NOT(g10909)
+I15782 = NOT(g10430)
+g13177 = NOT(I15782)
+g13188 = NOT(g10909)
+g13189 = NOT(g10762)
+g13190 = NOT(g10939)
+I15788 = NOT(g10430)
+g13191 = NOT(I15788)
+g13209 = NOT(g10632)
+g13215 = NOT(g10909)
+g13216 = NOT(g10939)
+g13222 = NOT(g10590)
+I15800 = NOT(g11607)
+g13223 = NOT(I15800)
+g13239 = NOT(g10632)
+g13246 = NOT(g10939)
+g13249 = NOT(g10590)
+I15811 = NOT(g11128)
+g13250 = NOT(I15811)
+I15814 = NOT(g11129)
+g13251 = NOT(I15814)
+g13255 = NOT(g10632)
+I15821 = NOT(g11143)
+g13258 = NOT(I15821)
+I15824 = NOT(g1116)
+g13259 = NOT(I15824)
+I15831 = NOT(g10416)
+g13267 = NOT(I15831)
+I15834 = NOT(g11164)
+g13271 = NOT(I15834)
+I15837 = NOT(g1459)
+g13272 = NOT(I15837)
+g13278 = NOT(g10738)
+I15843 = NOT(g11181)
+g13279 = NOT(I15843)
+I15846 = NOT(g11183)
+g13280 = NOT(I15846)
+g13297 = NOT(g10831)
+I15862 = NOT(g11215)
+g13298 = NOT(I15862)
+g13301 = NOT(g10862)
+g13302 = NOT(g12321)
+I15869 = NOT(g11234)
+g13303 = NOT(I15869)
+I15872 = NOT(g11236)
+g13304 = NOT(I15872)
+g13305 = NOT(g11048)
+I15878 = NOT(g11249)
+g13311 = NOT(I15878)
+g13312 = NOT(g11048)
+g13314 = NOT(g10893)
+g13322 = NOT(g10918)
+g13323 = NOT(g11048)
+I15893 = NOT(g10430)
+g13329 = NOT(I15893)
+g13334 = NOT(g11048)
+I15906 = NOT(g10430)
+g13350 = NOT(I15906)
+I15915 = NOT(g10430)
+g13394 = NOT(I15915)
+I15918 = NOT(g12381)
+g13409 = NOT(I15918)
+I15921 = NOT(g12381)
+g13410 = NOT(I15921)
+g13412 = NOT(g11963)
+g13413 = NOT(g11737)
+g13414 = NOT(g11048)
+I15929 = NOT(g10430)
+g13416 = NOT(I15929)
+I15932 = NOT(g12381)
+g13431 = NOT(I15932)
+I15937 = NOT(g11676)
+g13437 = NOT(I15937)
+g13458 = NOT(g11048)
+I15942 = NOT(g12381)
+g13460 = NOT(I15942)
+g13463 = NOT(g10476)
+g13474 = NOT(g11048)
+I15954 = NOT(g12381)
+g13477 = NOT(I15954)
+g13483 = NOT(g11270)
+g13484 = NOT(g10981)
+g13485 = NOT(g10476)
+g13494 = NOT(g11912)
+g13504 = NOT(g11303)
+g13505 = NOT(g10981)
+g13506 = NOT(g10808)
+I15981 = NOT(g11290)
+g13510 = NOT(I15981)
+I15987 = NOT(g12381)
+g13514 = NOT(I15987)
+g13521 = NOT(g11357)
+g13522 = NOT(g10981)
+g13530 = NOT(g12641)
+I16010 = NOT(g11148)
+g13545 = NOT(I16010)
+g13555 = NOT(g12692)
+g13565 = NOT(g11006)
+g13569 = NOT(g10951)
+I16024 = NOT(g11171)
+g13574 = NOT(I16024)
+I16028 = NOT(g12381)
+g13583 = NOT(I16028)
+g13584 = NOT(g12735)
+g13593 = NOT(g10556)
+g13594 = NOT(g11012)
+g13595 = NOT(g10951)
+g13596 = NOT(g10971)
+I16040 = NOT(g10430)
+g13605 = NOT(I16040)
+g13620 = NOT(g10556)
+g13621 = NOT(g10573)
+g13624 = NOT(g10951)
+g13625 = NOT(g10971)
+g13626 = NOT(g11273)
+g13637 = NOT(g10556)
+I16057 = NOT(g10430)
+g13638 = NOT(I16057)
+g13655 = NOT(g10573)
+g13663 = NOT(g10971)
+g13664 = NOT(g11252)
+g13665 = NOT(g11306)
+g13675 = NOT(g10556)
+g13679 = NOT(g10573)
+I16077 = NOT(g10430)
+g13680 = NOT(I16077)
+g13706 = NOT(g11280)
+g13707 = NOT(g11360)
+g13715 = NOT(g10573)
+I16090 = NOT(g10430)
+g13716 = NOT(I16090)
+g13729 = NOT(g10951)
+g13736 = NOT(g11313)
+I16102 = NOT(g10430)
+g13745 = NOT(I16102)
+g13763 = NOT(g10971)
+I16117 = NOT(g10430)
+g13782 = NOT(I16117)
+I16120 = NOT(g11868)
+g13793 = NOT(I16120)
+I16135 = NOT(g10430)
+g13809 = NOT(I16135)
+I16150 = NOT(g10430)
+g13835 = NOT(I16150)
+I16160 = NOT(g11237)
+g13856 = NOT(I16160)
+I16163 = NOT(g11930)
+g13857 = NOT(I16163)
+I16168 = NOT(g3321)
+g13865 = NOT(I16168)
+g13868 = NOT(g11493)
+g13869 = NOT(g10831)
+g13876 = NOT(g11432)
+g13877 = NOT(g11350)
+I16181 = NOT(g3672)
+g13881 = NOT(I16181)
+g13885 = NOT(g10862)
+I16193 = NOT(g3281)
+g13895 = NOT(I16193)
+g13901 = NOT(g11480)
+g13902 = NOT(g11389)
+I16201 = NOT(g4023)
+g13906 = NOT(I16201)
+I16217 = NOT(g3632)
+g13926 = NOT(I16217)
+g13932 = NOT(g11534)
+g13933 = NOT(g11419)
+I16231 = NOT(g10520)
+g13943 = NOT(I16231)
+I16246 = NOT(g3983)
+g13966 = NOT(I16246)
+g13975 = NOT(g11048)
+g13976 = NOT(g11130)
+g13995 = NOT(g11261)
+g13999 = NOT(g11048)
+g14004 = NOT(g11149)
+g14029 = NOT(g11283)
+I16289 = NOT(g12107)
+g14031 = NOT(I16289)
+g14032 = NOT(g11048)
+g14034 = NOT(g11048)
+g14063 = NOT(g11048)
+g14065 = NOT(g11048)
+g14095 = NOT(g11326)
+I16328 = NOT(g878)
+g14096 = NOT(I16328)
+I16345 = NOT(g881)
+g14125 = NOT(I16345)
+I16357 = NOT(g884)
+g14147 = NOT(I16357)
+g14149 = NOT(g12381)
+g14150 = NOT(g12381)
+g14166 = NOT(g11048)
+I16371 = NOT(g887)
+g14167 = NOT(I16371)
+g14169 = NOT(g12381)
+g14173 = NOT(g12076)
+g14179 = NOT(g11048)
+g14183 = NOT(g12381)
+g14184 = NOT(g12381)
+g14186 = NOT(g11346)
+I16391 = NOT(g859)
+g14189 = NOT(I16391)
+g14191 = NOT(g12381)
+g14192 = NOT(g11385)
+g14197 = NOT(g12160)
+g14198 = NOT(g12180)
+I16401 = NOT(g869)
+g14201 = NOT(I16401)
+g14203 = NOT(g12381)
+g14204 = NOT(g12155)
+g14205 = NOT(g12381)
+g14208 = NOT(g11563)
+g14209 = NOT(g11415)
+g14215 = NOT(g12198)
+I16417 = NOT(g875)
+g14217 = NOT(I16417)
+g14219 = NOT(g12381)
+g14226 = NOT(g11618)
+g14231 = NOT(g12246)
+g14232 = NOT(g11083)
+g14237 = NOT(g11666)
+g14238 = NOT(g10823)
+g14251 = NOT(g12308)
+I16438 = NOT(g11165)
+g14252 = NOT(I16438)
+g14255 = NOT(g12381)
+g14262 = NOT(g10838)
+g14275 = NOT(g12358)
+I16452 = NOT(g11182)
+g14276 = NOT(I16452)
+I16455 = NOT(g11845)
+g14277 = NOT(I16455)
+I16460 = NOT(g10430)
+g14290 = NOT(I16460)
+g14297 = NOT(g10869)
+I16468 = NOT(g12760)
+g14307 = NOT(I16468)
+I16471 = NOT(g12367)
+g14308 = NOT(I16471)
+I16476 = NOT(g10430)
+g14314 = NOT(I16476)
+I16479 = NOT(g10430)
+g14315 = NOT(I16479)
+g14321 = NOT(g10874)
+I16486 = NOT(g11204)
+g14330 = NOT(I16486)
+I16489 = NOT(g12793)
+g14331 = NOT(I16489)
+I16492 = NOT(g12430)
+g14332 = NOT(I16492)
+I16498 = NOT(g10430)
+g14336 = NOT(I16498)
+I16502 = NOT(g10430)
+g14338 = NOT(I16502)
+g14342 = NOT(g12163)
+g14348 = NOT(g10887)
+g14357 = NOT(g12181)
+I16512 = NOT(g12811)
+g14358 = NOT(I16512)
+I16515 = NOT(g12477)
+g14359 = NOT(I16515)
+I16521 = NOT(g10430)
+g14363 = NOT(I16521)
+I16526 = NOT(g10430)
+g14366 = NOT(I16526)
+g14376 = NOT(g12126)
+g14377 = NOT(g12201)
+I16535 = NOT(g11235)
+g14383 = NOT(I16535)
+I16538 = NOT(g10417)
+g14384 = NOT(I16538)
+I16541 = NOT(g11929)
+g14385 = NOT(I16541)
+I16544 = NOT(g11931)
+g14386 = NOT(I16544)
+I16555 = NOT(g10430)
+g14398 = NOT(I16555)
+g14405 = NOT(g12170)
+g14406 = NOT(g12249)
+I16564 = NOT(g10429)
+g14412 = NOT(I16564)
+I16575 = NOT(g3298)
+g14421 = NOT(I16575)
+I16579 = NOT(g10981)
+g14423 = NOT(I16579)
+g14424 = NOT(g11136)
+g14431 = NOT(g12208)
+g14432 = NOT(g12311)
+I16590 = NOT(g11966)
+g14441 = NOT(I16590)
+I16593 = NOT(g10498)
+g14442 = NOT(I16593)
+I16596 = NOT(g12640)
+g14443 = NOT(I16596)
+I16606 = NOT(g3649)
+g14451 = NOT(I16606)
+I16610 = NOT(g10981)
+g14453 = NOT(I16610)
+I16613 = NOT(g10430)
+g14454 = NOT(I16613)
+g14503 = NOT(g12256)
+g14504 = NOT(g12361)
+I16626 = NOT(g11986)
+g14509 = NOT(I16626)
+I16629 = NOT(g11987)
+g14510 = NOT(I16629)
+I16639 = NOT(g4000)
+g14518 = NOT(I16639)
+g14535 = NOT(g12318)
+I16651 = NOT(g10542)
+g14536 = NOT(I16651)
+g14541 = NOT(g11405)
+I16660 = NOT(g10981)
+g14543 = NOT(I16660)
+I16663 = NOT(g10981)
+g14544 = NOT(I16663)
+g14545 = NOT(g12768)
+g14562 = NOT(g12036)
+I16676 = NOT(g10588)
+g14563 = NOT(I16676)
+I16679 = NOT(g12039)
+g14564 = NOT(I16679)
+I16688 = NOT(g10981)
+g14571 = NOT(I16688)
+I16698 = NOT(g12077)
+g14582 = NOT(I16698)
+g14584 = NOT(g11048)
+I16709 = NOT(g10430)
+g14591 = NOT(I16709)
+I16713 = NOT(g5331)
+g14597 = NOT(I16713)
+I16724 = NOT(g12108)
+g14609 = NOT(I16724)
+I16733 = NOT(g12026)
+g14616 = NOT(I16733)
+g14630 = NOT(g12402)
+g14631 = NOT(g12239)
+I16741 = NOT(g5677)
+g14635 = NOT(I16741)
+I16747 = NOT(g12729)
+g14639 = NOT(I16747)
+I16755 = NOT(g12377)
+g14645 = NOT(I16755)
+I16762 = NOT(g5290)
+g14662 = NOT(I16762)
+g14668 = NOT(g12450)
+g14669 = NOT(g12301)
+I16770 = NOT(g6023)
+g14673 = NOT(I16770)
+I16775 = NOT(g12183)
+g14676 = NOT(I16775)
+I16795 = NOT(g5637)
+g14694 = NOT(I16795)
+g14700 = NOT(g12512)
+g14701 = NOT(g12351)
+I16803 = NOT(g6369)
+g14705 = NOT(I16803)
+g14714 = NOT(g11405)
+I16821 = NOT(g5983)
+g14738 = NOT(I16821)
+g14744 = NOT(g12578)
+g14745 = NOT(g12423)
+I16829 = NOT(g6715)
+g14749 = NOT(I16829)
+g14753 = NOT(g11317)
+I16847 = NOT(g6329)
+g14779 = NOT(I16847)
+g14785 = NOT(g12629)
+g14786 = NOT(g12471)
+I16855 = NOT(g10473)
+g14790 = NOT(I16855)
+I16875 = NOT(g6675)
+g14828 = NOT(I16875)
+g14833 = NOT(g11405)
+I16898 = NOT(g10615)
+g14873 = NOT(I16898)
+I16917 = NOT(g10582)
+g14912 = NOT(I16917)
+I16969 = NOT(g13943)
+g15048 = NOT(I16969)
+I17008 = NOT(g12857)
+g15085 = NOT(I17008)
+I17094 = NOT(g14331)
+g15169 = NOT(I17094)
+I17098 = NOT(g14336)
+g15171 = NOT(I17098)
+I17101 = NOT(g14338)
+g15224 = NOT(I17101)
+I17104 = NOT(g12932)
+g15277 = NOT(I17104)
+g15344 = NOT(g14851)
+I17108 = NOT(g13782)
+g15345 = NOT(I17108)
+I17111 = NOT(g13809)
+g15348 = NOT(I17111)
+I17114 = NOT(g14358)
+g15371 = NOT(I17114)
+I17118 = NOT(g14363)
+g15373 = NOT(I17118)
+I17121 = NOT(g14366)
+g15426 = NOT(I17121)
+g15479 = NOT(g14895)
+I17125 = NOT(g13809)
+g15480 = NOT(I17125)
+I17128 = NOT(g13835)
+g15483 = NOT(I17128)
+I17131 = NOT(g14384)
+g15506 = NOT(I17131)
+I17136 = NOT(g14398)
+g15509 = NOT(I17136)
+g15562 = NOT(g14943)
+I17140 = NOT(g13835)
+g15563 = NOT(I17140)
+I17143 = NOT(g14412)
+g15566 = NOT(I17143)
+g15568 = NOT(g14984)
+I17148 = NOT(g14442)
+g15569 = NOT(I17148)
+g15571 = NOT(g13211)
+I17154 = NOT(g13605)
+g15573 = NOT(I17154)
+I17159 = NOT(g13350)
+g15579 = NOT(I17159)
+g15580 = NOT(g13242)
+I17166 = NOT(g14536)
+g15588 = NOT(I17166)
+I17173 = NOT(g13716)
+g15595 = NOT(I17173)
+g15614 = NOT(g14914)
+I17181 = NOT(g13745)
+g15615 = NOT(I17181)
+I17188 = NOT(g13782)
+g15634 = NOT(I17188)
+g15655 = NOT(g13202)
+I17198 = NOT(g13809)
+g15656 = NOT(I17198)
+I17207 = NOT(g13835)
+g15680 = NOT(I17207)
+g15705 = NOT(g13217)
+I17228 = NOT(g13350)
+g15714 = NOT(I17228)
+g15731 = NOT(g13326)
+I17249 = NOT(g13605)
+g15733 = NOT(I17249)
+g15739 = NOT(g13284)
+g15740 = NOT(g13342)
+g15746 = NOT(g13121)
+g15747 = NOT(g13307)
+g15750 = NOT(g13291)
+g15755 = NOT(g13134)
+g15756 = NOT(g13315)
+I17276 = NOT(g13605)
+g15758 = NOT(I17276)
+g15799 = NOT(g13110)
+I17302 = NOT(g14044)
+g15806 = NOT(I17302)
+g15811 = NOT(g13125)
+I17314 = NOT(g14078)
+g15816 = NOT(I17314)
+I17324 = NOT(g14119)
+g15824 = NOT(I17324)
+g15830 = NOT(g13432)
+g15831 = NOT(g13385)
+g15842 = NOT(g13469)
+I17355 = NOT(g14591)
+g15862 = NOT(I17355)
+I17374 = NOT(g13638)
+g15885 = NOT(I17374)
+I17392 = NOT(g13680)
+g15915 = NOT(I17392)
+I17395 = NOT(g12952)
+g15932 = NOT(I17395)
+I17401 = NOT(g13394)
+g15938 = NOT(I17401)
+I17416 = NOT(g13806)
+g15969 = NOT(I17416)
+I17420 = NOT(g13394)
+g15979 = NOT(I17420)
+I17425 = NOT(g13416)
+g16000 = NOT(I17425)
+g16030 = NOT(g13570)
+I17436 = NOT(g13416)
+g16031 = NOT(I17436)
+I17442 = NOT(g13638)
+g16053 = NOT(I17442)
+g16075 = NOT(g13597)
+I17456 = NOT(g13680)
+g16077 = NOT(I17456)
+g16096 = NOT(g13530)
+g16099 = NOT(g13437)
+I17471 = NOT(g13394)
+g16100 = NOT(I17471)
+g16123 = NOT(g13530)
+g16124 = NOT(g13555)
+g16127 = NOT(g13437)
+I17488 = NOT(g13394)
+g16129 = NOT(I17488)
+I17491 = NOT(g13416)
+g16136 = NOT(I17491)
+g16158 = NOT(g13555)
+g16159 = NOT(g13584)
+g16162 = NOT(g13437)
+I17507 = NOT(g13416)
+g16164 = NOT(I17507)
+g16171 = NOT(g13530)
+g16172 = NOT(g13584)
+g16180 = NOT(g13437)
+g16182 = NOT(g13846)
+g16186 = NOT(g13555)
+g16195 = NOT(g13437)
+g16197 = NOT(g13861)
+g16200 = NOT(g13584)
+g16206 = NOT(g13437)
+g16214 = NOT(g13437)
+I17557 = NOT(g14510)
+g16216 = NOT(I17557)
+g16223 = NOT(g13437)
+I17569 = NOT(g14564)
+g16228 = NOT(I17569)
+g16235 = NOT(g13437)
+I17590 = NOT(g14591)
+g16249 = NOT(I17590)
+g16280 = NOT(g13330)
+I17609 = NOT(g13510)
+g16284 = NOT(I17609)
+I17612 = NOT(g13250)
+g16285 = NOT(I17612)
+I17615 = NOT(g13251)
+g16286 = NOT(I17615)
+g16289 = NOT(g13223)
+g16290 = NOT(g13260)
+I17626 = NOT(g14582)
+g16300 = NOT(I17626)
+g16305 = NOT(g13346)
+I17633 = NOT(g13258)
+g16307 = NOT(I17633)
+I17636 = NOT(g14252)
+g16308 = NOT(I17636)
+I17639 = NOT(g13350)
+g16309 = NOT(I17639)
+g16310 = NOT(g13223)
+g16311 = NOT(g13273)
+g16320 = NOT(g14454)
+I17650 = NOT(g13271)
+g16322 = NOT(I17650)
+I17653 = NOT(g14276)
+g16323 = NOT(I17653)
+g16325 = NOT(g13223)
+I17658 = NOT(g13394)
+g16326 = NOT(I17658)
+I17661 = NOT(g13329)
+g16349 = NOT(I17661)
+g16423 = NOT(g14066)
+I17668 = NOT(g13279)
+g16428 = NOT(I17668)
+I17671 = NOT(g13280)
+g16429 = NOT(I17671)
+I17675 = NOT(g13394)
+g16431 = NOT(I17675)
+I17679 = NOT(g13416)
+g16449 = NOT(I17679)
+g16472 = NOT(g14098)
+g16473 = NOT(g13977)
+g16475 = NOT(g14107)
+g16482 = NOT(g13464)
+I17695 = NOT(g14330)
+g16487 = NOT(I17695)
+I17699 = NOT(g13416)
+g16489 = NOT(I17699)
+I17704 = NOT(g13144)
+g16508 = NOT(I17704)
+g16509 = NOT(g13873)
+g16510 = NOT(g14008)
+g16511 = NOT(g14130)
+g16512 = NOT(g14015)
+g16514 = NOT(g14139)
+g16515 = NOT(g13486)
+g16521 = NOT(g13543)
+g16522 = NOT(g13889)
+g16523 = NOT(g14041)
+I17723 = NOT(g13177)
+g16525 = NOT(I17723)
+g16526 = NOT(g13898)
+g16527 = NOT(g14048)
+g16528 = NOT(g14154)
+g16529 = NOT(g14055)
+g16530 = NOT(g14454)
+I17733 = NOT(g14844)
+g16533 = NOT(I17733)
+I17744 = NOT(g14912)
+g16540 = NOT(I17744)
+I17747 = NOT(g13298)
+g16577 = NOT(I17747)
+I17750 = NOT(g14383)
+g16578 = NOT(I17750)
+g16579 = NOT(g13267)
+I17754 = NOT(g13494)
+g16580 = NOT(I17754)
+g16582 = NOT(g13915)
+g16583 = NOT(g14069)
+g16584 = NOT(g13920)
+g16585 = NOT(g14075)
+I17763 = NOT(g13191)
+g16587 = NOT(I17763)
+g16588 = NOT(g13929)
+g16589 = NOT(g14082)
+I17772 = NOT(g14888)
+g16594 = NOT(I17772)
+I17780 = NOT(g13303)
+g16600 = NOT(I17780)
+I17783 = NOT(g13304)
+g16601 = NOT(I17783)
+g16602 = NOT(g14101)
+I17787 = NOT(g3267)
+g16603 = NOT(I17787)
+g16605 = NOT(g13955)
+g16606 = NOT(g14110)
+g16607 = NOT(g13960)
+g16608 = NOT(g14116)
+g16609 = NOT(g14454)
+I17801 = NOT(g14936)
+g16615 = NOT(I17801)
+I17808 = NOT(g13311)
+g16620 = NOT(I17808)
+g16622 = NOT(g14104)
+g16623 = NOT(g14127)
+I17814 = NOT(g3274)
+g16624 = NOT(I17814)
+g16626 = NOT(g14133)
+I17819 = NOT(g3618)
+g16627 = NOT(I17819)
+g16629 = NOT(g13990)
+g16630 = NOT(g14142)
+g16631 = NOT(g14454)
+g16632 = NOT(g14454)
+I17834 = NOT(g14977)
+g16640 = NOT(I17834)
+I17839 = NOT(g13412)
+g16643 = NOT(I17839)
+I17842 = NOT(g13051)
+g16644 = NOT(I17842)
+g16645 = NOT(g13756)
+g16651 = NOT(g14005)
+g16652 = NOT(g13892)
+g16654 = NOT(g14136)
+g16655 = NOT(g14151)
+I17852 = NOT(g3625)
+g16656 = NOT(I17852)
+g16658 = NOT(g14157)
+I17857 = NOT(g3969)
+g16659 = NOT(I17857)
+g16661 = NOT(g14454)
+I17873 = NOT(g15017)
+g16675 = NOT(I17873)
+I17876 = NOT(g13070)
+g16676 = NOT(I17876)
+I17879 = NOT(g14386)
+g16677 = NOT(I17879)
+g16680 = NOT(g13223)
+g16684 = NOT(g14223)
+g16685 = NOT(g14038)
+I17892 = NOT(g3325)
+g16686 = NOT(I17892)
+g16688 = NOT(g14045)
+g16689 = NOT(g13923)
+g16691 = NOT(g14160)
+g16692 = NOT(g14170)
+I17901 = NOT(g3976)
+g16693 = NOT(I17901)
+g16695 = NOT(g14454)
+I17916 = NOT(g13087)
+g16708 = NOT(I17916)
+I17919 = NOT(g14609)
+g16709 = NOT(I17919)
+g16712 = NOT(g13223)
+g16716 = NOT(g13948)
+g16717 = NOT(g13951)
+I17932 = NOT(g3310)
+g16718 = NOT(I17932)
+g16720 = NOT(g14234)
+g16721 = NOT(g14072)
+I17938 = NOT(g3676)
+g16722 = NOT(I17938)
+g16724 = NOT(g14079)
+g16725 = NOT(g13963)
+g16726 = NOT(g14454)
+g16727 = NOT(g14454)
+I17956 = NOT(g14562)
+g16738 = NOT(I17956)
+g16739 = NOT(g13223)
+g16740 = NOT(g13980)
+g16742 = NOT(g13983)
+g16743 = NOT(g13986)
+I17964 = NOT(g3661)
+g16744 = NOT(I17964)
+g16746 = NOT(g14258)
+g16747 = NOT(g14113)
+I17970 = NOT(g4027)
+g16748 = NOT(I17970)
+g16750 = NOT(g14454)
+I17976 = NOT(g13638)
+g16752 = NOT(I17976)
+I17989 = NOT(g14173)
+g16767 = NOT(I17989)
+g16768 = NOT(g13223)
+g16769 = NOT(g13530)
+g16771 = NOT(g14018)
+g16773 = NOT(g14021)
+g16774 = NOT(g14024)
+I17999 = NOT(g4012)
+g16775 = NOT(I17999)
+I18003 = NOT(g13638)
+g16777 = NOT(I18003)
+I18006 = NOT(g13638)
+g16782 = NOT(I18006)
+I18009 = NOT(g13680)
+g16795 = NOT(I18009)
+g16809 = NOT(g14387)
+g16812 = NOT(g13555)
+g16814 = NOT(g14058)
+I18028 = NOT(g13638)
+g16816 = NOT(I18028)
+I18031 = NOT(g13680)
+g16821 = NOT(I18031)
+I18034 = NOT(g13680)
+g16826 = NOT(I18034)
+g16853 = NOT(g13584)
+I18048 = NOT(g13638)
+g16856 = NOT(I18048)
+I18051 = NOT(g13680)
+g16861 = NOT(I18051)
+I18060 = NOT(g14198)
+g16872 = NOT(I18060)
+I18063 = NOT(g14357)
+g16873 = NOT(I18063)
+I18066 = NOT(g3317)
+g16874 = NOT(I18066)
+I18071 = NOT(g13680)
+g16877 = NOT(I18071)
+I18078 = NOT(g13350)
+g16886 = NOT(I18078)
+I18083 = NOT(g13394)
+g16897 = NOT(I18083)
+I18086 = NOT(g13856)
+g16920 = NOT(I18086)
+I18089 = NOT(g13144)
+g16923 = NOT(I18089)
+I18092 = NOT(g3668)
+g16924 = NOT(I18092)
+I18101 = NOT(g13416)
+g16931 = NOT(I18101)
+I18104 = NOT(g13177)
+g16954 = NOT(I18104)
+I18107 = NOT(g4019)
+g16955 = NOT(I18107)
+g16958 = NOT(g14238)
+I18114 = NOT(g14509)
+g16960 = NOT(I18114)
+I18117 = NOT(g13302)
+g16963 = NOT(I18117)
+I18120 = NOT(g13350)
+g16964 = NOT(I18120)
+g16966 = NOT(g14291)
+I18125 = NOT(g13191)
+g16967 = NOT(I18125)
+g16968 = NOT(g14238)
+g16969 = NOT(g14262)
+I18131 = NOT(g13350)
+g16971 = NOT(I18131)
+I18135 = NOT(g13144)
+g16987 = NOT(I18135)
+I18138 = NOT(g14277)
+g17010 = NOT(I18138)
+g17013 = NOT(g14262)
+g17014 = NOT(g14297)
+I18143 = NOT(g13350)
+g17015 = NOT(I18143)
+g17056 = NOT(g13437)
+I18148 = NOT(g13526)
+g17058 = NOT(I18148)
+I18151 = NOT(g13144)
+g17059 = NOT(I18151)
+I18154 = NOT(g13177)
+g17062 = NOT(I18154)
+g17085 = NOT(g14238)
+g17086 = NOT(g14297)
+g17087 = NOT(g14321)
+I18160 = NOT(g14441)
+g17088 = NOT(I18160)
+g17092 = NOT(g14011)
+I18165 = NOT(g13177)
+g17093 = NOT(I18165)
+I18168 = NOT(g13191)
+g17096 = NOT(I18168)
+g17120 = NOT(g14262)
+g17121 = NOT(g14321)
+g17122 = NOT(g14348)
+g17124 = NOT(g14051)
+I18177 = NOT(g13191)
+g17125 = NOT(I18177)
+I18180 = NOT(g13605)
+g17128 = NOT(I18180)
+g17135 = NOT(g14297)
+g17136 = NOT(g14348)
+I18191 = NOT(g14385)
+g17141 = NOT(I18191)
+g17144 = NOT(g14085)
+g17147 = NOT(g14321)
+g17154 = NOT(g14348)
+I18205 = NOT(g14563)
+g17155 = NOT(I18205)
+g17157 = NOT(g13350)
+I18214 = NOT(g12918)
+g17178 = NOT(I18214)
+I18221 = NOT(g13605)
+g17183 = NOT(I18221)
+I18224 = NOT(g13793)
+g17188 = NOT(I18224)
+g17189 = NOT(g14708)
+I18233 = NOT(g14639)
+g17197 = NOT(I18233)
+I18238 = NOT(g13144)
+g17200 = NOT(I18238)
+g17216 = NOT(g14454)
+I18245 = NOT(g14676)
+g17221 = NOT(I18245)
+I18248 = NOT(g12938)
+g17224 = NOT(I18248)
+I18252 = NOT(g13177)
+g17226 = NOT(I18252)
+g17242 = NOT(g14454)
+I18259 = NOT(g12946)
+g17247 = NOT(I18259)
+I18262 = NOT(g13857)
+g17248 = NOT(I18262)
+I18265 = NOT(g13350)
+g17249 = NOT(I18265)
+I18270 = NOT(g13191)
+g17271 = NOT(I18270)
+I18276 = NOT(g1075)
+g17291 = NOT(I18276)
+I18280 = NOT(g12951)
+g17296 = NOT(I18280)
+g17301 = NOT(g14454)
+I18285 = NOT(g13638)
+g17302 = NOT(I18285)
+g17308 = NOT(g14876)
+I18293 = NOT(g1079)
+g17316 = NOT(I18293)
+I18297 = NOT(g1418)
+g17320 = NOT(I18297)
+I18301 = NOT(g12976)
+g17324 = NOT(I18301)
+I18304 = NOT(g14790)
+g17325 = NOT(I18304)
+I18307 = NOT(g12977)
+g17326 = NOT(I18307)
+I18310 = NOT(g12978)
+g17327 = NOT(I18310)
+I18313 = NOT(g13350)
+g17328 = NOT(I18313)
+g17366 = NOT(g14454)
+I18320 = NOT(g13605)
+g17367 = NOT(I18320)
+I18323 = NOT(g13680)
+g17384 = NOT(I18323)
+g17389 = NOT(g14915)
+g17390 = NOT(g14755)
+g17392 = NOT(g14924)
+I18333 = NOT(g1083)
+g17400 = NOT(I18333)
+I18337 = NOT(g1422)
+g17404 = NOT(I18337)
+I18341 = NOT(g14308)
+g17408 = NOT(I18341)
+I18344 = NOT(g13003)
+g17409 = NOT(I18344)
+g17410 = NOT(g12955)
+g17411 = NOT(g14454)
+I18350 = NOT(g13716)
+g17413 = NOT(I18350)
+g17414 = NOT(g14627)
+g17415 = NOT(g14797)
+g17416 = NOT(g14956)
+g17417 = NOT(g14804)
+g17419 = NOT(g14965)
+I18360 = NOT(g1426)
+g17423 = NOT(I18360)
+I18364 = NOT(g13009)
+g17427 = NOT(I18364)
+I18367 = NOT(g13010)
+g17428 = NOT(I18367)
+I18370 = NOT(g14873)
+g17429 = NOT(I18370)
+I18373 = NOT(g13011)
+g17430 = NOT(I18373)
+I18376 = NOT(g14332)
+g17431 = NOT(I18376)
+I18379 = NOT(g13012)
+g17432 = NOT(I18379)
+I18382 = NOT(g13350)
+g17433 = NOT(I18382)
+g17465 = NOT(g12955)
+g17466 = NOT(g12983)
+g17467 = NOT(g14339)
+g17470 = NOT(g14454)
+g17471 = NOT(g14454)
+g17472 = NOT(g14656)
+g17473 = NOT(g14841)
+I18398 = NOT(g13745)
+g17475 = NOT(I18398)
+g17476 = NOT(g14665)
+g17477 = NOT(g14848)
+g17478 = NOT(g14996)
+g17479 = NOT(g14855)
+g17481 = NOT(g15005)
+I18408 = NOT(g13017)
+g17485 = NOT(I18408)
+I18411 = NOT(g13018)
+g17486 = NOT(I18411)
+I18414 = NOT(g14359)
+g17487 = NOT(I18414)
+g17489 = NOT(g12955)
+g17491 = NOT(g12983)
+g17494 = NOT(g14339)
+g17496 = NOT(g14683)
+g17497 = NOT(g14879)
+g17498 = NOT(g14688)
+g17499 = NOT(g14885)
+I18434 = NOT(g13782)
+g17501 = NOT(I18434)
+g17502 = NOT(g14697)
+g17503 = NOT(g14892)
+g17504 = NOT(g15021)
+g17505 = NOT(g14899)
+g17507 = NOT(g15030)
+I18443 = NOT(g13027)
+g17508 = NOT(I18443)
+I18446 = NOT(g13028)
+g17509 = NOT(I18446)
+g17512 = NOT(g12983)
+g17518 = NOT(g14918)
+I18460 = NOT(g5276)
+g17519 = NOT(I18460)
+g17521 = NOT(g14727)
+g17522 = NOT(g14927)
+g17523 = NOT(g14732)
+g17524 = NOT(g14933)
+I18469 = NOT(g13809)
+g17526 = NOT(I18469)
+g17527 = NOT(g14741)
+g17528 = NOT(g14940)
+g17529 = NOT(g15039)
+g17530 = NOT(g14947)
+I18476 = NOT(g14031)
+g17531 = NOT(I18476)
+I18479 = NOT(g13041)
+g17532 = NOT(I18479)
+I18482 = NOT(g13350)
+g17533 = NOT(I18482)
+g17573 = NOT(g12911)
+g17575 = NOT(g14921)
+g17576 = NOT(g14953)
+I18504 = NOT(g5283)
+g17577 = NOT(I18504)
+g17579 = NOT(g14959)
+I18509 = NOT(g5623)
+g17580 = NOT(I18509)
+g17582 = NOT(g14768)
+g17583 = NOT(g14968)
+g17584 = NOT(g14773)
+g17585 = NOT(g14974)
+I18518 = NOT(g13835)
+g17587 = NOT(I18518)
+g17588 = NOT(g14782)
+g17589 = NOT(g14981)
+I18523 = NOT(g14443)
+g17590 = NOT(I18523)
+I18526 = NOT(g13055)
+g17591 = NOT(I18526)
+g17599 = NOT(g14794)
+g17600 = NOT(g14659)
+g17602 = NOT(g14962)
+g17603 = NOT(g14993)
+I18555 = NOT(g5630)
+g17604 = NOT(I18555)
+g17606 = NOT(g14999)
+I18560 = NOT(g5969)
+g17607 = NOT(I18560)
+g17609 = NOT(g14817)
+g17610 = NOT(g15008)
+g17611 = NOT(g14822)
+g17612 = NOT(g15014)
+I18571 = NOT(g13074)
+g17614 = NOT(I18571)
+I18574 = NOT(g13075)
+g17615 = NOT(I18574)
+g17616 = NOT(g14309)
+g17637 = NOT(g12933)
+g17638 = NOT(g14838)
+I18600 = NOT(g5335)
+g17639 = NOT(I18600)
+g17641 = NOT(g14845)
+g17642 = NOT(g14691)
+g17644 = NOT(g15002)
+g17645 = NOT(g15018)
+I18609 = NOT(g5976)
+g17646 = NOT(I18609)
+g17648 = NOT(g15024)
+I18614 = NOT(g6315)
+g17649 = NOT(I18614)
+g17651 = NOT(g14868)
+g17652 = NOT(g15033)
+g17672 = NOT(g14720)
+g17673 = NOT(g14723)
+I18647 = NOT(g5320)
+g17674 = NOT(I18647)
+g17676 = NOT(g12941)
+g17677 = NOT(g14882)
+I18653 = NOT(g5681)
+g17678 = NOT(I18653)
+g17680 = NOT(g14889)
+g17681 = NOT(g14735)
+g17683 = NOT(g15027)
+g17684 = NOT(g15036)
+I18662 = NOT(g6322)
+g17685 = NOT(I18662)
+g17687 = NOT(g15042)
+I18667 = NOT(g6661)
+g17688 = NOT(I18667)
+I18674 = NOT(g13101)
+g17691 = NOT(I18674)
+g17707 = NOT(g14758)
+g17709 = NOT(g14761)
+g17710 = NOT(g14764)
+I18694 = NOT(g5666)
+g17711 = NOT(I18694)
+g17713 = NOT(g12947)
+g17714 = NOT(g14930)
+I18700 = NOT(g6027)
+g17715 = NOT(I18700)
+g17717 = NOT(g14937)
+g17718 = NOT(g14776)
+g17720 = NOT(g15045)
+g17721 = NOT(g12915)
+I18709 = NOT(g6668)
+g17722 = NOT(I18709)
+g17733 = NOT(g14238)
+g17735 = NOT(g14807)
+g17737 = NOT(g14810)
+g17738 = NOT(g14813)
+I18728 = NOT(g6012)
+g17739 = NOT(I18728)
+g17741 = NOT(g12972)
+g17742 = NOT(g14971)
+I18734 = NOT(g6373)
+g17743 = NOT(I18734)
+g17745 = NOT(g14978)
+g17746 = NOT(g14825)
+g17754 = NOT(g14262)
+g17756 = NOT(g14858)
+g17758 = NOT(g14861)
+g17759 = NOT(g14864)
+I18752 = NOT(g6358)
+g17760 = NOT(I18752)
+g17762 = NOT(g13000)
+g17763 = NOT(g15011)
+I18758 = NOT(g6719)
+g17764 = NOT(I18758)
+g17772 = NOT(g14297)
+g17774 = NOT(g14902)
+g17776 = NOT(g14905)
+g17777 = NOT(g14908)
+I18778 = NOT(g6704)
+g17778 = NOT(I18778)
+I18788 = NOT(g13138)
+g17782 = NOT(I18788)
+I18795 = NOT(g5327)
+g17787 = NOT(I18795)
+g17789 = NOT(g14321)
+g17791 = NOT(g14950)
+g17794 = NOT(g13350)
+g17811 = NOT(g12925)
+I18810 = NOT(g13716)
+g17812 = NOT(I18810)
+I18813 = NOT(g5673)
+g17813 = NOT(I18813)
+g17815 = NOT(g14348)
+I18822 = NOT(g13745)
+g17818 = NOT(I18822)
+I18825 = NOT(g6019)
+g17819 = NOT(I18825)
+I18829 = NOT(g13350)
+g17821 = NOT(I18829)
+I18832 = NOT(g13782)
+g17844 = NOT(I18832)
+I18835 = NOT(g6365)
+g17845 = NOT(I18835)
+I18839 = NOT(g13716)
+g17847 = NOT(I18839)
+I18842 = NOT(g13809)
+g17870 = NOT(I18842)
+I18845 = NOT(g6711)
+g17871 = NOT(I18845)
+I18849 = NOT(g14290)
+g17873 = NOT(I18849)
+I18852 = NOT(g13716)
+g17926 = NOT(I18852)
+I18855 = NOT(g13745)
+g17929 = NOT(I18855)
+I18858 = NOT(g13835)
+g17952 = NOT(I18858)
+I18861 = NOT(g14307)
+g17953 = NOT(I18861)
+I18865 = NOT(g14314)
+g17955 = NOT(I18865)
+I18868 = NOT(g14315)
+g18008 = NOT(I18868)
+g18061 = NOT(g14800)
+I18872 = NOT(g13745)
+g18062 = NOT(I18872)
+I18875 = NOT(g13782)
+g18065 = NOT(I18875)
+g18088 = NOT(g13267)
+I18879 = NOT(g13267)
+g18091 = NOT(I18879)
+I18882 = NOT(g16580)
+g18092 = NOT(I18882)
+I18885 = NOT(g16643)
+g18093 = NOT(I18885)
+I18888 = NOT(g16644)
+g18094 = NOT(I18888)
+I18891 = NOT(g16676)
+g18095 = NOT(I18891)
+I18894 = NOT(g16708)
+g18096 = NOT(I18894)
+I18897 = NOT(g16738)
+g18097 = NOT(I18897)
+I18900 = NOT(g16767)
+g18098 = NOT(I18900)
+I18903 = NOT(g16872)
+g18099 = NOT(I18903)
+I18906 = NOT(g16963)
+g18100 = NOT(I18906)
+I18909 = NOT(g16873)
+g18101 = NOT(I18909)
+I18912 = NOT(g15050)
+g18102 = NOT(I18912)
+I19012 = NOT(g15060)
+g18200 = NOT(I19012)
+I19235 = NOT(g15078)
+g18421 = NOT(I19235)
+I19238 = NOT(g15079)
+g18422 = NOT(I19238)
+I19345 = NOT(g15083)
+g18527 = NOT(I19345)
+I19348 = NOT(g15084)
+g18528 = NOT(I19348)
+I19384 = NOT(g15085)
+g18562 = NOT(I19384)
+I19484 = NOT(g15122)
+g18660 = NOT(I19484)
+I19487 = NOT(g15125)
+g18661 = NOT(I19487)
+g18827 = NOT(g16000)
+g18828 = NOT(g17955)
+g18829 = NOT(g15171)
+g18830 = NOT(g18008)
+g18831 = NOT(g15224)
+g18832 = NOT(g15634)
+I19661 = NOT(g17587)
+g18833 = NOT(I19661)
+g18874 = NOT(g15938)
+g18875 = NOT(g15171)
+g18876 = NOT(g15373)
+g18877 = NOT(g15224)
+g18878 = NOT(g15426)
+g18880 = NOT(g15656)
+I19671 = NOT(g15932)
+g18881 = NOT(I19671)
+I19674 = NOT(g15932)
+g18882 = NOT(I19674)
+g18883 = NOT(g15938)
+g18884 = NOT(g15938)
+g18885 = NOT(g15979)
+g18886 = NOT(g16000)
+g18887 = NOT(g15373)
+g18888 = NOT(g15426)
+g18889 = NOT(g15509)
+g18891 = NOT(g16053)
+g18892 = NOT(g15680)
+g18894 = NOT(g16000)
+g18895 = NOT(g16000)
+g18896 = NOT(g16031)
+g18897 = NOT(g15509)
+g18898 = NOT(g15566)
+g18903 = NOT(g15758)
+g18904 = NOT(g16053)
+g18905 = NOT(g16077)
+g18907 = NOT(g15979)
+g18908 = NOT(g16100)
+g18911 = NOT(g15169)
+g18916 = NOT(g16053)
+g18917 = NOT(g16077)
+I19704 = NOT(g17653)
+g18918 = NOT(I19704)
+I19707 = NOT(g17590)
+g18926 = NOT(I19707)
+g18929 = NOT(g16100)
+g18930 = NOT(g15789)
+g18931 = NOT(g16031)
+g18932 = NOT(g16136)
+g18938 = NOT(g16053)
+g18939 = NOT(g16077)
+I19719 = NOT(g17431)
+g18940 = NOT(I19719)
+g18944 = NOT(g15938)
+g18945 = NOT(g16100)
+g18946 = NOT(g16100)
+g18947 = NOT(g16136)
+g18948 = NOT(g15800)
+g18952 = NOT(g16053)
+g18953 = NOT(g16077)
+g18954 = NOT(g17427)
+I19734 = NOT(g17725)
+g18957 = NOT(I19734)
+g18975 = NOT(g15938)
+g18976 = NOT(g16100)
+g18977 = NOT(g16100)
+g18978 = NOT(g16000)
+g18979 = NOT(g16136)
+g18980 = NOT(g16136)
+g18983 = NOT(g16077)
+g18984 = NOT(g17486)
+g18988 = NOT(g15979)
+g18989 = NOT(g16000)
+g18990 = NOT(g16136)
+g18991 = NOT(g16136)
+I19756 = NOT(g17812)
+g18997 = NOT(I19756)
+I19759 = NOT(g17767)
+g19050 = NOT(I19759)
+I19762 = NOT(g15732)
+g19061 = NOT(I19762)
+g19067 = NOT(g15979)
+g19068 = NOT(g16031)
+g19071 = NOT(g15591)
+I19772 = NOT(g17818)
+g19074 = NOT(I19772)
+I19775 = NOT(g17780)
+g19127 = NOT(I19775)
+I19778 = NOT(g17781)
+g19128 = NOT(I19778)
+g19144 = NOT(g16031)
+g19146 = NOT(g15574)
+I19786 = NOT(g17844)
+g19147 = NOT(I19786)
+I19789 = NOT(g17793)
+g19200 = NOT(I19789)
+g19208 = NOT(g17367)
+I19796 = NOT(g17870)
+g19210 = NOT(I19796)
+I19799 = NOT(g17817)
+g19263 = NOT(I19799)
+I19802 = NOT(g15727)
+g19264 = NOT(I19802)
+g19273 = NOT(g16100)
+g19276 = NOT(g17367)
+I19813 = NOT(g17952)
+g19277 = NOT(I19813)
+g19330 = NOT(g17326)
+I19818 = NOT(g1056)
+g19334 = NOT(I19818)
+g19343 = NOT(g16136)
+g19345 = NOT(g17591)
+g19351 = NOT(g17367)
+g19352 = NOT(g15758)
+I19831 = NOT(g16533)
+g19353 = NOT(I19831)
+g19355 = NOT(g16027)
+I19837 = NOT(g1399)
+g19357 = NOT(I19837)
+g19360 = NOT(g16249)
+I19843 = NOT(g16594)
+g19361 = NOT(I19843)
+g19362 = NOT(g16072)
+g19364 = NOT(g15825)
+g19365 = NOT(g16249)
+g19366 = NOT(g15885)
+I19851 = NOT(g16615)
+g19367 = NOT(I19851)
+g19368 = NOT(g16326)
+g19369 = NOT(g15995)
+g19370 = NOT(g15915)
+I19857 = NOT(g16640)
+g19371 = NOT(I19857)
+g19373 = NOT(g16449)
+g19374 = NOT(g16047)
+I19863 = NOT(g16675)
+g19375 = NOT(I19863)
+g19376 = NOT(g17509)
+g19379 = NOT(g17327)
+g19385 = NOT(g16326)
+g19386 = NOT(g16431)
+g19387 = NOT(g16431)
+g19389 = NOT(g17532)
+g19394 = NOT(g16326)
+g19395 = NOT(g16431)
+g19396 = NOT(g16431)
+g19397 = NOT(g16449)
+g19398 = NOT(g16489)
+g19399 = NOT(g16489)
+g19407 = NOT(g16268)
+g19408 = NOT(g16066)
+g19409 = NOT(g16431)
+g19410 = NOT(g16449)
+g19411 = NOT(g16489)
+g19412 = NOT(g16489)
+g19414 = NOT(g16349)
+g19415 = NOT(g15758)
+g19416 = NOT(g15885)
+g19417 = NOT(g17178)
+g19421 = NOT(g16326)
+g19427 = NOT(g16292)
+g19428 = NOT(g16090)
+g19429 = NOT(g16489)
+g19431 = NOT(g16249)
+g19432 = NOT(g15885)
+g19433 = NOT(g15915)
+g19434 = NOT(g16326)
+g19435 = NOT(g16449)
+g19437 = NOT(g16349)
+g19438 = NOT(g16249)
+g19439 = NOT(g15885)
+g19440 = NOT(g15915)
+g19443 = NOT(g16449)
+g19445 = NOT(g15915)
+I19917 = NOT(g18088)
+g19446 = NOT(I19917)
+g19451 = NOT(g15938)
+g19452 = NOT(g16326)
+g19454 = NOT(g16349)
+I19927 = NOT(g17408)
+g19458 = NOT(I19927)
+g19468 = NOT(g15938)
+g19469 = NOT(g16326)
+g19470 = NOT(g16000)
+g19471 = NOT(g16449)
+g19472 = NOT(g16349)
+g19473 = NOT(g16349)
+g19476 = NOT(g16326)
+g19477 = NOT(g16431)
+g19478 = NOT(g16000)
+g19479 = NOT(g16449)
+g19480 = NOT(g16349)
+g19481 = NOT(g16349)
+g19482 = NOT(g16349)
+g19489 = NOT(g16449)
+g19490 = NOT(g16489)
+g19491 = NOT(g16349)
+g19492 = NOT(g16349)
+g19493 = NOT(g16349)
+g19494 = NOT(g16349)
+g19498 = NOT(g16752)
+g19499 = NOT(g16782)
+g19502 = NOT(g15674)
+g19503 = NOT(g16349)
+g19504 = NOT(g16349)
+g19505 = NOT(g16349)
+g19517 = NOT(g16777)
+g19518 = NOT(g16239)
+g19519 = NOT(g16795)
+g19520 = NOT(g16826)
+g19523 = NOT(g16100)
+g19524 = NOT(g15695)
+g19526 = NOT(g16349)
+g19527 = NOT(g16349)
+g19528 = NOT(g16349)
+g19529 = NOT(g16349)
+g19531 = NOT(g16816)
+g19532 = NOT(g16821)
+g19533 = NOT(g16261)
+g19537 = NOT(g15938)
+g19538 = NOT(g16100)
+g19539 = NOT(g16129)
+g19541 = NOT(g16136)
+g19542 = NOT(g16349)
+g19543 = NOT(g16349)
+g19544 = NOT(g16349)
+g19552 = NOT(g16856)
+g19553 = NOT(g16782)
+g19554 = NOT(g16861)
+g19558 = NOT(g15938)
+g19559 = NOT(g16129)
+g19565 = NOT(g16000)
+g19566 = NOT(g16136)
+g19567 = NOT(g16164)
+g19569 = NOT(g16349)
+g19570 = NOT(g16349)
+g19573 = NOT(g16877)
+g19574 = NOT(g16826)
+g19577 = NOT(g16129)
+g19579 = NOT(g16000)
+g19580 = NOT(g16164)
+g19586 = NOT(g16349)
+I20035 = NOT(g15706)
+g19592 = NOT(I20035)
+g19600 = NOT(g16164)
+g19602 = NOT(g16349)
+g19603 = NOT(g16349)
+g19606 = NOT(g17614)
+g19609 = NOT(g16264)
+g19612 = NOT(g16897)
+g19617 = NOT(g16349)
+g19618 = NOT(g16349)
+g19620 = NOT(g17296)
+g19626 = NOT(g17409)
+g19629 = NOT(g17015)
+g19630 = NOT(g16897)
+g19633 = NOT(g16931)
+g19634 = NOT(g16349)
+g19635 = NOT(g16349)
+g19636 = NOT(g16987)
+g19638 = NOT(g17324)
+g19644 = NOT(g17953)
+g19649 = NOT(g17015)
+g19650 = NOT(g16971)
+g19652 = NOT(g16897)
+g19653 = NOT(g16897)
+g19654 = NOT(g16931)
+g19657 = NOT(g16349)
+g19658 = NOT(g16987)
+g19659 = NOT(g17062)
+g19662 = NOT(g17432)
+g19666 = NOT(g17188)
+g19670 = NOT(g16897)
+g19672 = NOT(g16931)
+g19673 = NOT(g16931)
+g19675 = NOT(g16987)
+g19676 = NOT(g17062)
+g19677 = NOT(g17096)
+g19678 = NOT(g16752)
+g19679 = NOT(g16782)
+g19682 = NOT(g17015)
+g19683 = NOT(g16931)
+g19685 = NOT(g16987)
+g19686 = NOT(g17062)
+g19687 = NOT(g17096)
+g19688 = NOT(g16777)
+g19689 = NOT(g16795)
+g19690 = NOT(g16826)
+g19694 = NOT(g16429)
+g19695 = NOT(g17015)
+g19696 = NOT(g17015)
+g19697 = NOT(g16886)
+g19698 = NOT(g16971)
+I20116 = NOT(g15737)
+g19699 = NOT(I20116)
+g19709 = NOT(g16987)
+g19710 = NOT(g17059)
+g19711 = NOT(g17062)
+g19712 = NOT(g17096)
+g19713 = NOT(g16816)
+g19714 = NOT(g16821)
+g19718 = NOT(g17015)
+g19719 = NOT(g16897)
+I20130 = NOT(g15748)
+g19720 = NOT(I20130)
+g19730 = NOT(g17062)
+g19731 = NOT(g17093)
+g19732 = NOT(g17096)
+g19733 = NOT(g16856)
+g19734 = NOT(g16861)
+g19737 = NOT(g17015)
+g19738 = NOT(g15992)
+g19739 = NOT(g16931)
+g19741 = NOT(g16987)
+g19742 = NOT(g17096)
+g19743 = NOT(g17125)
+g19744 = NOT(g15885)
+g19745 = NOT(g16877)
+g19747 = NOT(g17015)
+g19748 = NOT(g17015)
+g19750 = NOT(g16326)
+g19751 = NOT(g16044)
+g19753 = NOT(g16987)
+g19754 = NOT(g17062)
+g19755 = NOT(g15915)
+g19757 = NOT(g17224)
+g19760 = NOT(g17015)
+g19761 = NOT(g17015)
+g19762 = NOT(g16326)
+g19763 = NOT(g16431)
+g19765 = NOT(g16897)
+g19766 = NOT(g16449)
+g19769 = NOT(g16987)
+g19770 = NOT(g17062)
+g19771 = NOT(g17096)
+g19772 = NOT(g17183)
+g19773 = NOT(g17615)
+g19776 = NOT(g17015)
+g19777 = NOT(g17015)
+g19779 = NOT(g16431)
+g19780 = NOT(g16449)
+g19781 = NOT(g16489)
+g19783 = NOT(g16931)
+g19785 = NOT(g16987)
+g19786 = NOT(g17062)
+g19787 = NOT(g17096)
+g19789 = NOT(g17015)
+g19790 = NOT(g16971)
+g19794 = NOT(g16489)
+g19798 = NOT(g17200)
+g19799 = NOT(g17062)
+g19800 = NOT(g17096)
+I20216 = NOT(g15862)
+g19801 = NOT(I20216)
+g19852 = NOT(g17015)
+g19860 = NOT(g17226)
+g19861 = NOT(g17096)
+I20233 = NOT(g17487)
+g19862 = NOT(I20233)
+g19865 = NOT(g15885)
+g19866 = NOT(g16540)
+g19869 = NOT(g16540)
+g19872 = NOT(g17015)
+g19878 = NOT(g17271)
+g19881 = NOT(g15915)
+g19882 = NOT(g16540)
+g19885 = NOT(g17249)
+g19902 = NOT(g17200)
+g19905 = NOT(g15885)
+g19908 = NOT(g16540)
+g19912 = NOT(g17328)
+g19915 = NOT(g16349)
+g19930 = NOT(g17200)
+g19931 = NOT(g17200)
+g19947 = NOT(g17226)
+g19950 = NOT(g15885)
+g19952 = NOT(g15915)
+g19954 = NOT(g16540)
+g19957 = NOT(g16540)
+g19960 = NOT(g17433)
+g19961 = NOT(g17328)
+g19963 = NOT(g16326)
+g19964 = NOT(g17200)
+g19979 = NOT(g17226)
+g19980 = NOT(g17226)
+g19996 = NOT(g17271)
+g19998 = NOT(g15915)
+g20004 = NOT(g17249)
+g20005 = NOT(g17433)
+g20006 = NOT(g17328)
+g20008 = NOT(g16449)
+g20009 = NOT(g16349)
+g20010 = NOT(g17226)
+g20025 = NOT(g17271)
+g20026 = NOT(g17271)
+g20028 = NOT(g15371)
+g20033 = NOT(g16579)
+g20035 = NOT(g16430)
+g20036 = NOT(g17433)
+g20037 = NOT(g17328)
+g20038 = NOT(g17328)
+g20040 = NOT(g17271)
+g20041 = NOT(g15569)
+g20046 = NOT(g16540)
+I20318 = NOT(g16920)
+g20049 = NOT(I20318)
+I20321 = NOT(g16920)
+g20050 = NOT(I20321)
+g20052 = NOT(g17533)
+g20053 = NOT(g17328)
+g20054 = NOT(g17328)
+g20057 = NOT(g16349)
+g20058 = NOT(g16782)
+g20059 = NOT(g17302)
+g20060 = NOT(g16540)
+g20064 = NOT(g17533)
+g20065 = NOT(g16846)
+g20066 = NOT(g17433)
+g20067 = NOT(g17328)
+g20070 = NOT(g16173)
+g20071 = NOT(g16826)
+g20072 = NOT(g17384)
+g20073 = NOT(g16540)
+g20078 = NOT(g16846)
+g20079 = NOT(g17328)
+g20080 = NOT(g17328)
+g20085 = NOT(g16187)
+I20355 = NOT(g17613)
+g20086 = NOT(I20355)
+g20087 = NOT(g17249)
+g20088 = NOT(g17533)
+g20089 = NOT(g17533)
+g20090 = NOT(g17433)
+g20091 = NOT(g17328)
+g20096 = NOT(g16782)
+g20097 = NOT(g17691)
+I20369 = NOT(g17690)
+g20100 = NOT(I20369)
+g20101 = NOT(g17533)
+g20102 = NOT(g17533)
+g20103 = NOT(g17433)
+g20104 = NOT(g17433)
+g20105 = NOT(g17433)
+g20106 = NOT(g17328)
+g20110 = NOT(g16897)
+g20113 = NOT(g16826)
+I20385 = NOT(g16194)
+g20114 = NOT(I20385)
+I20388 = NOT(g17724)
+g20127 = NOT(I20388)
+g20128 = NOT(g17533)
+g20129 = NOT(g17328)
+g20130 = NOT(g17328)
+g20132 = NOT(g16931)
+I20399 = NOT(g16205)
+g20136 = NOT(I20399)
+g20144 = NOT(g17533)
+g20145 = NOT(g17533)
+g20146 = NOT(g17533)
+g20147 = NOT(g17328)
+g20153 = NOT(g16782)
+I20412 = NOT(g16213)
+g20154 = NOT(I20412)
+g20157 = NOT(g16886)
+g20158 = NOT(g16971)
+g20159 = NOT(g17533)
+g20164 = NOT(g16826)
+g20166 = NOT(g16886)
+g20167 = NOT(g16971)
+g20168 = NOT(g17533)
+I20433 = NOT(g16234)
+g20175 = NOT(I20433)
+g20178 = NOT(g16971)
+g20179 = NOT(g17249)
+g20180 = NOT(g17533)
+g20182 = NOT(g16897)
+I20447 = NOT(g16244)
+g20189 = NOT(I20447)
+g20190 = NOT(g16971)
+g20191 = NOT(g17821)
+g20192 = NOT(g17268)
+g20194 = NOT(g16897)
+g20195 = NOT(g16931)
+g20197 = NOT(g16987)
+g20204 = NOT(g16578)
+g20207 = NOT(g17015)
+g20208 = NOT(g17533)
+g20209 = NOT(g17821)
+g20210 = NOT(g16897)
+g20211 = NOT(g16931)
+g20212 = NOT(g17194)
+g20213 = NOT(g17062)
+I20495 = NOT(g16283)
+g20219 = NOT(I20495)
+g20229 = NOT(g17015)
+I20499 = NOT(g16224)
+g20230 = NOT(I20499)
+g20231 = NOT(g17821)
+g20232 = NOT(g16931)
+g20233 = NOT(g17873)
+g20235 = NOT(g15277)
+g20237 = NOT(g17213)
+g20238 = NOT(g17096)
+g20239 = NOT(g17128)
+g20240 = NOT(g17847)
+g20242 = NOT(g16308)
+g20247 = NOT(g17015)
+g20265 = NOT(g17821)
+g20266 = NOT(g17873)
+g20267 = NOT(g17955)
+g20268 = NOT(g18008)
+g20269 = NOT(g15844)
+g20270 = NOT(g15277)
+g20272 = NOT(g17239)
+g20273 = NOT(g17128)
+g20274 = NOT(g17847)
+g20275 = NOT(g17929)
+g20277 = NOT(g16487)
+I20529 = NOT(g16309)
+g20283 = NOT(I20529)
+g20320 = NOT(g17015)
+g20321 = NOT(g17821)
+g20322 = NOT(g17873)
+g20323 = NOT(g17873)
+g20324 = NOT(g17955)
+g20325 = NOT(g15171)
+g20326 = NOT(g18008)
+g20327 = NOT(g15224)
+g20328 = NOT(g15867)
+g20329 = NOT(g15277)
+I20542 = NOT(g16508)
+g20330 = NOT(I20542)
+g20372 = NOT(g17847)
+g20373 = NOT(g17929)
+g20374 = NOT(g18065)
+g20379 = NOT(g17821)
+g20380 = NOT(g17955)
+g20381 = NOT(g17955)
+g20382 = NOT(g15171)
+g20383 = NOT(g15373)
+g20384 = NOT(g18008)
+g20385 = NOT(g18008)
+g20386 = NOT(g15224)
+g20387 = NOT(g15426)
+g20388 = NOT(g17297)
+g20389 = NOT(g15277)
+I20562 = NOT(g16525)
+g20391 = NOT(I20562)
+g20432 = NOT(g17847)
+g20433 = NOT(g17929)
+g20434 = NOT(g18065)
+g20435 = NOT(g15348)
+I20569 = NOT(g16486)
+g20436 = NOT(I20569)
+g20441 = NOT(g17873)
+g20442 = NOT(g15171)
+g20443 = NOT(g15171)
+g20444 = NOT(g15373)
+g20445 = NOT(g15224)
+g20446 = NOT(g15224)
+g20447 = NOT(g15426)
+g20448 = NOT(g15509)
+g20449 = NOT(g15277)
+g20450 = NOT(g15277)
+g20451 = NOT(g15277)
+g20452 = NOT(g17200)
+I20584 = NOT(g16587)
+g20453 = NOT(I20584)
+g20494 = NOT(g17847)
+g20495 = NOT(g17926)
+g20496 = NOT(g17929)
+g20497 = NOT(g18065)
+g20498 = NOT(g15348)
+g20499 = NOT(g15483)
+g20500 = NOT(g17873)
+g20501 = NOT(g17955)
+g20502 = NOT(g15373)
+g20503 = NOT(g15373)
+g20504 = NOT(g18008)
+g20505 = NOT(g15426)
+g20506 = NOT(g15426)
+g20507 = NOT(g15509)
+g20508 = NOT(g15277)
+g20509 = NOT(g15277)
+g20510 = NOT(g17226)
+g20511 = NOT(g17929)
+g20512 = NOT(g18062)
+g20513 = NOT(g18065)
+g20514 = NOT(g15348)
+g20515 = NOT(g15483)
+I20609 = NOT(g16539)
+g20516 = NOT(I20609)
+g20523 = NOT(g17821)
+g20524 = NOT(g17873)
+g20525 = NOT(g17955)
+g20526 = NOT(g15171)
+g20527 = NOT(g18008)
+g20528 = NOT(g15224)
+g20529 = NOT(g15509)
+g20530 = NOT(g15509)
+g20531 = NOT(g15907)
+g20532 = NOT(g15277)
+g20533 = NOT(g17271)
+g20534 = NOT(g17183)
+g20535 = NOT(g17847)
+g20536 = NOT(g18065)
+g20537 = NOT(g15345)
+g20538 = NOT(g15348)
+g20539 = NOT(g15483)
+g20540 = NOT(g16646)
+g20541 = NOT(g17821)
+g20542 = NOT(g17873)
+g20543 = NOT(g17955)
+g20544 = NOT(g15171)
+g20545 = NOT(g15373)
+g20546 = NOT(g18008)
+g20547 = NOT(g15224)
+g20548 = NOT(g15426)
+g20549 = NOT(g15277)
+g20550 = NOT(g15864)
+g20551 = NOT(g17302)
+g20552 = NOT(g17847)
+g20553 = NOT(g17929)
+g20554 = NOT(g15348)
+g20555 = NOT(g15480)
+g20556 = NOT(g15483)
+I20647 = NOT(g17010)
+g20557 = NOT(I20647)
+I20650 = NOT(g17010)
+g20558 = NOT(I20650)
+g20560 = NOT(g17328)
+g20561 = NOT(g17873)
+g20562 = NOT(g17955)
+g20563 = NOT(g15171)
+g20564 = NOT(g15373)
+g20565 = NOT(g18008)
+g20566 = NOT(g15224)
+g20567 = NOT(g15426)
+g20568 = NOT(g15509)
+g20569 = NOT(g15277)
+g20570 = NOT(g15277)
+g20571 = NOT(g15277)
+g20572 = NOT(g15833)
+g20573 = NOT(g17384)
+g20574 = NOT(g17847)
+g20575 = NOT(g17929)
+g20576 = NOT(g18065)
+g20577 = NOT(g15483)
+g20578 = NOT(g15563)
+g20579 = NOT(g17249)
+g20580 = NOT(g17328)
+g20582 = NOT(g17873)
+g20583 = NOT(g17873)
+g20584 = NOT(g17873)
+g20585 = NOT(g17955)
+g20586 = NOT(g15171)
+g20587 = NOT(g15373)
+g20588 = NOT(g18008)
+g20589 = NOT(g15224)
+g20590 = NOT(g15426)
+g20591 = NOT(g15509)
+g20592 = NOT(g15277)
+g20593 = NOT(g15277)
+g20594 = NOT(g15277)
+g20595 = NOT(g15877)
+I20690 = NOT(g15733)
+g20596 = NOT(I20690)
+g20597 = NOT(g17847)
+g20598 = NOT(g17929)
+g20599 = NOT(g18065)
+g20600 = NOT(g15348)
+g20601 = NOT(g17433)
+g20603 = NOT(g17873)
+g20604 = NOT(g17873)
+g20605 = NOT(g17955)
+g20606 = NOT(g17955)
+g20607 = NOT(g17955)
+g20608 = NOT(g15171)
+g20609 = NOT(g15373)
+g20610 = NOT(g18008)
+g20611 = NOT(g18008)
+g20612 = NOT(g18008)
+g20613 = NOT(g15224)
+g20614 = NOT(g15426)
+g20615 = NOT(g15509)
+g20616 = NOT(g15277)
+g20617 = NOT(g15277)
+g20618 = NOT(g15277)
+g20622 = NOT(g15595)
+g20623 = NOT(g17929)
+g20624 = NOT(g18065)
+g20625 = NOT(g15348)
+g20626 = NOT(g15483)
+g20627 = NOT(g17433)
+g20629 = NOT(g17955)
+g20630 = NOT(g17955)
+g20631 = NOT(g15171)
+g20632 = NOT(g15171)
+g20633 = NOT(g15171)
+g20634 = NOT(g15373)
+g20635 = NOT(g18008)
+g20636 = NOT(g18008)
+g20637 = NOT(g15224)
+g20638 = NOT(g15224)
+g20639 = NOT(g15224)
+g20640 = NOT(g15426)
+g20641 = NOT(g15509)
+g20642 = NOT(g15277)
+g20643 = NOT(g15962)
+g20648 = NOT(g15615)
+g20649 = NOT(g18065)
+g20650 = NOT(g15348)
+g20651 = NOT(g15483)
+I20744 = NOT(g17141)
+g20652 = NOT(I20744)
+I20747 = NOT(g17141)
+g20653 = NOT(I20747)
+I20750 = NOT(g16677)
+g20654 = NOT(I20750)
+I20753 = NOT(g16677)
+g20655 = NOT(I20753)
+g20656 = NOT(g17249)
+g20657 = NOT(g17433)
+g20659 = NOT(g17873)
+g20660 = NOT(g17873)
+g20661 = NOT(g15171)
+g20662 = NOT(g15171)
+g20663 = NOT(g15373)
+g20664 = NOT(g15373)
+g20665 = NOT(g15373)
+g20666 = NOT(g15224)
+g20667 = NOT(g15224)
+g20668 = NOT(g15426)
+g20669 = NOT(g15426)
+g20670 = NOT(g15426)
+g20671 = NOT(g15509)
+g20672 = NOT(g15277)
+g20673 = NOT(g15277)
+g20674 = NOT(g15277)
+g20679 = NOT(g15634)
+g20680 = NOT(g15348)
+g20681 = NOT(g15483)
+I20781 = NOT(g17155)
+g20695 = NOT(I20781)
+g20696 = NOT(g17533)
+g20697 = NOT(g17433)
+g20698 = NOT(g17873)
+g20699 = NOT(g17873)
+g20700 = NOT(g17873)
+g20701 = NOT(g17955)
+g20702 = NOT(g17955)
+g20703 = NOT(g15373)
+g20704 = NOT(g15373)
+I20793 = NOT(g17694)
+g20705 = NOT(I20793)
+g20706 = NOT(g18008)
+g20707 = NOT(g18008)
+g20708 = NOT(g15426)
+g20709 = NOT(g15426)
+g20710 = NOT(g15509)
+g20711 = NOT(g15509)
+g20712 = NOT(g15509)
+g20713 = NOT(g15277)
+g20714 = NOT(g15277)
+g20715 = NOT(g15277)
+g20716 = NOT(g15277)
+g20732 = NOT(g15595)
+g20737 = NOT(g15656)
+g20738 = NOT(g15483)
+I20816 = NOT(g17088)
+g20763 = NOT(I20816)
+I20819 = NOT(g17088)
+g20764 = NOT(I20819)
+g20765 = NOT(g17748)
+g20766 = NOT(g17433)
+g20767 = NOT(g17873)
+g20768 = NOT(g17955)
+g20769 = NOT(g17955)
+g20770 = NOT(g17955)
+g20771 = NOT(g15171)
+g20772 = NOT(g15171)
+I20830 = NOT(g17657)
+g20773 = NOT(I20830)
+g20774 = NOT(g18008)
+g20775 = NOT(g18008)
+g20776 = NOT(g18008)
+g20777 = NOT(g15224)
+g20778 = NOT(g15224)
+g20779 = NOT(g15509)
+g20780 = NOT(g15509)
+I20840 = NOT(g17727)
+g20781 = NOT(I20840)
+g20782 = NOT(g15853)
+I20846 = NOT(g16923)
+g20785 = NOT(I20846)
+g20852 = NOT(g15595)
+g20853 = NOT(g15595)
+g20869 = NOT(g15615)
+g20874 = NOT(g15680)
+I20861 = NOT(g16960)
+g20899 = NOT(I20861)
+I20864 = NOT(g16960)
+g20900 = NOT(I20864)
+I20867 = NOT(g16216)
+g20901 = NOT(I20867)
+I20870 = NOT(g16216)
+g20902 = NOT(I20870)
+g20903 = NOT(g17249)
+g20904 = NOT(g17433)
+g20909 = NOT(g17955)
+g20910 = NOT(g15171)
+g20911 = NOT(g15171)
+g20912 = NOT(g15171)
+g20913 = NOT(g15373)
+g20914 = NOT(g15373)
+I20882 = NOT(g17619)
+g20915 = NOT(I20882)
+g20916 = NOT(g18008)
+g20917 = NOT(g15224)
+g20918 = NOT(g15224)
+g20919 = NOT(g15224)
+g20920 = NOT(g15426)
+g20921 = NOT(g15426)
+I20891 = NOT(g17700)
+g20922 = NOT(I20891)
+g20923 = NOT(g15277)
+I20895 = NOT(g16954)
+g20924 = NOT(I20895)
+g20978 = NOT(g15595)
+g20993 = NOT(g15615)
+g20994 = NOT(g15615)
+g21010 = NOT(g15634)
+I20910 = NOT(g17197)
+g21036 = NOT(I20910)
+I20913 = NOT(g16964)
+g21037 = NOT(I20913)
+g21048 = NOT(g17533)
+g21049 = NOT(g17433)
+g21050 = NOT(g17873)
+g21051 = NOT(g15171)
+g21052 = NOT(g15373)
+g21053 = NOT(g15373)
+g21054 = NOT(g15373)
+g21055 = NOT(g15224)
+g21056 = NOT(g15426)
+g21057 = NOT(g15426)
+g21058 = NOT(g15426)
+g21059 = NOT(g15509)
+g21060 = NOT(g15509)
+I20929 = NOT(g17663)
+g21061 = NOT(I20929)
+g21068 = NOT(g15277)
+g21069 = NOT(g15277)
+I20937 = NOT(g16967)
+g21070 = NOT(I20937)
+g21123 = NOT(g15615)
+g21138 = NOT(g15634)
+g21139 = NOT(g15634)
+g21155 = NOT(g15656)
+g21156 = NOT(g17247)
+g21160 = NOT(g17508)
+I20951 = NOT(g17782)
+g21175 = NOT(I20951)
+I20954 = NOT(g16228)
+g21176 = NOT(I20954)
+I20957 = NOT(g16228)
+g21177 = NOT(I20957)
+g21178 = NOT(g17955)
+g21179 = NOT(g15373)
+g21180 = NOT(g18008)
+g21181 = NOT(g15426)
+g21182 = NOT(g15509)
+g21183 = NOT(g15509)
+g21184 = NOT(g15509)
+g21185 = NOT(g15277)
+g21189 = NOT(g15634)
+g21204 = NOT(g15656)
+g21205 = NOT(g15656)
+g21221 = NOT(g15680)
+g21222 = NOT(g17430)
+g21225 = NOT(g17428)
+g21228 = NOT(g17531)
+I20982 = NOT(g16300)
+g21245 = NOT(I20982)
+I20985 = NOT(g16300)
+g21246 = NOT(I20985)
+g21247 = NOT(g15171)
+g21248 = NOT(g15224)
+g21249 = NOT(g15509)
+g21252 = NOT(g15656)
+g21267 = NOT(g15680)
+g21268 = NOT(g15680)
+g21269 = NOT(g15506)
+I20999 = NOT(g16709)
+g21270 = NOT(I20999)
+I21002 = NOT(g16709)
+g21271 = NOT(I21002)
+I21006 = NOT(g15579)
+g21273 = NOT(I21006)
+g21274 = NOT(g15373)
+g21275 = NOT(g15426)
+I21013 = NOT(g15806)
+g21278 = NOT(I21013)
+g21279 = NOT(g15680)
+g21280 = NOT(g16601)
+g21281 = NOT(g16286)
+I21019 = NOT(g17325)
+g21282 = NOT(I21019)
+g21286 = NOT(g15509)
+I21029 = NOT(g15816)
+g21290 = NOT(I21029)
+g21291 = NOT(g16620)
+I21033 = NOT(g17221)
+g21292 = NOT(I21033)
+I21036 = NOT(g17221)
+g21293 = NOT(I21036)
+g21295 = NOT(g17533)
+I21042 = NOT(g15824)
+g21297 = NOT(I21042)
+g21299 = NOT(g16600)
+I21047 = NOT(g17429)
+g21300 = NOT(I21047)
+g21304 = NOT(g17367)
+g21305 = NOT(g15758)
+g21306 = NOT(g15582)
+g21308 = NOT(g17485)
+I21058 = NOT(g17747)
+g21326 = NOT(I21058)
+g21329 = NOT(g16577)
+I21067 = NOT(g15573)
+g21335 = NOT(I21067)
+g21336 = NOT(g17367)
+g21337 = NOT(g15758)
+I21074 = NOT(g17766)
+g21340 = NOT(I21074)
+g21343 = NOT(g16428)
+g21346 = NOT(g17821)
+g21349 = NOT(g15758)
+g21352 = NOT(g16322)
+g21355 = NOT(g17821)
+g21358 = NOT(g16307)
+g21362 = NOT(g17873)
+I21100 = NOT(g16284)
+g21366 = NOT(I21100)
+g21369 = NOT(g16285)
+g21370 = NOT(g16323)
+g21379 = NOT(g17873)
+g21380 = NOT(g17955)
+g21381 = NOT(g18008)
+g21383 = NOT(g17367)
+I21115 = NOT(g15714)
+g21387 = NOT(I21115)
+g21393 = NOT(g17264)
+g21395 = NOT(g17873)
+g21396 = NOT(g17955)
+g21397 = NOT(g15171)
+g21398 = NOT(g18008)
+g21399 = NOT(g15224)
+g21400 = NOT(g17847)
+g21406 = NOT(g17955)
+g21407 = NOT(g15171)
+g21408 = NOT(g15373)
+g21409 = NOT(g18008)
+g21410 = NOT(g15224)
+g21411 = NOT(g15426)
+g21412 = NOT(g15758)
+g21413 = NOT(g15585)
+g21414 = NOT(g17929)
+g21418 = NOT(g17821)
+g21421 = NOT(g15171)
+g21422 = NOT(g15373)
+g21423 = NOT(g15224)
+g21424 = NOT(g15426)
+g21425 = NOT(g15509)
+g21426 = NOT(g15277)
+g21427 = NOT(g17367)
+g21428 = NOT(g15758)
+g21430 = NOT(g15608)
+g21431 = NOT(g18065)
+g21434 = NOT(g17248)
+I21162 = NOT(g17292)
+g21451 = NOT(I21162)
+g21454 = NOT(g15373)
+g21455 = NOT(g15426)
+g21456 = NOT(g15509)
+g21457 = NOT(g17367)
+g21458 = NOT(g15758)
+g21460 = NOT(g15628)
+g21461 = NOT(g15348)
+g21463 = NOT(g15588)
+g21466 = NOT(g15509)
+g21467 = NOT(g15758)
+I21181 = NOT(g17413)
+g21468 = NOT(I21181)
+g21510 = NOT(g15647)
+g21511 = NOT(g15483)
+I21189 = NOT(g17475)
+g21514 = NOT(I21189)
+g21556 = NOT(g15669)
+g21560 = NOT(g17873)
+g21561 = NOT(g15595)
+I21199 = NOT(g17501)
+g21562 = NOT(I21199)
+g21604 = NOT(g15938)
+g21607 = NOT(g17873)
+g21608 = NOT(g17955)
+g21609 = NOT(g18008)
+g21610 = NOT(g15615)
+I21210 = NOT(g17526)
+g21611 = NOT(I21210)
+g21653 = NOT(g17663)
+g21654 = NOT(g17619)
+g21656 = NOT(g17700)
+g21657 = NOT(g17657)
+g21659 = NOT(g17727)
+g21660 = NOT(g17694)
+I21222 = NOT(g18091)
+g21661 = NOT(I21222)
+g21662 = NOT(g16540)
+I21226 = NOT(g16540)
+g21665 = NOT(I21226)
+g21666 = NOT(g16540)
+I21230 = NOT(g16540)
+g21669 = NOT(I21230)
+g21670 = NOT(g16540)
+I21234 = NOT(g16540)
+g21673 = NOT(I21234)
+g21674 = NOT(g16540)
+I21238 = NOT(g16540)
+g21677 = NOT(I21238)
+g21678 = NOT(g16540)
+I21242 = NOT(g16540)
+g21681 = NOT(I21242)
+g21682 = NOT(g16540)
+I21246 = NOT(g16540)
+g21685 = NOT(I21246)
+g21686 = NOT(g16540)
+I21250 = NOT(g16540)
+g21689 = NOT(I21250)
+g21690 = NOT(g16540)
+I21254 = NOT(g16540)
+g21693 = NOT(I21254)
+g21694 = NOT(g16540)
+I21258 = NOT(g16540)
+g21697 = NOT(I21258)
+g21698 = NOT(g18562)
+I21285 = NOT(g18215)
+g21722 = NOT(I21285)
+I21288 = NOT(g18216)
+g21723 = NOT(I21288)
+I21291 = NOT(g18273)
+g21724 = NOT(I21291)
+I21294 = NOT(g18274)
+g21725 = NOT(I21294)
+I21297 = NOT(g18597)
+g21726 = NOT(I21297)
+I21300 = NOT(g18598)
+g21727 = NOT(I21300)
+I21477 = NOT(g18695)
+g21902 = NOT(I21477)
+I21480 = NOT(g18696)
+g21903 = NOT(I21480)
+I21483 = NOT(g18726)
+g21904 = NOT(I21483)
+I21486 = NOT(g18727)
+g21905 = NOT(I21486)
+g22136 = NOT(g20277)
+g22137 = NOT(g21370)
+g22138 = NOT(g21370)
+I21722 = NOT(g19264)
+g22139 = NOT(I21722)
+g22144 = NOT(g18997)
+g22146 = NOT(g18997)
+g22147 = NOT(g18997)
+g22148 = NOT(g19074)
+g22150 = NOT(g21280)
+I21734 = NOT(g19268)
+g22151 = NOT(I21734)
+g22153 = NOT(g18997)
+g22154 = NOT(g19074)
+g22155 = NOT(g19074)
+g22156 = NOT(g19147)
+I21744 = NOT(g19338)
+g22159 = NOT(I21744)
+g22166 = NOT(g18997)
+g22167 = NOT(g19074)
+g22168 = NOT(g19147)
+g22169 = NOT(g19147)
+g22170 = NOT(g19210)
+g22171 = NOT(g18882)
+I21757 = NOT(g21308)
+g22173 = NOT(I21757)
+g22176 = NOT(g18997)
+g22177 = NOT(g19074)
+g22178 = NOT(g19147)
+g22179 = NOT(g19210)
+g22180 = NOT(g19210)
+g22181 = NOT(g19277)
+I21766 = NOT(g19620)
+g22182 = NOT(I21766)
+I21769 = NOT(g19402)
+g22189 = NOT(I21769)
+g22192 = NOT(g19801)
+I21776 = NOT(g21308)
+g22194 = NOT(I21776)
+g22197 = NOT(g19074)
+g22198 = NOT(g19147)
+g22199 = NOT(g19210)
+g22200 = NOT(g19277)
+g22201 = NOT(g19277)
+I21784 = NOT(g19638)
+g22202 = NOT(I21784)
+I21787 = NOT(g19422)
+g22207 = NOT(I21787)
+I21792 = NOT(g21308)
+g22210 = NOT(I21792)
+g22213 = NOT(g19147)
+g22214 = NOT(g19210)
+g22215 = NOT(g19277)
+I21802 = NOT(g21308)
+g22220 = NOT(I21802)
+g22223 = NOT(g19210)
+g22224 = NOT(g19277)
+g22227 = NOT(g19801)
+I21810 = NOT(g20596)
+g22228 = NOT(I21810)
+I21815 = NOT(g21308)
+g22300 = NOT(I21815)
+g22303 = NOT(g19277)
+g22305 = NOT(g19801)
+g22311 = NOT(g18935)
+g22317 = NOT(g19801)
+I21831 = NOT(g19127)
+g22319 = NOT(I21831)
+g22330 = NOT(g19801)
+I21838 = NOT(g19263)
+g22332 = NOT(I21838)
+g22338 = NOT(g19801)
+g22339 = NOT(g19801)
+g22341 = NOT(g19801)
+g22358 = NOT(g19801)
+g22359 = NOT(g19495)
+I21849 = NOT(g19620)
+g22360 = NOT(I21849)
+g22406 = NOT(g19506)
+g22407 = NOT(g19455)
+g22408 = NOT(g19483)
+I21860 = NOT(g19638)
+g22409 = NOT(I21860)
+g22449 = NOT(g19597)
+g22455 = NOT(g19801)
+g22456 = NOT(g19801)
+g22492 = NOT(g19614)
+g22493 = NOT(g19801)
+g22494 = NOT(g19801)
+g22495 = NOT(g19801)
+g22496 = NOT(g19510)
+g22497 = NOT(g19513)
+g22519 = NOT(g19801)
+g22520 = NOT(g19801)
+g22526 = NOT(g19801)
+g22527 = NOT(g19546)
+g22528 = NOT(g19801)
+g22529 = NOT(g19549)
+I21911 = NOT(g21278)
+g22541 = NOT(I21911)
+g22542 = NOT(g19801)
+g22543 = NOT(g19801)
+g22544 = NOT(g19589)
+I21918 = NOT(g21290)
+g22546 = NOT(I21918)
+I21922 = NOT(g21335)
+g22550 = NOT(I21922)
+I21930 = NOT(g21297)
+g22592 = NOT(I21930)
+g22593 = NOT(g19801)
+I21934 = NOT(g21273)
+g22594 = NOT(I21934)
+I21941 = NOT(g18918)
+g22626 = NOT(I21941)
+g22635 = NOT(g19801)
+g22646 = NOT(g19389)
+I21959 = NOT(g20242)
+g22647 = NOT(I21959)
+g22649 = NOT(g19063)
+I21969 = NOT(g21370)
+g22658 = NOT(I21969)
+g22660 = NOT(g19140)
+g22667 = NOT(g21156)
+g22682 = NOT(g19379)
+I22000 = NOT(g20277)
+g22683 = NOT(I22000)
+I22009 = NOT(g21269)
+g22698 = NOT(I22009)
+g22714 = NOT(g20436)
+g22716 = NOT(g19795)
+g22718 = NOT(g20887)
+I22024 = NOT(g19350)
+g22719 = NOT(I22024)
+I22028 = NOT(g20204)
+g22721 = NOT(I22028)
+I22031 = NOT(g21387)
+g22722 = NOT(I22031)
+g22756 = NOT(g20436)
+g22758 = NOT(g20330)
+g22759 = NOT(g19857)
+g22761 = NOT(g21024)
+I22046 = NOT(g19330)
+g22763 = NOT(I22046)
+g22830 = NOT(g20283)
+g22840 = NOT(g20330)
+g22841 = NOT(g20391)
+g22842 = NOT(g19875)
+g22844 = NOT(g21163)
+g22845 = NOT(g20682)
+g22847 = NOT(g20283)
+g22854 = NOT(g20330)
+g22855 = NOT(g20391)
+g22856 = NOT(g20453)
+g22857 = NOT(g20739)
+g22858 = NOT(g20751)
+g22860 = NOT(g20000)
+g22865 = NOT(g20330)
+g22866 = NOT(g20330)
+g22867 = NOT(g20391)
+g22868 = NOT(g20453)
+g22869 = NOT(g20875)
+g22870 = NOT(g20887)
+I22096 = NOT(g19890)
+g22881 = NOT(I22096)
+g22882 = NOT(g20391)
+g22883 = NOT(g20391)
+g22884 = NOT(g20453)
+g22896 = NOT(g21012)
+g22897 = NOT(g21024)
+g22898 = NOT(g20283)
+g22903 = NOT(g20330)
+I22111 = NOT(g19919)
+g22904 = NOT(I22111)
+I22114 = NOT(g19935)
+g22905 = NOT(I22114)
+g22906 = NOT(g20453)
+g22907 = NOT(g20453)
+g22919 = NOT(g21163)
+g22922 = NOT(g20330)
+I22124 = NOT(g21300)
+g22923 = NOT(I22124)
+g22926 = NOT(g20391)
+I22128 = NOT(g19968)
+g22927 = NOT(I22128)
+I22131 = NOT(g19984)
+g22928 = NOT(I22131)
+g22935 = NOT(g20283)
+g22936 = NOT(g20283)
+I22143 = NOT(g20189)
+g22957 = NOT(I22143)
+g22973 = NOT(g20330)
+g22974 = NOT(g20330)
+g22975 = NOT(g20391)
+I22149 = NOT(g21036)
+g22976 = NOT(I22149)
+g22979 = NOT(g20453)
+I22153 = NOT(g20014)
+g22980 = NOT(I22153)
+g22981 = NOT(g20283)
+g22985 = NOT(g20330)
+g22986 = NOT(g20330)
+g22987 = NOT(g20391)
+g22988 = NOT(g20391)
+g22989 = NOT(g20453)
+g22994 = NOT(g20436)
+g22995 = NOT(g20330)
+g22996 = NOT(g20330)
+g22997 = NOT(g20391)
+g22998 = NOT(g20391)
+g22999 = NOT(g20453)
+g23000 = NOT(g20453)
+g23001 = NOT(g19801)
+I22177 = NOT(g21366)
+g23002 = NOT(I22177)
+I22180 = NOT(g21366)
+g23003 = NOT(I22180)
+g23004 = NOT(g20283)
+g23005 = NOT(g20283)
+g23011 = NOT(g20330)
+g23012 = NOT(g20330)
+g23013 = NOT(g20330)
+g23014 = NOT(g20391)
+g23015 = NOT(g20391)
+g23016 = NOT(g20453)
+g23017 = NOT(g20453)
+g23018 = NOT(g19801)
+g23019 = NOT(g19866)
+g23020 = NOT(g19869)
+g23021 = NOT(g20283)
+g23022 = NOT(g20283)
+g23026 = NOT(g20391)
+g23027 = NOT(g20391)
+g23028 = NOT(g20391)
+g23029 = NOT(g20453)
+g23030 = NOT(g20453)
+g23031 = NOT(g19801)
+I22211 = NOT(g21463)
+g23032 = NOT(I22211)
+g23041 = NOT(g19882)
+g23046 = NOT(g20283)
+g23055 = NOT(g20887)
+g23057 = NOT(g20453)
+g23058 = NOT(g20453)
+g23059 = NOT(g20453)
+g23060 = NOT(g19908)
+g23061 = NOT(g20283)
+g23066 = NOT(g20330)
+g23082 = NOT(g21024)
+g23084 = NOT(g19954)
+g23085 = NOT(g19957)
+g23086 = NOT(g20283)
+I22240 = NOT(g20086)
+g23088 = NOT(I22240)
+g23111 = NOT(g20391)
+g23127 = NOT(g21163)
+g23128 = NOT(g20283)
+g23138 = NOT(g20453)
+g23152 = NOT(g20283)
+I22264 = NOT(g20100)
+g23154 = NOT(I22264)
+g23170 = NOT(g20046)
+I22275 = NOT(g20127)
+g23172 = NOT(I22275)
+g23182 = NOT(g21389)
+g23189 = NOT(g20060)
+I22286 = NOT(g19446)
+g23190 = NOT(I22286)
+I22289 = NOT(g19446)
+g23191 = NOT(I22289)
+g23192 = NOT(g20248)
+g23196 = NOT(g20785)
+I22302 = NOT(g19353)
+g23202 = NOT(I22302)
+g23203 = NOT(g20073)
+g23211 = NOT(g21308)
+g23214 = NOT(g20785)
+g23215 = NOT(g20785)
+g23216 = NOT(g20924)
+I22316 = NOT(g19361)
+g23219 = NOT(I22316)
+g23221 = NOT(g20785)
+g23222 = NOT(g20785)
+g23223 = NOT(g21308)
+g23226 = NOT(g20924)
+g23227 = NOT(g20924)
+g23228 = NOT(g21070)
+I22327 = NOT(g19367)
+g23230 = NOT(I22327)
+g23231 = NOT(g20050)
+I22331 = NOT(g19417)
+g23232 = NOT(I22331)
+g23233 = NOT(g21037)
+g23234 = NOT(g20375)
+g23235 = NOT(g20785)
+g23236 = NOT(g20785)
+g23237 = NOT(g20924)
+g23238 = NOT(g20924)
+g23239 = NOT(g21308)
+g23242 = NOT(g21070)
+g23243 = NOT(g21070)
+I22343 = NOT(g19371)
+g23244 = NOT(I22343)
+g23245 = NOT(g20785)
+g23246 = NOT(g20785)
+g23247 = NOT(g20924)
+g23248 = NOT(g20924)
+g23249 = NOT(g21070)
+g23250 = NOT(g21070)
+I22353 = NOT(g19375)
+g23252 = NOT(I22353)
+g23253 = NOT(g21037)
+g23256 = NOT(g20785)
+g23257 = NOT(g20924)
+g23258 = NOT(g20924)
+g23259 = NOT(g21070)
+g23260 = NOT(g21070)
+I22366 = NOT(g19757)
+g23263 = NOT(I22366)
+g23264 = NOT(g21037)
+g23267 = NOT(g20097)
+g23270 = NOT(g20785)
+g23271 = NOT(g20785)
+g23272 = NOT(g20924)
+g23273 = NOT(g21070)
+g23274 = NOT(g21070)
+I22380 = NOT(g21156)
+g23277 = NOT(I22380)
+g23278 = NOT(g20283)
+g23279 = NOT(g21037)
+g23282 = NOT(g20330)
+g23283 = NOT(g20785)
+g23284 = NOT(g20785)
+g23285 = NOT(g20887)
+g23289 = NOT(g20924)
+g23290 = NOT(g20924)
+g23291 = NOT(g21070)
+I22400 = NOT(g19620)
+g23299 = NOT(I22400)
+g23300 = NOT(g20283)
+g23301 = NOT(g21037)
+g23302 = NOT(g20330)
+g23303 = NOT(g20785)
+g23304 = NOT(g20785)
+g23305 = NOT(g20391)
+g23306 = NOT(g20924)
+g23307 = NOT(g20924)
+g23308 = NOT(g21024)
+g23312 = NOT(g21070)
+g23313 = NOT(g21070)
+I22419 = NOT(g19638)
+g23320 = NOT(I22419)
+I22422 = NOT(g19330)
+g23321 = NOT(I22422)
+I22425 = NOT(g19379)
+g23322 = NOT(I22425)
+g23323 = NOT(g20283)
+g23331 = NOT(g20905)
+g23332 = NOT(g20785)
+g23333 = NOT(g20785)
+g23334 = NOT(g20785)
+g23335 = NOT(g20391)
+g23336 = NOT(g20924)
+g23337 = NOT(g20924)
+g23338 = NOT(g20453)
+g23339 = NOT(g21070)
+g23340 = NOT(g21070)
+g23341 = NOT(g21163)
+I22444 = NOT(g19626)
+g23347 = NOT(I22444)
+g23350 = NOT(g20785)
+g23351 = NOT(g20924)
+g23352 = NOT(g20924)
+g23353 = NOT(g20924)
+g23354 = NOT(g20453)
+g23355 = NOT(g21070)
+g23356 = NOT(g21070)
+I22458 = NOT(g18954)
+g23359 = NOT(I22458)
+I22461 = NOT(g21225)
+g23360 = NOT(I22461)
+I22464 = NOT(g21222)
+g23361 = NOT(I22464)
+I22467 = NOT(g19662)
+g23362 = NOT(I22467)
+I22470 = NOT(g21326)
+g23363 = NOT(I22470)
+g23375 = NOT(g20924)
+g23376 = NOT(g21070)
+g23377 = NOT(g21070)
+g23378 = NOT(g21070)
+g23380 = NOT(g20619)
+g23382 = NOT(g20682)
+I22485 = NOT(g21308)
+g23384 = NOT(I22485)
+I22488 = NOT(g18984)
+g23385 = NOT(I22488)
+g23388 = NOT(g21070)
+g23390 = NOT(g21468)
+g23391 = NOT(g20645)
+g23393 = NOT(g20739)
+I22499 = NOT(g21160)
+g23394 = NOT(I22499)
+I22502 = NOT(g19376)
+g23395 = NOT(I22502)
+g23398 = NOT(g21468)
+g23399 = NOT(g21514)
+g23400 = NOT(g20676)
+g23402 = NOT(g20875)
+I22512 = NOT(g19389)
+g23403 = NOT(I22512)
+g23406 = NOT(g20330)
+g23408 = NOT(g21468)
+g23409 = NOT(g21514)
+g23410 = NOT(g21562)
+g23411 = NOT(g20734)
+g23413 = NOT(g21012)
+I22525 = NOT(g19345)
+g23414 = NOT(I22525)
+g23417 = NOT(g20391)
+g23418 = NOT(g21468)
+g23419 = NOT(g21468)
+g23420 = NOT(g21514)
+g23421 = NOT(g21562)
+g23422 = NOT(g21611)
+g23423 = NOT(g20871)
+g23425 = NOT(g20751)
+I22539 = NOT(g19606)
+g23426 = NOT(I22539)
+I22542 = NOT(g19773)
+g23427 = NOT(I22542)
+g23429 = NOT(g20453)
+I22547 = NOT(g20720)
+g23430 = NOT(I22547)
+g23431 = NOT(g21514)
+g23432 = NOT(g21514)
+g23433 = NOT(g21562)
+g23434 = NOT(g21611)
+g23435 = NOT(g18833)
+I22557 = NOT(g20695)
+g23440 = NOT(I22557)
+g23443 = NOT(g21468)
+I22561 = NOT(g20841)
+g23444 = NOT(I22561)
+I22564 = NOT(g20857)
+g23445 = NOT(I22564)
+g23446 = NOT(g21562)
+g23447 = NOT(g21562)
+g23448 = NOT(g21611)
+g23449 = NOT(g18833)
+I22571 = NOT(g20097)
+g23450 = NOT(I22571)
+g23452 = NOT(g21468)
+I22576 = NOT(g21282)
+g23453 = NOT(I22576)
+g23456 = NOT(g21514)
+I22580 = NOT(g20982)
+g23457 = NOT(I22580)
+I22583 = NOT(g20998)
+g23458 = NOT(I22583)
+g23459 = NOT(g21611)
+g23460 = NOT(g21611)
+g23461 = NOT(g18833)
+I22589 = NOT(g21340)
+g23462 = NOT(I22589)
+g23472 = NOT(g21062)
+g23473 = NOT(g20785)
+g23476 = NOT(g21468)
+g23477 = NOT(g21468)
+g23478 = NOT(g21514)
+g23479 = NOT(g21562)
+I22601 = NOT(g21127)
+g23480 = NOT(I22601)
+I22604 = NOT(g21143)
+g23481 = NOT(I22604)
+g23482 = NOT(g18833)
+g23483 = NOT(g18833)
+g23485 = NOT(g20785)
+g23486 = NOT(g20785)
+g23487 = NOT(g20924)
+g23488 = NOT(g21468)
+g23489 = NOT(g21468)
+g23490 = NOT(g21514)
+g23491 = NOT(g21514)
+g23492 = NOT(g21562)
+g23493 = NOT(g21611)
+I22619 = NOT(g21193)
+g23494 = NOT(I22619)
+I22622 = NOT(g21209)
+g23495 = NOT(I22622)
+g23496 = NOT(g20248)
+g23499 = NOT(g20785)
+g23500 = NOT(g20924)
+g23501 = NOT(g20924)
+g23502 = NOT(g21070)
+g23503 = NOT(g21468)
+g23504 = NOT(g21468)
+g23505 = NOT(g21514)
+g23506 = NOT(g21514)
+g23507 = NOT(g21562)
+g23508 = NOT(g21562)
+g23509 = NOT(g21611)
+g23510 = NOT(g18833)
+I22640 = NOT(g21256)
+g23511 = NOT(I22640)
+g23512 = NOT(g20248)
+g23515 = NOT(g20785)
+g23516 = NOT(g20924)
+g23517 = NOT(g21070)
+g23518 = NOT(g21070)
+g23519 = NOT(g21468)
+g23520 = NOT(g21468)
+g23521 = NOT(g21468)
+g23522 = NOT(g21514)
+g23523 = NOT(g21514)
+g23524 = NOT(g21562)
+g23525 = NOT(g21562)
+g23526 = NOT(g21611)
+g23527 = NOT(g21611)
+g23528 = NOT(g18833)
+g23529 = NOT(g20558)
+g23530 = NOT(g20248)
+I22665 = NOT(g21308)
+g23534 = NOT(I22665)
+g23537 = NOT(g20785)
+g23538 = NOT(g20924)
+g23539 = NOT(g21070)
+g23541 = NOT(g21514)
+g23542 = NOT(g21514)
+g23543 = NOT(g21514)
+g23544 = NOT(g21562)
+g23545 = NOT(g21562)
+g23546 = NOT(g21611)
+g23547 = NOT(g21611)
+g23548 = NOT(g18833)
+g23549 = NOT(g18833)
+g23550 = NOT(g20248)
+I22692 = NOT(g21308)
+g23555 = NOT(I22692)
+g23558 = NOT(g20924)
+g23559 = NOT(g21070)
+g23563 = NOT(g20682)
+g23565 = NOT(g21562)
+g23566 = NOT(g21562)
+g23567 = NOT(g21562)
+g23568 = NOT(g21611)
+g23569 = NOT(g21611)
+g23570 = NOT(g18833)
+g23571 = NOT(g18833)
+g23573 = NOT(g20248)
+I22725 = NOT(g21250)
+g23578 = NOT(I22725)
+I22729 = NOT(g21308)
+g23582 = NOT(I22729)
+g23585 = NOT(g21070)
+g23589 = NOT(g21468)
+g23605 = NOT(g20739)
+g23607 = NOT(g21611)
+g23608 = NOT(g21611)
+g23609 = NOT(g21611)
+g23610 = NOT(g18833)
+g23611 = NOT(g18833)
+I22745 = NOT(g19458)
+g23612 = NOT(I22745)
+I22748 = NOT(g19458)
+g23613 = NOT(I22748)
+g23614 = NOT(g20248)
+I22769 = NOT(g21277)
+g23620 = NOT(I22769)
+g23629 = NOT(g21514)
+g23645 = NOT(g20875)
+g23647 = NOT(g18833)
+g23648 = NOT(g18833)
+g23649 = NOT(g18833)
+g23650 = NOT(g20653)
+g23651 = NOT(g20655)
+I22785 = NOT(g18940)
+g23652 = NOT(I22785)
+I22788 = NOT(g18940)
+g23653 = NOT(I22788)
+g23654 = NOT(g20248)
+g23665 = NOT(g21562)
+g23681 = NOT(g21012)
+I22816 = NOT(g19862)
+g23683 = NOT(I22816)
+I22819 = NOT(g19862)
+g23684 = NOT(I22819)
+g23698 = NOT(g21611)
+g23714 = NOT(g20751)
+g23715 = NOT(g20764)
+g23732 = NOT(g18833)
+g23745 = NOT(g20900)
+g23746 = NOT(g20902)
+g23749 = NOT(g18997)
+I22886 = NOT(g18926)
+g23759 = NOT(I22886)
+I22889 = NOT(g18926)
+g23760 = NOT(I22889)
+g23764 = NOT(g21308)
+g23767 = NOT(g18997)
+g23768 = NOT(g18997)
+g23769 = NOT(g19074)
+g23776 = NOT(g21177)
+I22918 = NOT(g21451)
+g23777 = NOT(I22918)
+g23787 = NOT(g18997)
+g23788 = NOT(g18997)
+g23789 = NOT(g21308)
+g23792 = NOT(g19074)
+g23793 = NOT(g19074)
+g23794 = NOT(g19147)
+g23800 = NOT(g21246)
+g23812 = NOT(g18997)
+g23813 = NOT(g18997)
+g23814 = NOT(g19074)
+g23815 = NOT(g19074)
+g23816 = NOT(g21308)
+g23819 = NOT(g19147)
+g23820 = NOT(g19147)
+g23821 = NOT(g19210)
+I22989 = NOT(g21175)
+g23823 = NOT(I22989)
+g23824 = NOT(g21271)
+g23838 = NOT(g18997)
+g23839 = NOT(g18997)
+g23840 = NOT(g19074)
+g23841 = NOT(g19074)
+g23842 = NOT(g19147)
+g23843 = NOT(g19147)
+g23844 = NOT(g21308)
+g23847 = NOT(g19210)
+g23848 = NOT(g19210)
+g23849 = NOT(g19277)
+g23858 = NOT(g18997)
+g23859 = NOT(g19074)
+g23860 = NOT(g19074)
+g23861 = NOT(g19147)
+g23862 = NOT(g19147)
+g23863 = NOT(g19210)
+g23864 = NOT(g19210)
+g23865 = NOT(g21308)
+g23868 = NOT(g19277)
+g23869 = NOT(g19277)
+g23870 = NOT(g21293)
+g23874 = NOT(g18997)
+g23875 = NOT(g18997)
+g23876 = NOT(g19074)
+g23877 = NOT(g19147)
+g23878 = NOT(g19147)
+g23879 = NOT(g19210)
+g23880 = NOT(g19210)
+g23881 = NOT(g19277)
+g23882 = NOT(g19277)
+g23886 = NOT(g21468)
+g23887 = NOT(g18997)
+g23888 = NOT(g18997)
+g23889 = NOT(g20682)
+g23893 = NOT(g19074)
+g23894 = NOT(g19074)
+g23895 = NOT(g19147)
+g23896 = NOT(g19210)
+g23897 = NOT(g19210)
+g23898 = NOT(g19277)
+g23899 = NOT(g19277)
+g23902 = NOT(g21468)
+g23903 = NOT(g18997)
+g23904 = NOT(g18997)
+g23905 = NOT(g21514)
+g23906 = NOT(g19074)
+g23907 = NOT(g19074)
+g23908 = NOT(g20739)
+g23912 = NOT(g19147)
+g23913 = NOT(g19147)
+g23914 = NOT(g19210)
+g23915 = NOT(g19277)
+g23916 = NOT(g19277)
+g23922 = NOT(g18997)
+g23923 = NOT(g18997)
+g23924 = NOT(g18997)
+g23925 = NOT(g21514)
+g23926 = NOT(g19074)
+g23927 = NOT(g19074)
+g23928 = NOT(g21562)
+g23929 = NOT(g19147)
+g23930 = NOT(g19147)
+g23931 = NOT(g20875)
+g23935 = NOT(g19210)
+g23936 = NOT(g19210)
+g23937 = NOT(g19277)
+g23938 = NOT(g18997)
+g23939 = NOT(g19074)
+g23940 = NOT(g19074)
+g23941 = NOT(g19074)
+g23942 = NOT(g21562)
+g23943 = NOT(g19147)
+g23944 = NOT(g19147)
+g23945 = NOT(g21611)
+g23946 = NOT(g19210)
+g23947 = NOT(g19210)
+g23948 = NOT(g21012)
+g23952 = NOT(g19277)
+g23953 = NOT(g19277)
+I23099 = NOT(g20682)
+g23954 = NOT(I23099)
+g23961 = NOT(g19074)
+g23962 = NOT(g19147)
+g23963 = NOT(g19147)
+g23964 = NOT(g19147)
+g23965 = NOT(g21611)
+g23966 = NOT(g19210)
+g23967 = NOT(g19210)
+g23968 = NOT(g18833)
+g23969 = NOT(g19277)
+g23970 = NOT(g19277)
+g23971 = NOT(g20751)
+g23982 = NOT(g19147)
+g23983 = NOT(g19210)
+g23984 = NOT(g19210)
+g23985 = NOT(g19210)
+g23986 = NOT(g18833)
+g23987 = NOT(g19277)
+g23988 = NOT(g19277)
+g23992 = NOT(g19210)
+g23993 = NOT(g19277)
+g23994 = NOT(g19277)
+g23995 = NOT(g19277)
+g23999 = NOT(g21468)
+g24000 = NOT(g19277)
+g24003 = NOT(g21514)
+I23149 = NOT(g19061)
+g24005 = NOT(I23149)
+g24010 = NOT(g21562)
+g24013 = NOT(g21611)
+g24017 = NOT(g18833)
+g24019 = NOT(g19968)
+g24020 = NOT(g20014)
+g24021 = NOT(g20841)
+g24022 = NOT(g20982)
+g24023 = NOT(g21127)
+g24024 = NOT(g21193)
+g24025 = NOT(g21256)
+g24026 = NOT(g19919)
+g24027 = NOT(g20014)
+g24028 = NOT(g20841)
+g24029 = NOT(g20982)
+g24030 = NOT(g21127)
+g24031 = NOT(g21193)
+g24032 = NOT(g21256)
+g24033 = NOT(g19919)
+g24034 = NOT(g19968)
+g24035 = NOT(g20841)
+g24036 = NOT(g20982)
+g24037 = NOT(g21127)
+g24038 = NOT(g21193)
+g24039 = NOT(g21256)
+g24040 = NOT(g19919)
+g24041 = NOT(g19968)
+g24042 = NOT(g20014)
+g24043 = NOT(g20982)
+g24044 = NOT(g21127)
+g24045 = NOT(g21193)
+g24046 = NOT(g21256)
+g24047 = NOT(g19919)
+g24048 = NOT(g19968)
+g24049 = NOT(g20014)
+g24050 = NOT(g20841)
+g24051 = NOT(g21127)
+g24052 = NOT(g21193)
+g24053 = NOT(g21256)
+g24054 = NOT(g19919)
+g24055 = NOT(g19968)
+g24056 = NOT(g20014)
+g24057 = NOT(g20841)
+g24058 = NOT(g20982)
+g24059 = NOT(g21193)
+g24060 = NOT(g21256)
+g24061 = NOT(g19919)
+g24062 = NOT(g19968)
+g24063 = NOT(g20014)
+g24064 = NOT(g20841)
+g24065 = NOT(g20982)
+g24066 = NOT(g21127)
+g24067 = NOT(g21256)
+g24068 = NOT(g19919)
+g24069 = NOT(g19968)
+g24070 = NOT(g20014)
+g24071 = NOT(g20841)
+g24072 = NOT(g20982)
+g24073 = NOT(g21127)
+g24074 = NOT(g21193)
+g24075 = NOT(g19935)
+g24076 = NOT(g19984)
+g24077 = NOT(g20720)
+g24078 = NOT(g20857)
+g24079 = NOT(g20998)
+g24080 = NOT(g21143)
+g24081 = NOT(g21209)
+g24082 = NOT(g19890)
+g24083 = NOT(g19984)
+g24084 = NOT(g20720)
+g24085 = NOT(g20857)
+g24086 = NOT(g20998)
+g24087 = NOT(g21143)
+g24088 = NOT(g21209)
+g24089 = NOT(g19890)
+g24090 = NOT(g19935)
+g24091 = NOT(g20720)
+g24092 = NOT(g20857)
+g24093 = NOT(g20998)
+g24094 = NOT(g21143)
+g24095 = NOT(g21209)
+g24096 = NOT(g19890)
+g24097 = NOT(g19935)
+g24098 = NOT(g19984)
+g24099 = NOT(g20720)
+g24100 = NOT(g20857)
+g24101 = NOT(g20998)
+g24102 = NOT(g21143)
+g24103 = NOT(g21209)
+g24104 = NOT(g19890)
+g24105 = NOT(g19935)
+g24106 = NOT(g19984)
+g24107 = NOT(g20857)
+g24108 = NOT(g20998)
+g24109 = NOT(g21143)
+g24110 = NOT(g21209)
+g24111 = NOT(g19890)
+g24112 = NOT(g19935)
+g24113 = NOT(g19984)
+g24114 = NOT(g20720)
+g24115 = NOT(g20998)
+g24116 = NOT(g21143)
+g24117 = NOT(g21209)
+g24118 = NOT(g19890)
+g24119 = NOT(g19935)
+g24120 = NOT(g19984)
+g24121 = NOT(g20720)
+g24122 = NOT(g20857)
+g24123 = NOT(g21143)
+g24124 = NOT(g21209)
+g24125 = NOT(g19890)
+g24126 = NOT(g19935)
+g24127 = NOT(g19984)
+g24128 = NOT(g20720)
+g24129 = NOT(g20857)
+g24130 = NOT(g20998)
+g24131 = NOT(g21209)
+g24132 = NOT(g19890)
+g24133 = NOT(g19935)
+g24134 = NOT(g19984)
+g24135 = NOT(g20720)
+g24136 = NOT(g20857)
+g24137 = NOT(g20998)
+g24138 = NOT(g21143)
+g24146 = NOT(g19422)
+g24147 = NOT(g19402)
+g24149 = NOT(g19338)
+g24150 = NOT(g19268)
+I23300 = NOT(g21665)
+g24152 = NOT(I23300)
+I23303 = NOT(g21669)
+g24153 = NOT(I23303)
+I23306 = NOT(g21673)
+g24154 = NOT(I23306)
+I23309 = NOT(g21677)
+g24155 = NOT(I23309)
+I23312 = NOT(g21681)
+g24156 = NOT(I23312)
+I23315 = NOT(g21685)
+g24157 = NOT(I23315)
+I23318 = NOT(g21689)
+g24158 = NOT(I23318)
+I23321 = NOT(g21693)
+g24159 = NOT(I23321)
+I23324 = NOT(g21697)
+g24160 = NOT(I23324)
+I23327 = NOT(g22647)
+g24161 = NOT(I23327)
+I23330 = NOT(g22658)
+g24162 = NOT(I23330)
+I23333 = NOT(g22683)
+g24163 = NOT(I23333)
+I23336 = NOT(g22721)
+g24164 = NOT(I23336)
+I23339 = NOT(g23232)
+g24165 = NOT(I23339)
+I23342 = NOT(g23299)
+g24166 = NOT(I23342)
+I23345 = NOT(g23320)
+g24167 = NOT(I23345)
+I23348 = NOT(g23384)
+g24168 = NOT(I23348)
+I23351 = NOT(g23263)
+g24169 = NOT(I23351)
+I23354 = NOT(g23277)
+g24170 = NOT(I23354)
+I23357 = NOT(g23359)
+g24171 = NOT(I23357)
+I23360 = NOT(g23360)
+g24172 = NOT(I23360)
+I23363 = NOT(g23385)
+g24173 = NOT(I23363)
+I23366 = NOT(g23321)
+g24174 = NOT(I23366)
+I23369 = NOT(g23347)
+g24175 = NOT(I23369)
+I23372 = NOT(g23361)
+g24176 = NOT(I23372)
+I23375 = NOT(g23403)
+g24177 = NOT(I23375)
+I23378 = NOT(g23426)
+g24178 = NOT(I23378)
+I23381 = NOT(g23322)
+g24179 = NOT(I23381)
+I23384 = NOT(g23362)
+g24180 = NOT(I23384)
+I23387 = NOT(g23394)
+g24181 = NOT(I23387)
+I23390 = NOT(g23395)
+g24182 = NOT(I23390)
+I23393 = NOT(g23414)
+g24183 = NOT(I23393)
+I23396 = NOT(g23427)
+g24184 = NOT(I23396)
+I23399 = NOT(g23450)
+g24185 = NOT(I23399)
+g24356 = NOT(g22594)
+g24357 = NOT(g22325)
+g24358 = NOT(g22550)
+g24359 = NOT(g22550)
+g24360 = NOT(g22228)
+g24361 = NOT(g22885)
+g24364 = NOT(g22722)
+g24365 = NOT(g22594)
+g24366 = NOT(g22594)
+g24367 = NOT(g22550)
+g24368 = NOT(g22228)
+g24372 = NOT(g22885)
+g24373 = NOT(g22908)
+g24375 = NOT(g22722)
+g24376 = NOT(g22722)
+g24377 = NOT(g22594)
+g24379 = NOT(g22550)
+g24384 = NOT(g22885)
+g24385 = NOT(g22908)
+g24386 = NOT(g22594)
+g24388 = NOT(g22885)
+g24389 = NOT(g22908)
+g24394 = NOT(g22228)
+g24396 = NOT(g22885)
+g24397 = NOT(g22908)
+g24404 = NOT(g22908)
+g24405 = NOT(g22722)
+g24407 = NOT(g22594)
+g24417 = NOT(g22171)
+g24418 = NOT(g22722)
+g24419 = NOT(g22722)
+g24424 = NOT(g22722)
+g24425 = NOT(g22722)
+g24426 = NOT(g22722)
+g24428 = NOT(g22722)
+g24429 = NOT(g22722)
+g24431 = NOT(g22722)
+g24437 = NOT(g22654)
+g24438 = NOT(g22722)
+g24452 = NOT(g22722)
+g24463 = NOT(g23578)
+I23671 = NOT(g23202)
+g24466 = NOT(I23671)
+g24474 = NOT(g23620)
+I23680 = NOT(g23219)
+g24477 = NOT(I23680)
+I23684 = NOT(g23230)
+g24481 = NOT(I23684)
+I23688 = NOT(g23244)
+g24483 = NOT(I23688)
+I23694 = NOT(g23252)
+g24489 = NOT(I23694)
+g24490 = NOT(g22594)
+g24505 = NOT(g22689)
+I23711 = NOT(g23192)
+g24506 = NOT(I23711)
+g24509 = NOT(g22689)
+g24515 = NOT(g22689)
+g24516 = NOT(g22670)
+g24522 = NOT(g22689)
+g24524 = NOT(g22876)
+g24525 = NOT(g22670)
+g24526 = NOT(g22942)
+g24527 = NOT(g22670)
+g24533 = NOT(g22876)
+g24534 = NOT(g22670)
+g24535 = NOT(g22942)
+g24540 = NOT(g22942)
+g24548 = NOT(g22942)
+g24560 = NOT(g22942)
+g24568 = NOT(g22942)
+g24571 = NOT(g22942)
+g24579 = NOT(g23067)
+g24585 = NOT(g23063)
+g24586 = NOT(g23067)
+g24587 = NOT(g23112)
+g24603 = NOT(g23108)
+g24604 = NOT(g23112)
+g24605 = NOT(g23139)
+g24623 = NOT(g23076)
+g24625 = NOT(g23135)
+g24626 = NOT(g23139)
+g24636 = NOT(g23121)
+g24648 = NOT(g23148)
+g24655 = NOT(g23067)
+g24665 = NOT(g23067)
+g24667 = NOT(g23112)
+g24683 = NOT(g23112)
+g24685 = NOT(g23139)
+g24699 = NOT(g23047)
+g24711 = NOT(g23139)
+g24718 = NOT(g22182)
+g24732 = NOT(g23042)
+g24744 = NOT(g22202)
+g24756 = NOT(g22763)
+g24759 = NOT(g23003)
+g24770 = NOT(g22763)
+g24778 = NOT(g23286)
+g24789 = NOT(g23309)
+g24791 = NOT(g23850)
+g24795 = NOT(g23342)
+g24818 = NOT(g23191)
+I23998 = NOT(g22182)
+g24819 = NOT(I23998)
+g24825 = NOT(g23204)
+I24008 = NOT(g22182)
+g24836 = NOT(I24008)
+g24839 = NOT(g23436)
+I24022 = NOT(g22182)
+g24850 = NOT(I24022)
+I24038 = NOT(g22202)
+g24866 = NOT(I24038)
+I24041 = NOT(g22182)
+g24869 = NOT(I24041)
+g24891 = NOT(g23231)
+I24060 = NOT(g22202)
+g24893 = NOT(I24060)
+I24078 = NOT(g22360)
+g24911 = NOT(I24078)
+I24089 = NOT(g22409)
+g24920 = NOT(I24089)
+g24960 = NOT(g23716)
+g24963 = NOT(g22342)
+I24128 = NOT(g23009)
+g24964 = NOT(I24128)
+g24966 = NOT(g22763)
+g24971 = NOT(g23590)
+g24978 = NOT(g22342)
+g24979 = NOT(g22369)
+g24980 = NOT(g22384)
+g24981 = NOT(g22763)
+g24982 = NOT(g22763)
+g24985 = NOT(g23586)
+g24986 = NOT(g23590)
+g24987 = NOT(g23630)
+g24991 = NOT(g22369)
+g24992 = NOT(g22417)
+g24993 = NOT(g22384)
+g24994 = NOT(g22432)
+g24995 = NOT(g22763)
+g24996 = NOT(g22763)
+g24999 = NOT(g23626)
+g25000 = NOT(g23630)
+g25001 = NOT(g23666)
+g25006 = NOT(g22417)
+g25007 = NOT(g22457)
+g25008 = NOT(g22432)
+g25009 = NOT(g22472)
+g25011 = NOT(g22763)
+g25013 = NOT(g23599)
+g25015 = NOT(g23662)
+g25016 = NOT(g23666)
+g25017 = NOT(g23699)
+g25023 = NOT(g22457)
+g25024 = NOT(g22472)
+g25025 = NOT(g22498)
+I24191 = NOT(g22360)
+g25027 = NOT(I24191)
+g25032 = NOT(g23639)
+g25034 = NOT(g23695)
+g25035 = NOT(g23699)
+g25036 = NOT(g23733)
+g25039 = NOT(g22498)
+g25044 = NOT(g23675)
+g25046 = NOT(g23729)
+g25047 = NOT(g23733)
+I24215 = NOT(g22360)
+g25051 = NOT(I24215)
+g25055 = NOT(g23590)
+g25060 = NOT(g23708)
+I24228 = NOT(g22409)
+g25064 = NOT(I24228)
+g25070 = NOT(g23590)
+g25072 = NOT(g23630)
+I24237 = NOT(g23823)
+g25073 = NOT(I24237)
+g25080 = NOT(g23742)
+g25081 = NOT(g22342)
+g25082 = NOT(g22342)
+g25083 = NOT(g23782)
+g25090 = NOT(g23630)
+g25092 = NOT(g23666)
+g25097 = NOT(g22342)
+g25098 = NOT(g22369)
+g25099 = NOT(g22369)
+g25100 = NOT(g22384)
+g25101 = NOT(g22384)
+g25109 = NOT(g23666)
+g25111 = NOT(g23699)
+I24278 = NOT(g23440)
+g25114 = NOT(I24278)
+I24281 = NOT(g23440)
+g25115 = NOT(I24281)
+g25116 = NOT(g22369)
+g25117 = NOT(g22417)
+g25118 = NOT(g22417)
+g25119 = NOT(g22384)
+g25120 = NOT(g22432)
+g25121 = NOT(g22432)
+g25131 = NOT(g23699)
+g25133 = NOT(g23733)
+g25134 = NOT(g22417)
+g25135 = NOT(g22457)
+g25136 = NOT(g22457)
+g25137 = NOT(g22432)
+g25138 = NOT(g22472)
+g25139 = NOT(g22472)
+g25140 = NOT(g22228)
+g25153 = NOT(g23733)
+g25154 = NOT(g22457)
+g25155 = NOT(g22472)
+g25156 = NOT(g22498)
+g25157 = NOT(g22498)
+g25158 = NOT(g22228)
+I24331 = NOT(g22976)
+g25167 = NOT(I24331)
+I24334 = NOT(g22976)
+g25168 = NOT(I24334)
+g25169 = NOT(g22763)
+g25170 = NOT(g22498)
+g25171 = NOT(g22228)
+g25174 = NOT(g23890)
+g25180 = NOT(g23529)
+g25182 = NOT(g22763)
+g25183 = NOT(g22763)
+g25184 = NOT(g22763)
+g25185 = NOT(g22228)
+g25188 = NOT(g23909)
+g25193 = NOT(g22763)
+g25194 = NOT(g22763)
+g25195 = NOT(g22763)
+g25196 = NOT(g22763)
+g25197 = NOT(g23958)
+g25198 = NOT(g22228)
+g25202 = NOT(g23932)
+g25206 = NOT(g23613)
+g25208 = NOT(g22763)
+g25209 = NOT(g22763)
+g25210 = NOT(g23802)
+g25211 = NOT(g22763)
+g25212 = NOT(g22763)
+g25213 = NOT(g23293)
+g25214 = NOT(g22228)
+g25218 = NOT(g23949)
+I24393 = NOT(g23453)
+g25219 = NOT(I24393)
+I24396 = NOT(g23453)
+g25220 = NOT(I24396)
+g25221 = NOT(g23653)
+I24400 = NOT(g23954)
+g25222 = NOT(I24400)
+g25224 = NOT(g22763)
+g25225 = NOT(g23802)
+g25226 = NOT(g22763)
+g25227 = NOT(g22763)
+g25228 = NOT(g23828)
+g25230 = NOT(g23314)
+g25231 = NOT(g22228)
+g25232 = NOT(g22228)
+g25239 = NOT(g23972)
+g25240 = NOT(g23650)
+g25241 = NOT(g23651)
+g25242 = NOT(g23684)
+g25243 = NOT(g22763)
+g25244 = NOT(g23802)
+g25245 = NOT(g22763)
+g25246 = NOT(g23828)
+g25248 = NOT(g22228)
+g25249 = NOT(g22228)
+I24434 = NOT(g22763)
+g25250 = NOT(I24434)
+I24445 = NOT(g22923)
+g25259 = NOT(I24445)
+I24448 = NOT(g22923)
+g25260 = NOT(I24448)
+g25262 = NOT(g22763)
+g25263 = NOT(g22763)
+g25264 = NOT(g23828)
+I24455 = NOT(g22541)
+g25265 = NOT(I24455)
+g25266 = NOT(g22228)
+g25267 = NOT(g22228)
+g25272 = NOT(g23715)
+g25273 = NOT(g23978)
+g25274 = NOT(g22763)
+g25282 = NOT(g22763)
+g25283 = NOT(g22763)
+I24474 = NOT(g22546)
+g25284 = NOT(I24474)
+g25286 = NOT(g22228)
+g25287 = NOT(g22228)
+g25288 = NOT(g22228)
+g25289 = NOT(g22228)
+g25296 = NOT(g23745)
+g25297 = NOT(g23746)
+g25298 = NOT(g23760)
+g25299 = NOT(g22763)
+g25307 = NOT(g22763)
+g25308 = NOT(g22763)
+g25316 = NOT(g22763)
+I24497 = NOT(g22592)
+g25322 = NOT(I24497)
+g25324 = NOT(g22228)
+g25325 = NOT(g22228)
+g25326 = NOT(g22228)
+g25327 = NOT(g22161)
+g25340 = NOT(g22763)
+g25348 = NOT(g22763)
+g25356 = NOT(g22763)
+g25369 = NOT(g22228)
+g25370 = NOT(g22228)
+g25380 = NOT(g23776)
+g25388 = NOT(g22763)
+g25399 = NOT(g22763)
+g25409 = NOT(g22228)
+g25410 = NOT(g22228)
+I24558 = NOT(g23777)
+g25423 = NOT(I24558)
+g25424 = NOT(g23800)
+g25438 = NOT(g22763)
+g25451 = NOT(g22228)
+g25452 = NOT(g22228)
+g25465 = NOT(g23824)
+g25480 = NOT(g22228)
+g25481 = NOT(g22228)
+g25505 = NOT(g22228)
+g25506 = NOT(g22228)
+g25513 = NOT(g23870)
+g25517 = NOT(g22228)
+g25523 = NOT(g22550)
+g25524 = NOT(g22228)
+g25525 = NOT(g22550)
+g25528 = NOT(g22594)
+g25529 = NOT(g22763)
+g25533 = NOT(g22550)
+g25534 = NOT(g22763)
+g25535 = NOT(g22763)
+g25538 = NOT(g22594)
+g25541 = NOT(g22763)
+g25542 = NOT(g22763)
+g25544 = NOT(g22594)
+g25546 = NOT(g22550)
+g25547 = NOT(g22550)
+g25548 = NOT(g22550)
+g25549 = NOT(g22763)
+g25550 = NOT(g22763)
+g25552 = NOT(g22594)
+g25553 = NOT(g22550)
+g25554 = NOT(g22550)
+g25555 = NOT(g22550)
+g25556 = NOT(g22763)
+g25557 = NOT(g22763)
+g25558 = NOT(g22594)
+g25560 = NOT(g22550)
+g25561 = NOT(g22550)
+g25562 = NOT(g22763)
+g25563 = NOT(g22594)
+g25564 = NOT(g22312)
+g25566 = NOT(g22550)
+I24759 = NOT(g24229)
+g25620 = NOT(I24759)
+I24781 = NOT(g24264)
+g25640 = NOT(I24781)
+I24784 = NOT(g24265)
+g25641 = NOT(I24784)
+I24787 = NOT(g24266)
+g25642 = NOT(I24787)
+I24839 = NOT(g24298)
+g25692 = NOT(I24839)
+g25766 = NOT(g24439)
+I24920 = NOT(g25513)
+g25771 = NOT(I24920)
+g25773 = NOT(g24453)
+g25781 = NOT(g24510)
+g25783 = NOT(g25250)
+g25786 = NOT(g24518)
+g25790 = NOT(g25027)
+g25820 = NOT(g25051)
+g25830 = NOT(g24485)
+g25837 = NOT(g25064)
+g25838 = NOT(g25250)
+g25849 = NOT(g24491)
+g25869 = NOT(g25250)
+g25882 = NOT(g25026)
+g25886 = NOT(g24537)
+g25892 = NOT(g24528)
+g25893 = NOT(g24541)
+g25899 = NOT(g24997)
+I25005 = NOT(g24417)
+g25903 = NOT(I25005)
+I25028 = NOT(g24484)
+g25930 = NOT(I25028)
+g25994 = NOT(g24575)
+I25095 = NOT(g25265)
+g25997 = NOT(I25095)
+I25105 = NOT(g25284)
+g26026 = NOT(I25105)
+g26054 = NOT(g24804)
+I25115 = NOT(g25322)
+g26055 = NOT(I25115)
+g26081 = NOT(g24619)
+g26083 = NOT(g24809)
+g26093 = NOT(g24814)
+I25146 = NOT(g24911)
+g26105 = NOT(I25146)
+I25161 = NOT(g24920)
+g26131 = NOT(I25161)
+I25190 = NOT(g25423)
+g26187 = NOT(I25190)
+g26260 = NOT(g24759)
+g26284 = NOT(g24875)
+g26326 = NOT(g24872)
+g26337 = NOT(g24818)
+g26340 = NOT(g24953)
+I25327 = NOT(g24641)
+g26364 = NOT(I25327)
+I25351 = NOT(g24466)
+g26400 = NOT(I25351)
+I25356 = NOT(g24374)
+g26424 = NOT(I25356)
+I25359 = NOT(g24715)
+g26483 = NOT(I25359)
+I25366 = NOT(g24477)
+g26488 = NOT(I25366)
+I25369 = NOT(g24891)
+g26510 = NOT(I25369)
+g26518 = NOT(g25233)
+I25380 = NOT(g24481)
+g26519 = NOT(I25380)
+g26548 = NOT(g25255)
+I25391 = NOT(g24483)
+g26549 = NOT(I25391)
+g26575 = NOT(g25268)
+I25399 = NOT(g24489)
+g26576 = NOT(I25399)
+g26605 = NOT(g25293)
+g26607 = NOT(g25382)
+g26608 = NOT(g25334)
+g26614 = NOT(g25426)
+g26615 = NOT(g25432)
+g26631 = NOT(g25467)
+g26632 = NOT(g25473)
+g26634 = NOT(g25317)
+g26648 = NOT(g25115)
+g26653 = NOT(g25337)
+g26654 = NOT(g25275)
+g26655 = NOT(g25492)
+g26656 = NOT(g25495)
+g26672 = NOT(g25275)
+g26679 = NOT(g25385)
+g26680 = NOT(g25300)
+g26681 = NOT(g25396)
+g26682 = NOT(g25309)
+g26683 = NOT(g25514)
+g26693 = NOT(g25300)
+g26700 = NOT(g25429)
+g26701 = NOT(g25341)
+g26702 = NOT(g25309)
+g26709 = NOT(g25435)
+g26710 = NOT(g25349)
+g26718 = NOT(g25168)
+g26720 = NOT(g25275)
+g26724 = NOT(g25341)
+g26731 = NOT(g25470)
+g26732 = NOT(g25389)
+g26736 = NOT(g25349)
+g26743 = NOT(g25476)
+g26744 = NOT(g25400)
+g26754 = NOT(g25300)
+g26758 = NOT(g25389)
+g26765 = NOT(g25309)
+g26769 = NOT(g25400)
+g26776 = NOT(g25498)
+g26777 = NOT(g25439)
+g26784 = NOT(g25341)
+g26788 = NOT(g25349)
+g26792 = NOT(g25439)
+I25511 = NOT(g25073)
+g26801 = NOT(I25511)
+I25514 = NOT(g25073)
+g26802 = NOT(I25514)
+g26803 = NOT(g25389)
+g26804 = NOT(g25400)
+g26810 = NOT(g25220)
+g26811 = NOT(g25206)
+g26812 = NOT(g25439)
+g26814 = NOT(g25221)
+g26816 = NOT(g25260)
+g26817 = NOT(g25242)
+I25530 = NOT(g25222)
+g26818 = NOT(I25530)
+I25534 = NOT(g25448)
+g26820 = NOT(I25534)
+g26824 = NOT(g25298)
+I25541 = NOT(g25180)
+g26825 = NOT(I25541)
+g26827 = NOT(g24819)
+g26830 = NOT(g24411)
+g26831 = NOT(g24836)
+g26832 = NOT(g24850)
+I25552 = NOT(g25240)
+g26834 = NOT(I25552)
+I25555 = NOT(g25241)
+g26835 = NOT(I25555)
+g26836 = NOT(g24866)
+g26837 = NOT(g24869)
+I25562 = NOT(g25250)
+g26840 = NOT(I25562)
+g26841 = NOT(g24893)
+I25567 = NOT(g25272)
+g26843 = NOT(I25567)
+I25576 = NOT(g25296)
+g26850 = NOT(I25576)
+I25579 = NOT(g25297)
+g26851 = NOT(I25579)
+I25586 = NOT(g25537)
+g26856 = NOT(I25586)
+I25591 = NOT(g25380)
+g26859 = NOT(I25591)
+I25594 = NOT(g25531)
+g26860 = NOT(I25594)
+I25598 = NOT(g25424)
+g26862 = NOT(I25598)
+g26869 = NOT(g24842)
+I25606 = NOT(g25465)
+g26870 = NOT(I25606)
+I25677 = NOT(g25640)
+g26935 = NOT(I25677)
+I25680 = NOT(g25641)
+g26936 = NOT(I25680)
+I25683 = NOT(g25642)
+g26937 = NOT(I25683)
+I25689 = NOT(g25688)
+g26941 = NOT(I25689)
+I25692 = NOT(g25689)
+g26942 = NOT(I25692)
+I25695 = NOT(g25690)
+g26943 = NOT(I25695)
+g26973 = NOT(g26105)
+g26987 = NOT(g26131)
+g26990 = NOT(g26105)
+g27004 = NOT(g26131)
+g27009 = NOT(g25911)
+g27011 = NOT(g25917)
+I25743 = NOT(g25903)
+g27013 = NOT(I25743)
+g27014 = NOT(g25888)
+g27015 = NOT(g26869)
+g27017 = NOT(g25895)
+I25750 = NOT(g26823)
+g27018 = NOT(I25750)
+g27038 = NOT(g25932)
+I25779 = NOT(g26424)
+g27051 = NOT(I25779)
+I25786 = NOT(g26424)
+g27064 = NOT(I25786)
+I25790 = NOT(g26424)
+g27074 = NOT(I25790)
+g27084 = NOT(g26673)
+g27088 = NOT(g26694)
+g27089 = NOT(g26703)
+g27091 = NOT(g26725)
+g27092 = NOT(g26737)
+g27100 = NOT(g26759)
+g27101 = NOT(g26770)
+g27112 = NOT(g26793)
+g27142 = NOT(g26105)
+g27155 = NOT(g26131)
+I25869 = NOT(g25851)
+g27163 = NOT(I25869)
+I25882 = NOT(g25776)
+g27187 = NOT(I25882)
+g27237 = NOT(g26162)
+g27242 = NOT(g26183)
+g27245 = NOT(g26209)
+g27279 = NOT(g26330)
+I26004 = NOT(g26818)
+g27320 = NOT(I26004)
+g27349 = NOT(g26352)
+I26100 = NOT(g26365)
+g27402 = NOT(I26100)
+g27415 = NOT(g26382)
+I26130 = NOT(g26510)
+g27438 = NOT(I26130)
+g27492 = NOT(g26598)
+I26195 = NOT(g26260)
+g27527 = NOT(I26195)
+g27554 = NOT(g26625)
+g27565 = NOT(g26645)
+g27573 = NOT(g26667)
+g27576 = NOT(g26081)
+g27583 = NOT(g26686)
+g27585 = NOT(g25994)
+g27592 = NOT(g26715)
+g27597 = NOT(g26745)
+I26296 = NOT(g26820)
+g27662 = NOT(I26296)
+I26309 = NOT(g26825)
+g27675 = NOT(I26309)
+g27698 = NOT(g26648)
+I26334 = NOT(g26834)
+g27708 = NOT(I26334)
+I26337 = NOT(g26835)
+g27709 = NOT(I26337)
+g27730 = NOT(g26424)
+I26356 = NOT(g26843)
+g27736 = NOT(I26356)
+g27737 = NOT(g26718)
+I26378 = NOT(g26850)
+g27773 = NOT(I26378)
+I26381 = NOT(g26851)
+g27774 = NOT(I26381)
+g27830 = NOT(g26802)
+I26406 = NOT(g26187)
+g27831 = NOT(I26406)
+I26409 = NOT(g26187)
+g27832 = NOT(I26409)
+I26427 = NOT(g26859)
+g27880 = NOT(I26427)
+I26430 = NOT(g26856)
+g27881 = NOT(I26430)
+g27928 = NOT(g26810)
+I26448 = NOT(g26860)
+g27929 = NOT(I26448)
+I26451 = NOT(g26862)
+g27930 = NOT(I26451)
+I26466 = NOT(g26870)
+g27956 = NOT(I26466)
+g27961 = NOT(g26816)
+I26479 = NOT(g25771)
+g27967 = NOT(I26479)
+g27971 = NOT(g26673)
+g27975 = NOT(g26694)
+g27976 = NOT(g26703)
+g27977 = NOT(g26105)
+g27983 = NOT(g26725)
+g27984 = NOT(g26737)
+g27985 = NOT(g26131)
+g27989 = NOT(g26759)
+g27990 = NOT(g26770)
+g27991 = NOT(g25852)
+I26503 = NOT(g26811)
+g27993 = NOT(I26503)
+g27994 = NOT(g26793)
+I26508 = NOT(g26814)
+g27996 = NOT(I26508)
+I26512 = NOT(g26817)
+g27998 = NOT(I26512)
+I26516 = NOT(g26824)
+g28009 = NOT(I26516)
+g28032 = NOT(g26365)
+g28033 = NOT(g26365)
+g28034 = NOT(g26365)
+g28036 = NOT(g26365)
+g28037 = NOT(g26365)
+g28038 = NOT(g26365)
+g28039 = NOT(g26365)
+g28040 = NOT(g26365)
+I26578 = NOT(g26941)
+g28079 = NOT(I26578)
+I26581 = NOT(g26942)
+g28080 = NOT(I26581)
+I26584 = NOT(g26943)
+g28081 = NOT(I26584)
+g28119 = NOT(g27008)
+g28120 = NOT(g27108)
+g28121 = NOT(g27093)
+g28126 = NOT(g27122)
+g28127 = NOT(g27102)
+I26638 = NOT(g27965)
+g28137 = NOT(I26638)
+I26649 = NOT(g27675)
+g28142 = NOT(I26649)
+I26654 = NOT(g27576)
+g28147 = NOT(I26654)
+I26664 = NOT(g27708)
+g28155 = NOT(I26664)
+I26667 = NOT(g27585)
+g28156 = NOT(I26667)
+I26670 = NOT(g27709)
+g28157 = NOT(I26670)
+I26676 = NOT(g27736)
+g28161 = NOT(I26676)
+I26679 = NOT(g27773)
+g28162 = NOT(I26679)
+I26682 = NOT(g27774)
+g28163 = NOT(I26682)
+I26687 = NOT(g27880)
+g28166 = NOT(I26687)
+I26693 = NOT(g27930)
+g28173 = NOT(I26693)
+I26700 = NOT(g27956)
+g28181 = NOT(I26700)
+I26705 = NOT(g27967)
+g28184 = NOT(I26705)
+I26710 = NOT(g27511)
+g28187 = NOT(I26710)
+g28241 = NOT(g27064)
+g28250 = NOT(g27074)
+I26785 = NOT(g27013)
+g28262 = NOT(I26785)
+I26799 = NOT(g27660)
+g28274 = NOT(I26799)
+g28294 = NOT(g27295)
+g28307 = NOT(g27306)
+g28321 = NOT(g27317)
+g28325 = NOT(g27463)
+g28326 = NOT(g27414)
+I26880 = NOT(g27527)
+g28367 = NOT(I26880)
+g28370 = NOT(g27528)
+g28380 = NOT(g27064)
+g28399 = NOT(g27074)
+I26925 = NOT(g27015)
+g28431 = NOT(I26925)
+I26929 = NOT(g27980)
+g28436 = NOT(I26929)
+g28441 = NOT(g27629)
+I26936 = NOT(g27599)
+g28443 = NOT(I26936)
+I26952 = NOT(g27972)
+g28463 = NOT(I26952)
+g28479 = NOT(g27654)
+I26989 = NOT(g27277)
+g28508 = NOT(I26989)
+g28559 = NOT(g27700)
+g28575 = NOT(g27711)
+g28579 = NOT(g27714)
+g28590 = NOT(g27724)
+g28593 = NOT(g27727)
+g28598 = NOT(g27717)
+g28604 = NOT(g27759)
+g28606 = NOT(g27762)
+g28608 = NOT(g27670)
+g28615 = NOT(g27817)
+g28620 = NOT(g27679)
+g28633 = NOT(g27687)
+g28648 = NOT(g27693)
+g28656 = NOT(g27742)
+g28669 = NOT(g27705)
+g28675 = NOT(g27779)
+g28678 = NOT(g27800)
+g28693 = NOT(g27837)
+g28696 = NOT(g27858)
+I27192 = NOT(g27662)
+g28709 = NOT(I27192)
+g28711 = NOT(g27886)
+g28713 = NOT(g27907)
+g28726 = NOT(g27937)
+I27232 = NOT(g27993)
+g28752 = NOT(I27232)
+I27235 = NOT(g27320)
+g28753 = NOT(I27235)
+I27238 = NOT(g27320)
+g28754 = NOT(I27238)
+I27253 = NOT(g27996)
+g28779 = NOT(I27253)
+I27271 = NOT(g27998)
+g28819 = NOT(I27271)
+I27314 = NOT(g28009)
+g28917 = NOT(I27314)
+g28918 = NOT(g27832)
+g28954 = NOT(g27830)
+I27368 = NOT(g27881)
+g29013 = NOT(I27368)
+g29014 = NOT(g27742)
+I27385 = NOT(g27438)
+g29041 = NOT(I27385)
+I27388 = NOT(g27698)
+g29042 = NOT(I27388)
+I27391 = NOT(g27929)
+g29043 = NOT(I27391)
+g29044 = NOT(g27742)
+g29045 = NOT(g27779)
+g29056 = NOT(g27800)
+I27401 = NOT(g27051)
+g29067 = NOT(I27401)
+g29079 = NOT(g27742)
+g29080 = NOT(g27779)
+g29081 = NOT(g27837)
+g29092 = NOT(g27800)
+g29093 = NOT(g27858)
+g29115 = NOT(g27779)
+g29116 = NOT(g27837)
+g29117 = NOT(g27886)
+g29128 = NOT(g27800)
+g29129 = NOT(g27858)
+g29130 = NOT(g27907)
+I27449 = NOT(g27737)
+g29147 = NOT(I27449)
+g29149 = NOT(g27837)
+g29150 = NOT(g27886)
+g29151 = NOT(g27858)
+g29152 = NOT(g27907)
+g29153 = NOT(g27937)
+g29169 = NOT(g27886)
+g29170 = NOT(g27907)
+g29171 = NOT(g27937)
+g29172 = NOT(g27020)
+g29177 = NOT(g27937)
+I27481 = NOT(g27928)
+g29185 = NOT(I27481)
+g29190 = NOT(g27046)
+I27492 = NOT(g27511)
+g29194 = NOT(I27492)
+I27495 = NOT(g27961)
+g29195 = NOT(I27495)
+g29196 = NOT(g27059)
+I27543 = NOT(g28187)
+g29209 = NOT(I27543)
+I27546 = NOT(g29041)
+g29210 = NOT(I27546)
+I27549 = NOT(g28161)
+g29211 = NOT(I27549)
+I27552 = NOT(g28162)
+g29212 = NOT(I27552)
+I27555 = NOT(g28142)
+g29213 = NOT(I27555)
+I27558 = NOT(g28155)
+g29214 = NOT(I27558)
+I27561 = NOT(g28163)
+g29215 = NOT(I27561)
+I27564 = NOT(g28166)
+g29216 = NOT(I27564)
+I27567 = NOT(g28181)
+g29217 = NOT(I27567)
+I27570 = NOT(g28262)
+g29218 = NOT(I27570)
+I27573 = NOT(g28157)
+g29219 = NOT(I27573)
+I27576 = NOT(g28173)
+g29220 = NOT(I27576)
+I27579 = NOT(g28184)
+g29221 = NOT(I27579)
+g29310 = NOT(g28991)
+g29311 = NOT(g28998)
+g29312 = NOT(g28877)
+I27677 = NOT(g28156)
+g29317 = NOT(I27677)
+g29318 = NOT(g29029)
+g29333 = NOT(g28167)
+g29339 = NOT(g28274)
+g29342 = NOT(g28188)
+g29343 = NOT(g28174)
+g29348 = NOT(g28194)
+I27713 = NOT(g28224)
+g29353 = NOT(I27713)
+I27718 = NOT(g28231)
+g29358 = NOT(I27718)
+g29365 = NOT(g29067)
+I27730 = NOT(g28752)
+g29368 = NOT(I27730)
+I27735 = NOT(g28779)
+g29371 = NOT(I27735)
+I27738 = NOT(g28140)
+g29372 = NOT(I27738)
+I27742 = NOT(g28819)
+g29374 = NOT(I27742)
+I27749 = NOT(g28917)
+g29379 = NOT(I27749)
+g29385 = NOT(g28180)
+I27758 = NOT(g28119)
+g29474 = NOT(I27758)
+I27777 = NOT(g29043)
+g29491 = NOT(I27777)
+I27784 = NOT(g29013)
+g29498 = NOT(I27784)
+g29505 = NOT(g29186)
+g29507 = NOT(g28353)
+g29597 = NOT(g28444)
+I27927 = NOT(g28803)
+g29653 = NOT(I27927)
+I27941 = NOT(g28803)
+g29669 = NOT(I27941)
+I27954 = NOT(g28803)
+g29689 = NOT(I27954)
+g29697 = NOT(g28336)
+g29707 = NOT(g28504)
+I27970 = NOT(g28803)
+g29713 = NOT(I27970)
+g29725 = NOT(g28349)
+g29744 = NOT(g28431)
+g29745 = NOT(g28500)
+I28002 = NOT(g28153)
+g29755 = NOT(I28002)
+I28014 = NOT(g28158)
+g29765 = NOT(I28014)
+g29800 = NOT(g28363)
+g29811 = NOT(g28376)
+g29812 = NOT(g28381)
+I28062 = NOT(g29194)
+g29814 = NOT(I28062)
+g29846 = NOT(g28391)
+g29847 = NOT(g28395)
+g29862 = NOT(g28406)
+g29863 = NOT(g28410)
+g29878 = NOT(g28421)
+g29893 = NOT(g28755)
+I28128 = NOT(g28314)
+g29897 = NOT(I28128)
+g29905 = NOT(g28783)
+g29906 = NOT(g28793)
+g29911 = NOT(g28780)
+g29912 = NOT(g28827)
+g29913 = NOT(g28840)
+g29920 = NOT(g28824)
+g29921 = NOT(g28864)
+g29922 = NOT(g28837)
+g29923 = NOT(g28874)
+g29925 = NOT(g28820)
+g29927 = NOT(g28861)
+g29928 = NOT(g28871)
+g29929 = NOT(g28914)
+I28162 = NOT(g28803)
+g29930 = NOT(I28162)
+g29939 = NOT(g28857)
+g29941 = NOT(g28900)
+g29942 = NOT(g28867)
+g29944 = NOT(g28911)
+I28174 = NOT(g28803)
+g29945 = NOT(I28174)
+g29948 = NOT(g28853)
+g29950 = NOT(g28896)
+g29953 = NOT(g28907)
+g29955 = NOT(g28950)
+I28185 = NOT(g28803)
+g29956 = NOT(I28185)
+g29960 = NOT(g28885)
+g29961 = NOT(g28892)
+g29963 = NOT(g28931)
+g29965 = NOT(g28903)
+g29967 = NOT(g28946)
+I28199 = NOT(g28803)
+g29970 = NOT(I28199)
+g29976 = NOT(g29018)
+g29977 = NOT(g28920)
+g29978 = NOT(g28927)
+g29980 = NOT(g28935)
+g29981 = NOT(g28942)
+g29983 = NOT(g28977)
+g29993 = NOT(g29018)
+g29994 = NOT(g29049)
+g29995 = NOT(g28955)
+g29996 = NOT(g28962)
+g29997 = NOT(g29060)
+g29998 = NOT(g28966)
+g29999 = NOT(g28973)
+I28241 = NOT(g28709)
+g30012 = NOT(I28241)
+g30016 = NOT(g29049)
+g30017 = NOT(g29085)
+g30018 = NOT(g28987)
+g30019 = NOT(g29060)
+g30020 = NOT(g29097)
+g30021 = NOT(g28994)
+g30022 = NOT(g29001)
+g30036 = NOT(g29085)
+g30037 = NOT(g29121)
+g30038 = NOT(g29097)
+g30039 = NOT(g29134)
+g30040 = NOT(g29025)
+g30052 = NOT(g29018)
+g30053 = NOT(g29121)
+g30054 = NOT(g29134)
+g30055 = NOT(g29157)
+g30063 = NOT(g29015)
+g30065 = NOT(g29049)
+g30067 = NOT(g29060)
+g30068 = NOT(g29157)
+I28301 = NOT(g29042)
+g30072 = NOT(I28301)
+g30074 = NOT(g29046)
+g30076 = NOT(g29085)
+g30077 = NOT(g29057)
+g30079 = NOT(g29097)
+g30085 = NOT(g29082)
+g30087 = NOT(g29121)
+g30088 = NOT(g29094)
+g30090 = NOT(g29134)
+g30097 = NOT(g29118)
+g30100 = NOT(g29131)
+g30102 = NOT(g29157)
+I28336 = NOT(g29147)
+g30105 = NOT(I28336)
+g30113 = NOT(g29154)
+I28349 = NOT(g28367)
+g30116 = NOT(I28349)
+g30142 = NOT(g28754)
+I28390 = NOT(g29185)
+g30155 = NOT(I28390)
+I28419 = NOT(g29195)
+g30182 = NOT(I28419)
+g30184 = NOT(g28144)
+I28434 = NOT(g28114)
+g30195 = NOT(I28434)
+g30206 = NOT(g28436)
+I28458 = NOT(g28443)
+g30217 = NOT(I28458)
+g30218 = NOT(g28918)
+I28480 = NOT(g28652)
+g30237 = NOT(I28480)
+g30259 = NOT(g28463)
+g30292 = NOT(g28736)
+I28540 = NOT(g28954)
+g30295 = NOT(I28540)
+g30296 = NOT(g28889)
+g30297 = NOT(g28758)
+g30299 = NOT(g28765)
+I28548 = NOT(g28147)
+g30301 = NOT(I28548)
+g30302 = NOT(g28924)
+g30303 = NOT(g28786)
+g30305 = NOT(g28939)
+g30306 = NOT(g28796)
+g30309 = NOT(g28959)
+g30310 = NOT(g28830)
+g30312 = NOT(g28970)
+g30313 = NOT(g28843)
+g30318 = NOT(g28274)
+I28572 = NOT(g28274)
+g30321 = NOT(I28572)
+g30322 = NOT(g28431)
+I28576 = NOT(g28431)
+g30325 = NOT(I28576)
+I28579 = NOT(g29474)
+g30326 = NOT(I28579)
+I28582 = NOT(g30116)
+g30327 = NOT(I28582)
+I28585 = NOT(g30217)
+g30328 = NOT(I28585)
+I28588 = NOT(g29368)
+g30329 = NOT(I28588)
+I28591 = NOT(g29371)
+g30330 = NOT(I28591)
+I28594 = NOT(g29379)
+g30331 = NOT(I28594)
+I28597 = NOT(g29374)
+g30332 = NOT(I28597)
+I28832 = NOT(g30301)
+g30565 = NOT(I28832)
+g30567 = NOT(g29930)
+g30568 = NOT(g29339)
+I28838 = NOT(g29372)
+g30569 = NOT(I28838)
+g30572 = NOT(g29945)
+g30578 = NOT(g29956)
+I28851 = NOT(g29317)
+g30591 = NOT(I28851)
+g30593 = NOT(g29970)
+I28866 = NOT(g29730)
+g30606 = NOT(I28866)
+I28872 = NOT(g30072)
+g30610 = NOT(I28872)
+I28883 = NOT(g30105)
+g30729 = NOT(I28883)
+I28897 = NOT(g30155)
+g30917 = NOT(I28897)
+I28908 = NOT(g30182)
+g30928 = NOT(I28908)
+I28913 = NOT(g30322)
+g30931 = NOT(I28913)
+g30983 = NOT(g29657)
+g30989 = NOT(g29672)
+g30990 = NOT(g29676)
+I28925 = NOT(g29987)
+g30991 = NOT(I28925)
+g30996 = NOT(g29694)
+g30997 = NOT(g29702)
+g30998 = NOT(g29719)
+g30999 = NOT(g29722)
+g31000 = NOT(g29737)
+g31013 = NOT(g29679)
+g31138 = NOT(g29778)
+I29002 = NOT(g29675)
+g31189 = NOT(I29002)
+I29013 = NOT(g29705)
+g31213 = NOT(I29013)
+g31227 = NOT(g29744)
+g31239 = NOT(g29916)
+g31243 = NOT(g29933)
+I29139 = NOT(g29382)
+g31479 = NOT(I29139)
+I29149 = NOT(g29384)
+g31487 = NOT(I29149)
+I29182 = NOT(g30012)
+g31521 = NOT(I29182)
+I29185 = NOT(g30012)
+g31522 = NOT(I29185)
+I29199 = NOT(g30237)
+g31578 = NOT(I29199)
+I29204 = NOT(g29505)
+g31596 = NOT(I29204)
+I29207 = NOT(g30293)
+g31601 = NOT(I29207)
+g31608 = NOT(g29653)
+I29211 = NOT(g30298)
+g31609 = NOT(I29211)
+I29214 = NOT(g30300)
+g31616 = NOT(I29214)
+g31623 = NOT(g29669)
+I29218 = NOT(g30304)
+g31624 = NOT(I29218)
+I29221 = NOT(g30307)
+g31631 = NOT(I29221)
+g31638 = NOT(g29689)
+I29225 = NOT(g30311)
+g31639 = NOT(I29225)
+I29228 = NOT(g30314)
+g31646 = NOT(I29228)
+g31653 = NOT(g29713)
+I29233 = NOT(g30295)
+g31655 = NOT(I29233)
+I29236 = NOT(g29498)
+g31656 = NOT(I29236)
+I29239 = NOT(g29498)
+g31657 = NOT(I29239)
+I29242 = NOT(g29313)
+g31658 = NOT(I29242)
+I29245 = NOT(g29491)
+g31665 = NOT(I29245)
+I29248 = NOT(g29491)
+g31666 = NOT(I29248)
+g31667 = NOT(g30142)
+I29337 = NOT(g30286)
+g31771 = NOT(I29337)
+I29363 = NOT(g30218)
+g31791 = NOT(I29363)
+I29368 = NOT(g30321)
+g31794 = NOT(I29368)
+I29371 = NOT(g30325)
+g31795 = NOT(I29371)
+g31796 = NOT(g29385)
+g31797 = NOT(g29385)
+g31798 = NOT(g29385)
+g31799 = NOT(g29385)
+g31800 = NOT(g29385)
+g31801 = NOT(g29385)
+g31802 = NOT(g29385)
+g31803 = NOT(g29385)
+g31804 = NOT(g29385)
+g31805 = NOT(g29385)
+g31806 = NOT(g29385)
+g31807 = NOT(g29385)
+g31808 = NOT(g29385)
+g31809 = NOT(g29385)
+g31810 = NOT(g29385)
+g31811 = NOT(g29385)
+g31812 = NOT(g29385)
+g31813 = NOT(g29385)
+g31814 = NOT(g29385)
+g31815 = NOT(g29385)
+g31816 = NOT(g29385)
+g31817 = NOT(g29385)
+g31818 = NOT(g29385)
+g31819 = NOT(g29385)
+g31820 = NOT(g29385)
+g31821 = NOT(g29385)
+g31822 = NOT(g29385)
+g31823 = NOT(g29385)
+g31824 = NOT(g29385)
+g31825 = NOT(g29385)
+g31826 = NOT(g29385)
+g31827 = NOT(g29385)
+g31828 = NOT(g29385)
+g31829 = NOT(g29385)
+g31830 = NOT(g29385)
+g31831 = NOT(g29385)
+g31832 = NOT(g29385)
+g31833 = NOT(g29385)
+g31834 = NOT(g29385)
+g31835 = NOT(g29385)
+g31836 = NOT(g29385)
+g31837 = NOT(g29385)
+g31838 = NOT(g29385)
+g31839 = NOT(g29385)
+g31840 = NOT(g29385)
+g31841 = NOT(g29385)
+g31842 = NOT(g29385)
+g31843 = NOT(g29385)
+g31844 = NOT(g29385)
+g31845 = NOT(g29385)
+g31846 = NOT(g29385)
+g31847 = NOT(g29385)
+g31848 = NOT(g29385)
+g31849 = NOT(g29385)
+g31850 = NOT(g29385)
+g31851 = NOT(g29385)
+g31852 = NOT(g29385)
+g31853 = NOT(g29385)
+g31854 = NOT(g29385)
+g31855 = NOT(g29385)
+g31856 = NOT(g29385)
+g31857 = NOT(g29385)
+g31858 = NOT(g29385)
+g31859 = NOT(g29385)
+I29438 = NOT(g30610)
+g31860 = NOT(I29438)
+I29441 = NOT(g30917)
+g31861 = NOT(I29441)
+I29444 = NOT(g30928)
+g31862 = NOT(I29444)
+I29447 = NOT(g30729)
+g31863 = NOT(I29447)
+g31937 = NOT(g30991)
+g31945 = NOT(g31189)
+I29571 = NOT(g31783)
+g32015 = NOT(I29571)
+I29579 = NOT(g30565)
+g32021 = NOT(I29579)
+I29582 = NOT(g30591)
+g32024 = NOT(I29582)
+I29585 = NOT(g31655)
+g32027 = NOT(I29585)
+g32033 = NOT(g30929)
+g32038 = NOT(g30934)
+g32090 = NOT(g31003)
+g32099 = NOT(g31009)
+g32118 = NOT(g31008)
+g32137 = NOT(g31134)
+g32138 = NOT(g31233)
+I29717 = NOT(g30931)
+g32185 = NOT(I29717)
+I29720 = NOT(g30931)
+g32186 = NOT(I29720)
+g32192 = NOT(g31262)
+g32201 = NOT(g31509)
+g32318 = NOT(g31596)
+g32329 = NOT(g31522)
+I29891 = NOT(g31578)
+g32363 = NOT(I29891)
+I29894 = NOT(g31771)
+g32364 = NOT(I29894)
+g32377 = NOT(g30984)
+I29909 = NOT(g31791)
+g32381 = NOT(I29909)
+g32382 = NOT(g31657)
+I29913 = NOT(g30605)
+g32383 = NOT(I29913)
+g32384 = NOT(g31666)
+g32393 = NOT(g30922)
+g32394 = NOT(g30601)
+I29936 = NOT(g30606)
+g32404 = NOT(I29936)
+I29939 = NOT(g31667)
+g32407 = NOT(I29939)
+g32415 = NOT(g31591)
+g32421 = NOT(g31213)
+g32430 = NOT(g30984)
+I29961 = NOT(g30984)
+g32433 = NOT(I29961)
+g32434 = NOT(g31189)
+I29965 = NOT(g31189)
+g32437 = NOT(I29965)
+g32438 = NOT(g30991)
+I29969 = NOT(g30991)
+g32441 = NOT(I29969)
+g32442 = NOT(g31213)
+I29973 = NOT(g31213)
+g32445 = NOT(I29973)
+g32446 = NOT(g31596)
+I29977 = NOT(g31596)
+g32449 = NOT(I29977)
+g32450 = NOT(g31591)
+I29981 = NOT(g31591)
+g32453 = NOT(I29981)
+g32456 = NOT(g31376)
+g32457 = NOT(g30735)
+g32458 = NOT(g30825)
+g32459 = NOT(g31070)
+g32460 = NOT(g31194)
+g32461 = NOT(g30614)
+g32462 = NOT(g30673)
+g32463 = NOT(g31566)
+g32464 = NOT(g30735)
+g32465 = NOT(g30825)
+g32466 = NOT(g31070)
+g32467 = NOT(g31194)
+g32468 = NOT(g30614)
+g32469 = NOT(g30673)
+g32470 = NOT(g31566)
+g32471 = NOT(g31376)
+g32472 = NOT(g30825)
+g32473 = NOT(g31070)
+g32474 = NOT(g31194)
+g32475 = NOT(g30614)
+g32476 = NOT(g30673)
+g32477 = NOT(g31566)
+g32478 = NOT(g31376)
+g32479 = NOT(g30735)
+g32480 = NOT(g31070)
+g32481 = NOT(g31194)
+g32482 = NOT(g30614)
+g32483 = NOT(g30673)
+g32484 = NOT(g31566)
+g32485 = NOT(g31376)
+g32486 = NOT(g30735)
+g32487 = NOT(g30825)
+g32488 = NOT(g31194)
+g32489 = NOT(g30614)
+g32490 = NOT(g30673)
+g32491 = NOT(g31566)
+g32492 = NOT(g31376)
+g32493 = NOT(g30735)
+g32494 = NOT(g30825)
+g32495 = NOT(g31070)
+g32496 = NOT(g30614)
+g32497 = NOT(g30673)
+g32498 = NOT(g31566)
+g32499 = NOT(g31376)
+g32500 = NOT(g30735)
+g32501 = NOT(g30825)
+g32502 = NOT(g31070)
+g32503 = NOT(g31194)
+g32504 = NOT(g30673)
+g32505 = NOT(g31566)
+g32506 = NOT(g31376)
+g32507 = NOT(g30735)
+g32508 = NOT(g30825)
+g32509 = NOT(g31070)
+g32510 = NOT(g31194)
+g32511 = NOT(g30614)
+g32512 = NOT(g31566)
+g32513 = NOT(g31376)
+g32514 = NOT(g30735)
+g32515 = NOT(g30825)
+g32516 = NOT(g31070)
+g32517 = NOT(g31194)
+g32518 = NOT(g30614)
+g32519 = NOT(g30673)
+g32521 = NOT(g31376)
+g32522 = NOT(g30735)
+g32523 = NOT(g30825)
+g32524 = NOT(g31070)
+g32525 = NOT(g31170)
+g32526 = NOT(g30614)
+g32527 = NOT(g30673)
+g32528 = NOT(g31554)
+g32529 = NOT(g30735)
+g32530 = NOT(g30825)
+g32531 = NOT(g31070)
+g32532 = NOT(g31170)
+g32533 = NOT(g30614)
+g32534 = NOT(g30673)
+g32535 = NOT(g31554)
+g32536 = NOT(g31376)
+g32537 = NOT(g30825)
+g32538 = NOT(g31070)
+g32539 = NOT(g31170)
+g32540 = NOT(g30614)
+g32541 = NOT(g30673)
+g32542 = NOT(g31554)
+g32543 = NOT(g31376)
+g32544 = NOT(g30735)
+g32545 = NOT(g31070)
+g32546 = NOT(g31170)
+g32547 = NOT(g30614)
+g32548 = NOT(g30673)
+g32549 = NOT(g31554)
+g32550 = NOT(g31376)
+g32551 = NOT(g30735)
+g32552 = NOT(g30825)
+g32553 = NOT(g31170)
+g32554 = NOT(g30614)
+g32555 = NOT(g30673)
+g32556 = NOT(g31554)
+g32557 = NOT(g31376)
+g32558 = NOT(g30735)
+g32559 = NOT(g30825)
+g32560 = NOT(g31070)
+g32561 = NOT(g30614)
+g32562 = NOT(g30673)
+g32563 = NOT(g31554)
+g32564 = NOT(g31376)
+g32565 = NOT(g30735)
+g32566 = NOT(g30825)
+g32567 = NOT(g31070)
+g32568 = NOT(g31170)
+g32569 = NOT(g30673)
+g32570 = NOT(g31554)
+g32571 = NOT(g31376)
+g32572 = NOT(g30735)
+g32573 = NOT(g30825)
+g32574 = NOT(g31070)
+g32575 = NOT(g31170)
+g32576 = NOT(g30614)
+g32577 = NOT(g31554)
+g32578 = NOT(g31376)
+g32579 = NOT(g30735)
+g32580 = NOT(g30825)
+g32581 = NOT(g31070)
+g32582 = NOT(g31170)
+g32583 = NOT(g30614)
+g32584 = NOT(g30673)
+g32586 = NOT(g31376)
+g32587 = NOT(g30735)
+g32588 = NOT(g30825)
+g32589 = NOT(g31070)
+g32590 = NOT(g31154)
+g32591 = NOT(g30614)
+g32592 = NOT(g30673)
+g32593 = NOT(g31542)
+g32594 = NOT(g30735)
+g32595 = NOT(g30825)
+g32596 = NOT(g31070)
+g32597 = NOT(g31154)
+g32598 = NOT(g30614)
+g32599 = NOT(g30673)
+g32600 = NOT(g31542)
+g32601 = NOT(g31376)
+g32602 = NOT(g30825)
+g32603 = NOT(g31070)
+g32604 = NOT(g31154)
+g32605 = NOT(g30614)
+g32606 = NOT(g30673)
+g32607 = NOT(g31542)
+g32608 = NOT(g31376)
+g32609 = NOT(g30735)
+g32610 = NOT(g31070)
+g32611 = NOT(g31154)
+g32612 = NOT(g30614)
+g32613 = NOT(g30673)
+g32614 = NOT(g31542)
+g32615 = NOT(g31376)
+g32616 = NOT(g30735)
+g32617 = NOT(g30825)
+g32618 = NOT(g31154)
+g32619 = NOT(g30614)
+g32620 = NOT(g30673)
+g32621 = NOT(g31542)
+g32622 = NOT(g31376)
+g32623 = NOT(g30735)
+g32624 = NOT(g30825)
+g32625 = NOT(g31070)
+g32626 = NOT(g30614)
+g32627 = NOT(g30673)
+g32628 = NOT(g31542)
+g32629 = NOT(g31376)
+g32630 = NOT(g30735)
+g32631 = NOT(g30825)
+g32632 = NOT(g31070)
+g32633 = NOT(g31154)
+g32634 = NOT(g30673)
+g32635 = NOT(g31542)
+g32636 = NOT(g31376)
+g32637 = NOT(g30735)
+g32638 = NOT(g30825)
+g32639 = NOT(g31070)
+g32640 = NOT(g31154)
+g32641 = NOT(g30614)
+g32642 = NOT(g31542)
+g32643 = NOT(g31376)
+g32644 = NOT(g30735)
+g32645 = NOT(g30825)
+g32646 = NOT(g31070)
+g32647 = NOT(g31154)
+g32648 = NOT(g30614)
+g32649 = NOT(g30673)
+g32651 = NOT(g31376)
+g32652 = NOT(g30735)
+g32653 = NOT(g30825)
+g32654 = NOT(g31070)
+g32655 = NOT(g30614)
+g32656 = NOT(g30673)
+g32657 = NOT(g31528)
+g32658 = NOT(g31579)
+g32659 = NOT(g30735)
+g32660 = NOT(g30825)
+g32661 = NOT(g31070)
+g32662 = NOT(g30614)
+g32663 = NOT(g30673)
+g32664 = NOT(g31528)
+g32665 = NOT(g31579)
+g32666 = NOT(g31376)
+g32667 = NOT(g30825)
+g32668 = NOT(g31070)
+g32669 = NOT(g30614)
+g32670 = NOT(g30673)
+g32671 = NOT(g31528)
+g32672 = NOT(g31579)
+g32673 = NOT(g31376)
+g32674 = NOT(g30735)
+g32675 = NOT(g31070)
+g32676 = NOT(g30614)
+g32677 = NOT(g30673)
+g32678 = NOT(g31528)
+g32679 = NOT(g31579)
+g32680 = NOT(g31376)
+g32681 = NOT(g30735)
+g32682 = NOT(g30825)
+g32683 = NOT(g30614)
+g32684 = NOT(g30673)
+g32685 = NOT(g31528)
+g32686 = NOT(g31579)
+g32687 = NOT(g31376)
+g32688 = NOT(g30735)
+g32689 = NOT(g30825)
+g32690 = NOT(g31070)
+g32691 = NOT(g30673)
+g32692 = NOT(g31528)
+g32693 = NOT(g31579)
+g32694 = NOT(g31376)
+g32695 = NOT(g30735)
+g32696 = NOT(g30825)
+g32697 = NOT(g31070)
+g32698 = NOT(g30614)
+g32699 = NOT(g31528)
+g32700 = NOT(g31579)
+g32701 = NOT(g31376)
+g32702 = NOT(g30735)
+g32703 = NOT(g30825)
+g32704 = NOT(g31070)
+g32705 = NOT(g30614)
+g32706 = NOT(g30673)
+g32707 = NOT(g31579)
+g32708 = NOT(g31376)
+g32709 = NOT(g30735)
+g32710 = NOT(g30825)
+g32711 = NOT(g31070)
+g32712 = NOT(g30614)
+g32713 = NOT(g30673)
+g32714 = NOT(g31528)
+g32716 = NOT(g31376)
+g32717 = NOT(g30735)
+g32718 = NOT(g30825)
+g32719 = NOT(g31672)
+g32720 = NOT(g31710)
+g32721 = NOT(g31021)
+g32722 = NOT(g30937)
+g32723 = NOT(g31327)
+g32724 = NOT(g30735)
+g32725 = NOT(g30825)
+g32726 = NOT(g31672)
+g32727 = NOT(g31710)
+g32728 = NOT(g31021)
+g32729 = NOT(g30937)
+g32730 = NOT(g31327)
+g32731 = NOT(g31376)
+g32732 = NOT(g30825)
+g32733 = NOT(g31672)
+g32734 = NOT(g31710)
+g32735 = NOT(g31021)
+g32736 = NOT(g30937)
+g32737 = NOT(g31327)
+g32738 = NOT(g31376)
+g32739 = NOT(g30735)
+g32740 = NOT(g31672)
+g32741 = NOT(g31710)
+g32742 = NOT(g31021)
+g32743 = NOT(g30937)
+g32744 = NOT(g31327)
+g32745 = NOT(g31376)
+g32746 = NOT(g30735)
+g32747 = NOT(g30825)
+g32748 = NOT(g31710)
+g32749 = NOT(g31021)
+g32750 = NOT(g30937)
+g32751 = NOT(g31327)
+g32752 = NOT(g31376)
+g32753 = NOT(g30735)
+g32754 = NOT(g30825)
+g32755 = NOT(g31672)
+g32756 = NOT(g31021)
+g32757 = NOT(g30937)
+g32758 = NOT(g31327)
+g32759 = NOT(g31376)
+g32760 = NOT(g30735)
+g32761 = NOT(g30825)
+g32762 = NOT(g31672)
+g32763 = NOT(g31710)
+g32764 = NOT(g30937)
+g32765 = NOT(g31327)
+g32766 = NOT(g31376)
+g32767 = NOT(g30735)
+g32768 = NOT(g30825)
+g32769 = NOT(g31672)
+g32770 = NOT(g31710)
+g32771 = NOT(g31021)
+g32772 = NOT(g31327)
+g32773 = NOT(g31376)
+g32774 = NOT(g30735)
+g32775 = NOT(g30825)
+g32776 = NOT(g31672)
+g32777 = NOT(g31710)
+g32778 = NOT(g31021)
+g32779 = NOT(g30937)
+g32781 = NOT(g31376)
+g32782 = NOT(g30735)
+g32783 = NOT(g30825)
+g32784 = NOT(g31672)
+g32785 = NOT(g31710)
+g32786 = NOT(g31021)
+g32787 = NOT(g30937)
+g32788 = NOT(g31327)
+g32789 = NOT(g30735)
+g32790 = NOT(g30825)
+g32791 = NOT(g31672)
+g32792 = NOT(g31710)
+g32793 = NOT(g31021)
+g32794 = NOT(g30937)
+g32795 = NOT(g31327)
+g32796 = NOT(g31376)
+g32797 = NOT(g30825)
+g32798 = NOT(g31672)
+g32799 = NOT(g31710)
+g32800 = NOT(g31021)
+g32801 = NOT(g30937)
+g32802 = NOT(g31327)
+g32803 = NOT(g31376)
+g32804 = NOT(g30735)
+g32805 = NOT(g31672)
+g32806 = NOT(g31710)
+g32807 = NOT(g31021)
+g32808 = NOT(g30937)
+g32809 = NOT(g31327)
+g32810 = NOT(g31376)
+g32811 = NOT(g30735)
+g32812 = NOT(g30825)
+g32813 = NOT(g31710)
+g32814 = NOT(g31021)
+g32815 = NOT(g30937)
+g32816 = NOT(g31327)
+g32817 = NOT(g31376)
+g32818 = NOT(g30735)
+g32819 = NOT(g30825)
+g32820 = NOT(g31672)
+g32821 = NOT(g31021)
+g32822 = NOT(g30937)
+g32823 = NOT(g31327)
+g32824 = NOT(g31376)
+g32825 = NOT(g30735)
+g32826 = NOT(g30825)
+g32827 = NOT(g31672)
+g32828 = NOT(g31710)
+g32829 = NOT(g30937)
+g32830 = NOT(g31327)
+g32831 = NOT(g31376)
+g32832 = NOT(g30735)
+g32833 = NOT(g30825)
+g32834 = NOT(g31672)
+g32835 = NOT(g31710)
+g32836 = NOT(g31021)
+g32837 = NOT(g31327)
+g32838 = NOT(g31376)
+g32839 = NOT(g30735)
+g32840 = NOT(g30825)
+g32841 = NOT(g31672)
+g32842 = NOT(g31710)
+g32843 = NOT(g31021)
+g32844 = NOT(g30937)
+g32846 = NOT(g31376)
+g32847 = NOT(g30735)
+g32848 = NOT(g30825)
+g32849 = NOT(g31021)
+g32850 = NOT(g30937)
+g32851 = NOT(g31327)
+g32852 = NOT(g30614)
+g32853 = NOT(g30673)
+g32854 = NOT(g30735)
+g32855 = NOT(g30825)
+g32856 = NOT(g31021)
+g32857 = NOT(g30937)
+g32858 = NOT(g31327)
+g32859 = NOT(g30614)
+g32860 = NOT(g30673)
+g32861 = NOT(g31376)
+g32862 = NOT(g30825)
+g32863 = NOT(g31021)
+g32864 = NOT(g30937)
+g32865 = NOT(g31327)
+g32866 = NOT(g30614)
+g32867 = NOT(g30673)
+g32868 = NOT(g31376)
+g32869 = NOT(g30735)
+g32870 = NOT(g31021)
+g32871 = NOT(g30937)
+g32872 = NOT(g31327)
+g32873 = NOT(g30614)
+g32874 = NOT(g30673)
+g32875 = NOT(g31376)
+g32876 = NOT(g30735)
+g32877 = NOT(g30825)
+g32878 = NOT(g30937)
+g32879 = NOT(g31327)
+g32880 = NOT(g30614)
+g32881 = NOT(g30673)
+g32882 = NOT(g31376)
+g32883 = NOT(g30735)
+g32884 = NOT(g30825)
+g32885 = NOT(g31021)
+g32886 = NOT(g31327)
+g32887 = NOT(g30614)
+g32888 = NOT(g30673)
+g32889 = NOT(g31376)
+g32890 = NOT(g30735)
+g32891 = NOT(g30825)
+g32892 = NOT(g31021)
+g32893 = NOT(g30937)
+g32894 = NOT(g30614)
+g32895 = NOT(g30673)
+g32896 = NOT(g31376)
+g32897 = NOT(g30735)
+g32898 = NOT(g30825)
+g32899 = NOT(g31021)
+g32900 = NOT(g30937)
+g32901 = NOT(g31327)
+g32902 = NOT(g30673)
+g32903 = NOT(g31376)
+g32904 = NOT(g30735)
+g32905 = NOT(g30825)
+g32906 = NOT(g31021)
+g32907 = NOT(g30937)
+g32908 = NOT(g31327)
+g32909 = NOT(g30614)
+g32911 = NOT(g31376)
+g32912 = NOT(g30735)
+g32913 = NOT(g30825)
+g32914 = NOT(g31672)
+g32915 = NOT(g31710)
+g32916 = NOT(g31021)
+g32917 = NOT(g30937)
+g32918 = NOT(g31327)
+g32919 = NOT(g30735)
+g32920 = NOT(g30825)
+g32921 = NOT(g31672)
+g32922 = NOT(g31710)
+g32923 = NOT(g31021)
+g32924 = NOT(g30937)
+g32925 = NOT(g31327)
+g32926 = NOT(g31376)
+g32927 = NOT(g30825)
+g32928 = NOT(g31672)
+g32929 = NOT(g31710)
+g32930 = NOT(g31021)
+g32931 = NOT(g30937)
+g32932 = NOT(g31327)
+g32933 = NOT(g31376)
+g32934 = NOT(g30735)
+g32935 = NOT(g31672)
+g32936 = NOT(g31710)
+g32937 = NOT(g31021)
+g32938 = NOT(g30937)
+g32939 = NOT(g31327)
+g32940 = NOT(g31376)
+g32941 = NOT(g30735)
+g32942 = NOT(g30825)
+g32943 = NOT(g31710)
+g32944 = NOT(g31021)
+g32945 = NOT(g30937)
+g32946 = NOT(g31327)
+g32947 = NOT(g31376)
+g32948 = NOT(g30735)
+g32949 = NOT(g30825)
+g32950 = NOT(g31672)
+g32951 = NOT(g31021)
+g32952 = NOT(g30937)
+g32953 = NOT(g31327)
+g32954 = NOT(g31376)
+g32955 = NOT(g30735)
+g32956 = NOT(g30825)
+g32957 = NOT(g31672)
+g32958 = NOT(g31710)
+g32959 = NOT(g30937)
+g32960 = NOT(g31327)
+g32961 = NOT(g31376)
+g32962 = NOT(g30735)
+g32963 = NOT(g30825)
+g32964 = NOT(g31672)
+g32965 = NOT(g31710)
+g32966 = NOT(g31021)
+g32967 = NOT(g31327)
+g32968 = NOT(g31376)
+g32969 = NOT(g30735)
+g32970 = NOT(g30825)
+g32971 = NOT(g31672)
+g32972 = NOT(g31710)
+g32973 = NOT(g31021)
+g32974 = NOT(g30937)
+I30537 = NOT(g32027)
+g32975 = NOT(I30537)
+g33072 = NOT(g31945)
+I30641 = NOT(g32024)
+g33079 = NOT(I30641)
+I30644 = NOT(g32024)
+g33080 = NOT(I30644)
+I30686 = NOT(g32381)
+g33120 = NOT(I30686)
+g33127 = NOT(g31950)
+g33136 = NOT(g32057)
+g33142 = NOT(g32072)
+I30766 = NOT(g32363)
+g33228 = NOT(I30766)
+g33246 = NOT(g32212)
+g33250 = NOT(g32186)
+g33258 = NOT(g32296)
+g33326 = NOT(g32318)
+I30861 = NOT(g32383)
+g33335 = NOT(I30861)
+g33346 = NOT(g32132)
+g33354 = NOT(g32329)
+g33375 = NOT(g32377)
+I30901 = NOT(g32407)
+g33377 = NOT(I30901)
+I30904 = NOT(g32424)
+g33378 = NOT(I30904)
+g33382 = NOT(g32033)
+g33385 = NOT(g32038)
+g33388 = NOT(g32382)
+g33391 = NOT(g32384)
+g33413 = NOT(g31971)
+g33424 = NOT(g32415)
+g33426 = NOT(g32017)
+g33430 = NOT(g32421)
+I30959 = NOT(g32021)
+g33435 = NOT(I30959)
+I30962 = NOT(g32021)
+g33436 = NOT(I30962)
+g33442 = NOT(g31937)
+I30971 = NOT(g32015)
+g33443 = NOT(I30971)
+g33451 = NOT(g32132)
+I30980 = NOT(g32132)
+g33454 = NOT(I30980)
+I30983 = NOT(g32433)
+g33455 = NOT(I30983)
+I30986 = NOT(g32437)
+g33456 = NOT(I30986)
+I30989 = NOT(g32441)
+g33457 = NOT(I30989)
+I30992 = NOT(g32445)
+g33458 = NOT(I30992)
+I30995 = NOT(g32449)
+g33459 = NOT(I30995)
+I30998 = NOT(g32453)
+g33460 = NOT(I30998)
+I31361 = NOT(g33120)
+g33533 = NOT(I31361)
+I31459 = NOT(g33219)
+g33631 = NOT(I31459)
+g33635 = NOT(g33436)
+I31463 = NOT(g33318)
+g33636 = NOT(I31463)
+I31466 = NOT(g33318)
+g33637 = NOT(I31466)
+I31469 = NOT(g33388)
+g33638 = NOT(I31469)
+I31474 = NOT(g33212)
+g33641 = NOT(I31474)
+I31477 = NOT(g33391)
+g33645 = NOT(I31477)
+I31482 = NOT(g33204)
+g33648 = NOT(I31482)
+I31486 = NOT(g33197)
+g33653 = NOT(I31486)
+g33658 = NOT(g33080)
+I31491 = NOT(g33283)
+g33659 = NOT(I31491)
+I31494 = NOT(g33283)
+g33660 = NOT(I31494)
+I31497 = NOT(g33187)
+g33661 = NOT(I31497)
+I31500 = NOT(g33176)
+g33665 = NOT(I31500)
+I31504 = NOT(g33164)
+g33670 = NOT(I31504)
+I31515 = NOT(g33187)
+g33682 = NOT(I31515)
+g33686 = NOT(g33187)
+I31523 = NOT(g33187)
+g33688 = NOT(I31523)
+I31528 = NOT(g33219)
+g33691 = NOT(I31528)
+g33695 = NOT(g33187)
+I31535 = NOT(g33377)
+g33696 = NOT(I31535)
+I31539 = NOT(g33212)
+g33698 = NOT(I31539)
+I31545 = NOT(g33219)
+g33702 = NOT(I31545)
+I31550 = NOT(g33204)
+g33705 = NOT(I31550)
+I31555 = NOT(g33212)
+g33708 = NOT(I31555)
+I31561 = NOT(g33197)
+g33712 = NOT(I31561)
+I31564 = NOT(g33204)
+g33713 = NOT(I31564)
+I31569 = NOT(g33197)
+g33716 = NOT(I31569)
+I31581 = NOT(g33164)
+g33726 = NOT(I31581)
+I31586 = NOT(g33149)
+g33729 = NOT(I31586)
+I31597 = NOT(g33187)
+g33736 = NOT(I31597)
+I31604 = NOT(g33176)
+g33744 = NOT(I31604)
+I31607 = NOT(g33164)
+g33750 = NOT(I31607)
+I31610 = NOT(g33149)
+g33755 = NOT(I31610)
+I31616 = NOT(g33219)
+g33761 = NOT(I31616)
+I31619 = NOT(g33212)
+g33766 = NOT(I31619)
+I31622 = NOT(g33204)
+g33772 = NOT(I31622)
+I31625 = NOT(g33197)
+g33778 = NOT(I31625)
+g33797 = NOT(g33306)
+g33799 = NOT(g33299)
+I31642 = NOT(g33204)
+g33800 = NOT(I31642)
+g33804 = NOT(g33250)
+I31650 = NOT(g33212)
+g33806 = NOT(I31650)
+I31659 = NOT(g33219)
+g33813 = NOT(I31659)
+I31672 = NOT(g33149)
+g33827 = NOT(I31672)
+I31686 = NOT(g33164)
+g33839 = NOT(I31686)
+I31694 = NOT(g33176)
+g33845 = NOT(I31694)
+I31701 = NOT(g33164)
+g33850 = NOT(I31701)
+I31724 = NOT(g33076)
+g33874 = NOT(I31724)
+I31727 = NOT(g33076)
+g33875 = NOT(I31727)
+g33888 = NOT(g33346)
+I31748 = NOT(g33228)
+g33894 = NOT(I31748)
+I31751 = NOT(g33228)
+g33895 = NOT(I31751)
+I31770 = NOT(g33197)
+g33912 = NOT(I31770)
+I31776 = NOT(g33204)
+g33916 = NOT(I31776)
+I31779 = NOT(g33212)
+g33917 = NOT(I31779)
+I31782 = NOT(g33219)
+g33918 = NOT(I31782)
+I31786 = NOT(g33197)
+g33920 = NOT(I31786)
+I31791 = NOT(g33354)
+g33923 = NOT(I31791)
+I31796 = NOT(g33176)
+g33926 = NOT(I31796)
+I31800 = NOT(g33164)
+g33928 = NOT(I31800)
+I31803 = NOT(g33176)
+g33929 = NOT(I31803)
+I31807 = NOT(g33149)
+g33931 = NOT(I31807)
+I31810 = NOT(g33164)
+g33932 = NOT(I31810)
+I31814 = NOT(g33149)
+g33934 = NOT(I31814)
+I31817 = NOT(g33323)
+g33935 = NOT(I31817)
+I31820 = NOT(g33323)
+g33936 = NOT(I31820)
+I31823 = NOT(g33149)
+g33937 = NOT(I31823)
+I31829 = NOT(g33454)
+g33944 = NOT(I31829)
+I31878 = NOT(g33696)
+g33959 = NOT(I31878)
+g34042 = NOT(g33674)
+g34044 = NOT(g33675)
+g34047 = NOT(g33637)
+g34049 = NOT(g33678)
+g34052 = NOT(g33635)
+g34053 = NOT(g33683)
+g34058 = NOT(g33660)
+g34059 = NOT(g33658)
+g34060 = NOT(g33704)
+g34062 = NOT(g33711)
+g34068 = NOT(g33728)
+g34070 = NOT(g33725)
+g34094 = NOT(g33772)
+I32051 = NOT(g33631)
+g34118 = NOT(I32051)
+I32056 = NOT(g33641)
+g34121 = NOT(I32056)
+I32059 = NOT(g33648)
+g34122 = NOT(I32059)
+I32062 = NOT(g33653)
+g34123 = NOT(I32062)
+g34124 = NOT(g33819)
+I32067 = NOT(g33661)
+g34126 = NOT(I32067)
+I32071 = NOT(g33665)
+g34130 = NOT(I32071)
+I32074 = NOT(g33670)
+g34131 = NOT(I32074)
+g34132 = NOT(g33831)
+I32079 = NOT(g33937)
+g34134 = NOT(I32079)
+I32089 = NOT(g33665)
+g34142 = NOT(I32089)
+I32093 = NOT(g33670)
+g34144 = NOT(I32093)
+I32096 = NOT(g33641)
+g34145 = NOT(I32096)
+g34147 = NOT(g33823)
+I32103 = NOT(g33661)
+g34150 = NOT(I32103)
+I32106 = NOT(g33653)
+g34151 = NOT(I32106)
+I32109 = NOT(g33631)
+g34152 = NOT(I32109)
+g34156 = NOT(g33907)
+I32116 = NOT(g33937)
+g34159 = NOT(I32116)
+I32119 = NOT(g33648)
+g34160 = NOT(I32119)
+g34161 = NOT(g33851)
+g34181 = NOT(g33913)
+g34188 = NOT(g33875)
+g34192 = NOT(g33921)
+I32150 = NOT(g33923)
+g34195 = NOT(I32150)
+g34197 = NOT(g33812)
+g34200 = NOT(g33895)
+I32158 = NOT(g33791)
+g34201 = NOT(I32158)
+I32161 = NOT(g33791)
+g34202 = NOT(I32161)
+g34208 = NOT(g33838)
+I32170 = NOT(g33638)
+g34209 = NOT(I32170)
+I32173 = NOT(g33645)
+g34210 = NOT(I32173)
+I32192 = NOT(g33628)
+g34221 = NOT(I32192)
+I32195 = NOT(g33628)
+g34222 = NOT(I32195)
+g34229 = NOT(g33936)
+I32222 = NOT(g34118)
+g34241 = NOT(I32222)
+I32225 = NOT(g34121)
+g34242 = NOT(I32225)
+I32228 = NOT(g34122)
+g34243 = NOT(I32228)
+I32231 = NOT(g34123)
+g34244 = NOT(I32231)
+I32234 = NOT(g34126)
+g34245 = NOT(I32234)
+I32237 = NOT(g34130)
+g34246 = NOT(I32237)
+I32240 = NOT(g34131)
+g34247 = NOT(I32240)
+I32243 = NOT(g34134)
+g34248 = NOT(I32243)
+g34270 = NOT(g34159)
+g34271 = NOT(g34160)
+g34272 = NOT(g34229)
+g34275 = NOT(g34047)
+g34276 = NOT(g34058)
+I32274 = NOT(g34195)
+g34277 = NOT(I32274)
+I32284 = NOT(g34052)
+g34285 = NOT(I32284)
+I32297 = NOT(g34059)
+g34296 = NOT(I32297)
+g34299 = NOT(g34080)
+I32305 = NOT(g34209)
+g34302 = NOT(I32305)
+I32309 = NOT(g34210)
+g34304 = NOT(I32309)
+g34307 = NOT(g34087)
+g34308 = NOT(g34088)
+g34311 = NOT(g34097)
+g34312 = NOT(g34098)
+g34313 = NOT(g34086)
+g34315 = NOT(g34085)
+g34316 = NOT(g34093)
+g34317 = NOT(g34115)
+g34320 = NOT(g34119)
+g34323 = NOT(g34105)
+g34325 = NOT(g34092)
+g34326 = NOT(g34091)
+g34327 = NOT(g34108)
+g34328 = NOT(g34096)
+g34336 = NOT(g34112)
+g34339 = NOT(g34077)
+g34343 = NOT(g34089)
+I32352 = NOT(g34169)
+g34345 = NOT(I32352)
+g34346 = NOT(g34162)
+g34351 = NOT(g34174)
+I32364 = NOT(g34208)
+g34358 = NOT(I32364)
+I32388 = NOT(g34153)
+g34383 = NOT(I32388)
+I32391 = NOT(g34153)
+g34384 = NOT(I32391)
+g34387 = NOT(g34188)
+g34391 = NOT(g34200)
+g34392 = NOT(g34202)
+g34400 = NOT(g34142)
+g34408 = NOT(g34144)
+g34409 = NOT(g34145)
+g34418 = NOT(g34150)
+g34419 = NOT(g34151)
+g34420 = NOT(g34152)
+g34423 = NOT(g34222)
+I32446 = NOT(g34127)
+g34425 = NOT(I32446)
+I32449 = NOT(g34127)
+g34426 = NOT(I32449)
+I32452 = NOT(g34241)
+g34427 = NOT(I32452)
+I32455 = NOT(g34242)
+g34428 = NOT(I32455)
+I32458 = NOT(g34243)
+g34429 = NOT(I32458)
+I32461 = NOT(g34244)
+g34430 = NOT(I32461)
+I32464 = NOT(g34245)
+g34431 = NOT(I32464)
+I32467 = NOT(g34246)
+g34432 = NOT(I32467)
+I32470 = NOT(g34247)
+g34433 = NOT(I32470)
+I32473 = NOT(g34248)
+g34434 = NOT(I32473)
+I32476 = NOT(g34277)
+g34435 = NOT(I32476)
+I32479 = NOT(g34302)
+g34436 = NOT(I32479)
+I32482 = NOT(g34304)
+g34437 = NOT(I32482)
+g34471 = NOT(g34423)
+I32525 = NOT(g34285)
+g34472 = NOT(I32525)
+g34473 = NOT(g34426)
+I32535 = NOT(g34296)
+g34480 = NOT(I32535)
+I32547 = NOT(g34397)
+g34490 = NOT(I32547)
+I32550 = NOT(g34398)
+g34491 = NOT(I32550)
+g34501 = NOT(g34400)
+g34504 = NOT(g34408)
+g34505 = NOT(g34409)
+g34510 = NOT(g34418)
+g34511 = NOT(g34419)
+g34512 = NOT(g34420)
+g34521 = NOT(g34270)
+g34522 = NOT(g34271)
+I32591 = NOT(g34287)
+g34530 = NOT(I32591)
+I32594 = NOT(g34298)
+g34531 = NOT(I32594)
+I32601 = NOT(g34319)
+g34536 = NOT(I32601)
+g34539 = NOT(g34354)
+I32607 = NOT(g34358)
+g34540 = NOT(I32607)
+g34543 = NOT(g34359)
+I32613 = NOT(g34329)
+g34544 = NOT(I32613)
+I32617 = NOT(g34333)
+g34549 = NOT(I32617)
+I32621 = NOT(g34335)
+g34553 = NOT(I32621)
+g34559 = NOT(g34384)
+I32639 = NOT(g34345)
+g34569 = NOT(I32639)
+g34570 = NOT(g34392)
+I32645 = NOT(g34367)
+g34573 = NOT(I32645)
+I32648 = NOT(g34371)
+g34574 = NOT(I32648)
+I32651 = NOT(g34375)
+g34575 = NOT(I32651)
+I32654 = NOT(g34378)
+g34576 = NOT(I32654)
+I32659 = NOT(g34391)
+g34579 = NOT(I32659)
+I32665 = NOT(g34386)
+g34583 = NOT(I32665)
+I32671 = NOT(g34388)
+g34587 = NOT(I32671)
+I32675 = NOT(g34427)
+g34589 = NOT(I32675)
+I32678 = NOT(g34428)
+g34590 = NOT(I32678)
+I32681 = NOT(g34429)
+g34591 = NOT(I32681)
+I32684 = NOT(g34430)
+g34592 = NOT(I32684)
+I32687 = NOT(g34431)
+g34593 = NOT(I32687)
+I32690 = NOT(g34432)
+g34594 = NOT(I32690)
+I32693 = NOT(g34433)
+g34595 = NOT(I32693)
+I32696 = NOT(g34434)
+g34596 = NOT(I32696)
+I32699 = NOT(g34569)
+g34597 = NOT(I32699)
+I32752 = NOT(g34510)
+g34648 = NOT(I32752)
+I32763 = NOT(g34511)
+g34653 = NOT(I32763)
+I32766 = NOT(g34522)
+g34654 = NOT(I32766)
+I32770 = NOT(g34505)
+g34656 = NOT(I32770)
+I32775 = NOT(g34512)
+g34659 = NOT(I32775)
+g34660 = NOT(g34473)
+I32782 = NOT(g34571)
+g34664 = NOT(I32782)
+I32788 = NOT(g34577)
+g34668 = NOT(I32788)
+I32791 = NOT(g34578)
+g34669 = NOT(I32791)
+I32794 = NOT(g34580)
+g34670 = NOT(I32794)
+I32797 = NOT(g34581)
+g34671 = NOT(I32797)
+I32800 = NOT(g34582)
+g34672 = NOT(I32800)
+I32803 = NOT(g34584)
+g34673 = NOT(I32803)
+I32806 = NOT(g34585)
+g34674 = NOT(I32806)
+I32809 = NOT(g34586)
+g34675 = NOT(I32809)
+I32812 = NOT(g34588)
+g34676 = NOT(I32812)
+I32815 = NOT(g34470)
+g34677 = NOT(I32815)
+I32820 = NOT(g34474)
+g34680 = NOT(I32820)
+I32824 = NOT(g34475)
+g34682 = NOT(I32824)
+I32827 = NOT(g34477)
+g34683 = NOT(I32827)
+I32834 = NOT(g34472)
+g34688 = NOT(I32834)
+I32837 = NOT(g34498)
+g34689 = NOT(I32837)
+I32840 = NOT(g34480)
+g34690 = NOT(I32840)
+I32843 = NOT(g34499)
+g34691 = NOT(I32843)
+I32846 = NOT(g34502)
+g34692 = NOT(I32846)
+g34697 = NOT(g34545)
+g34698 = NOT(g34550)
+I32855 = NOT(g34540)
+g34699 = NOT(I32855)
+g34711 = NOT(g34559)
+I32868 = NOT(g34579)
+g34712 = NOT(I32868)
+I32871 = NOT(g34521)
+g34713 = NOT(I32871)
+I32874 = NOT(g34504)
+g34714 = NOT(I32874)
+I32878 = NOT(g34501)
+g34716 = NOT(I32878)
+I32881 = NOT(g34688)
+g34717 = NOT(I32881)
+I32884 = NOT(g34690)
+g34718 = NOT(I32884)
+I32904 = NOT(g34708)
+g34736 = NOT(I32904)
+I32909 = NOT(g34712)
+g34739 = NOT(I32909)
+I32921 = NOT(g34650)
+g34749 = NOT(I32921)
+I32929 = NOT(g34649)
+g34755 = NOT(I32929)
+I32935 = NOT(g34657)
+g34759 = NOT(I32935)
+I32938 = NOT(g34663)
+g34760 = NOT(I32938)
+g34766 = NOT(g34703)
+I32947 = NOT(g34659)
+g34767 = NOT(I32947)
+I32950 = NOT(g34713)
+g34768 = NOT(I32950)
+I32953 = NOT(g34656)
+g34769 = NOT(I32953)
+I32956 = NOT(g34654)
+g34770 = NOT(I32956)
+I32960 = NOT(g34653)
+g34772 = NOT(I32960)
+I32963 = NOT(g34650)
+g34773 = NOT(I32963)
+I32967 = NOT(g34648)
+g34775 = NOT(I32967)
+I32970 = NOT(g34716)
+g34776 = NOT(I32970)
+I32973 = NOT(g34714)
+g34777 = NOT(I32973)
+I32976 = NOT(g34699)
+g34778 = NOT(I32976)
+I32982 = NOT(g34749)
+g34784 = NOT(I32982)
+I32985 = NOT(g34736)
+g34785 = NOT(I32985)
+I32988 = NOT(g34755)
+g34786 = NOT(I32988)
+I32991 = NOT(g34759)
+g34787 = NOT(I32991)
+I32994 = NOT(g34739)
+g34788 = NOT(I32994)
+I32997 = NOT(g34760)
+g34789 = NOT(I32997)
+I33020 = NOT(g34781)
+g34810 = NOT(I33020)
+I33024 = NOT(g34783)
+g34812 = NOT(I33024)
+I33027 = NOT(g34767)
+g34813 = NOT(I33027)
+I33030 = NOT(g34768)
+g34816 = NOT(I33030)
+I33034 = NOT(g34769)
+g34820 = NOT(I33034)
+I33037 = NOT(g34770)
+g34823 = NOT(I33037)
+I33041 = NOT(g34772)
+g34827 = NOT(I33041)
+I33044 = NOT(g34775)
+g34830 = NOT(I33044)
+I33047 = NOT(g34776)
+g34833 = NOT(I33047)
+I33050 = NOT(g34777)
+g34836 = NOT(I33050)
+I33053 = NOT(g34778)
+g34839 = NOT(I33053)
+I33056 = NOT(g34778)
+g34840 = NOT(I33056)
+g34844 = NOT(g34737)
+g34845 = NOT(g34773)
+I33064 = NOT(g34784)
+g34846 = NOT(I33064)
+I33067 = NOT(g34812)
+g34847 = NOT(I33067)
+I33070 = NOT(g34810)
+g34848 = NOT(I33070)
+I33075 = NOT(g34843)
+g34851 = NOT(I33075)
+g34852 = NOT(g34845)
+I33079 = NOT(g34809)
+g34855 = NOT(I33079)
+g34864 = NOT(g34840)
+I33103 = NOT(g34846)
+g34877 = NOT(I33103)
+I33106 = NOT(g34855)
+g34878 = NOT(I33106)
+I33109 = NOT(g34851)
+g34879 = NOT(I33109)
+g34883 = NOT(g34852)
+I33119 = NOT(g34852)
+g34893 = NOT(I33119)
+g34910 = NOT(g34864)
+I33131 = NOT(g34906)
+g34913 = NOT(I33131)
+I33134 = NOT(g34906)
+g34914 = NOT(I33134)
+I33137 = NOT(g34884)
+g34915 = NOT(I33137)
+I33140 = NOT(g34884)
+g34916 = NOT(I33140)
+I33143 = NOT(g34903)
+g34917 = NOT(I33143)
+I33146 = NOT(g34903)
+g34918 = NOT(I33146)
+I33149 = NOT(g34900)
+g34919 = NOT(I33149)
+I33152 = NOT(g34900)
+g34920 = NOT(I33152)
+I33155 = NOT(g34897)
+g34921 = NOT(I33155)
+I33158 = NOT(g34897)
+g34922 = NOT(I33158)
+I33161 = NOT(g34894)
+g34923 = NOT(I33161)
+I33164 = NOT(g34894)
+g34924 = NOT(I33164)
+I33167 = NOT(g34890)
+g34925 = NOT(I33167)
+I33170 = NOT(g34890)
+g34926 = NOT(I33170)
+I33173 = NOT(g34887)
+g34927 = NOT(I33173)
+I33176 = NOT(g34887)
+g34928 = NOT(I33176)
+I33179 = NOT(g34893)
+g34929 = NOT(I33179)
+I33182 = NOT(g34910)
+g34930 = NOT(I33182)
+g34932 = NOT(g34914)
+g34933 = NOT(g34916)
+g34934 = NOT(g34918)
+I33189 = NOT(g34929)
+g34935 = NOT(I33189)
+g34938 = NOT(g34920)
+g34939 = NOT(g34922)
+g34940 = NOT(g34924)
+g34941 = NOT(g34926)
+g34942 = NOT(g34928)
+I33197 = NOT(g34930)
+g34943 = NOT(I33197)
+g34944 = NOT(g34932)
+g34945 = NOT(g34933)
+g34946 = NOT(g34934)
+g34947 = NOT(g34938)
+g34949 = NOT(g34939)
+g34950 = NOT(g34940)
+g34951 = NOT(g34941)
+g34952 = NOT(g34942)
+I33210 = NOT(g34943)
+g34954 = NOT(I33210)
+I33214 = NOT(g34954)
+g34956 = NOT(I33214)
+I33218 = NOT(g34955)
+g34960 = NOT(I33218)
+I33232 = NOT(g34957)
+g34972 = NOT(I33232)
+I33235 = NOT(g34957)
+g34973 = NOT(I33235)
+g34981 = NOT(g34973)
+I33246 = NOT(g34970)
+g34982 = NOT(I33246)
+I33249 = NOT(g34971)
+g34983 = NOT(I33249)
+I33252 = NOT(g34974)
+g34984 = NOT(I33252)
+I33255 = NOT(g34975)
+g34985 = NOT(I33255)
+I33258 = NOT(g34976)
+g34986 = NOT(I33258)
+I33261 = NOT(g34977)
+g34987 = NOT(I33261)
+I33264 = NOT(g34978)
+g34988 = NOT(I33264)
+I33267 = NOT(g34979)
+g34989 = NOT(I33267)
+I33270 = NOT(g34982)
+g34990 = NOT(I33270)
+I33273 = NOT(g34984)
+g34991 = NOT(I33273)
+I33276 = NOT(g34985)
+g34992 = NOT(I33276)
+I33279 = NOT(g34986)
+g34993 = NOT(I33279)
+I33282 = NOT(g34987)
+g34994 = NOT(I33282)
+I33285 = NOT(g34988)
+g34995 = NOT(I33285)
+I33288 = NOT(g34989)
+g34996 = NOT(I33288)
+I33291 = NOT(g34983)
+g34997 = NOT(I33291)
+g34998 = NOT(g34981)
+I33297 = NOT(g35000)
+g35001 = NOT(I33297)
+I33300 = NOT(g35001)
+g35002 = NOT(I33300)
+
+g7251 = AND(g452, g392)
+g7396 = AND(g392, g441)
+g7469 = AND(g4382, g4438)
+g7511 = AND(g2145, g2138, g2130)
+g7520 = AND(g2704, g2697, g2689)
+g7685 = AND(g4382, g4375)
+g7696 = AND(g2955, g2950)
+g7763 = AND(g2965, g2960)
+g7777 = AND(g723, g822, g817)
+g7804 = AND(g2975, g2970)
+g7918 = AND(g1205, g1087)
+g7948 = AND(g1548, g1430)
+g8234 = AND(g4515, g4521)
+g8530 = AND(g2902, g2907)
+g8583 = AND(g2917, g2912)
+g8643 = AND(g2927, g2922)
+g8690 = AND(g2941, g2936)
+g8721 = AND(g385, g376, g365)
+g9217 = AND(g632, g626)
+g9479 = AND(g305, g324)
+g9906 = AND(g996, g1157)
+g9967 = AND(g1178, g1157)
+g9968 = AND(g1339, g1500)
+g10034 = AND(g1521, g1500)
+g10290 = AND(g4358, g4349)
+I13862 = AND(g7232, g7219, g7258)
+g10476 = AND(g7244, g7259, I13862)
+g10501 = AND(g1233, g9007)
+g10528 = AND(g1576, g9051)
+g10543 = AND(g8238, g437)
+g10565 = AND(g8182, g424)
+g10588 = AND(g7004, g5297)
+I13937 = AND(g7340, g7293, g7261)
+g10590 = AND(g7246, g7392, I13937)
+g10616 = AND(g7998, g174)
+g10619 = AND(g3080, g7907)
+g10624 = AND(g8387, g3072)
+g10625 = AND(g3431, g7926)
+g10626 = AND(g4057, g7927)
+g10632 = AND(g7475, g7441, g890)
+g10654 = AND(g3085, g8434)
+g10655 = AND(g8440, g3423)
+g10656 = AND(g3782, g7952)
+g10657 = AND(g8451, g4064)
+g10665 = AND(g209, g8292)
+g10674 = AND(g6841, g10200, g2130)
+g10675 = AND(g3436, g8500)
+g10676 = AND(g8506, g3774)
+g10677 = AND(g4141, g7611)
+g10683 = AND(g7289, g4438)
+g10684 = AND(g7998, g411)
+g10704 = AND(g2145, g10200, g2130)
+g10705 = AND(g6850, g10219, g2689)
+g10706 = AND(g3338, g8691)
+g10707 = AND(g3787, g8561)
+g10719 = AND(g6841, g2138, g2130)
+g10720 = AND(g2704, g10219, g2689)
+g10721 = AND(g3288, g6875, g3274, g8481)
+g10724 = AND(g3689, g8728)
+g10732 = AND(g6850, g2697, g2689)
+g10733 = AND(g3639, g6905, g3625, g8542)
+g10736 = AND(g4040, g8751)
+g10756 = AND(g3990, g6928, g3976, g8595)
+g10822 = AND(g4264, g8514)
+g10823 = AND(g7704, g5180, g5188)
+g10827 = AND(g8914, g4258)
+g10828 = AND(g6888, g7640)
+g10829 = AND(g7289, g4375)
+g10838 = AND(g7738, g5527, g5535)
+g10841 = AND(g8509, g8567)
+g10856 = AND(g4269, g8967)
+g10869 = AND(g7766, g5873, g5881)
+g10873 = AND(g3004, g9015)
+g10874 = AND(g7791, g6219, g6227)
+g10878 = AND(g7858, g1135)
+g10883 = AND(g3355, g9061)
+g10887 = AND(g7812, g6565, g6573)
+g10890 = AND(g7858, g1105)
+g10896 = AND(g1205, g8654)
+g10898 = AND(g3706, g9100)
+g10902 = AND(g7858, g1129)
+g10917 = AND(g9174, g1087)
+g10921 = AND(g1548, g8685)
+g10925 = AND(g7858, g956)
+g10934 = AND(g9197, g7918)
+g10947 = AND(g9200, g1430)
+g10948 = AND(g7880, g1478)
+g10966 = AND(g9226, g7948)
+g10967 = AND(g7880, g1448)
+g10970 = AND(g854, g9582)
+g10998 = AND(g8567, g8509, g8451, g7650)
+g10999 = AND(g7880, g1472)
+g11003 = AND(g7880, g1300)
+g11010 = AND(g4698, g8933)
+g11016 = AND(g4888, g8984)
+g11018 = AND(g7655, g7643, g7627)
+g11019 = AND(g5092, g9036)
+g11023 = AND(g9669, g5084)
+g11024 = AND(g5436, g9070)
+g11027 = AND(g5097, g9724)
+g11028 = AND(g9730, g5428)
+g11029 = AND(g5782, g9103)
+g11032 = AND(g9354, g7717)
+g11035 = AND(g5441, g9800)
+g11036 = AND(g9806, g5774)
+g11037 = AND(g6128, g9184)
+g11044 = AND(g5343, g10124)
+g11045 = AND(g5787, g9883)
+g11046 = AND(g9889, g6120)
+g11047 = AND(g6474, g9212)
+g11083 = AND(g8836, g802)
+g11111 = AND(g5297, g7004, g5283, g9780)
+g11114 = AND(g5689, g10160)
+g11115 = AND(g6133, g9954)
+g11116 = AND(g9960, g6466)
+g11123 = AND(g5644, g7028, g5630, g9864)
+g11126 = AND(g6035, g10185)
+g11127 = AND(g6479, g10022)
+g11139 = AND(g5990, g7051, g5976, g9935)
+g11142 = AND(g6381, g10207)
+I14198 = AND(g225, g8237, g232, g8180)
+g11144 = AND(g239, g8136, g246, I14198)
+g11160 = AND(g6336, g7074, g6322, g10003)
+g11163 = AND(g6727, g10224)
+I14225 = AND(g8457, g255, g8406, g262)
+g11166 = AND(g8363, g269, g8296, I14225)
+g11178 = AND(g6682, g7097, g6668, g10061)
+g11205 = AND(g8217, g8439)
+g11223 = AND(g8281, g8505)
+g11244 = AND(g8346, g8566)
+g11366 = AND(g5016, g10338)
+g11397 = AND(g5360, g7139)
+g11427 = AND(g5706, g7158)
+g11449 = AND(g6052, g7175)
+g11496 = AND(g4382, g7495)
+g11497 = AND(g6398, g7192)
+g11546 = AND(g7289, g4375)
+g11740 = AND(g8769, g703)
+g11890 = AND(g7499, g9155)
+g11893 = AND(g1668, g7268)
+g11915 = AND(g1802, g7315)
+g11916 = AND(g2227, g7328)
+g11937 = AND(g1936, g7362)
+g11939 = AND(g2361, g7380)
+g11956 = AND(g2070, g7411)
+g11960 = AND(g2495, g7424)
+g11967 = AND(g311, g7802)
+g11978 = AND(g2629, g7462)
+g12015 = AND(g1002, g7567)
+g12027 = AND(g9499, g9729)
+g12043 = AND(g1345, g7601)
+g12065 = AND(g9557, g9805)
+g12099 = AND(g9619, g9888)
+g12135 = AND(g9684, g9959)
+g12179 = AND(g9745, g10027)
+g12186 = AND(g1178, g7519)
+g12219 = AND(g1189, g7532)
+g12220 = AND(g1521, g7535)
+g12259 = AND(g9480, g640)
+g12284 = AND(g1532, g7557)
+g12527 = AND(g8680, g667)
+g12641 = AND(g10295, g3171, g3179)
+g12687 = AND(g9024, g8977)
+g12692 = AND(g10323, g3522, g3530)
+g12730 = AND(g9024, g4349)
+g12735 = AND(g7121, g3873, g3881)
+g12761 = AND(g969, g7567)
+g12762 = AND(g4358, g8977)
+g12794 = AND(g1008, g7567)
+g12795 = AND(g1312, g7601)
+g12812 = AND(g518, g9158)
+g12817 = AND(g1351, g7601)
+g12920 = AND(g1227, g10960)
+g12924 = AND(g1570, g10980)
+g12931 = AND(g392, g11048)
+g12939 = AND(g405, g11048)
+g12953 = AND(g411, g11048)
+g12979 = AND(g424, g11048)
+g13019 = AND(g194, g11737)
+g13020 = AND(g401, g11048)
+g13025 = AND(g8431, g11026)
+g13029 = AND(g8359, g11030)
+g13030 = AND(g429, g11048)
+g13035 = AND(g8497, g11033)
+g13038 = AND(g8509, g11034)
+g13042 = AND(g433, g11048)
+g13046 = AND(g6870, g11270)
+g13047 = AND(g8534, g11042)
+g13048 = AND(g8558, g11043)
+g13059 = AND(g6900, g11303)
+g13060 = AND(g8587, g11110)
+g13063 = AND(g8567, g10808)
+g13080 = AND(g6923, g11357)
+g13081 = AND(g8626, g11122)
+g13156 = AND(g10816, g10812, g10805)
+g13221 = AND(g6946, g11425)
+g13247 = AND(g8964, g11316)
+g13252 = AND(g11561, g11511, g11469, g699)
+g13265 = AND(g9018, g11493)
+g13277 = AND(g3195, g11432)
+g13282 = AND(g3546, g11480)
+g13287 = AND(g1221, g11472)
+g13290 = AND(g3897, g11534)
+g13294 = AND(g1564, g11513)
+g13299 = AND(g437, g11048)
+g13306 = AND(g441, g11048)
+g13313 = AND(g475, g11048)
+g13319 = AND(g4076, g8812, g10658, g8757)
+g13320 = AND(g417, g11048)
+g13321 = AND(g847, g11048)
+g13324 = AND(g854, g11326)
+g13333 = AND(g4743, g11755)
+g13345 = AND(g4754, g11773)
+g13349 = AND(g4933, g11780)
+g13383 = AND(g4765, g11797)
+g13384 = AND(g4944, g11804)
+g13393 = AND(g703, g11048)
+g13411 = AND(g4955, g11834)
+g13415 = AND(g837, g11048)
+g13436 = AND(g9721, g11811)
+g13461 = AND(g2719, g11819)
+g13473 = AND(g9797, g11841)
+g13491 = AND(g6999, g12160)
+g13492 = AND(g9856, g11865)
+g13493 = AND(g9880, g11866)
+g13497 = AND(g2724, g12155)
+g13507 = AND(g7023, g12198)
+g13508 = AND(g9927, g11888)
+g13509 = AND(g9951, g11889)
+g13523 = AND(g7046, g12246)
+g13524 = AND(g9995, g11910)
+g13525 = AND(g10019, g11911)
+g13541 = AND(g7069, g12308)
+g13542 = AND(g10053, g11927)
+g13564 = AND(g4480, g12820)
+g13566 = AND(g7092, g12358)
+g13567 = AND(g10102, g11948)
+g13604 = AND(g4495, g10487)
+g13632 = AND(g10232, g12228)
+g13633 = AND(g4567, g10509)
+g13656 = AND(g278, g11144)
+g13671 = AND(g4498, g10532)
+g13697 = AND(g11166, g8608)
+g13737 = AND(g4501, g10571)
+g13738 = AND(g8880, g10572)
+I16111 = AND(g8691, g11409, g11381)
+g13771 = AND(g11441, g11355, g11302, I16111)
+g13778 = AND(g4540, g10597)
+I16129 = AND(g8728, g11443, g11411)
+g13805 = AND(g11489, g11394, g11356, I16129)
+g13807 = AND(g4504, g10606)
+g13808 = AND(g4543, g10607)
+I16143 = AND(g8751, g11491, g11445)
+g13830 = AND(g11543, g11424, g11395, I16143)
+g13832 = AND(g8880, g10612)
+g13833 = AND(g4546, g10613)
+g13853 = AND(g4549, g10620)
+g13887 = AND(g5204, g12402)
+g13912 = AND(g5551, g12450)
+g13942 = AND(g5897, g12512)
+g13974 = AND(g6243, g12578)
+g13998 = AND(g6589, g12629)
+g14028 = AND(g8673, g11797)
+g14035 = AND(g699, g11048)
+g14061 = AND(g8715, g11834)
+g14097 = AND(g878, g10632)
+g14126 = AND(g881, g10632)
+g14148 = AND(g884, g10632)
+g14168 = AND(g887, g10632)
+g14180 = AND(g872, g10632)
+g14185 = AND(g8686, g11744)
+g14190 = AND(g859, g10632)
+g14193 = AND(g7178, g10590)
+g14202 = AND(g869, g10632)
+g14206 = AND(g8655, g11790)
+g14207 = AND(g8639, g11793)
+g14210 = AND(g4392, g10590)
+g14216 = AND(g7631, g10608)
+g14218 = AND(g875, g10632)
+g14220 = AND(g8612, g11820)
+g14221 = AND(g8686, g11823)
+g14222 = AND(g8655, g11826)
+g14233 = AND(g8639, g11855)
+g14256 = AND(g2079, g11872)
+g14257 = AND(g8612, g11878)
+g14261 = AND(g4507, g10738)
+g14295 = AND(g1811, g11894)
+g14296 = AND(g2638, g11897)
+g14316 = AND(g2370, g11920)
+g14438 = AND(g1087, g10726)
+I16618 = AND(g10124, g12341, g12293)
+g14496 = AND(g12411, g12244, g12197, I16618)
+g14506 = AND(g1430, g10755)
+I16646 = AND(g10160, g12413, g12343)
+g14528 = AND(g12459, g12306, g12245, I16646)
+g14537 = AND(g10550, g10529)
+I16671 = AND(g10185, g12461, g12415)
+g14555 = AND(g12521, g12356, g12307, I16671)
+g14565 = AND(g11934, g11952)
+g14566 = AND(g10566, g10551)
+g14567 = AND(g10568, g10552)
+I16695 = AND(g10207, g12523, g12463)
+g14581 = AND(g12587, g12428, g12357, I16695)
+g14585 = AND(g1141, g10905)
+g14586 = AND(g11953, g11970)
+g14587 = AND(g10584, g10567)
+g14588 = AND(g11957, g11974)
+g14589 = AND(g10586, g10569)
+I16721 = AND(g10224, g12589, g12525)
+g14608 = AND(g12638, g12476, g12429, I16721)
+g14610 = AND(g1484, g10935)
+g14612 = AND(g11971, g11993)
+g14613 = AND(g10602, g10585)
+g14614 = AND(g11975, g11997)
+g14615 = AND(g10604, g10587)
+g14641 = AND(g11994, g12020)
+g14643 = AND(g11998, g12023)
+g14644 = AND(g10610, g10605)
+g14654 = AND(g7178, g10476)
+g14680 = AND(g12024, g12053)
+g14681 = AND(g4392, g10476)
+g14708 = AND(g74, g12369)
+g14719 = AND(g4392, g10830)
+g14791 = AND(g1146, g10909)
+g14831 = AND(g1152, g10909)
+g14832 = AND(g1489, g10939)
+g14874 = AND(g1099, g10909)
+g14875 = AND(g1495, g10939)
+g14913 = AND(g1442, g10939)
+g15075 = AND(g12850, g12955)
+g15076 = AND(g2130, g12955)
+g15077 = AND(g2138, g12955)
+g15078 = AND(g10361, g12955)
+g15079 = AND(g2151, g12955)
+g15080 = AND(g12855, g12983)
+g15081 = AND(g2689, g12983)
+g15082 = AND(g2697, g12983)
+g15083 = AND(g10362, g12983)
+g15084 = AND(g2710, g12983)
+g15103 = AND(g4180, g14454)
+g15104 = AND(g6955, g14454)
+g15105 = AND(g4235, g14454)
+g15107 = AND(g4258, g14454)
+g15108 = AND(g4264, g14454)
+g15109 = AND(g4269, g14454)
+g15110 = AND(g4245, g14454)
+g15111 = AND(g4281, g14454)
+g15112 = AND(g4284, g14454)
+g15113 = AND(g4291, g14454)
+g15114 = AND(g4239, g14454)
+g15115 = AND(g2946, g14454)
+g15116 = AND(g4297, g14454)
+g15117 = AND(g4300, g14454)
+g15118 = AND(g4253, g14454)
+g15119 = AND(g4249, g14454)
+g15507 = AND(g10970, g13305)
+g15567 = AND(g392, g13312)
+g15574 = AND(g4311, g13202)
+g15589 = AND(g411, g13334)
+g15590 = AND(g3139, g13530)
+g15611 = AND(g471, g13437)
+g15612 = AND(g3143, g13530)
+g15613 = AND(g3490, g13555)
+g15631 = AND(g168, g13437)
+g15632 = AND(g3494, g13555)
+g15633 = AND(g3841, g13584)
+g15650 = AND(g8362, g13413)
+g15651 = AND(g429, g13414)
+g15652 = AND(g174, g13437)
+g15653 = AND(g3119, g13530)
+g15654 = AND(g3845, g13584)
+g15672 = AND(g433, g13458)
+g15673 = AND(g182, g13437)
+g15678 = AND(g1094, g13846)
+g15679 = AND(g3470, g13555)
+g15693 = AND(g269, g13474)
+g15694 = AND(g457, g13437)
+g15699 = AND(g1437, g13861)
+g15700 = AND(g3089, g13483)
+g15701 = AND(g3821, g13584)
+g15703 = AND(g452, g13437)
+g15704 = AND(g3440, g13504)
+g15706 = AND(g13296, g13484)
+g15707 = AND(g4082, g13506)
+g15711 = AND(g460, g13437)
+g15712 = AND(g3791, g13521)
+g15716 = AND(g468, g13437)
+g15722 = AND(g464, g13437)
+g15738 = AND(g1111, g13260)
+g15745 = AND(g686, g13223)
+g15749 = AND(g1454, g13273)
+g15757 = AND(g3207, g14066)
+g15779 = AND(g13909, g11214)
+g15783 = AND(g3215, g14098)
+g15784 = AND(g3235, g13977)
+g15785 = AND(g3558, g14107)
+g15786 = AND(g13940, g11233)
+g15793 = AND(g3219, g13873)
+g15794 = AND(g3239, g14008)
+g15795 = AND(g3566, g14130)
+g15796 = AND(g3586, g14015)
+g15797 = AND(g3909, g14139)
+g15804 = AND(g3223, g13889)
+g15805 = AND(g3243, g14041)
+g15807 = AND(g3570, g13898)
+g15808 = AND(g3590, g14048)
+g15809 = AND(g3917, g14154)
+g15810 = AND(g3937, g14055)
+g15812 = AND(g3227, g13915)
+g15813 = AND(g3247, g14069)
+g15814 = AND(g3574, g13920)
+g15815 = AND(g3594, g14075)
+g15817 = AND(g3921, g13929)
+g15818 = AND(g3941, g14082)
+g15819 = AND(g3251, g14101)
+g15820 = AND(g3578, g13955)
+g15821 = AND(g3598, g14110)
+g15822 = AND(g3925, g13960)
+g15823 = AND(g3945, g14116)
+g15836 = AND(g3187, g14104)
+g15837 = AND(g3255, g14127)
+g15838 = AND(g3602, g14133)
+g15839 = AND(g3929, g13990)
+g15840 = AND(g3949, g14142)
+g15841 = AND(g4273, g13868)
+g15847 = AND(g3191, g14005)
+g15848 = AND(g3259, g13892)
+g15849 = AND(g3538, g14136)
+g15850 = AND(g3606, g14151)
+g15851 = AND(g3953, g14157)
+g15852 = AND(g13820, g13223)
+g15856 = AND(g9056, g14223)
+g15857 = AND(g3199, g14038)
+g15858 = AND(g3542, g14045)
+g15859 = AND(g3610, g13923)
+g15860 = AND(g3889, g14160)
+g15861 = AND(g3957, g14170)
+g15863 = AND(g13762, g13223)
+g15870 = AND(g3231, g13948)
+g15871 = AND(g3203, g13951)
+g15872 = AND(g9095, g14234)
+g15873 = AND(g3550, g14072)
+g15874 = AND(g3893, g14079)
+g15875 = AND(g3961, g13963)
+g15876 = AND(g13512, g13223)
+g15880 = AND(g3211, g13980)
+g15881 = AND(g3582, g13983)
+g15882 = AND(g3554, g13986)
+g15883 = AND(g9180, g14258)
+g15884 = AND(g3901, g14113)
+g15902 = AND(g441, g13975)
+g15903 = AND(g13796, g13223)
+g15911 = AND(g3111, g13530)
+g15912 = AND(g3562, g14018)
+g15913 = AND(g3933, g14021)
+g15914 = AND(g3905, g14024)
+g15936 = AND(g475, g13999)
+g15937 = AND(g11950, g14387)
+g15966 = AND(g3462, g13555)
+g15967 = AND(g3913, g14058)
+g15978 = AND(g246, g14032)
+g15995 = AND(g13314, g1157, g10666)
+g16023 = AND(g3813, g13584)
+g16025 = AND(g446, g14063)
+g16026 = AND(g854, g14065)
+g16047 = AND(g13322, g1500, g10699)
+g16098 = AND(g5148, g14238)
+g16122 = AND(g9491, g14291)
+g16125 = AND(g5152, g14238)
+g16126 = AND(g5495, g14262)
+g16128 = AND(g14333, g14166)
+g16160 = AND(g5499, g14262)
+g16161 = AND(g5841, g14297)
+g16163 = AND(g14254, g14179)
+g16176 = AND(g14596, g11779)
+g16177 = AND(g5128, g14238)
+g16178 = AND(g5845, g14297)
+g16179 = AND(g6187, g14321)
+g16184 = AND(g9285, g14183)
+g16185 = AND(g3263, g14011)
+g16190 = AND(g14626, g11810)
+g16191 = AND(g5475, g14262)
+g16192 = AND(g6191, g14321)
+g16193 = AND(g6533, g14348)
+I17529 = AND(g13156, g11450, g6756)
+g16194 = AND(g11547, g6782, g11640, I17529)
+g16199 = AND(g3614, g14051)
+g16202 = AND(g86, g14197)
+g16203 = AND(g5821, g14297)
+g16204 = AND(g6537, g14348)
+I17542 = AND(g13156, g6767, g6756)
+g16205 = AND(g11547, g6782, g11640, I17542)
+g16207 = AND(g9839, g14204)
+g16208 = AND(g3965, g14085)
+g16211 = AND(g5445, g14215)
+g16212 = AND(g6167, g14321)
+I17552 = AND(g13156, g11450, g11498)
+g16213 = AND(g6772, g6782, g11640, I17552)
+g16221 = AND(g5791, g14231)
+g16222 = AND(g6513, g14348)
+g16224 = AND(g14583, g14232)
+g16233 = AND(g6137, g14251)
+I17575 = AND(g13156, g11450, g6756)
+g16234 = AND(g6772, g6782, g11640, I17575)
+g16243 = AND(g6483, g14275)
+I17585 = AND(g14988, g11450, g11498)
+g16244 = AND(g11547, g11592, g6789, I17585)
+g16245 = AND(g14278, g14708)
+g16279 = AND(g4512, g14424)
+I17606 = AND(g14988, g11450, g6756)
+g16283 = AND(g11547, g11592, g6789, I17606)
+g16303 = AND(g4527, g12921)
+g16324 = AND(g13657, g182)
+g16422 = AND(g8216, g13627)
+g16427 = AND(g5216, g14876)
+g16474 = AND(g8280, g13666)
+g16483 = AND(g5224, g14915)
+g16484 = AND(g5244, g14755)
+g16485 = AND(g5563, g14924)
+I17692 = AND(g14988, g11450, g6756)
+g16486 = AND(g6772, g11592, g6789, I17692)
+g16513 = AND(g8345, g13708)
+g16516 = AND(g5228, g14627)
+g16517 = AND(g5248, g14797)
+g16518 = AND(g5571, g14956)
+g16519 = AND(g5591, g14804)
+g16520 = AND(g5909, g14965)
+g16531 = AND(g5232, g14656)
+g16532 = AND(g5252, g14841)
+g16534 = AND(g5575, g14665)
+g16535 = AND(g5595, g14848)
+g16536 = AND(g5917, g14996)
+g16537 = AND(g5937, g14855)
+g16538 = AND(g6255, g15005)
+I17741 = AND(g14988, g11450, g11498)
+g16539 = AND(g11547, g6782, g6789, I17741)
+g16590 = AND(g5236, g14683)
+g16591 = AND(g5256, g14879)
+g16592 = AND(g5579, g14688)
+g16593 = AND(g5599, g14885)
+g16595 = AND(g5921, g14697)
+g16596 = AND(g5941, g14892)
+g16597 = AND(g6263, g15021)
+g16598 = AND(g6283, g14899)
+g16599 = AND(g6601, g15030)
+g16610 = AND(g5260, g14918)
+g16611 = AND(g5583, g14727)
+g16612 = AND(g5603, g14927)
+g16613 = AND(g5925, g14732)
+g16614 = AND(g5945, g14933)
+g16616 = AND(g6267, g14741)
+g16617 = AND(g6287, g14940)
+g16618 = AND(g6609, g15039)
+g16619 = AND(g6629, g14947)
+g16621 = AND(g8278, g13821)
+g16633 = AND(g5196, g14921)
+g16634 = AND(g5264, g14953)
+g16635 = AND(g5607, g14959)
+g16636 = AND(g5929, g14768)
+g16637 = AND(g5949, g14968)
+g16638 = AND(g6271, g14773)
+g16639 = AND(g6291, g14974)
+g16641 = AND(g6613, g14782)
+g16642 = AND(g6633, g14981)
+g16653 = AND(g8343, g13850)
+g16662 = AND(g4552, g14753)
+g16666 = AND(g5200, g14794)
+g16667 = AND(g5268, g14659)
+g16668 = AND(g5543, g14962)
+g16669 = AND(g5611, g14993)
+g16670 = AND(g5953, g14999)
+g16671 = AND(g6275, g14817)
+g16672 = AND(g6295, g15008)
+g16673 = AND(g6617, g14822)
+g16674 = AND(g6637, g15014)
+g16690 = AND(g8399, g13867)
+g16699 = AND(g7134, g12933)
+g16700 = AND(g5208, g14838)
+g16701 = AND(g5547, g14845)
+g16702 = AND(g5615, g14691)
+g16703 = AND(g5889, g15002)
+g16704 = AND(g5957, g15018)
+g16705 = AND(g6299, g15024)
+g16706 = AND(g6621, g14868)
+g16707 = AND(g6641, g15033)
+g16729 = AND(g5240, g14720)
+g16730 = AND(g5212, g14723)
+g16731 = AND(g7153, g12941)
+g16732 = AND(g5555, g14882)
+g16733 = AND(g5893, g14889)
+g16734 = AND(g5961, g14735)
+g16735 = AND(g6235, g15027)
+g16736 = AND(g6303, g15036)
+g16737 = AND(g6645, g15042)
+g16751 = AND(g13155, g13065)
+g16758 = AND(g5220, g14758)
+g16759 = AND(g5587, g14761)
+g16760 = AND(g5559, g14764)
+g16761 = AND(g7170, g12947)
+g16762 = AND(g5901, g14930)
+g16763 = AND(g6239, g14937)
+g16764 = AND(g6307, g14776)
+g16765 = AND(g6581, g15045)
+g16766 = AND(g6649, g12915)
+g16801 = AND(g5120, g14238)
+g16802 = AND(g5567, g14807)
+g16803 = AND(g5933, g14810)
+g16804 = AND(g5905, g14813)
+g16805 = AND(g7187, g12972)
+g16806 = AND(g6247, g14971)
+g16807 = AND(g6585, g14978)
+g16808 = AND(g6653, g14825)
+g16840 = AND(g5467, g14262)
+g16841 = AND(g5913, g14858)
+g16842 = AND(g6279, g14861)
+g16843 = AND(g6251, g14864)
+g16844 = AND(g7212, g13000)
+g16845 = AND(g6593, g15011)
+g16846 = AND(g14034, g12591, g11185)
+g16855 = AND(g4392, g13107)
+g16868 = AND(g5813, g14297)
+g16869 = AND(g6259, g14902)
+g16870 = AND(g6625, g14905)
+g16871 = AND(g6597, g14908)
+g16884 = AND(g6159, g14321)
+g16885 = AND(g6605, g14950)
+g16896 = AND(g262, g13120)
+g16929 = AND(g6505, g14348)
+g16930 = AND(g239, g13132)
+g16957 = AND(g13064, g10418)
+g16965 = AND(g269, g13140)
+g16986 = AND(g246, g13142)
+g17057 = AND(g446, g13173)
+g17091 = AND(g8659, g12940)
+g17119 = AND(g5272, g14800)
+g17123 = AND(g225, g13209)
+g17133 = AND(g10683, g13222)
+g17134 = AND(g5619, g14851)
+g17138 = AND(g255, g13239)
+g17139 = AND(g8635, g12967)
+g17140 = AND(g8616, g12968)
+g17145 = AND(g7469, g13249)
+g17146 = AND(g5965, g14895)
+g17149 = AND(g232, g13255)
+g17150 = AND(g8579, g12995)
+g17151 = AND(g8659, g12996)
+g17152 = AND(g8635, g12997)
+g17153 = AND(g6311, g14943)
+g17156 = AND(g305, g13385)
+g17176 = AND(g8616, g13008)
+g17177 = AND(g6657, g14984)
+g17179 = AND(g1041, g13211)
+g17181 = AND(g1945, g13014)
+g17182 = AND(g8579, g13016)
+g17191 = AND(g1384, g13242)
+g17192 = AND(g1677, g13022)
+g17193 = AND(g2504, g13023)
+g17199 = AND(g2236, g13034)
+g17292 = AND(g1075, g13093)
+g17307 = AND(g9498, g14343)
+g17317 = AND(g1079, g13124)
+g17321 = AND(g1418, g13105)
+g17365 = AND(g7650, g13036)
+g17391 = AND(g9556, g14378)
+g17401 = AND(g1083, g13143)
+g17405 = AND(g1422, g13137)
+g17418 = AND(g9618, g14407)
+g17424 = AND(g1426, g13176)
+g17469 = AND(g4076, g13217)
+g17480 = AND(g9683, g14433)
+g17506 = AND(g9744, g14505)
+g17574 = AND(g9554, g14546)
+g17601 = AND(g9616, g14572)
+I18568 = AND(g13156, g11450, g11498)
+g17613 = AND(g11547, g11592, g11640, I18568)
+g17617 = AND(g7885, g13326)
+g17636 = AND(g10829, g13463)
+g17643 = AND(g9681, g14599)
+I18620 = AND(g13156, g11450, g11498)
+g17653 = AND(g11547, g11592, g6789, I18620)
+g17654 = AND(g962, g13284)
+g17655 = AND(g7897, g13342)
+g17671 = AND(g7685, g13485)
+g17682 = AND(g9742, g14637)
+I18671 = AND(g13156, g11450, g6756)
+g17690 = AND(g11547, g11592, g11640, I18671)
+g17692 = AND(g1124, g13307)
+g17693 = AND(g1306, g13291)
+g17719 = AND(g9818, g14675)
+I18713 = AND(g13156, g6767, g6756)
+g17724 = AND(g11547, g11592, g11640, I18713)
+I18716 = AND(g13156, g11450, g6756)
+g17725 = AND(g11547, g11592, g6789, I18716)
+g17726 = AND(g1467, g13315)
+I18740 = AND(g13156, g11450, g11498)
+g17747 = AND(g6772, g11592, g11640, I18740)
+g17752 = AND(g7841, g13174)
+g17753 = AND(g13281, g13175)
+I18762 = AND(g13156, g6767, g11498)
+g17766 = AND(g6772, g11592, g11640, I18762)
+I18765 = AND(g13156, g11450, g11498)
+g17767 = AND(g6772, g11592, g6789, I18765)
+g17768 = AND(g13325, g10741)
+g17769 = AND(g1146, g13188)
+g17770 = AND(g7863, g13189)
+g17771 = AND(g13288, g13190)
+I18782 = AND(g13156, g11450, g6756)
+g17780 = AND(g6772, g11592, g11640, I18782)
+I18785 = AND(g13156, g6767, g11498)
+g17781 = AND(g6772, g11592, g6789, I18785)
+g17783 = AND(g7851, g13110)
+g17784 = AND(g1152, g13215)
+g17785 = AND(g13341, g10762)
+g17786 = AND(g1489, g13216)
+I18803 = AND(g13156, g11450, g6756)
+g17793 = AND(g6772, g11592, g6789, I18803)
+g17809 = AND(g7873, g13125)
+g17810 = AND(g1495, g13246)
+I18819 = AND(g13156, g11450, g11498)
+g17817 = AND(g11547, g6782, g11640, I18819)
+g18103 = AND(g401, g17015)
+g18104 = AND(g392, g17015)
+g18105 = AND(g417, g17015)
+g18106 = AND(g411, g17015)
+g18107 = AND(g429, g17015)
+g18108 = AND(g433, g17015)
+g18109 = AND(g437, g17015)
+g18110 = AND(g441, g17015)
+g18111 = AND(g174, g17015)
+g18112 = AND(g182, g17015)
+g18113 = AND(g405, g17015)
+g18114 = AND(g452, g17015)
+g18115 = AND(g460, g17015)
+g18116 = AND(g168, g17015)
+g18117 = AND(g464, g17015)
+g18118 = AND(g471, g17015)
+g18119 = AND(g475, g17015)
+g18120 = AND(g457, g17015)
+g18121 = AND(g424, g17015)
+g18122 = AND(g15052, g17015)
+g18123 = AND(g479, g16886)
+g18124 = AND(g102, g16886)
+g18125 = AND(g15053, g16886)
+g18126 = AND(g15054, g16971)
+g18127 = AND(g499, g16971)
+g18128 = AND(g504, g16971)
+g18129 = AND(g518, g16971)
+g18130 = AND(g528, g16971)
+g18131 = AND(g482, g16971)
+g18132 = AND(g513, g16971)
+g18133 = AND(g15055, g17249)
+g18134 = AND(g534, g17249)
+g18135 = AND(g136, g17249)
+g18136 = AND(g550, g17249)
+g18137 = AND(g538, g17249)
+g18138 = AND(g546, g17249)
+g18139 = AND(g542, g17249)
+g18140 = AND(g559, g17533)
+g18141 = AND(g568, g17533)
+g18142 = AND(g577, g17533)
+g18143 = AND(g586, g17533)
+g18144 = AND(g590, g17533)
+g18145 = AND(g582, g17533)
+g18146 = AND(g595, g17533)
+g18147 = AND(g599, g17533)
+g18148 = AND(g562, g17533)
+g18149 = AND(g608, g17533)
+g18150 = AND(g604, g17533)
+g18151 = AND(g617, g17533)
+g18152 = AND(g613, g17533)
+g18153 = AND(g626, g17533)
+g18154 = AND(g622, g17533)
+g18155 = AND(g15056, g17533)
+g18156 = AND(g572, g17533)
+g18157 = AND(g15057, g17433)
+g18158 = AND(g667, g17433)
+g18159 = AND(g671, g17433)
+g18160 = AND(g645, g17433)
+g18161 = AND(g691, g17433)
+g18162 = AND(g686, g17433)
+g18163 = AND(g79, g17433)
+g18164 = AND(g699, g17433)
+g18165 = AND(g650, g17433)
+g18166 = AND(g655, g17433)
+g18167 = AND(g718, g17433)
+g18168 = AND(g681, g17433)
+g18169 = AND(g676, g17433)
+g18170 = AND(g661, g17433)
+g18171 = AND(g728, g17433)
+g18172 = AND(g15058, g17328)
+g18173 = AND(g736, g17328)
+g18174 = AND(g739, g17328)
+g18175 = AND(g744, g17328)
+g18176 = AND(g732, g17328)
+g18177 = AND(g749, g17328)
+g18178 = AND(g758, g17328)
+g18179 = AND(g763, g17328)
+g18180 = AND(g767, g17328)
+g18181 = AND(g772, g17328)
+g18182 = AND(g776, g17328)
+g18183 = AND(g781, g17328)
+g18184 = AND(g785, g17328)
+g18185 = AND(g790, g17328)
+g18186 = AND(g753, g17328)
+g18187 = AND(g794, g17328)
+g18188 = AND(g807, g17328)
+g18189 = AND(g812, g17821)
+g18190 = AND(g822, g17821)
+g18191 = AND(g827, g17821)
+g18192 = AND(g817, g17821)
+g18193 = AND(g837, g17821)
+g18194 = AND(g843, g17821)
+g18195 = AND(g847, g17821)
+g18196 = AND(g703, g17821)
+g18197 = AND(g854, g17821)
+g18198 = AND(g15059, g17821)
+g18199 = AND(g832, g17821)
+g18201 = AND(g15061, g15938)
+g18202 = AND(g907, g15938)
+g18203 = AND(g911, g15938)
+g18204 = AND(g914, g15938)
+g18205 = AND(g904, g15938)
+g18206 = AND(g918, g15938)
+g18207 = AND(g925, g15938)
+g18208 = AND(g930, g15938)
+g18209 = AND(g921, g15938)
+g18210 = AND(g936, g15938)
+g18211 = AND(g15062, g15979)
+g18212 = AND(g947, g15979)
+g18213 = AND(g952, g15979)
+g18214 = AND(g939, g15979)
+g18215 = AND(g943, g15979)
+g18216 = AND(g967, g15979)
+g18217 = AND(g15063, g16100)
+g18218 = AND(g1008, g16100)
+g18219 = AND(g969, g16100)
+g18220 = AND(g1002, g16100)
+g18221 = AND(g1018, g16100)
+g18222 = AND(g1024, g16100)
+g18223 = AND(g1030, g16100)
+g18224 = AND(g1036, g16100)
+g18225 = AND(g1041, g16100)
+g18226 = AND(g15064, g16129)
+g18227 = AND(g1052, g16129)
+g18228 = AND(g1061, g16129)
+g18229 = AND(g1099, g16326)
+g18230 = AND(g1111, g16326)
+g18231 = AND(g1105, g16326)
+g18232 = AND(g1124, g16326)
+g18233 = AND(g1094, g16326)
+g18234 = AND(g1129, g16326)
+g18235 = AND(g1141, g16326)
+g18236 = AND(g15065, g16326)
+g18237 = AND(g1146, g16326)
+g18238 = AND(g1152, g16326)
+g18239 = AND(g1135, g16326)
+g18240 = AND(g15066, g16431)
+g18241 = AND(g1183, g16431)
+g18242 = AND(g962, g16431)
+g18243 = AND(g1189, g16431)
+g18244 = AND(g1171, g16431)
+g18245 = AND(g1193, g16431)
+g18246 = AND(g1199, g16431)
+g18247 = AND(g1178, g16431)
+g18248 = AND(g15067, g16897)
+g18249 = AND(g1216, g16897)
+g18250 = AND(g6821, g16897)
+g18251 = AND(g996, g16897)
+g18252 = AND(g990, g16897)
+g18253 = AND(g1211, g16897)
+g18254 = AND(g1236, g16897)
+g18255 = AND(g1087, g16897)
+g18256 = AND(g1242, g16897)
+g18257 = AND(g1205, g16897)
+g18258 = AND(g1221, g16897)
+g18259 = AND(g15068, g16000)
+g18260 = AND(g1252, g16000)
+g18261 = AND(g1256, g16000)
+g18262 = AND(g1259, g16000)
+g18263 = AND(g1249, g16000)
+g18264 = AND(g1263, g16000)
+g18265 = AND(g1270, g16000)
+g18266 = AND(g1274, g16000)
+g18267 = AND(g1266, g16000)
+g18268 = AND(g1280, g16000)
+g18269 = AND(g15069, g16031)
+g18270 = AND(g1291, g16031)
+g18271 = AND(g1296, g16031)
+g18272 = AND(g1283, g16031)
+g18273 = AND(g1287, g16031)
+g18274 = AND(g1311, g16031)
+g18275 = AND(g15070, g16136)
+g18276 = AND(g1351, g16136)
+g18277 = AND(g1312, g16136)
+g18278 = AND(g1345, g16136)
+g18279 = AND(g1361, g16136)
+g18280 = AND(g1367, g16136)
+g18281 = AND(g1373, g16136)
+g18282 = AND(g1379, g16136)
+g18283 = AND(g1384, g16136)
+g18284 = AND(g15071, g16164)
+g18285 = AND(g1395, g16164)
+g18286 = AND(g1404, g16164)
+g18287 = AND(g1442, g16449)
+g18288 = AND(g1454, g16449)
+g18289 = AND(g1448, g16449)
+g18290 = AND(g1467, g16449)
+g18291 = AND(g1437, g16449)
+g18292 = AND(g1472, g16449)
+g18293 = AND(g1484, g16449)
+g18294 = AND(g15072, g16449)
+g18295 = AND(g1489, g16449)
+g18296 = AND(g1495, g16449)
+g18297 = AND(g1478, g16449)
+g18298 = AND(g15073, g16489)
+g18299 = AND(g1526, g16489)
+g18300 = AND(g1306, g16489)
+g18301 = AND(g1532, g16489)
+g18302 = AND(g1514, g16489)
+g18303 = AND(g1536, g16489)
+g18304 = AND(g1542, g16489)
+g18305 = AND(g1521, g16489)
+g18306 = AND(g15074, g16931)
+g18307 = AND(g1559, g16931)
+g18308 = AND(g6832, g16931)
+g18309 = AND(g1339, g16931)
+g18310 = AND(g1333, g16931)
+g18311 = AND(g1554, g16931)
+g18312 = AND(g1579, g16931)
+g18313 = AND(g1430, g16931)
+g18314 = AND(g1585, g16931)
+g18315 = AND(g1548, g16931)
+g18316 = AND(g1564, g16931)
+g18317 = AND(g12846, g17873)
+g18318 = AND(g1604, g17873)
+g18319 = AND(g1600, g17873)
+g18320 = AND(g1616, g17873)
+g18321 = AND(g1620, g17873)
+g18322 = AND(g1608, g17873)
+g18323 = AND(g1632, g17873)
+g18324 = AND(g1644, g17873)
+g18325 = AND(g1624, g17873)
+g18326 = AND(g1664, g17873)
+g18327 = AND(g1636, g17873)
+g18328 = AND(g1657, g17873)
+g18329 = AND(g1612, g17873)
+g18330 = AND(g1668, g17873)
+g18331 = AND(g1682, g17873)
+g18332 = AND(g1677, g17873)
+g18333 = AND(g1691, g17873)
+g18334 = AND(g1696, g17873)
+g18335 = AND(g1687, g17873)
+g18336 = AND(g1700, g17873)
+g18337 = AND(g1706, g17873)
+g18338 = AND(g1710, g17873)
+g18339 = AND(g1714, g17873)
+g18340 = AND(g1720, g17873)
+g18341 = AND(g1648, g17873)
+g18342 = AND(g1592, g17873)
+g18343 = AND(g12847, g17955)
+g18344 = AND(g1740, g17955)
+g18345 = AND(g1736, g17955)
+g18346 = AND(g1752, g17955)
+g18347 = AND(g1756, g17955)
+g18348 = AND(g1744, g17955)
+g18349 = AND(g1768, g17955)
+g18350 = AND(g1779, g17955)
+g18351 = AND(g1760, g17955)
+g18352 = AND(g1798, g17955)
+g18353 = AND(g1772, g17955)
+g18354 = AND(g1792, g17955)
+g18355 = AND(g1748, g17955)
+g18356 = AND(g1802, g17955)
+g18357 = AND(g1816, g17955)
+g18358 = AND(g1811, g17955)
+g18359 = AND(g1825, g17955)
+g18360 = AND(g1830, g17955)
+g18361 = AND(g1821, g17955)
+g18362 = AND(g1834, g17955)
+g18363 = AND(g1840, g17955)
+g18364 = AND(g1844, g17955)
+g18365 = AND(g1848, g17955)
+g18366 = AND(g1854, g17955)
+g18367 = AND(g1783, g17955)
+g18368 = AND(g1728, g17955)
+g18369 = AND(g12848, g15171)
+g18370 = AND(g1874, g15171)
+g18371 = AND(g1870, g15171)
+g18372 = AND(g1886, g15171)
+g18373 = AND(g1890, g15171)
+g18374 = AND(g1878, g15171)
+g18375 = AND(g1902, g15171)
+g18376 = AND(g1913, g15171)
+g18377 = AND(g1894, g15171)
+g18378 = AND(g1932, g15171)
+g18379 = AND(g1906, g15171)
+g18380 = AND(g1926, g15171)
+g18381 = AND(g1882, g15171)
+g18382 = AND(g1936, g15171)
+g18383 = AND(g1950, g15171)
+g18384 = AND(g1945, g15171)
+g18385 = AND(g1959, g15171)
+g18386 = AND(g1964, g15171)
+g18387 = AND(g1955, g15171)
+g18388 = AND(g1968, g15171)
+g18389 = AND(g1974, g15171)
+g18390 = AND(g1978, g15171)
+g18391 = AND(g1982, g15171)
+g18392 = AND(g1988, g15171)
+g18393 = AND(g1917, g15171)
+g18394 = AND(g1862, g15171)
+g18395 = AND(g12849, g15373)
+g18396 = AND(g2008, g15373)
+g18397 = AND(g2004, g15373)
+g18398 = AND(g2020, g15373)
+g18399 = AND(g2024, g15373)
+g18400 = AND(g2012, g15373)
+g18401 = AND(g2036, g15373)
+g18402 = AND(g2047, g15373)
+g18403 = AND(g2028, g15373)
+g18404 = AND(g2066, g15373)
+g18405 = AND(g2040, g15373)
+g18406 = AND(g2060, g15373)
+g18407 = AND(g2016, g15373)
+g18408 = AND(g2070, g15373)
+g18409 = AND(g2084, g15373)
+g18410 = AND(g2079, g15373)
+g18411 = AND(g2093, g15373)
+g18412 = AND(g2098, g15373)
+g18413 = AND(g2089, g15373)
+g18414 = AND(g2102, g15373)
+g18415 = AND(g2108, g15373)
+g18416 = AND(g2112, g15373)
+g18417 = AND(g2116, g15373)
+g18418 = AND(g2122, g15373)
+g18419 = AND(g2051, g15373)
+g18420 = AND(g1996, g15373)
+g18423 = AND(g12851, g18008)
+g18424 = AND(g2165, g18008)
+g18425 = AND(g2161, g18008)
+g18426 = AND(g2177, g18008)
+g18427 = AND(g2181, g18008)
+g18428 = AND(g2169, g18008)
+g18429 = AND(g2193, g18008)
+g18430 = AND(g2204, g18008)
+g18431 = AND(g2185, g18008)
+g18432 = AND(g2223, g18008)
+g18433 = AND(g2197, g18008)
+g18434 = AND(g2217, g18008)
+g18435 = AND(g2173, g18008)
+g18436 = AND(g2227, g18008)
+g18437 = AND(g2241, g18008)
+g18438 = AND(g2236, g18008)
+g18439 = AND(g2250, g18008)
+g18440 = AND(g2255, g18008)
+g18441 = AND(g2246, g18008)
+g18442 = AND(g2259, g18008)
+g18443 = AND(g2265, g18008)
+g18444 = AND(g2269, g18008)
+g18445 = AND(g2273, g18008)
+g18446 = AND(g2279, g18008)
+g18447 = AND(g2208, g18008)
+g18448 = AND(g2153, g18008)
+g18449 = AND(g12852, g15224)
+g18450 = AND(g2299, g15224)
+g18451 = AND(g2295, g15224)
+g18452 = AND(g2311, g15224)
+g18453 = AND(g2315, g15224)
+g18454 = AND(g2303, g15224)
+g18455 = AND(g2327, g15224)
+g18456 = AND(g2338, g15224)
+g18457 = AND(g2319, g15224)
+g18458 = AND(g2357, g15224)
+g18459 = AND(g2331, g15224)
+g18460 = AND(g2351, g15224)
+g18461 = AND(g2307, g15224)
+g18462 = AND(g2361, g15224)
+g18463 = AND(g2375, g15224)
+g18464 = AND(g2370, g15224)
+g18465 = AND(g2384, g15224)
+g18466 = AND(g2389, g15224)
+g18467 = AND(g2380, g15224)
+g18468 = AND(g2393, g15224)
+g18469 = AND(g2399, g15224)
+g18470 = AND(g2403, g15224)
+g18471 = AND(g2407, g15224)
+g18472 = AND(g2413, g15224)
+g18473 = AND(g2342, g15224)
+g18474 = AND(g2287, g15224)
+g18475 = AND(g12853, g15426)
+g18476 = AND(g2433, g15426)
+g18477 = AND(g2429, g15426)
+g18478 = AND(g2445, g15426)
+g18479 = AND(g2449, g15426)
+g18480 = AND(g2437, g15426)
+g18481 = AND(g2461, g15426)
+g18482 = AND(g2472, g15426)
+g18483 = AND(g2453, g15426)
+g18484 = AND(g2491, g15426)
+g18485 = AND(g2465, g15426)
+g18486 = AND(g2485, g15426)
+g18487 = AND(g2441, g15426)
+g18488 = AND(g2495, g15426)
+g18489 = AND(g2509, g15426)
+g18490 = AND(g2504, g15426)
+g18491 = AND(g2518, g15426)
+g18492 = AND(g2523, g15426)
+g18493 = AND(g2514, g15426)
+g18494 = AND(g2527, g15426)
+g18495 = AND(g2533, g15426)
+g18496 = AND(g2537, g15426)
+g18497 = AND(g2541, g15426)
+g18498 = AND(g2547, g15426)
+g18499 = AND(g2476, g15426)
+g18500 = AND(g2421, g15426)
+g18501 = AND(g12854, g15509)
+g18502 = AND(g2567, g15509)
+g18503 = AND(g2563, g15509)
+g18504 = AND(g2579, g15509)
+g18505 = AND(g2583, g15509)
+g18506 = AND(g2571, g15509)
+g18507 = AND(g2595, g15509)
+g18508 = AND(g2606, g15509)
+g18509 = AND(g2587, g15509)
+g18510 = AND(g2625, g15509)
+g18511 = AND(g2599, g15509)
+g18512 = AND(g2619, g15509)
+g18513 = AND(g2575, g15509)
+g18514 = AND(g2629, g15509)
+g18515 = AND(g2643, g15509)
+g18516 = AND(g2638, g15509)
+g18517 = AND(g2652, g15509)
+g18518 = AND(g2657, g15509)
+g18519 = AND(g2648, g15509)
+g18520 = AND(g2661, g15509)
+g18521 = AND(g2667, g15509)
+g18522 = AND(g2671, g15509)
+g18523 = AND(g2675, g15509)
+g18524 = AND(g2681, g15509)
+g18525 = AND(g2610, g15509)
+g18526 = AND(g2555, g15509)
+g18529 = AND(g2712, g15277)
+g18530 = AND(g2715, g15277)
+g18531 = AND(g2719, g15277)
+g18532 = AND(g2724, g15277)
+g18533 = AND(g2729, g15277)
+g18534 = AND(g2735, g15277)
+g18535 = AND(g2741, g15277)
+g18536 = AND(g2748, g15277)
+g18537 = AND(g6856, g15277)
+g18538 = AND(g2759, g15277)
+g18539 = AND(g2763, g15277)
+g18540 = AND(g2775, g15277)
+g18541 = AND(g2767, g15277)
+g18542 = AND(g2787, g15277)
+g18543 = AND(g2779, g15277)
+g18544 = AND(g2791, g15277)
+g18545 = AND(g2783, g15277)
+g18546 = AND(g2795, g15277)
+g18547 = AND(g121, g15277)
+g18548 = AND(g2807, g15277)
+g18549 = AND(g2799, g15277)
+g18550 = AND(g2819, g15277)
+g18551 = AND(g2811, g15277)
+g18552 = AND(g2815, g15277)
+g18553 = AND(g2827, g15277)
+g18554 = AND(g2831, g15277)
+g18555 = AND(g2834, g15277)
+g18556 = AND(g2823, g15277)
+g18557 = AND(g2771, g15277)
+g18558 = AND(g2803, g15277)
+g18559 = AND(g12856, g15277)
+g18560 = AND(g2837, g15277)
+g18561 = AND(g2841, g15277)
+g18563 = AND(g2890, g16349)
+g18564 = AND(g2844, g16349)
+g18565 = AND(g2852, g16349)
+g18566 = AND(g2860, g16349)
+g18567 = AND(g2894, g16349)
+g18568 = AND(g37, g16349)
+g18569 = AND(g94, g16349)
+g18570 = AND(g2848, g16349)
+g18571 = AND(g2856, g16349)
+g18572 = AND(g2864, g16349)
+g18573 = AND(g2898, g16349)
+g18574 = AND(g2882, g16349)
+g18575 = AND(g2878, g16349)
+g18576 = AND(g2868, g16349)
+g18577 = AND(g2988, g16349)
+g18578 = AND(g2873, g16349)
+g18579 = AND(g2984, g16349)
+g18580 = AND(g2907, g16349)
+g18581 = AND(g2912, g16349)
+g18582 = AND(g2922, g16349)
+g18583 = AND(g2936, g16349)
+g18584 = AND(g2950, g16349)
+g18585 = AND(g2960, g16349)
+g18586 = AND(g2886, g16349)
+g18587 = AND(g2980, g16349)
+g18588 = AND(g2970, g16349)
+g18589 = AND(g2902, g16349)
+g18590 = AND(g2917, g16349)
+g18591 = AND(g2965, g16349)
+g18592 = AND(g2994, g16349)
+g18593 = AND(g2999, g16349)
+g18594 = AND(g12858, g16349)
+g18595 = AND(g2927, g16349)
+g18596 = AND(g2941, g16349)
+g18597 = AND(g2975, g16349)
+g18598 = AND(g3003, g16349)
+g18599 = AND(g2955, g16349)
+g18600 = AND(g3111, g16987)
+g18601 = AND(g3106, g16987)
+g18602 = AND(g3115, g16987)
+g18603 = AND(g3119, g16987)
+g18604 = AND(g3125, g16987)
+g18605 = AND(g3129, g16987)
+g18606 = AND(g3133, g16987)
+g18607 = AND(g3139, g16987)
+g18608 = AND(g15087, g16987)
+g18609 = AND(g3147, g16987)
+g18610 = AND(g15088, g17059)
+g18611 = AND(g15090, g17200)
+g18612 = AND(g3329, g17200)
+g18613 = AND(g3338, g17200)
+g18614 = AND(g3343, g17200)
+g18615 = AND(g3347, g17200)
+g18616 = AND(g6875, g17200)
+g18617 = AND(g3462, g17062)
+g18618 = AND(g3457, g17062)
+g18619 = AND(g3466, g17062)
+g18620 = AND(g3470, g17062)
+g18621 = AND(g3476, g17062)
+g18622 = AND(g3480, g17062)
+g18623 = AND(g3484, g17062)
+g18624 = AND(g3490, g17062)
+g18625 = AND(g15092, g17062)
+g18626 = AND(g3498, g17062)
+g18627 = AND(g15093, g17093)
+g18628 = AND(g15095, g17226)
+g18629 = AND(g3680, g17226)
+g18630 = AND(g3689, g17226)
+g18631 = AND(g3694, g17226)
+g18632 = AND(g3698, g17226)
+g18633 = AND(g6905, g17226)
+g18634 = AND(g3813, g17096)
+g18635 = AND(g3808, g17096)
+g18636 = AND(g3817, g17096)
+g18637 = AND(g3821, g17096)
+g18638 = AND(g3827, g17096)
+g18639 = AND(g3831, g17096)
+g18640 = AND(g3835, g17096)
+g18641 = AND(g3841, g17096)
+g18642 = AND(g15097, g17096)
+g18643 = AND(g3849, g17096)
+g18644 = AND(g15098, g17125)
+g18645 = AND(g15100, g17271)
+g18646 = AND(g4031, g17271)
+g18647 = AND(g4040, g17271)
+g18648 = AND(g4045, g17271)
+g18649 = AND(g4049, g17271)
+g18650 = AND(g6928, g17271)
+g18651 = AND(g15102, g16249)
+g18652 = AND(g4172, g16249)
+g18653 = AND(g4176, g16249)
+g18654 = AND(g4146, g16249)
+g18655 = AND(g15106, g14454)
+g18656 = AND(g15120, g17128)
+g18657 = AND(g4308, g17128)
+g18658 = AND(g15121, g17183)
+g18659 = AND(g4366, g17183)
+g18662 = AND(g15126, g17367)
+g18663 = AND(g4311, g17367)
+g18664 = AND(g4332, g17367)
+g18665 = AND(g4584, g17367)
+g18666 = AND(g4593, g17367)
+g18667 = AND(g4601, g17367)
+g18668 = AND(g4322, g17367)
+g18669 = AND(g4608, g17367)
+g18670 = AND(g4621, g15758)
+g18671 = AND(g4628, g15758)
+g18672 = AND(g15127, g15758)
+g18673 = AND(g4643, g15758)
+g18674 = AND(g4340, g15758)
+g18675 = AND(g4349, g15758)
+g18676 = AND(g4358, g15758)
+g18677 = AND(g4639, g15758)
+g18678 = AND(g66, g15758)
+g18679 = AND(g4633, g15758)
+g18680 = AND(g15128, g15885)
+g18681 = AND(g4653, g15885)
+g18682 = AND(g4646, g15885)
+g18683 = AND(g4674, g15885)
+g18684 = AND(g4681, g15885)
+g18685 = AND(g4688, g15885)
+g18686 = AND(g4659, g15885)
+g18687 = AND(g4664, g15885)
+g18688 = AND(g4704, g16752)
+g18689 = AND(g15129, g16752)
+g18690 = AND(g15130, g16053)
+g18691 = AND(g4727, g16053)
+g18692 = AND(g4732, g16053)
+g18693 = AND(g4717, g16053)
+g18694 = AND(g4722, g16053)
+g18695 = AND(g4737, g16053)
+g18696 = AND(g4741, g16053)
+g18697 = AND(g4749, g16777)
+g18698 = AND(g15131, g16777)
+g18699 = AND(g4760, g16816)
+g18700 = AND(g15132, g16816)
+g18701 = AND(g4771, g16856)
+g18702 = AND(g15133, g16856)
+g18703 = AND(g4776, g16782)
+g18704 = AND(g4793, g16782)
+g18705 = AND(g4801, g16782)
+g18706 = AND(g4785, g16782)
+g18707 = AND(g15134, g16782)
+g18708 = AND(g4818, g16782)
+g18709 = AND(g59, g17302)
+g18710 = AND(g15135, g17302)
+g18711 = AND(g15136, g15915)
+g18712 = AND(g4843, g15915)
+g18713 = AND(g4836, g15915)
+g18714 = AND(g4864, g15915)
+g18715 = AND(g4871, g15915)
+g18716 = AND(g4878, g15915)
+g18717 = AND(g4849, g15915)
+g18718 = AND(g4854, g15915)
+g18719 = AND(g4894, g16795)
+g18720 = AND(g15137, g16795)
+g18721 = AND(g15138, g16077)
+g18722 = AND(g4917, g16077)
+g18723 = AND(g4922, g16077)
+g18724 = AND(g4907, g16077)
+g18725 = AND(g4912, g16077)
+g18726 = AND(g4927, g16077)
+g18727 = AND(g4931, g16077)
+g18728 = AND(g4939, g16821)
+g18729 = AND(g15139, g16821)
+g18730 = AND(g4950, g16861)
+g18731 = AND(g15140, g16861)
+g18732 = AND(g4961, g16877)
+g18733 = AND(g15141, g16877)
+g18734 = AND(g4966, g16826)
+g18735 = AND(g4983, g16826)
+g18736 = AND(g4991, g16826)
+g18737 = AND(g4975, g16826)
+g18738 = AND(g15142, g16826)
+g18739 = AND(g5008, g16826)
+g18740 = AND(g4572, g17384)
+g18741 = AND(g15143, g17384)
+g18742 = AND(g5120, g17847)
+g18743 = AND(g5115, g17847)
+g18744 = AND(g5124, g17847)
+g18745 = AND(g5128, g17847)
+g18746 = AND(g5134, g17847)
+g18747 = AND(g5138, g17847)
+g18748 = AND(g5142, g17847)
+g18749 = AND(g5148, g17847)
+g18750 = AND(g15145, g17847)
+g18751 = AND(g5156, g17847)
+g18752 = AND(g15146, g17926)
+g18753 = AND(g15148, g15595)
+g18754 = AND(g5339, g15595)
+g18755 = AND(g5343, g15595)
+g18756 = AND(g5348, g15595)
+g18757 = AND(g5352, g15595)
+g18758 = AND(g7004, g15595)
+g18759 = AND(g5467, g17929)
+g18760 = AND(g5462, g17929)
+g18761 = AND(g5471, g17929)
+g18762 = AND(g5475, g17929)
+g18763 = AND(g5481, g17929)
+g18764 = AND(g5485, g17929)
+g18765 = AND(g5489, g17929)
+g18766 = AND(g5495, g17929)
+g18767 = AND(g15150, g17929)
+g18768 = AND(g5503, g17929)
+g18769 = AND(g15151, g18062)
+g18770 = AND(g15153, g15615)
+g18771 = AND(g5685, g15615)
+g18772 = AND(g5689, g15615)
+g18773 = AND(g5694, g15615)
+g18774 = AND(g5698, g15615)
+g18775 = AND(g7028, g15615)
+g18776 = AND(g5813, g18065)
+g18777 = AND(g5808, g18065)
+g18778 = AND(g5817, g18065)
+g18779 = AND(g5821, g18065)
+g18780 = AND(g5827, g18065)
+g18781 = AND(g5831, g18065)
+g18782 = AND(g5835, g18065)
+g18783 = AND(g5841, g18065)
+g18784 = AND(g15155, g18065)
+g18785 = AND(g5849, g18065)
+g18786 = AND(g15156, g15345)
+g18787 = AND(g15158, g15634)
+g18788 = AND(g6031, g15634)
+g18789 = AND(g6035, g15634)
+g18790 = AND(g6040, g15634)
+g18791 = AND(g6044, g15634)
+g18792 = AND(g7051, g15634)
+g18793 = AND(g6159, g15348)
+g18794 = AND(g6154, g15348)
+g18795 = AND(g6163, g15348)
+g18796 = AND(g6167, g15348)
+g18797 = AND(g6173, g15348)
+g18798 = AND(g6177, g15348)
+g18799 = AND(g6181, g15348)
+g18800 = AND(g6187, g15348)
+g18801 = AND(g15160, g15348)
+g18802 = AND(g6195, g15348)
+g18803 = AND(g15161, g15480)
+g18804 = AND(g15163, g15656)
+g18805 = AND(g6377, g15656)
+g18806 = AND(g6381, g15656)
+g18807 = AND(g6386, g15656)
+g18808 = AND(g6390, g15656)
+g18809 = AND(g7074, g15656)
+g18810 = AND(g6505, g15483)
+g18811 = AND(g6500, g15483)
+g18812 = AND(g6509, g15483)
+g18813 = AND(g6513, g15483)
+g18814 = AND(g6519, g15483)
+g18815 = AND(g6523, g15483)
+g18816 = AND(g6527, g15483)
+g18817 = AND(g6533, g15483)
+g18818 = AND(g15165, g15483)
+g18819 = AND(g6541, g15483)
+g18820 = AND(g15166, g15563)
+g18821 = AND(g15168, g15680)
+g18822 = AND(g6723, g15680)
+g18823 = AND(g6727, g15680)
+g18824 = AND(g6732, g15680)
+g18825 = AND(g6736, g15680)
+g18826 = AND(g7097, g15680)
+g18890 = AND(g10158, g17625)
+g18893 = AND(g16215, g16030)
+g18906 = AND(g13568, g16264)
+g18909 = AND(g16226, g13570)
+g18910 = AND(g16227, g16075)
+g18933 = AND(g16237, g13597)
+g18934 = AND(g3133, g16096)
+g18935 = AND(g4322, g15574)
+g18943 = AND(g269, g16099)
+g18949 = AND(g10183, g17625)
+g18950 = AND(g11193, g16123)
+g18951 = AND(g3484, g16124)
+g18974 = AND(g174, g16127)
+g18981 = AND(g11206, g16158)
+g18982 = AND(g3835, g16159)
+g18987 = AND(g182, g16162)
+g18992 = AND(g8341, g16171)
+g18993 = AND(g11224, g16172)
+g19062 = AND(g446, g16180)
+g19069 = AND(g8397, g16186)
+g19139 = AND(g452, g16195)
+g19145 = AND(g8450, g16200)
+g19206 = AND(g460, g16206)
+g19207 = AND(g7803, g15992)
+g19266 = AND(g246, g16214)
+g19275 = AND(g7823, g16044)
+g19333 = AND(g464, g16223)
+g19350 = AND(g15968, g13505)
+g19354 = AND(g471, g16235)
+g19372 = AND(g686, g16289)
+g19383 = AND(g16893, g13223)
+g19384 = AND(g667, g16310)
+g19393 = AND(g691, g16325)
+g19461 = AND(g11708, g16846)
+g19462 = AND(g7850, g14182, g14177, g16646)
+g19487 = AND(g499, g16680)
+g19500 = AND(g504, g16712)
+g19516 = AND(g7824, g16097)
+g19521 = AND(g513, g16739)
+g19536 = AND(g518, g16768)
+g19540 = AND(g1124, g15904)
+g19545 = AND(g3147, g16769)
+g19556 = AND(g11932, g16809)
+g19560 = AND(g15832, g1157, g10893)
+g19564 = AND(g17175, g13976)
+g19568 = AND(g1467, g15959)
+g19571 = AND(g3498, g16812)
+g19578 = AND(g16183, g11130)
+g19581 = AND(g15843, g1500, g10918)
+g19585 = AND(g17180, g14004)
+g19588 = AND(g3849, g16853)
+g19594 = AND(g11913, g17268)
+g19596 = AND(g1094, g16681)
+g19601 = AND(g16198, g11149)
+g19610 = AND(g1141, g16069)
+g19613 = AND(g1437, g16713)
+g19631 = AND(g1484, g16093)
+g19637 = AND(g5142, g16958)
+g19651 = AND(g1111, g16119)
+g19655 = AND(g2729, g16966)
+g19656 = AND(g2807, g15844)
+g19660 = AND(g12001, g16968)
+g19661 = AND(g5489, g16969)
+g19671 = AND(g1454, g16155)
+g19674 = AND(g2819, g15867)
+g19680 = AND(g12028, g17013)
+g19681 = AND(g5835, g17014)
+g19684 = AND(g2735, g17297)
+g19691 = AND(g9614, g17085)
+g19692 = AND(g12066, g17086)
+g19693 = AND(g6181, g17087)
+g19715 = AND(g9679, g17120)
+g19716 = AND(g12100, g17121)
+g19717 = AND(g6527, g17122)
+g19735 = AND(g9740, g17135)
+g19736 = AND(g12136, g17136)
+g19740 = AND(g2783, g15907)
+g19746 = AND(g9816, g17147)
+g19749 = AND(g732, g16646)
+g19752 = AND(g2771, g15864)
+g19756 = AND(g9899, g17154)
+g19767 = AND(g16810, g14203)
+g19768 = AND(g2803, g15833)
+g19784 = AND(g2775, g15877)
+g19788 = AND(g9983, g17216)
+g19791 = AND(g14253, g17189)
+g19855 = AND(g2787, g15962)
+g19911 = AND(g14707, g17748)
+g19914 = AND(g2815, g15853)
+g19948 = AND(g17515, g16320)
+g20056 = AND(g16291, g9007, g8954, g8903)
+g20069 = AND(g16312, g9051, g9011, g8955)
+g20084 = AND(g11591, g16609)
+g20093 = AND(g15372, g14584)
+g20094 = AND(g8872, g16631)
+g20095 = AND(g8873, g16632)
+g20108 = AND(g15508, g11048)
+g20109 = AND(g17954, g17616)
+g20112 = AND(g13540, g16661)
+g20131 = AND(g15170, g14309)
+g20135 = AND(g16258, g16695)
+g20152 = AND(g11545, g16727)
+g20162 = AND(g8737, g16750)
+g20165 = AND(g5156, g17733)
+g20171 = AND(g16479, g10476)
+g20174 = AND(g5503, g17754)
+g20188 = AND(g5849, g17772)
+g20193 = AND(g15578, g17264)
+g20203 = AND(g6195, g17789)
+g20215 = AND(g16479, g10476)
+g20218 = AND(g6541, g17815)
+g20375 = AND(g671, g16846)
+g20559 = AND(g336, g15831)
+g20581 = AND(g10801, g15571)
+g20602 = AND(g10803, g15580)
+g20628 = AND(g1046, g15789)
+g20658 = AND(g1389, g15800)
+g20682 = AND(g16238, g4646)
+g20739 = AND(g16259, g4674)
+g20751 = AND(g16260, g4836)
+g20875 = AND(g16281, g4681)
+g20887 = AND(g16282, g4864)
+g20977 = AND(g10123, g17301)
+g21012 = AND(g16304, g4688)
+g21024 = AND(g16306, g4871)
+g21066 = AND(g10043, g17625)
+g21067 = AND(g10085, g17625)
+g21163 = AND(g16321, g4878)
+g21188 = AND(g7666, g15705)
+g21251 = AND(g13969, g17470)
+g21276 = AND(g10157, g17625)
+g21285 = AND(g7857, g16027)
+g21296 = AND(g7879, g16072)
+g21298 = AND(g7697, g15825)
+g21302 = AND(g956, g15731)
+g21303 = AND(g10120, g17625)
+g21332 = AND(g996, g15739)
+g21333 = AND(g1300, g15740)
+g21347 = AND(g1339, g15750)
+g21348 = AND(g10121, g17625)
+g21361 = AND(g7869, g16066)
+g21378 = AND(g7887, g16090)
+g21382 = AND(g10086, g17625)
+g21394 = AND(g13335, g15799)
+g21404 = AND(g16069, g13569)
+g21405 = AND(g13377, g15811)
+g21419 = AND(g16681, g13595)
+g21420 = AND(g16093, g13596)
+g21452 = AND(g16119, g13624)
+g21453 = AND(g16713, g13625)
+g21464 = AND(g16181, g10872)
+g21465 = AND(g16155, g13663)
+g21512 = AND(g16225, g10881)
+g21513 = AND(g16196, g10882)
+g21557 = AND(g12980, g15674)
+g21558 = AND(g15904, g13729)
+g21559 = AND(g16236, g10897)
+g21605 = AND(g13005, g15695)
+g21606 = AND(g15959, g13763)
+g21699 = AND(g142, g20283)
+g21700 = AND(g150, g20283)
+g21701 = AND(g153, g20283)
+g21702 = AND(g157, g20283)
+g21703 = AND(g146, g20283)
+g21704 = AND(g164, g20283)
+g21705 = AND(g209, g20283)
+g21706 = AND(g222, g20283)
+g21707 = AND(g191, g20283)
+g21708 = AND(g15049, g20283)
+g21709 = AND(g283, g20283)
+g21710 = AND(g287, g20283)
+g21711 = AND(g291, g20283)
+g21712 = AND(g294, g20283)
+g21713 = AND(g298, g20283)
+g21714 = AND(g278, g20283)
+g21715 = AND(g160, g20283)
+g21716 = AND(g301, g20283)
+g21717 = AND(g15051, g21037)
+g21718 = AND(g370, g21037)
+g21719 = AND(g358, g21037)
+g21720 = AND(g376, g21037)
+g21721 = AND(g385, g21037)
+g21728 = AND(g3010, g20330)
+g21729 = AND(g3021, g20330)
+g21730 = AND(g3025, g20330)
+g21731 = AND(g3029, g20330)
+g21732 = AND(g3004, g20330)
+g21733 = AND(g3034, g20330)
+g21734 = AND(g3040, g20330)
+g21735 = AND(g3057, g20330)
+g21736 = AND(g3065, g20330)
+g21737 = AND(g3068, g20330)
+g21738 = AND(g3072, g20330)
+g21739 = AND(g3080, g20330)
+g21740 = AND(g3085, g20330)
+g21741 = AND(g15086, g20330)
+g21742 = AND(g3050, g20330)
+g21743 = AND(g3100, g20330)
+g21744 = AND(g3103, g20330)
+g21745 = AND(g3017, g20330)
+g21746 = AND(g3045, g20330)
+g21747 = AND(g3061, g20330)
+g21748 = AND(g15089, g20785)
+g21749 = AND(g3155, g20785)
+g21750 = AND(g3161, g20785)
+g21751 = AND(g3167, g20785)
+g21752 = AND(g3171, g20785)
+g21753 = AND(g3179, g20785)
+g21754 = AND(g3195, g20785)
+g21755 = AND(g3203, g20785)
+g21756 = AND(g3211, g20785)
+g21757 = AND(g3187, g20785)
+g21758 = AND(g3191, g20785)
+g21759 = AND(g3199, g20785)
+g21760 = AND(g3207, g20785)
+g21761 = AND(g3215, g20785)
+g21762 = AND(g3219, g20785)
+g21763 = AND(g3223, g20785)
+g21764 = AND(g3227, g20785)
+g21765 = AND(g3231, g20785)
+g21766 = AND(g3235, g20785)
+g21767 = AND(g3239, g20785)
+g21768 = AND(g3243, g20785)
+g21769 = AND(g3247, g20785)
+g21770 = AND(g3251, g20785)
+g21771 = AND(g3255, g20785)
+g21772 = AND(g3259, g20785)
+g21773 = AND(g3263, g20785)
+g21774 = AND(g3361, g20391)
+g21775 = AND(g3372, g20391)
+g21776 = AND(g3376, g20391)
+g21777 = AND(g3380, g20391)
+g21778 = AND(g3355, g20391)
+g21779 = AND(g3385, g20391)
+g21780 = AND(g3391, g20391)
+g21781 = AND(g3408, g20391)
+g21782 = AND(g3416, g20391)
+g21783 = AND(g3419, g20391)
+g21784 = AND(g3423, g20391)
+g21785 = AND(g3431, g20391)
+g21786 = AND(g3436, g20391)
+g21787 = AND(g15091, g20391)
+g21788 = AND(g3401, g20391)
+g21789 = AND(g3451, g20391)
+g21790 = AND(g3454, g20391)
+g21791 = AND(g3368, g20391)
+g21792 = AND(g3396, g20391)
+g21793 = AND(g3412, g20391)
+g21794 = AND(g15094, g20924)
+g21795 = AND(g3506, g20924)
+g21796 = AND(g3512, g20924)
+g21797 = AND(g3518, g20924)
+g21798 = AND(g3522, g20924)
+g21799 = AND(g3530, g20924)
+g21800 = AND(g3546, g20924)
+g21801 = AND(g3554, g20924)
+g21802 = AND(g3562, g20924)
+g21803 = AND(g3538, g20924)
+g21804 = AND(g3542, g20924)
+g21805 = AND(g3550, g20924)
+g21806 = AND(g3558, g20924)
+g21807 = AND(g3566, g20924)
+g21808 = AND(g3570, g20924)
+g21809 = AND(g3574, g20924)
+g21810 = AND(g3578, g20924)
+g21811 = AND(g3582, g20924)
+g21812 = AND(g3586, g20924)
+g21813 = AND(g3590, g20924)
+g21814 = AND(g3594, g20924)
+g21815 = AND(g3598, g20924)
+g21816 = AND(g3602, g20924)
+g21817 = AND(g3606, g20924)
+g21818 = AND(g3610, g20924)
+g21819 = AND(g3614, g20924)
+g21820 = AND(g3712, g20453)
+g21821 = AND(g3723, g20453)
+g21822 = AND(g3727, g20453)
+g21823 = AND(g3731, g20453)
+g21824 = AND(g3706, g20453)
+g21825 = AND(g3736, g20453)
+g21826 = AND(g3742, g20453)
+g21827 = AND(g3759, g20453)
+g21828 = AND(g3767, g20453)
+g21829 = AND(g3770, g20453)
+g21830 = AND(g3774, g20453)
+g21831 = AND(g3782, g20453)
+g21832 = AND(g3787, g20453)
+g21833 = AND(g15096, g20453)
+g21834 = AND(g3752, g20453)
+g21835 = AND(g3802, g20453)
+g21836 = AND(g3805, g20453)
+g21837 = AND(g3719, g20453)
+g21838 = AND(g3747, g20453)
+g21839 = AND(g3763, g20453)
+g21840 = AND(g15099, g21070)
+g21841 = AND(g3857, g21070)
+g21842 = AND(g3863, g21070)
+g21843 = AND(g3869, g21070)
+g21844 = AND(g3873, g21070)
+g21845 = AND(g3881, g21070)
+g21846 = AND(g3897, g21070)
+g21847 = AND(g3905, g21070)
+g21848 = AND(g3913, g21070)
+g21849 = AND(g3889, g21070)
+g21850 = AND(g3893, g21070)
+g21851 = AND(g3901, g21070)
+g21852 = AND(g3909, g21070)
+g21853 = AND(g3917, g21070)
+g21854 = AND(g3921, g21070)
+g21855 = AND(g3925, g21070)
+g21856 = AND(g3929, g21070)
+g21857 = AND(g3933, g21070)
+g21858 = AND(g3937, g21070)
+g21859 = AND(g3941, g21070)
+g21860 = AND(g3945, g21070)
+g21861 = AND(g3949, g21070)
+g21862 = AND(g3953, g21070)
+g21863 = AND(g3957, g21070)
+g21864 = AND(g3961, g21070)
+g21865 = AND(g3965, g21070)
+g21866 = AND(g4072, g19801)
+g21867 = AND(g4082, g19801)
+g21868 = AND(g4076, g19801)
+g21869 = AND(g4087, g19801)
+g21870 = AND(g4093, g19801)
+g21871 = AND(g4108, g19801)
+g21872 = AND(g4098, g19801)
+g21873 = AND(g6946, g19801)
+g21874 = AND(g4112, g19801)
+g21875 = AND(g4116, g19801)
+g21876 = AND(g4119, g19801)
+g21877 = AND(g6888, g19801)
+g21878 = AND(g4129, g19801)
+g21879 = AND(g4132, g19801)
+g21880 = AND(g4135, g19801)
+g21881 = AND(g4064, g19801)
+g21882 = AND(g4057, g19801)
+g21883 = AND(g4141, g19801)
+g21884 = AND(g4104, g19801)
+g21885 = AND(g4122, g19801)
+g21886 = AND(g4153, g19801)
+g21887 = AND(g15101, g19801)
+g21888 = AND(g4165, g19801)
+g21889 = AND(g4169, g19801)
+g21890 = AND(g4125, g19801)
+g21906 = AND(g5022, g21468)
+g21907 = AND(g5033, g21468)
+g21908 = AND(g5037, g21468)
+g21909 = AND(g5041, g21468)
+g21910 = AND(g5016, g21468)
+g21911 = AND(g5046, g21468)
+g21912 = AND(g5052, g21468)
+g21913 = AND(g5069, g21468)
+g21914 = AND(g5077, g21468)
+g21915 = AND(g5080, g21468)
+g21916 = AND(g5084, g21468)
+g21917 = AND(g5092, g21468)
+g21918 = AND(g5097, g21468)
+g21919 = AND(g15144, g21468)
+g21920 = AND(g5062, g21468)
+g21921 = AND(g5109, g21468)
+g21922 = AND(g5112, g21468)
+g21923 = AND(g5029, g21468)
+g21924 = AND(g5057, g21468)
+g21925 = AND(g5073, g21468)
+g21926 = AND(g15147, g18997)
+g21927 = AND(g5164, g18997)
+g21928 = AND(g5170, g18997)
+g21929 = AND(g5176, g18997)
+g21930 = AND(g5180, g18997)
+g21931 = AND(g5188, g18997)
+g21932 = AND(g5204, g18997)
+g21933 = AND(g5212, g18997)
+g21934 = AND(g5220, g18997)
+g21935 = AND(g5196, g18997)
+g21936 = AND(g5200, g18997)
+g21937 = AND(g5208, g18997)
+g21938 = AND(g5216, g18997)
+g21939 = AND(g5224, g18997)
+g21940 = AND(g5228, g18997)
+g21941 = AND(g5232, g18997)
+g21942 = AND(g5236, g18997)
+g21943 = AND(g5240, g18997)
+g21944 = AND(g5244, g18997)
+g21945 = AND(g5248, g18997)
+g21946 = AND(g5252, g18997)
+g21947 = AND(g5256, g18997)
+g21948 = AND(g5260, g18997)
+g21949 = AND(g5264, g18997)
+g21950 = AND(g5268, g18997)
+g21951 = AND(g5272, g18997)
+g21952 = AND(g5366, g21514)
+g21953 = AND(g5377, g21514)
+g21954 = AND(g5381, g21514)
+g21955 = AND(g5385, g21514)
+g21956 = AND(g5360, g21514)
+g21957 = AND(g5390, g21514)
+g21958 = AND(g5396, g21514)
+g21959 = AND(g5413, g21514)
+g21960 = AND(g5421, g21514)
+g21961 = AND(g5424, g21514)
+g21962 = AND(g5428, g21514)
+g21963 = AND(g5436, g21514)
+g21964 = AND(g5441, g21514)
+g21965 = AND(g15149, g21514)
+g21966 = AND(g5406, g21514)
+g21967 = AND(g5456, g21514)
+g21968 = AND(g5459, g21514)
+g21969 = AND(g5373, g21514)
+g21970 = AND(g5401, g21514)
+g21971 = AND(g5417, g21514)
+g21972 = AND(g15152, g19074)
+g21973 = AND(g5511, g19074)
+g21974 = AND(g5517, g19074)
+g21975 = AND(g5523, g19074)
+g21976 = AND(g5527, g19074)
+g21977 = AND(g5535, g19074)
+g21978 = AND(g5551, g19074)
+g21979 = AND(g5559, g19074)
+g21980 = AND(g5567, g19074)
+g21981 = AND(g5543, g19074)
+g21982 = AND(g5547, g19074)
+g21983 = AND(g5555, g19074)
+g21984 = AND(g5563, g19074)
+g21985 = AND(g5571, g19074)
+g21986 = AND(g5575, g19074)
+g21987 = AND(g5579, g19074)
+g21988 = AND(g5583, g19074)
+g21989 = AND(g5587, g19074)
+g21990 = AND(g5591, g19074)
+g21991 = AND(g5595, g19074)
+g21992 = AND(g5599, g19074)
+g21993 = AND(g5603, g19074)
+g21994 = AND(g5607, g19074)
+g21995 = AND(g5611, g19074)
+g21996 = AND(g5615, g19074)
+g21997 = AND(g5619, g19074)
+g21998 = AND(g5712, g21562)
+g21999 = AND(g5723, g21562)
+g22000 = AND(g5727, g21562)
+g22001 = AND(g5731, g21562)
+g22002 = AND(g5706, g21562)
+g22003 = AND(g5736, g21562)
+g22004 = AND(g5742, g21562)
+g22005 = AND(g5759, g21562)
+g22006 = AND(g5767, g21562)
+g22007 = AND(g5770, g21562)
+g22008 = AND(g5774, g21562)
+g22009 = AND(g5782, g21562)
+g22010 = AND(g5787, g21562)
+g22011 = AND(g15154, g21562)
+g22012 = AND(g5752, g21562)
+g22013 = AND(g5802, g21562)
+g22014 = AND(g5805, g21562)
+g22015 = AND(g5719, g21562)
+g22016 = AND(g5747, g21562)
+g22017 = AND(g5763, g21562)
+g22018 = AND(g15157, g19147)
+g22019 = AND(g5857, g19147)
+g22020 = AND(g5863, g19147)
+g22021 = AND(g5869, g19147)
+g22022 = AND(g5873, g19147)
+g22023 = AND(g5881, g19147)
+g22024 = AND(g5897, g19147)
+g22025 = AND(g5905, g19147)
+g22026 = AND(g5913, g19147)
+g22027 = AND(g5889, g19147)
+g22028 = AND(g5893, g19147)
+g22029 = AND(g5901, g19147)
+g22030 = AND(g5909, g19147)
+g22031 = AND(g5917, g19147)
+g22032 = AND(g5921, g19147)
+g22033 = AND(g5925, g19147)
+g22034 = AND(g5929, g19147)
+g22035 = AND(g5933, g19147)
+g22036 = AND(g5937, g19147)
+g22037 = AND(g5941, g19147)
+g22038 = AND(g5945, g19147)
+g22039 = AND(g5949, g19147)
+g22040 = AND(g5953, g19147)
+g22041 = AND(g5957, g19147)
+g22042 = AND(g5961, g19147)
+g22043 = AND(g5965, g19147)
+g22044 = AND(g6058, g21611)
+g22045 = AND(g6069, g21611)
+g22046 = AND(g6073, g21611)
+g22047 = AND(g6077, g21611)
+g22048 = AND(g6052, g21611)
+g22049 = AND(g6082, g21611)
+g22050 = AND(g6088, g21611)
+g22051 = AND(g6105, g21611)
+g22052 = AND(g6113, g21611)
+g22053 = AND(g6116, g21611)
+g22054 = AND(g6120, g21611)
+g22055 = AND(g6128, g21611)
+g22056 = AND(g6133, g21611)
+g22057 = AND(g15159, g21611)
+g22058 = AND(g6098, g21611)
+g22059 = AND(g6148, g21611)
+g22060 = AND(g6151, g21611)
+g22061 = AND(g6065, g21611)
+g22062 = AND(g6093, g21611)
+g22063 = AND(g6109, g21611)
+g22064 = AND(g15162, g19210)
+g22065 = AND(g6203, g19210)
+g22066 = AND(g6209, g19210)
+g22067 = AND(g6215, g19210)
+g22068 = AND(g6219, g19210)
+g22069 = AND(g6227, g19210)
+g22070 = AND(g6243, g19210)
+g22071 = AND(g6251, g19210)
+g22072 = AND(g6259, g19210)
+g22073 = AND(g6235, g19210)
+g22074 = AND(g6239, g19210)
+g22075 = AND(g6247, g19210)
+g22076 = AND(g6255, g19210)
+g22077 = AND(g6263, g19210)
+g22078 = AND(g6267, g19210)
+g22079 = AND(g6271, g19210)
+g22080 = AND(g6275, g19210)
+g22081 = AND(g6279, g19210)
+g22082 = AND(g6283, g19210)
+g22083 = AND(g6287, g19210)
+g22084 = AND(g6291, g19210)
+g22085 = AND(g6295, g19210)
+g22086 = AND(g6299, g19210)
+g22087 = AND(g6303, g19210)
+g22088 = AND(g6307, g19210)
+g22089 = AND(g6311, g19210)
+g22090 = AND(g6404, g18833)
+g22091 = AND(g6415, g18833)
+g22092 = AND(g6419, g18833)
+g22093 = AND(g6423, g18833)
+g22094 = AND(g6398, g18833)
+g22095 = AND(g6428, g18833)
+g22096 = AND(g6434, g18833)
+g22097 = AND(g6451, g18833)
+g22098 = AND(g6459, g18833)
+g22099 = AND(g6462, g18833)
+g22100 = AND(g6466, g18833)
+g22101 = AND(g6474, g18833)
+g22102 = AND(g6479, g18833)
+g22103 = AND(g15164, g18833)
+g22104 = AND(g6444, g18833)
+g22105 = AND(g6494, g18833)
+g22106 = AND(g6497, g18833)
+g22107 = AND(g6411, g18833)
+g22108 = AND(g6439, g18833)
+g22109 = AND(g6455, g18833)
+g22110 = AND(g15167, g19277)
+g22111 = AND(g6549, g19277)
+g22112 = AND(g6555, g19277)
+g22113 = AND(g6561, g19277)
+g22114 = AND(g6565, g19277)
+g22115 = AND(g6573, g19277)
+g22116 = AND(g6589, g19277)
+g22117 = AND(g6597, g19277)
+g22118 = AND(g6605, g19277)
+g22119 = AND(g6581, g19277)
+g22120 = AND(g6585, g19277)
+g22121 = AND(g6593, g19277)
+g22122 = AND(g6601, g19277)
+g22123 = AND(g6609, g19277)
+g22124 = AND(g6613, g19277)
+g22125 = AND(g6617, g19277)
+g22126 = AND(g6621, g19277)
+g22127 = AND(g6625, g19277)
+g22128 = AND(g6629, g19277)
+g22129 = AND(g6633, g19277)
+g22130 = AND(g6637, g19277)
+g22131 = AND(g6641, g19277)
+g22132 = AND(g6645, g19277)
+g22133 = AND(g6649, g19277)
+g22134 = AND(g6653, g19277)
+g22135 = AND(g6657, g19277)
+g22142 = AND(g7957, g19140)
+g22143 = AND(g19568, g10971)
+g22145 = AND(g14555, g18832)
+g22149 = AND(g14581, g18880)
+g22157 = AND(g14608, g18892)
+g22158 = AND(g13698, g19609)
+g22160 = AND(g8005, g19795)
+g22161 = AND(g13202, g19071)
+g22165 = AND(g15594, g18903)
+g22172 = AND(g8064, g19857)
+g22191 = AND(g8119, g19875)
+g22193 = AND(g19880, g20682)
+g22208 = AND(g19906, g20739)
+g22209 = AND(g19907, g20751)
+g22216 = AND(g13660, g20000)
+g22218 = AND(g19951, g20875)
+g22219 = AND(g19953, g20887)
+g22298 = AND(g19997, g21012)
+g22299 = AND(g19999, g21024)
+g22307 = AND(g20027, g21163)
+g22308 = AND(g1135, g19738)
+g22309 = AND(g1478, g19751)
+g22310 = AND(g19662, g20235)
+g22316 = AND(g2837, g20270)
+g22329 = AND(g11940, g20329)
+g22340 = AND(g19605, g13522)
+g22342 = AND(g9354, g9285, g21287)
+g22369 = AND(g9354, g7717, g20783)
+g22384 = AND(g9354, g9285, g20784)
+g22417 = AND(g7753, g9285, g21186)
+g22432 = AND(g9354, g7717, g21187)
+g22457 = AND(g7753, g7717, g21288)
+g22472 = AND(g7753, g9285, g21289)
+g22489 = AND(g12954, g19386)
+g22498 = AND(g7753, g7717, g21334)
+g22515 = AND(g12981, g19395)
+g22518 = AND(g12982, g19398)
+g22525 = AND(g13006, g19411)
+g22534 = AND(g8766, g21389)
+g22538 = AND(g14035, g20248)
+g22588 = AND(g79, g20078)
+g22589 = AND(g19267, g19451)
+g22590 = AND(g19274, g19452)
+g22622 = AND(g19336, g19469)
+g22623 = AND(g19337, g19470)
+g22624 = AND(g19344, g19471)
+g22632 = AND(g19356, g19476)
+g22633 = AND(g19359, g19479)
+g22637 = AND(g19363, g19489)
+g22665 = AND(g17174, g20905)
+g22670 = AND(g20114, g9104)
+g22680 = AND(g19530, g7781)
+g22685 = AND(g11891, g20192)
+g22686 = AND(g19335, g19577)
+g22689 = AND(g18918, g9104)
+g22710 = AND(g19358, g19600)
+g22717 = AND(g9291, g20212)
+g22720 = AND(g9253, g20619)
+g22752 = AND(g15792, g19612)
+g22760 = AND(g9360, g20237)
+g22762 = AND(g9305, g20645)
+g22831 = AND(g19441, g19629)
+g22834 = AND(g102, g19630)
+g22835 = AND(g15803, g19633)
+g22843 = AND(g9429, g20272)
+g22846 = AND(g9386, g20676)
+g22848 = AND(g19449, g19649)
+g22849 = AND(g1227, g19653)
+g22851 = AND(g496, g19654)
+g22859 = AND(g9456, g20734)
+g22861 = AND(g19792, g19670)
+g22862 = AND(g1570, g19673)
+g22863 = AND(g9547, g20388)
+g22871 = AND(g9523, g20871)
+g22873 = AND(g19854, g19683)
+g22876 = AND(g20136, g9104)
+g22899 = AND(g19486, g19695)
+g22900 = AND(g17137, g19697)
+g22920 = AND(g19764, g19719)
+g22937 = AND(g753, g20540)
+g22938 = AND(g19782, g19739)
+g22939 = AND(g9708, g21062)
+g22942 = AND(g9104, g20219)
+g22982 = AND(g19535, g19747)
+g22990 = AND(g19555, g19760)
+g22991 = AND(g645, g20248)
+g22992 = AND(g1227, g19765)
+g23006 = AND(g19575, g19776)
+g23007 = AND(g681, g20248)
+g23008 = AND(g1570, g19783)
+g23009 = AND(g20196, g14219)
+g23023 = AND(g650, g20248)
+g23025 = AND(g16021, g19798)
+g23050 = AND(g655, g20248)
+g23056 = AND(g16052, g19860)
+g23062 = AND(g718, g20248)
+g23076 = AND(g19128, g9104)
+g23083 = AND(g16076, g19878)
+g23103 = AND(g10143, g20765)
+g23104 = AND(g661, g20248)
+g23121 = AND(g19128, g9104)
+g23130 = AND(g728, g20248)
+g23131 = AND(g13919, g19930)
+g23148 = AND(g19128, g9104)
+g23151 = AND(g18994, g7162)
+g23165 = AND(g13954, g19964)
+g23166 = AND(g13959, g19979)
+g23187 = AND(g13989, g20010)
+g23188 = AND(g13994, g20025)
+g23201 = AND(g14027, g20040)
+g23218 = AND(g20200, g16530)
+g23220 = AND(g19417, g20067)
+g23229 = AND(g18994, g4521)
+g23254 = AND(g20056, g20110)
+g23265 = AND(g20069, g20132)
+g23280 = AND(g19417, g20146)
+g23292 = AND(g19879, g16726)
+g23293 = AND(g9104, g19200)
+g23314 = AND(g9104, g19200)
+g23348 = AND(g15570, g21393)
+g23349 = AND(g13662, g20182)
+g23372 = AND(g16448, g20194)
+g23373 = AND(g13699, g20195)
+g23381 = AND(g7239, g21413)
+g23386 = AND(g20034, g20207)
+g23387 = AND(g16506, g20211)
+g23389 = AND(g9072, g19757)
+g23392 = AND(g7247, g21430)
+g23396 = AND(g20051, g20229)
+g23397 = AND(g11154, g20239)
+g23401 = AND(g7262, g21460)
+g23404 = AND(g20063, g20247)
+g23407 = AND(g9295, g20273)
+g23412 = AND(g7297, g21510)
+g23415 = AND(g20077, g20320)
+g23416 = AND(g20082, g20321)
+g23424 = AND(g7345, g21556)
+g23436 = AND(g676, g20375)
+g23439 = AND(g13771, g20452)
+g23451 = AND(g13805, g20510)
+g23471 = AND(g20148, g20523)
+g23474 = AND(g13830, g20533)
+g23475 = AND(g19070, g8971)
+g23484 = AND(g20160, g20541)
+g23497 = AND(g20169, g20569)
+g23498 = AND(g20234, g12998)
+g23513 = AND(g19430, g13007)
+g23514 = AND(g20149, g11829)
+g23531 = AND(g10760, g18930)
+g23532 = AND(g19400, g11852)
+g23533 = AND(g19436, g13015)
+g23540 = AND(g16866, g20622)
+g23551 = AND(g10793, g18948)
+g23553 = AND(g19413, g11875)
+g23554 = AND(g20390, g13024)
+g23564 = AND(g16882, g20648)
+g23572 = AND(g20230, g20656)
+g23577 = AND(g19444, g13033)
+g23581 = AND(g20183, g11900)
+g23599 = AND(g19050, g9104)
+g23606 = AND(g16927, g20679)
+g23618 = AND(g19388, g11917)
+g23619 = AND(g19453, g13045)
+g23639 = AND(g19050, g9104)
+g23646 = AND(g16959, g20737)
+g23657 = AND(g19401, g11941)
+g23658 = AND(g14687, g20852)
+g23675 = AND(g19050, g9104)
+g23682 = AND(g16970, g20874)
+g23690 = AND(g14726, g20978)
+g23691 = AND(g14731, g20993)
+g23708 = AND(g19050, g9104)
+g23724 = AND(g14767, g21123)
+g23725 = AND(g14772, g21138)
+g23742 = AND(g19128, g9104)
+g23754 = AND(g14816, g21189)
+g23755 = AND(g14821, g21204)
+g23774 = AND(g14867, g21252)
+g23775 = AND(g14872, g21267)
+g23779 = AND(g1105, g19355)
+g23799 = AND(g14911, g21279)
+g23801 = AND(g1448, g19362)
+g23802 = AND(g9104, g19050)
+g23811 = AND(g4087, g19364)
+g23828 = AND(g9104, g19128)
+g23836 = AND(g4129, g19495)
+g23837 = AND(g21160, g10804)
+g23854 = AND(g4093, g19506)
+g23855 = AND(g4112, g19455)
+g23856 = AND(g4116, g19483)
+g23857 = AND(g19626, g7908)
+g23872 = AND(g19389, g4157)
+g23873 = AND(g21222, g10815)
+g23884 = AND(g4119, g19510)
+g23885 = AND(g4132, g19513)
+g23900 = AND(g1129, g19408)
+g23901 = AND(g19606, g7963)
+g23917 = AND(g1472, g19428)
+g23919 = AND(g4122, g19546)
+g23920 = AND(g4135, g19549)
+g23921 = AND(g19379, g4146)
+g23957 = AND(g4138, g19589)
+g23958 = AND(g9104, g19200)
+g23990 = AND(g19610, g10951)
+g23991 = AND(g19209, g21428)
+g23996 = AND(g19596, g10951)
+g23998 = AND(g19631, g10971)
+g24001 = AND(g19651, g10951)
+g24002 = AND(g19613, g10971)
+g24004 = AND(g37, g21225)
+g24008 = AND(g7909, g19502)
+g24009 = AND(g19671, g10971)
+g24011 = AND(g7939, g19524)
+g24012 = AND(g14496, g21561)
+g24014 = AND(g7933, g19063)
+g24015 = AND(g19540, g10951)
+g24016 = AND(g14528, g21610)
+g24139 = AND(g17619, g21653)
+g24140 = AND(g17663, g21654)
+g24141 = AND(g17657, g21656)
+g24142 = AND(g17700, g21657)
+g24143 = AND(g17694, g21659)
+g24144 = AND(g17727, g21660)
+g24186 = AND(g18102, g22722)
+g24187 = AND(g305, g22722)
+g24188 = AND(g316, g22722)
+g24189 = AND(g324, g22722)
+g24190 = AND(g329, g22722)
+g24191 = AND(g319, g22722)
+g24192 = AND(g311, g22722)
+g24193 = AND(g336, g22722)
+g24194 = AND(g106, g22722)
+g24195 = AND(g74, g22722)
+g24196 = AND(g333, g22722)
+g24197 = AND(g347, g22722)
+g24198 = AND(g351, g22722)
+g24199 = AND(g355, g22722)
+g24217 = AND(g18200, g22594)
+g24218 = AND(g872, g22594)
+g24219 = AND(g225, g22594)
+g24220 = AND(g255, g22594)
+g24221 = AND(g232, g22594)
+g24222 = AND(g262, g22594)
+g24223 = AND(g239, g22594)
+g24224 = AND(g269, g22594)
+g24225 = AND(g246, g22594)
+g24226 = AND(g446, g22594)
+g24227 = AND(g890, g22594)
+g24228 = AND(g862, g22594)
+g24229 = AND(g896, g22594)
+g24230 = AND(g901, g22594)
+g24283 = AND(g4411, g22550)
+g24284 = AND(g4375, g22550)
+g24285 = AND(g4388, g22550)
+g24286 = AND(g4405, g22550)
+g24287 = AND(g4401, g22550)
+g24288 = AND(g4417, g22550)
+g24289 = AND(g4427, g22550)
+g24290 = AND(g4430, g22550)
+g24291 = AND(g18660, g22550)
+g24292 = AND(g4443, g22550)
+g24293 = AND(g4438, g22550)
+g24294 = AND(g4452, g22550)
+g24295 = AND(g4434, g22550)
+g24296 = AND(g4382, g22550)
+g24297 = AND(g4455, g22550)
+g24298 = AND(g4392, g22550)
+g24299 = AND(g4456, g22550)
+g24300 = AND(g15123, g22228)
+g24301 = AND(g6961, g22228)
+g24302 = AND(g15124, g22228)
+g24303 = AND(g4369, g22228)
+g24304 = AND(g12875, g22228)
+g24305 = AND(g4477, g22228)
+g24306 = AND(g4483, g22228)
+g24307 = AND(g4486, g22228)
+g24308 = AND(g4489, g22228)
+g24309 = AND(g4480, g22228)
+g24310 = AND(g4495, g22228)
+g24311 = AND(g4498, g22228)
+g24312 = AND(g4501, g22228)
+g24313 = AND(g4504, g22228)
+g24314 = AND(g4515, g22228)
+g24315 = AND(g4521, g22228)
+g24316 = AND(g4527, g22228)
+g24317 = AND(g4534, g22228)
+g24318 = AND(g4555, g22228)
+g24319 = AND(g4561, g22228)
+g24320 = AND(g6973, g22228)
+g24321 = AND(g4558, g22228)
+g24322 = AND(g4423, g22228)
+g24323 = AND(g4546, g22228)
+g24324 = AND(g4540, g22228)
+g24325 = AND(g4543, g22228)
+g24326 = AND(g4552, g22228)
+g24327 = AND(g4549, g22228)
+g24328 = AND(g4567, g22228)
+g24329 = AND(g4462, g22228)
+g24330 = AND(g18661, g22228)
+g24331 = AND(g6977, g22228)
+g24332 = AND(g4459, g22228)
+g24333 = AND(g4512, g22228)
+g24378 = AND(g3106, g22718)
+g24387 = AND(g3457, g22761)
+g24392 = AND(g3115, g23067)
+g24393 = AND(g3808, g22844)
+g24395 = AND(g4704, g22845)
+g24399 = AND(g3133, g23067)
+g24400 = AND(g3466, g23112)
+g24402 = AND(g4749, g22857)
+g24403 = AND(g4894, g22858)
+g24406 = AND(g13623, g22860)
+g24408 = AND(g23989, g18946)
+g24409 = AND(g3484, g23112)
+g24410 = AND(g3817, g23139)
+g24411 = AND(g4584, g22161)
+g24415 = AND(g4760, g22869)
+g24416 = AND(g4939, g22870)
+g24420 = AND(g23997, g18980)
+g24421 = AND(g3835, g23139)
+g24422 = AND(g4771, g22896)
+g24423 = AND(g4950, g22897)
+g24427 = AND(g4961, g22919)
+g24436 = AND(g3125, g23067)
+g24450 = AND(g3129, g23067)
+g24451 = AND(g3476, g23112)
+g24464 = AND(g3480, g23112)
+g24465 = AND(g3827, g23139)
+g24467 = AND(g13761, g23047)
+g24475 = AND(g3831, g23139)
+g24476 = AND(g18879, g22330)
+g24482 = AND(g6875, g23055)
+g24484 = AND(g16288, g23208)
+g24485 = AND(g10710, g22319)
+g24488 = AND(g6905, g23082)
+g24491 = AND(g10727, g22332)
+g24495 = AND(g6928, g23127)
+g24498 = AND(g14036, g23850)
+g24499 = AND(g22217, g19394)
+g24501 = AND(g14000, g23182)
+g24502 = AND(g23428, g13223)
+g24503 = AND(g22225, g19409)
+g24504 = AND(g22226, g19410)
+g24507 = AND(g22304, g19429)
+g24523 = AND(g22318, g19468)
+g24532 = AND(g22331, g19478)
+g24536 = AND(g19516, g22635)
+g24537 = AND(g22626, g10851)
+g24541 = AND(g22626, g10851)
+g24545 = AND(g3333, g23285)
+g24546 = AND(g22447, g19523)
+g24549 = AND(g23162, g20887)
+g24550 = AND(g3684, g23308)
+g24551 = AND(g17148, g23331)
+g24552 = AND(g22487, g19538)
+g24553 = AND(g22983, g19539)
+g24554 = AND(g22490, g19541)
+g24555 = AND(g23184, g21024)
+g24556 = AND(g4035, g23341)
+g24558 = AND(g22516, g19566)
+g24559 = AND(g22993, g19567)
+g24564 = AND(g23198, g21163)
+g24569 = AND(g5115, g23382)
+g24572 = AND(g5462, g23393)
+g24573 = AND(g17198, g23716)
+g24581 = AND(g5124, g23590)
+g24582 = AND(g5808, g23402)
+g24588 = AND(g5142, g23590)
+g24589 = AND(g5471, g23630)
+g24590 = AND(g6154, g23413)
+g24600 = AND(g22591, g19652)
+g24602 = AND(g16507, g22854)
+g24606 = AND(g5489, g23630)
+g24607 = AND(g5817, g23666)
+g24608 = AND(g6500, g23425)
+g24618 = AND(g22625, g19672)
+g24622 = AND(g19856, g22866)
+g24624 = AND(g16524, g22867)
+g24627 = AND(g22763, g19679)
+g24628 = AND(g5835, g23666)
+g24629 = AND(g6163, g23699)
+g24630 = AND(g23255, g14149)
+g24634 = AND(g22634, g19685)
+g24635 = AND(g19874, g22883)
+g24637 = AND(g16586, g22884)
+g24638 = AND(g22763, g19690)
+g24639 = AND(g6181, g23699)
+g24640 = AND(g6509, g23733)
+g24642 = AND(g8290, g22898)
+g24643 = AND(g22636, g19696)
+g24644 = AND(g11714, g22903)
+g24645 = AND(g22639, g19709)
+g24646 = AND(g22640, g19711)
+g24647 = AND(g19903, g22907)
+g24649 = AND(g6527, g23733)
+g24650 = AND(g22641, g19718)
+g24651 = AND(g2741, g23472)
+g24654 = AND(g11735, g22922)
+g24656 = AND(g11736, g22926)
+g24657 = AND(g22644, g19730)
+g24658 = AND(g22645, g19732)
+g24659 = AND(g5134, g23590)
+g24660 = AND(g22648, g19737)
+g24663 = AND(g16621, g22974)
+g24664 = AND(g22652, g19741)
+g24666 = AND(g11753, g22975)
+g24668 = AND(g11754, g22979)
+g24669 = AND(g22653, g19742)
+g24670 = AND(g5138, g23590)
+g24671 = AND(g5481, g23630)
+g24672 = AND(g19534, g22981)
+g24673 = AND(g22659, g19748)
+g24674 = AND(g446, g23496)
+g24675 = AND(g17568, g22342)
+g24676 = AND(g2748, g23782)
+g24679 = AND(g13289, g22985)
+g24680 = AND(g16422, g22986)
+g24681 = AND(g16653, g22988)
+g24682 = AND(g22662, g19754)
+g24684 = AND(g11769, g22989)
+g24686 = AND(g5485, g23630)
+g24687 = AND(g5827, g23666)
+g24688 = AND(g22681, g22663)
+g24698 = AND(g22664, g19761)
+g24700 = AND(g645, g23512)
+g24702 = AND(g17464, g22342)
+g24703 = AND(g17592, g22369)
+g24704 = AND(g17593, g22384)
+g24706 = AND(g15910, g22996)
+g24707 = AND(g13295, g22997)
+g24708 = AND(g16474, g22998)
+g24709 = AND(g16690, g23000)
+g24710 = AND(g22679, g19771)
+g24712 = AND(g19592, g23001)
+g24713 = AND(g5831, g23666)
+g24714 = AND(g6173, g23699)
+g24716 = AND(g15935, g23004)
+g24717 = AND(g22684, g19777)
+g24719 = AND(g681, g23530)
+g24721 = AND(g17488, g22369)
+g24722 = AND(g17618, g22417)
+g24723 = AND(g17490, g22384)
+g24724 = AND(g17624, g22432)
+g24725 = AND(g19587, g23012)
+g24726 = AND(g15965, g23015)
+g24727 = AND(g13300, g23016)
+g24728 = AND(g16513, g23017)
+g24729 = AND(g22719, g23018)
+g24730 = AND(g6177, g23699)
+g24731 = AND(g6519, g23733)
+g24743 = AND(g22708, g19789)
+g24745 = AND(g650, g23550)
+g24747 = AND(g17510, g22417)
+g24748 = AND(g17656, g22457)
+g24749 = AND(g17511, g22432)
+g24750 = AND(g17662, g22472)
+g24754 = AND(g19604, g23027)
+g24755 = AND(g16022, g23030)
+g24757 = AND(g7004, g23563)
+g24758 = AND(g6523, g23733)
+g24761 = AND(g22751, g19852)
+g24762 = AND(g655, g23573)
+g24763 = AND(g17569, g22457)
+g24764 = AND(g17570, g22472)
+g24765 = AND(g17699, g22498)
+g24769 = AND(g19619, g23058)
+g24771 = AND(g7028, g23605)
+g24772 = AND(g16287, g23061)
+g24773 = AND(g22832, g19872)
+g24774 = AND(g718, g23614)
+g24775 = AND(g17594, g22498)
+g24777 = AND(g11345, g23066)
+g24785 = AND(g7051, g23645)
+g24786 = AND(g661, g23654)
+g24788 = AND(g11384, g23111)
+g24790 = AND(g7074, g23681)
+g24794 = AND(g11414, g23138)
+g24796 = AND(g7097, g23714)
+g24797 = AND(g22872, g19960)
+g24803 = AND(g22901, g20005)
+g24812 = AND(g19662, g22192)
+g24817 = AND(g22929, g7235)
+g24820 = AND(g13944, g23978)
+I24003 = AND(g8097, g8334, g3045)
+g24822 = AND(g3010, g23534, I24003)
+g24835 = AND(g8720, g23233)
+I24015 = AND(g8334, g7975, g3045)
+g24843 = AND(g3010, g23211, I24015)
+I24018 = AND(g8155, g8390, g3396)
+g24846 = AND(g3361, g23555, I24018)
+g24849 = AND(g4165, g22227)
+I24027 = AND(g3029, g3034, g8426)
+g24855 = AND(g3050, g23534, I24027)
+I24030 = AND(g8390, g8016, g3396)
+g24858 = AND(g3361, g23223, I24030)
+I24033 = AND(g8219, g8443, g3747)
+g24861 = AND(g3712, g23582, I24033)
+g24864 = AND(g11201, g22305)
+g24865 = AND(g11323, g23253)
+g24872 = AND(g23088, g9104)
+I24048 = AND(g3034, g3040, g8426)
+g24881 = AND(g3050, g23211, I24048)
+I24051 = AND(g3380, g3385, g8492)
+g24884 = AND(g3401, g23555, I24051)
+I24054 = AND(g8443, g8075, g3747)
+g24887 = AND(g3712, g23239, I24054)
+g24892 = AND(g11559, g23264)
+I24064 = AND(g3385, g3391, g8492)
+g24897 = AND(g3401, g23223, I24064)
+I24067 = AND(g3731, g3736, g8553)
+g24900 = AND(g3752, g23582, I24067)
+g24903 = AND(g128, g23889)
+g24904 = AND(g11761, g23279)
+I24075 = AND(g3736, g3742, g8553)
+g24908 = AND(g3752, g23239, I24075)
+g24912 = AND(g23687, g20682)
+g24913 = AND(g4821, g23908)
+g24914 = AND(g8721, g23301)
+g24915 = AND(g23087, g20158)
+g24921 = AND(g23721, g20739)
+g24922 = AND(g4831, g23931)
+g24923 = AND(g23129, g20167)
+g24929 = AND(g23751, g20875)
+g24930 = AND(g4826, g23948)
+g24931 = AND(g23153, g20178)
+g24939 = AND(g23771, g21012)
+g24940 = AND(g5011, g23971)
+g24941 = AND(g23171, g20190)
+g24945 = AND(g23183, g20197)
+g24949 = AND(g23796, g20751)
+g24961 = AND(g23193, g20209)
+g24962 = AND(g23194, g20210)
+g24967 = AND(g23197, g20213)
+g24977 = AND(g23209, g20232)
+g24983 = AND(g23217, g20238)
+g24984 = AND(g22929, g12818)
+g24997 = AND(g22929, g10419)
+g24998 = AND(g17412, g23408)
+g25012 = AND(g20644, g23419)
+g25014 = AND(g17474, g23420)
+g25026 = AND(g22929, g10503)
+g25030 = AND(g23251, g20432)
+g25031 = AND(g20675, g23432)
+g25033 = AND(g17500, g23433)
+g25040 = AND(g12738, g23443)
+g25041 = AND(g23261, g20494)
+g25042 = AND(g23262, g20496)
+g25043 = AND(g20733, g23447)
+g25045 = AND(g17525, g23448)
+g25050 = AND(g13056, g22312)
+g25054 = AND(g12778, g23452)
+g25056 = AND(g12779, g23456)
+g25057 = AND(g23275, g20511)
+g25058 = AND(g23276, g20513)
+g25059 = AND(g20870, g23460)
+g25061 = AND(g17586, g23461)
+g25063 = AND(g13078, g22325)
+g25067 = AND(g4722, g22885)
+g25068 = AND(g17574, g23477)
+g25069 = AND(g23296, g20535)
+g25071 = AND(g12804, g23478)
+g25076 = AND(g12805, g23479)
+g25077 = AND(g23297, g20536)
+g25078 = AND(g23298, g20538)
+g25079 = AND(g21011, g23483)
+g25084 = AND(g4737, g22885)
+g25085 = AND(g4912, g22908)
+g25086 = AND(g13941, g23488)
+g25087 = AND(g17307, g23489)
+g25088 = AND(g17601, g23491)
+g25089 = AND(g23317, g20553)
+g25091 = AND(g12830, g23492)
+g25093 = AND(g12831, g23493)
+g25094 = AND(g23318, g20554)
+g25095 = AND(g23319, g20556)
+g25096 = AND(g23778, g20560)
+g25102 = AND(g4727, g22885)
+g25103 = AND(g4927, g22908)
+g25104 = AND(g16800, g23504)
+g25105 = AND(g13973, g23505)
+g25106 = AND(g17391, g23506)
+g25107 = AND(g17643, g23508)
+g25108 = AND(g23345, g20576)
+g25110 = AND(g10427, g23509)
+g25112 = AND(g10428, g23510)
+g25113 = AND(g23346, g20577)
+g25122 = AND(g23374, g20592)
+g25123 = AND(g4732, g22885)
+g25124 = AND(g4917, g22908)
+g25125 = AND(g20187, g23520)
+g25126 = AND(g16839, g23523)
+g25127 = AND(g13997, g23524)
+g25128 = AND(g17418, g23525)
+g25129 = AND(g17682, g23527)
+g25130 = AND(g23358, g20600)
+g25132 = AND(g10497, g23528)
+g25142 = AND(g4717, g22885)
+g25143 = AND(g4922, g22908)
+g25147 = AND(g20202, g23542)
+g25148 = AND(g16867, g23545)
+g25149 = AND(g14030, g23546)
+g25150 = AND(g17480, g23547)
+g25151 = AND(g17719, g23549)
+g25152 = AND(g23383, g20626)
+g25159 = AND(g4907, g22908)
+g25163 = AND(g20217, g23566)
+g25164 = AND(g16883, g23569)
+g25165 = AND(g14062, g23570)
+g25166 = AND(g17506, g23571)
+g25173 = AND(g12234, g23589)
+g25178 = AND(g20241, g23608)
+g25179 = AND(g16928, g23611)
+g25181 = AND(g23405, g20696)
+g25187 = AND(g12296, g23629)
+g25192 = AND(g20276, g23648)
+g25201 = AND(g12346, g23665)
+g25207 = AND(g22513, g10621)
+g25217 = AND(g12418, g23698)
+g25223 = AND(g22523, g10652)
+g25229 = AND(g7636, g22654)
+g25238 = AND(g12466, g23732)
+g25285 = AND(g22152, g13061)
+I24482 = AND(g9364, g9607, g5057)
+g25290 = AND(g5022, g22173, I24482)
+g25323 = AND(g6888, g22359)
+I24505 = AND(g9607, g9229, g5057)
+g25328 = AND(g5022, g23764, I24505)
+I24508 = AND(g9434, g9672, g5401)
+g25331 = AND(g5366, g22194, I24508)
+g25357 = AND(g23810, g23786)
+g25366 = AND(g7733, g22406)
+g25367 = AND(g6946, g22407)
+g25368 = AND(g6946, g22408)
+I24524 = AND(g5041, g5046, g9716)
+g25371 = AND(g5062, g22173, I24524)
+I24527 = AND(g9672, g9264, g5401)
+g25374 = AND(g5366, g23789, I24527)
+I24530 = AND(g9501, g9733, g5747)
+g25377 = AND(g5712, g22210, I24530)
+g25408 = AND(g22682, g9772)
+I24546 = AND(g5046, g5052, g9716)
+g25411 = AND(g5062, g23764, I24546)
+I24549 = AND(g5385, g5390, g9792)
+g25414 = AND(g5406, g22194, I24549)
+I24552 = AND(g9733, g9316, g5747)
+g25417 = AND(g5712, g23816, I24552)
+I24555 = AND(g9559, g9809, g6093)
+g25420 = AND(g6058, g22220, I24555)
+g25448 = AND(g11202, g22680)
+g25449 = AND(g6946, g22496)
+g25450 = AND(g6888, g22497)
+I24576 = AND(g5390, g5396, g9792)
+g25453 = AND(g5406, g23789, I24576)
+I24579 = AND(g5731, g5736, g9875)
+g25456 = AND(g5752, g22210, I24579)
+I24582 = AND(g9809, g9397, g6093)
+g25459 = AND(g6058, g23844, I24582)
+I24585 = AND(g9621, g9892, g6439)
+g25462 = AND(g6404, g22300, I24585)
+g25466 = AND(g23574, g21346)
+g25479 = AND(g22646, g9917)
+I24597 = AND(g5736, g5742, g9875)
+g25482 = AND(g5752, g23816, I24597)
+I24600 = AND(g6077, g6082, g9946)
+g25485 = AND(g6098, g22220, I24600)
+I24603 = AND(g9892, g9467, g6439)
+g25488 = AND(g6404, g23865, I24603)
+g25491 = AND(g23615, g21355)
+g25502 = AND(g6946, g22527)
+g25503 = AND(g6888, g22529)
+I24616 = AND(g6082, g6088, g9946)
+g25507 = AND(g6098, g23844, I24616)
+I24619 = AND(g6423, g6428, g10014)
+g25510 = AND(g6444, g22300, I24619)
+I24625 = AND(g6428, g6434, g10014)
+g25518 = AND(g6444, g23865, I24625)
+g25522 = AND(g6888, g22544)
+g25526 = AND(g23720, g21400)
+g25530 = AND(g23750, g21414)
+g25536 = AND(g23770, g21431)
+g25543 = AND(g23795, g21461)
+g25551 = AND(g23822, g21511)
+g25559 = AND(g13004, g22649)
+g25565 = AND(g13013, g22660)
+I24674 = AND(g19919, g24019, g24020, g24021)
+I24675 = AND(g24022, g24023, g24024, g24025)
+g25567 = AND(I24674, I24675)
+I24679 = AND(g19968, g24026, g24027, g24028)
+I24680 = AND(g24029, g24030, g24031, g24032)
+g25568 = AND(I24679, I24680)
+I24684 = AND(g20014, g24033, g24034, g24035)
+I24685 = AND(g24036, g24037, g24038, g24039)
+g25569 = AND(I24684, I24685)
+I24689 = AND(g20841, g24040, g24041, g24042)
+I24690 = AND(g24043, g24044, g24045, g24046)
+g25570 = AND(I24689, I24690)
+I24694 = AND(g20982, g24047, g24048, g24049)
+I24695 = AND(g24050, g24051, g24052, g24053)
+g25571 = AND(I24694, I24695)
+I24699 = AND(g21127, g24054, g24055, g24056)
+I24700 = AND(g24057, g24058, g24059, g24060)
+g25572 = AND(I24699, I24700)
+I24704 = AND(g21193, g24061, g24062, g24063)
+I24705 = AND(g24064, g24065, g24066, g24067)
+g25573 = AND(I24704, I24705)
+I24709 = AND(g21256, g24068, g24069, g24070)
+I24710 = AND(g24071, g24072, g24073, g24074)
+g25574 = AND(I24709, I24710)
+g25578 = AND(g19402, g24146)
+g25579 = AND(g19422, g24147)
+g25580 = AND(g19268, g24149)
+g25581 = AND(g19338, g24150)
+g25765 = AND(g24989, g24973)
+g25768 = AND(g2912, g24560)
+g25772 = AND(g24944, g24934)
+g25775 = AND(g2922, g24568)
+g25780 = AND(g25532, g25527)
+g25782 = AND(g2936, g24571)
+g25787 = AND(g24792, g20887)
+g25788 = AND(g8010, g24579)
+g25801 = AND(g8097, g24585)
+g25802 = AND(g8106, g24586)
+g25803 = AND(g24798, g21024)
+g25804 = AND(g8069, g24587)
+g25814 = AND(g24760, g13323)
+g25815 = AND(g8155, g24603)
+g25816 = AND(g8164, g24604)
+g25817 = AND(g24807, g21163)
+g25818 = AND(g8124, g24605)
+g25831 = AND(g3151, g24623)
+g25832 = AND(g8219, g24625)
+g25833 = AND(g8228, g24626)
+g25848 = AND(g25539, g18977)
+g25850 = AND(g3502, g24636)
+g25852 = AND(g4593, g24411)
+g25865 = AND(g25545, g18991)
+g25866 = AND(g3853, g24648)
+g25870 = AND(g24840, g16182)
+g25871 = AND(g8334, g24804)
+g25872 = AND(g3119, g24655)
+g25873 = AND(g24854, g16197)
+g25874 = AND(g11118, g24665)
+g25875 = AND(g8390, g24809)
+g25876 = AND(g3470, g24667)
+g25879 = AND(g11135, g24683)
+g25880 = AND(g8443, g24814)
+g25881 = AND(g3821, g24685)
+g25883 = AND(g13728, g24699)
+g25884 = AND(g11153, g24711)
+g25900 = AND(g24390, g19368)
+g25901 = AND(g24853, g16290)
+g25902 = AND(g24398, g19373)
+g25904 = AND(g14001, g24791)
+g25905 = AND(g24879, g16311)
+g25907 = AND(g24799, g22519)
+g25908 = AND(g24782, g22520)
+g25909 = AND(g8745, g24875)
+g25915 = AND(g24926, g9602)
+g25916 = AND(g24432, g19434)
+g25921 = AND(g24936, g9664)
+g25922 = AND(g24959, g20065)
+g25923 = AND(g24443, g19443)
+g25924 = AND(g24976, g16846)
+g25925 = AND(g24990, g23234)
+g25926 = AND(g25005, g24839)
+g25927 = AND(g25004, g20375)
+g25928 = AND(g25022, g23436)
+g25931 = AND(g24574, g19477)
+g25938 = AND(g8997, g24953)
+g25939 = AND(g24583, g19490)
+g25946 = AND(g24496, g19537)
+g25949 = AND(g24701, g19559)
+g25951 = AND(g24500, g19565)
+g25955 = AND(g24720, g19580)
+g25957 = AND(g17190, g24960)
+g25959 = AND(g1648, g24963)
+g25961 = AND(g25199, g20682)
+g25962 = AND(g9258, g24971)
+g25963 = AND(g1657, g24978)
+g25964 = AND(g1783, g24979)
+g25965 = AND(g2208, g24980)
+g25966 = AND(g9364, g24985)
+g25967 = AND(g9373, g24986)
+g25968 = AND(g25215, g20739)
+g25969 = AND(g9310, g24987)
+g25970 = AND(g1792, g24991)
+g25971 = AND(g1917, g24992)
+g25972 = AND(g2217, g24993)
+g25973 = AND(g2342, g24994)
+g25975 = AND(g9434, g24999)
+g25976 = AND(g9443, g25000)
+g25977 = AND(g25236, g20875)
+g25978 = AND(g9391, g25001)
+g25979 = AND(g24517, g19650)
+g25980 = AND(g1926, g25006)
+g25981 = AND(g2051, g25007)
+g25982 = AND(g2351, g25008)
+g25983 = AND(g2476, g25009)
+g25986 = AND(g5160, g25013)
+g25987 = AND(g9501, g25015)
+g25988 = AND(g9510, g25016)
+g25989 = AND(g25258, g21012)
+g25990 = AND(g9461, g25017)
+g25991 = AND(g2060, g25023)
+g25992 = AND(g2485, g25024)
+g25993 = AND(g2610, g25025)
+g26019 = AND(g5507, g25032)
+g26020 = AND(g9559, g25034)
+g26021 = AND(g9568, g25035)
+g26022 = AND(g25271, g20751)
+g26023 = AND(g9528, g25036)
+g26024 = AND(g2619, g25039)
+g26048 = AND(g5853, g25044)
+g26049 = AND(g9621, g25046)
+g26050 = AND(g9630, g25047)
+g26051 = AND(g24896, g14169)
+g26077 = AND(g9607, g25233)
+g26078 = AND(g5128, g25055)
+g26079 = AND(g6199, g25060)
+g26084 = AND(g24926, g9602)
+g26085 = AND(g11906, g25070)
+g26086 = AND(g9672, g25255)
+g26087 = AND(g5475, g25072)
+g26088 = AND(g6545, g25080)
+g26090 = AND(g1624, g25081)
+g26091 = AND(g1691, g25082)
+g26092 = AND(g9766, g25083)
+g26094 = AND(g24936, g9664)
+g26095 = AND(g11923, g25090)
+g26096 = AND(g9733, g25268)
+g26097 = AND(g5821, g25092)
+g26100 = AND(g1677, g25097)
+g26101 = AND(g1760, g25098)
+g26102 = AND(g1825, g25099)
+g26103 = AND(g2185, g25100)
+g26104 = AND(g2250, g25101)
+g26119 = AND(g11944, g25109)
+g26120 = AND(g9809, g25293)
+g26121 = AND(g6167, g25111)
+g26122 = AND(g24557, g19762)
+g26123 = AND(g1696, g25382)
+g26124 = AND(g1811, g25116)
+g26125 = AND(g1894, g25117)
+g26126 = AND(g1959, g25118)
+g26127 = AND(g2236, g25119)
+g26128 = AND(g2319, g25120)
+g26129 = AND(g2384, g25121)
+g26130 = AND(g24890, g19772)
+g26145 = AND(g11962, g25131)
+g26146 = AND(g9892, g25334)
+g26147 = AND(g6513, g25133)
+g26148 = AND(g25357, g11724, g11709, g11686)
+g26153 = AND(g24565, g19780)
+g26154 = AND(g1830, g25426)
+g26155 = AND(g1945, g25134)
+g26156 = AND(g2028, g25135)
+g26157 = AND(g2093, g25136)
+g26158 = AND(g2255, g25432)
+g26159 = AND(g2370, g25137)
+g26160 = AND(g2453, g25138)
+g26161 = AND(g2518, g25139)
+g26165 = AND(g11980, g25153)
+g26166 = AND(g25357, g11724, g11709, g7558)
+g26171 = AND(g25357, g6856, g11709, g11686)
+g26176 = AND(g1964, g25467)
+g26177 = AND(g2079, g25154)
+g26178 = AND(g2389, g25473)
+g26179 = AND(g2504, g25155)
+g26180 = AND(g2587, g25156)
+g26181 = AND(g2652, g25157)
+g26182 = AND(g9978, g25317)
+g26186 = AND(g24580, g23031)
+g26190 = AND(g25357, g11724, g7586, g11686)
+g26195 = AND(g25357, g6856, g11709, g7558)
+g26200 = AND(g24688, g10678, g10658, g10627)
+g26203 = AND(g1632, g25337)
+g26204 = AND(g1720, g25275)
+g26205 = AND(g2098, g25492)
+g26206 = AND(g2523, g25495)
+g26207 = AND(g2638, g25170)
+g26213 = AND(g25357, g11724, g7586, g7558)
+g26218 = AND(g25357, g6856, g7586, g11686)
+g26223 = AND(g24688, g10678, g10658, g8757)
+g26226 = AND(g24688, g8812, g10658, g10627)
+g26229 = AND(g1724, g25275)
+g26230 = AND(g1768, g25385)
+g26231 = AND(g1854, g25300)
+g26232 = AND(g2193, g25396)
+g26233 = AND(g2279, g25309)
+g26234 = AND(g2657, g25514)
+g26236 = AND(g25357, g6856, g7586, g7558)
+g26241 = AND(g24688, g10678, g8778, g10627)
+g26244 = AND(g24688, g8812, g10658, g8757)
+g26249 = AND(g1858, g25300)
+g26250 = AND(g1902, g25429)
+g26251 = AND(g1988, g25341)
+g26252 = AND(g2283, g25309)
+g26253 = AND(g2327, g25435)
+g26254 = AND(g2413, g25349)
+g26257 = AND(g4253, g25197)
+g26258 = AND(g12875, g25231)
+g26259 = AND(g24430, g25232)
+g26261 = AND(g24688, g10678, g8778, g8757)
+g26264 = AND(g24688, g8812, g8778, g10627)
+g26270 = AND(g1700, g25275)
+g26271 = AND(g1992, g25341)
+g26272 = AND(g2036, g25470)
+g26273 = AND(g2122, g25389)
+g26274 = AND(g2130, g25210)
+g26275 = AND(g2417, g25349)
+g26276 = AND(g2461, g25476)
+g26277 = AND(g2547, g25400)
+g26279 = AND(g4249, g25213)
+g26280 = AND(g13051, g25248)
+g26281 = AND(g24688, g8812, g8778, g8757)
+g26285 = AND(g1834, g25300)
+g26286 = AND(g2126, g25389)
+g26287 = AND(g2138, g25225)
+g26288 = AND(g2259, g25309)
+g26289 = AND(g2551, g25400)
+g26290 = AND(g2595, g25498)
+g26291 = AND(g2681, g25439)
+g26292 = AND(g2689, g25228)
+g26294 = AND(g4245, g25230)
+g26295 = AND(g13070, g25266)
+g26300 = AND(g1968, g25341)
+g26301 = AND(g2145, g25244)
+g26302 = AND(g2393, g25349)
+g26303 = AND(g2685, g25439)
+g26304 = AND(g2697, g25246)
+g26306 = AND(g13087, g25286)
+g26307 = AND(g13070, g25288)
+g26308 = AND(g6961, g25289)
+g26310 = AND(g2102, g25389)
+g26311 = AND(g2527, g25400)
+g26312 = AND(g2704, g25264)
+g26313 = AND(g12645, g25326)
+g26323 = AND(g10262, g25273)
+g26324 = AND(g2661, g25439)
+g26325 = AND(g12644, g25370)
+g26336 = AND(g10307, g25480)
+g26339 = AND(g225, g24836)
+g26341 = AND(g24746, g20105)
+g26345 = AND(g13051, g25505)
+g26347 = AND(g262, g24850)
+g26350 = AND(g13087, g25517)
+g26351 = AND(g239, g24869)
+g26356 = AND(g15581, g25523)
+g26357 = AND(g22547, g25525)
+g26358 = AND(g19522, g25528)
+g26360 = AND(g10589, g25533)
+g26362 = AND(g19557, g25538)
+g26378 = AND(g19576, g25544)
+g26379 = AND(g19904, g25546)
+g26380 = AND(g19572, g25547)
+g26381 = AND(g4456, g25548)
+g26387 = AND(g24813, g20231)
+g26388 = AND(g19595, g25552)
+g26389 = AND(g19949, g25553)
+g26390 = AND(g4423, g25554)
+g26391 = AND(g19593, g25555)
+g26393 = AND(g19467, g25558)
+g26394 = AND(g22530, g25560)
+g26395 = AND(g22547, g25561)
+g26397 = AND(g19475, g25563)
+g26398 = AND(g24946, g10474)
+g26399 = AND(g15572, g25566)
+g26423 = AND(g19488, g24356)
+g26484 = AND(g24946, g8841)
+g26485 = AND(g24968, g10502)
+g26486 = AND(g4423, g24358)
+g26487 = AND(g15702, g24359)
+g26511 = AND(g19265, g24364)
+g26513 = AND(g19501, g24365)
+g26514 = AND(g7400, g25564)
+g26516 = AND(g24968, g8876)
+g26517 = AND(g15708, g24367)
+g26541 = AND(g319, g24375)
+g26542 = AND(g13102, g24376)
+g26543 = AND(g12910, g24377)
+g26544 = AND(g7446, g24357)
+g26547 = AND(g13283, g25027)
+g26571 = AND(g10472, g24386)
+g26572 = AND(g7443, g24439)
+g26602 = AND(g7487, g24453)
+g26604 = AND(g13248, g25051)
+g26606 = AND(g1018, g24510)
+g26610 = AND(g14198, g24405)
+g26611 = AND(g24935, g20580)
+g26612 = AND(g901, g24407)
+g26613 = AND(g1361, g24518)
+g26629 = AND(g14173, g24418)
+g26630 = AND(g7592, g24419)
+g26633 = AND(g24964, g20616)
+g26635 = AND(g25321, g20617)
+g26650 = AND(g10796, g24424)
+g26651 = AND(g22707, g24425)
+g26652 = AND(g10799, g24426)
+g26670 = AND(g13385, g24428)
+g26671 = AND(g316, g24429)
+g26684 = AND(g25407, g20673)
+g26689 = AND(g15754, g24431)
+g26711 = AND(g25446, g20713)
+g26712 = AND(g24508, g24463)
+g26713 = AND(g25447, g20714)
+g26719 = AND(g10709, g24438)
+g26749 = AND(g24494, g23578)
+g26750 = AND(g24514, g24474)
+g26753 = AND(g16024, g24452)
+g26778 = AND(g25501, g20923)
+g26779 = AND(g24497, g23620)
+g26780 = AND(g4098, g24437)
+g26783 = AND(g25037, g21048)
+g26799 = AND(g25247, g21068)
+g26808 = AND(g25521, g21185)
+g26815 = AND(g4108, g24528)
+g26819 = AND(g106, g24490)
+g26821 = AND(g24821, g13103)
+g26822 = AND(g24841, g13116)
+g26823 = AND(g24401, g13106)
+g26826 = AND(g24907, g15747)
+g26828 = AND(g24919, g15756)
+g26829 = AND(g2844, g24505)
+g26833 = AND(g2852, g24509)
+g26838 = AND(g2860, g24515)
+g26839 = AND(g2988, g24516)
+g26842 = AND(g2894, g24522)
+g26844 = AND(g25261, g21418)
+g26845 = AND(g24391, g21426)
+g26846 = AND(g37, g24524)
+g26847 = AND(g2873, g24525)
+g26848 = AND(g2950, g24526)
+g26849 = AND(g2994, g24527)
+g26852 = AND(g24975, g24958)
+g26853 = AND(g94, g24533)
+g26854 = AND(g2868, g24534)
+g26855 = AND(g2960, g24535)
+g26857 = AND(g25062, g25049)
+g26858 = AND(g2970, g24540)
+g26861 = AND(g25021, g25003)
+g26863 = AND(g24974, g24957)
+g26864 = AND(g2907, g24548)
+g26871 = AND(g25038, g25020)
+g26977 = AND(g23032, g26261, g26424, g25550)
+g26994 = AND(g23032, g26226, g26424, g25557)
+g27020 = AND(g4601, g25852)
+g27025 = AND(g26334, g7917)
+g27028 = AND(g26342, g1157)
+g27029 = AND(g26327, g11031)
+g27030 = AND(g26343, g7947)
+g27032 = AND(g7704, g5180, g5188, g26200)
+g27033 = AND(g25767, g19273)
+g27034 = AND(g26328, g8609)
+g27035 = AND(g26348, g1500)
+g27036 = AND(g26329, g11038)
+g27039 = AND(g7738, g5527, g5535, g26223)
+g27040 = AND(g7812, g6565, g6573, g26226)
+g27041 = AND(g8519, g26330)
+g27042 = AND(g25774, g19343)
+g27043 = AND(g26335, g8632)
+g27044 = AND(g7766, g5873, g5881, g26241)
+g27045 = AND(g10295, g3171, g3179, g26244)
+g27050 = AND(g25789, g22338)
+g27057 = AND(g7791, g6219, g6227, g26261)
+g27058 = AND(g10323, g3522, g3530, g26264)
+g27073 = AND(g7121, g3873, g3881, g26281)
+g27083 = AND(g25819, g22456)
+g27085 = AND(g25835, g22494)
+g27086 = AND(g25836, g22495)
+g27087 = AND(g13872, g26284)
+g27090 = AND(g25997, g16423)
+g27094 = AND(g25997, g16472)
+g27095 = AND(g25997, g16473)
+g27096 = AND(g26026, g16475)
+g27097 = AND(g25867, g22526)
+g27098 = AND(g25868, g22528)
+g27099 = AND(g14094, g26352)
+g27103 = AND(g25997, g16509)
+g27104 = AND(g25997, g16510)
+g27105 = AND(g26026, g16511)
+g27106 = AND(g26026, g16512)
+g27107 = AND(g26055, g16514)
+g27113 = AND(g25997, g16522)
+g27114 = AND(g25997, g16523)
+g27115 = AND(g26026, g16526)
+g27116 = AND(g26026, g16527)
+g27117 = AND(g26055, g16528)
+g27118 = AND(g26055, g16529)
+g27119 = AND(g25877, g22542)
+g27120 = AND(g25878, g22543)
+g27121 = AND(g136, g26326)
+g27127 = AND(g25997, g16582)
+g27128 = AND(g25997, g16583)
+g27129 = AND(g26026, g16584)
+g27130 = AND(g26026, g16585)
+g27131 = AND(g26055, g16588)
+g27132 = AND(g26055, g16589)
+g27134 = AND(g25997, g16602)
+g27136 = AND(g26026, g16605)
+g27137 = AND(g26026, g16606)
+g27138 = AND(g26055, g16607)
+g27139 = AND(g26055, g16608)
+g27140 = AND(g25885, g22593)
+g27145 = AND(g14121, g26382)
+g27146 = AND(g26148, g8187, g1648)
+g27148 = AND(g25997, g16622)
+g27149 = AND(g25997, g16623)
+g27151 = AND(g26026, g16626)
+g27153 = AND(g26055, g16629)
+g27154 = AND(g26055, g16630)
+g27158 = AND(g26609, g16645)
+g27160 = AND(g14163, g26340)
+g27161 = AND(g26166, g8241, g1783)
+g27162 = AND(g26171, g8259, g2208)
+g27177 = AND(g25997, g16651)
+g27178 = AND(g25997, g16652)
+g27180 = AND(g26026, g16654)
+g27181 = AND(g26026, g16655)
+g27183 = AND(g26055, g16658)
+g27184 = AND(g26628, g13756)
+g27185 = AND(g26190, g8302, g1917)
+g27186 = AND(g26195, g8316, g2342)
+g27201 = AND(g25997, g16685)
+g27202 = AND(g25997, g13876)
+g27203 = AND(g26026, g16688)
+g27204 = AND(g26026, g16689)
+g27206 = AND(g26055, g16691)
+g27207 = AND(g26055, g16692)
+g27208 = AND(g9037, g26598)
+g27209 = AND(g26213, g8365, g2051)
+g27210 = AND(g26218, g8373, g2476)
+g27211 = AND(g25997, g16716)
+g27212 = AND(g25997, g16717)
+g27213 = AND(g26026, g16721)
+g27214 = AND(g26026, g13901)
+g27215 = AND(g26055, g16724)
+g27216 = AND(g26055, g16725)
+g27217 = AND(g26236, g8418, g2610)
+g27218 = AND(g25997, g16740)
+g27219 = AND(g26026, g16742)
+g27220 = AND(g26026, g16743)
+g27221 = AND(g26055, g16747)
+g27222 = AND(g26055, g13932)
+g27227 = AND(g26026, g16771)
+g27228 = AND(g26055, g16773)
+g27229 = AND(g26055, g16774)
+g27230 = AND(g25906, g19558)
+g27234 = AND(g26055, g16814)
+g27235 = AND(g25910, g19579)
+g27246 = AND(g26690, g26673)
+g27247 = AND(g2759, g26745)
+g27249 = AND(g25929, g19678)
+g27251 = AND(g26721, g26694)
+g27252 = AND(g26733, g26703)
+g27254 = AND(g25935, g19688)
+g27255 = AND(g25936, g19689)
+g27256 = AND(g25937, g19698)
+g27259 = AND(g26755, g26725)
+g27260 = AND(g26766, g26737)
+g27262 = AND(g25997, g17092)
+g27263 = AND(g25940, g19713)
+g27264 = AND(g25941, g19714)
+g27265 = AND(g26785, g26759)
+g27266 = AND(g26789, g26770)
+g27267 = AND(g26026, g17124)
+g27268 = AND(g25942, g19733)
+g27269 = AND(g25943, g19734)
+g27270 = AND(g26805, g26793)
+g27272 = AND(g26055, g17144)
+g27275 = AND(g25945, g19745)
+g27276 = AND(g9750, g26607)
+g27277 = AND(g26359, g14191)
+g27280 = AND(g9825, g26614)
+g27281 = AND(g9830, g26615)
+g27284 = AND(g9908, g26631)
+g27285 = AND(g9912, g26632)
+g27286 = AND(g6856, g26634)
+g27287 = AND(g26545, g23011)
+g27288 = AND(g26515, g23013)
+g27291 = AND(g11969, g26653)
+g27292 = AND(g1714, g26654)
+g27293 = AND(g9972, g26655)
+g27294 = AND(g9975, g26656)
+g27298 = AND(g26573, g23026)
+g27299 = AND(g26546, g23028)
+g27300 = AND(g12370, g26672)
+g27301 = AND(g11992, g26679)
+g27302 = AND(g1848, g26680)
+g27303 = AND(g11996, g26681)
+g27304 = AND(g2273, g26682)
+g27305 = AND(g10041, g26683)
+g27309 = AND(g26603, g23057)
+g27310 = AND(g26574, g23059)
+g27311 = AND(g12431, g26693)
+g27312 = AND(g12019, g26700)
+g27313 = AND(g1982, g26701)
+g27314 = AND(g12436, g26702)
+g27315 = AND(g12022, g26709)
+g27316 = AND(g2407, g26710)
+g27323 = AND(g26268, g23086)
+g27324 = AND(g10150, g26720)
+g27325 = AND(g12478, g26724)
+g27326 = AND(g12048, g26731)
+g27327 = AND(g2116, g26732)
+g27328 = AND(g12482, g26736)
+g27329 = AND(g12052, g26743)
+g27330 = AND(g2541, g26744)
+g27331 = AND(g10177, g26754)
+g27332 = AND(g12538, g26758)
+g27333 = AND(g10180, g26765)
+g27334 = AND(g12539, g26769)
+g27335 = AND(g12087, g26776)
+g27336 = AND(g2675, g26777)
+g27339 = AND(g26400, g17308)
+g27340 = AND(g10199, g26784)
+g27341 = AND(g10203, g26788)
+g27342 = AND(g12592, g26792)
+g27346 = AND(g26400, g17389)
+g27347 = AND(g26400, g17390)
+g27348 = AND(g26488, g17392)
+g27350 = AND(g10217, g26803)
+g27351 = AND(g10218, g26804)
+g27357 = AND(g26400, g17414)
+g27358 = AND(g26400, g17415)
+g27359 = AND(g26488, g17416)
+g27360 = AND(g26488, g17417)
+g27361 = AND(g26519, g17419)
+g27362 = AND(g26080, g20036)
+g27363 = AND(g10231, g26812)
+g27369 = AND(g25894, g25324)
+g27370 = AND(g26400, g17472)
+g27371 = AND(g26400, g17473)
+g27372 = AND(g26488, g17476)
+g27373 = AND(g26488, g17477)
+g27374 = AND(g26519, g17478)
+g27375 = AND(g26519, g17479)
+g27376 = AND(g26549, g17481)
+g27378 = AND(g26089, g20052)
+g27384 = AND(g26400, g17496)
+g27385 = AND(g26400, g17497)
+g27386 = AND(g26488, g17498)
+g27387 = AND(g26488, g17499)
+g27388 = AND(g26519, g17502)
+g27389 = AND(g26519, g17503)
+g27390 = AND(g26549, g17504)
+g27391 = AND(g26549, g17505)
+g27392 = AND(g26576, g17507)
+g27393 = AND(g26099, g20066)
+g27395 = AND(g8046, g26314, g9187, g9077)
+g27404 = AND(g26400, g17518)
+g27406 = AND(g26488, g17521)
+g27407 = AND(g26488, g17522)
+g27408 = AND(g26519, g17523)
+g27409 = AND(g26519, g17524)
+g27410 = AND(g26549, g17527)
+g27411 = AND(g26549, g17528)
+g27412 = AND(g26576, g17529)
+g27413 = AND(g26576, g17530)
+g27414 = AND(g255, g26827)
+g27416 = AND(g8046, g26314, g9187, g504)
+g27421 = AND(g8038, g26314, g9187, g9077)
+g27427 = AND(g26400, g17575)
+g27428 = AND(g26400, g17576)
+g27430 = AND(g26488, g17579)
+g27432 = AND(g26519, g17582)
+g27433 = AND(g26519, g17583)
+g27434 = AND(g26549, g17584)
+g27435 = AND(g26549, g17585)
+g27436 = AND(g26576, g17588)
+g27437 = AND(g26576, g17589)
+g27439 = AND(g232, g26831)
+g27440 = AND(g8046, g26314, g518, g504)
+g27445 = AND(g8038, g26314, g9187, g504)
+g27451 = AND(g26400, g17599)
+g27452 = AND(g26400, g17600)
+g27454 = AND(g26488, g17602)
+g27455 = AND(g26488, g17603)
+g27457 = AND(g26519, g17606)
+g27459 = AND(g26549, g17609)
+g27460 = AND(g26549, g17610)
+g27461 = AND(g26576, g17611)
+g27462 = AND(g26576, g17612)
+g27467 = AND(g269, g26832)
+g27469 = AND(g8046, g26314, g518, g9077)
+g27474 = AND(g8038, g26314, g518, g504)
+g27480 = AND(g26400, g17638)
+g27481 = AND(g26400, g14630)
+g27482 = AND(g26488, g17641)
+g27483 = AND(g26488, g17642)
+g27485 = AND(g26519, g17644)
+g27486 = AND(g26519, g17645)
+g27488 = AND(g26549, g17648)
+g27490 = AND(g26576, g17651)
+g27491 = AND(g26576, g17652)
+g27493 = AND(g246, g26837)
+g27494 = AND(g8038, g26314, g518, g9077)
+g27500 = AND(g26400, g17672)
+g27501 = AND(g26400, g17673)
+g27502 = AND(g26488, g17677)
+g27503 = AND(g26488, g14668)
+g27504 = AND(g26519, g17680)
+g27505 = AND(g26519, g17681)
+g27507 = AND(g26549, g17683)
+g27508 = AND(g26549, g17684)
+g27510 = AND(g26576, g17687)
+g27517 = AND(g26400, g17707)
+g27518 = AND(g26488, g17709)
+g27519 = AND(g26488, g17710)
+g27520 = AND(g26519, g17714)
+g27521 = AND(g26519, g14700)
+g27522 = AND(g26549, g17717)
+g27523 = AND(g26549, g17718)
+g27525 = AND(g26576, g17720)
+g27526 = AND(g26576, g17721)
+g27534 = AND(g26488, g17735)
+g27535 = AND(g26519, g17737)
+g27536 = AND(g26519, g17738)
+g27537 = AND(g26549, g17742)
+g27538 = AND(g26549, g14744)
+g27539 = AND(g26576, g17745)
+g27540 = AND(g26576, g17746)
+g27541 = AND(g26278, g23334)
+g27545 = AND(g26519, g17756)
+g27546 = AND(g26549, g17758)
+g27547 = AND(g26549, g17759)
+g27548 = AND(g26576, g17763)
+g27549 = AND(g26576, g14785)
+g27553 = AND(g26293, g23353)
+g27557 = AND(g26549, g17774)
+g27558 = AND(g26576, g17776)
+g27559 = AND(g26576, g17777)
+g27560 = AND(g26299, g20191)
+g27564 = AND(g26305, g23378)
+g27568 = AND(g26576, g17791)
+g27588 = AND(g26690, g26673)
+g27594 = AND(g26721, g26694)
+g27595 = AND(g26733, g26703)
+g27598 = AND(g25899, g10475)
+g27599 = AND(g26337, g20033)
+g27600 = AND(g26755, g26725)
+g27601 = AND(g26766, g26737)
+g27602 = AND(g23032, g26244, g26424, g24966)
+g27612 = AND(g25887, g8844)
+g27614 = AND(g26785, g26759)
+g27615 = AND(g26789, g26770)
+g27616 = AND(g26349, g20449)
+g27617 = AND(g23032, g26264, g26424, g24982)
+g27627 = AND(g13266, g25790)
+g27628 = AND(g26400, g18061)
+g27633 = AND(g13076, g25766)
+g27634 = AND(g26805, g26793)
+g27635 = AND(g23032, g26281, g26424, g24996)
+g27645 = AND(g26488, g15344)
+g27646 = AND(g13094, g25773)
+g27648 = AND(g25882, g8974)
+g27649 = AND(g10820, g25820)
+g27650 = AND(g26519, g15479)
+g27651 = AND(g22448, g25781)
+g27653 = AND(g26549, g15562)
+g27658 = AND(g22491, g25786)
+g27660 = AND(g24688, g26424, g22763)
+g27661 = AND(g26576, g15568)
+g27664 = AND(g1024, g25911)
+g27665 = AND(g26872, g23519)
+g27666 = AND(g26865, g23521)
+g27667 = AND(g26361, g20601)
+g27668 = AND(g1367, g25917)
+g27669 = AND(g26840, g13278)
+g27673 = AND(g25769, g23541)
+g27674 = AND(g26873, g23543)
+g27676 = AND(g26377, g20627)
+g27677 = AND(g13021, g25888)
+g27678 = AND(g947, g25830)
+g27682 = AND(g25777, g23565)
+g27683 = AND(g25770, g23567)
+g27684 = AND(g26386, g20657)
+g27685 = AND(g13032, g25895)
+g27686 = AND(g1291, g25849)
+g27690 = AND(g25784, g23607)
+g27691 = AND(g25778, g23609)
+g27692 = AND(g26392, g20697)
+g27696 = AND(g25800, g23647)
+g27697 = AND(g25785, g23649)
+g27699 = AND(g26396, g20766)
+g27700 = AND(g22342, g25182, g26424, g26148)
+g27710 = AND(g26422, g20904)
+g27711 = AND(g22369, g25193, g26424, g26166)
+g27714 = AND(g22384, g25195, g26424, g26171)
+g27723 = AND(g26512, g21049)
+g27724 = AND(g22417, g25208, g26424, g26190)
+g27727 = AND(g22432, g25211, g26424, g26195)
+g27759 = AND(g22457, g25224, g26424, g26213)
+g27762 = AND(g22472, g25226, g26424, g26218)
+g27765 = AND(g4146, g25886)
+g27817 = AND(g22498, g25245, g26424, g26236)
+g27820 = AND(g7670, g25932)
+g27821 = AND(g7680, g25892)
+g27822 = AND(g4157, g25893)
+g27932 = AND(g25944, g19369)
+g27957 = AND(g25947, g15995)
+g27958 = AND(g25950, g22449)
+g27959 = AND(g25948, g19374)
+g27962 = AND(g25954, g19597)
+g27963 = AND(g25952, g16047)
+g27964 = AND(g25956, g22492)
+g27965 = AND(g25834, g13117)
+g27968 = AND(g25958, g19614)
+g27981 = AND(g26751, g23924)
+g27988 = AND(g26781, g23941)
+g27992 = AND(g26800, g23964)
+g27995 = AND(g26809, g23985)
+g27997 = AND(g26813, g23995)
+g27999 = AND(g23032, g26200, g26424, g25529)
+g28010 = AND(g23032, g26223, g26424, g25535)
+g28020 = AND(g23032, g26241, g26424, g25542)
+I26530 = AND(g26365, g24096, g24097, g24098)
+I26531 = AND(g24099, g24100, g24101, g24102)
+g28035 = AND(g24103, I26530, I26531)
+g28107 = AND(g27970, g18874)
+g28108 = AND(g7975, g27237)
+g28110 = AND(g27974, g18886)
+g28111 = AND(g27343, g22716)
+g28112 = AND(g27352, g26162)
+g28113 = AND(g8016, g27242)
+g28114 = AND(g25869, g27051)
+g28115 = AND(g27354, g22759)
+g28116 = AND(g27366, g26183)
+g28117 = AND(g8075, g27245)
+g28124 = AND(g27368, g22842)
+g28125 = AND(g27381, g26209)
+g28130 = AND(g27353, g23063)
+g28133 = AND(g27367, g23108)
+g28136 = AND(g27382, g23135)
+g28139 = AND(g27337, g26054)
+g28141 = AND(g10831, g11797, g11261, g27163)
+g28143 = AND(g27344, g26083)
+g28144 = AND(g4608, g27020)
+g28148 = AND(g27355, g26093)
+g28150 = AND(g10862, g11834, g11283, g27187)
+g28151 = AND(g8426, g27295)
+g28152 = AND(g26297, g27279)
+g28153 = AND(g26424, g22763, g27031)
+g28154 = AND(g8492, g27306)
+g28158 = AND(g26424, g22763, g27037)
+g28159 = AND(g8553, g27317)
+g28160 = AND(g26309, g27463)
+g28164 = AND(g8651, g27528)
+g28165 = AND(g27018, g22455)
+g28171 = AND(g27016, g19385)
+g28178 = AND(g27019, g19397)
+g28182 = AND(g8770, g27349)
+g28183 = AND(g27024, g19421)
+g28185 = AND(g27026, g19435)
+g28192 = AND(g8891, g27415)
+g28193 = AND(g8851, g27629)
+g28197 = AND(g27647, g11344)
+g28198 = AND(g26649, g27492)
+g28199 = AND(g27479, g16684)
+g28200 = AND(g27652, g11383)
+g28201 = AND(g27499, g16720)
+g28202 = AND(g27659, g11413)
+g28204 = AND(g26098, g27654)
+g28205 = AND(g27516, g16746)
+g28210 = AND(g9229, g27554)
+g28213 = AND(g27720, g23380)
+g28214 = AND(g27731, g26625)
+g28215 = AND(g9264, g27565)
+g28217 = AND(g27733, g23391)
+g28218 = AND(g27768, g26645)
+g28219 = AND(g9316, g27573)
+g28223 = AND(g27338, g17194)
+g28224 = AND(g27163, g22763, g27064)
+g28225 = AND(g27770, g23400)
+g28226 = AND(g27825, g26667)
+g28227 = AND(g9397, g27583)
+g28228 = AND(g27126, g19636)
+g28229 = AND(g27345, g17213)
+g28231 = AND(g27187, g22763, g27074)
+g28232 = AND(g27732, g23586)
+g28233 = AND(g27827, g23411)
+g28234 = AND(g27877, g26686)
+g28235 = AND(g9467, g27592)
+g28236 = AND(g8515, g27971)
+g28237 = AND(g9492, g27597)
+g28238 = AND(g27133, g19658)
+g28239 = AND(g27135, g19659)
+g28240 = AND(g27356, g17239)
+g28242 = AND(g27769, g23626)
+g28243 = AND(g27879, g23423)
+g28244 = AND(g27926, g26715)
+g28245 = AND(g11367, g27975)
+g28246 = AND(g8572, g27976)
+g28247 = AND(g27147, g19675)
+g28248 = AND(g27150, g19676)
+g28249 = AND(g27152, g19677)
+g28251 = AND(g27826, g23662)
+g28252 = AND(g27159, g19682)
+g28253 = AND(g23719, g27700)
+g28254 = AND(g7268, g1668, g27395)
+g28255 = AND(g8515, g27983)
+g28256 = AND(g11398, g27984)
+g28257 = AND(g27179, g19686)
+g28258 = AND(g27182, g19687)
+g28260 = AND(g27703, g26518)
+g28261 = AND(g27878, g23695)
+g28263 = AND(g23747, g27711)
+g28264 = AND(g7315, g1802, g27416)
+g28265 = AND(g11367, g27989)
+g28266 = AND(g23748, g27714)
+g28267 = AND(g7328, g2227, g27421)
+g28268 = AND(g8572, g27990)
+g28269 = AND(g27205, g19712)
+g28272 = AND(g27721, g26548)
+g28273 = AND(g27927, g23729)
+g28280 = AND(g23761, g27724)
+g28281 = AND(g7362, g1936, g27440)
+g28282 = AND(g23762, g27727)
+g28283 = AND(g7380, g2361, g27445)
+g28284 = AND(g11398, g27994)
+g28285 = AND(g9657, g27717)
+g28289 = AND(g27734, g26575)
+g28290 = AND(g23780, g27759)
+g28291 = AND(g7411, g2070, g27469)
+g28292 = AND(g23781, g27762)
+g28293 = AND(g7424, g2495, g27474)
+g28299 = AND(g9716, g27670)
+g28300 = AND(g27771, g26605)
+g28301 = AND(g27224, g19750)
+g28302 = AND(g23809, g27817)
+g28303 = AND(g7462, g2629, g27494)
+g28304 = AND(g27226, g19753)
+g28311 = AND(g9792, g27679)
+g28312 = AND(g27828, g26608)
+g28313 = AND(g27231, g19766)
+g28314 = AND(g27552, g14205)
+g28315 = AND(g27232, g19769)
+g28318 = AND(g27233, g19770)
+g28324 = AND(g9875, g27687)
+g28327 = AND(g27365, g19785)
+g28330 = AND(g27238, g19786)
+g28333 = AND(g27239, g19787)
+g28339 = AND(g9946, g27693)
+g28341 = AND(g27240, g19790)
+g28343 = AND(g27380, g19799)
+g28346 = AND(g27243, g19800)
+g28352 = AND(g10014, g27705)
+g28360 = AND(g27401, g19861)
+g28415 = AND(g27250, g19963)
+g28426 = AND(g27257, g20006)
+g28427 = AND(g27258, g20008)
+g28439 = AND(g27273, g10233)
+g28440 = AND(g27274, g20059)
+g28442 = AND(g27278, g20072)
+g28451 = AND(g27283, g20090)
+g28453 = AND(g27582, g10233)
+g28454 = AND(g26976, g12233)
+g28455 = AND(g27289, g20103)
+g28456 = AND(g27290, g20104)
+I26948 = AND(g24981, g26424, g22698)
+g28458 = AND(g27187, g12730, g20887, I26948)
+g28466 = AND(g27960, g17637)
+g28467 = AND(g26993, g12295)
+I26960 = AND(g24995, g26424, g22698)
+g28471 = AND(g27187, g12762, g21024, I26960)
+g28477 = AND(g27966, g17676)
+g28478 = AND(g27007, g12345)
+I26972 = AND(g25011, g26424, g22698)
+g28484 = AND(g27187, g10290, g21163, I26972)
+g28488 = AND(g27969, g17713)
+g28489 = AND(g27010, g12417)
+g28494 = AND(g27973, g17741)
+g28495 = AND(g27012, g12465)
+g28499 = AND(g27982, g17762)
+g28523 = AND(g27704, g15585)
+g28524 = AND(g6821, g27084)
+g28528 = AND(g27187, g12730)
+g28530 = AND(g27383, g20240)
+g28531 = AND(g27722, g15608)
+g28532 = AND(g27394, g20265)
+g28535 = AND(g11981, g27088)
+g28537 = AND(g6832, g27089)
+g28539 = AND(g27187, g12762)
+g28541 = AND(g27403, g20274)
+g28542 = AND(g27405, g20275)
+g28543 = AND(g27735, g15628)
+g28547 = AND(g6821, g27091)
+g28550 = AND(g12009, g27092)
+g28553 = AND(g27187, g10290)
+g28554 = AND(g27426, g20372)
+g28555 = AND(g27429, g20373)
+g28556 = AND(g27431, g20374)
+g28557 = AND(g27772, g15647)
+g28558 = AND(g7301, g27046)
+g28563 = AND(g11981, g27100)
+g28567 = AND(g6832, g27101)
+g28569 = AND(g27453, g20433)
+g28570 = AND(g27456, g20434)
+g28571 = AND(g27458, g20435)
+g28572 = AND(g27829, g15669)
+g28573 = AND(g7349, g27059)
+g28583 = AND(g12009, g27112)
+g28585 = AND(g27063, g10530)
+g28586 = AND(g27484, g20497)
+g28587 = AND(g27487, g20498)
+g28588 = AND(g27489, g20499)
+g28597 = AND(g27515, g20508)
+g28599 = AND(g27027, g8922)
+g28601 = AND(g27506, g20514)
+g28602 = AND(g27509, g20515)
+g28612 = AND(g27524, g20539)
+g28616 = AND(g27532, g20551)
+g28617 = AND(g27533, g20552)
+g28624 = AND(g22357, g27009)
+g28626 = AND(g27542, g20573)
+g28627 = AND(g27543, g20574)
+g28630 = AND(g27544, g20575)
+g28637 = AND(g22399, g27011)
+g28638 = AND(g27551, g20583)
+g28639 = AND(g27767, g20597)
+g28642 = AND(g27555, g20598)
+g28645 = AND(g27556, g20599)
+g28652 = AND(g27282, g10288)
+g28653 = AND(g7544, g27014)
+g28654 = AND(g1030, g27108)
+g28655 = AND(g27561, g20603)
+g28657 = AND(g27562, g20606)
+g28658 = AND(g27563, g20611)
+g28660 = AND(g27824, g20623)
+g28663 = AND(g27566, g20624)
+g28666 = AND(g27567, g20625)
+g28672 = AND(g7577, g27017)
+g28673 = AND(g1373, g27122)
+g28674 = AND(g27569, g20629)
+g28676 = AND(g27570, g20632)
+g28677 = AND(g27571, g20635)
+g28679 = AND(g27572, g20638)
+g28683 = AND(g27876, g20649)
+g28686 = AND(g27574, g20650)
+g28689 = AND(g27575, g20651)
+g28692 = AND(g27578, g20661)
+g28694 = AND(g27579, g20664)
+g28695 = AND(g27580, g20666)
+g28697 = AND(g27581, g20669)
+g28703 = AND(g27925, g20680)
+g28706 = AND(g27584, g20681)
+g28710 = AND(g27589, g20703)
+g28712 = AND(g27590, g20708)
+g28714 = AND(g27591, g20711)
+g28722 = AND(g27955, g20738)
+g28725 = AND(g27596, g20779)
+g28739 = AND(g21434, g26424, g25274, g27395)
+g28761 = AND(g21434, g26424, g25299, g27416)
+g28768 = AND(g21434, g26424, g25308, g27421)
+g28789 = AND(g21434, g26424, g25340, g27440)
+g28799 = AND(g21434, g26424, g25348, g27445)
+g28812 = AND(g26972, g13037)
+g28813 = AND(g4104, g27038)
+g28833 = AND(g21434, g26424, g25388, g27469)
+g28846 = AND(g21434, g26424, g25399, g27474)
+g28880 = AND(g21434, g26424, g25438, g27494)
+g28889 = AND(g17292, g25169, g26424, g27395)
+g28919 = AND(g27663, g21295)
+g28924 = AND(g17317, g25183, g26424, g27416)
+g28939 = AND(g17321, g25184, g26424, g27421)
+g28959 = AND(g17401, g25194, g26424, g27440)
+g28970 = AND(g17405, g25196, g26424, g27445)
+I27349 = AND(g25534, g26424, g22698)
+g28982 = AND(g27163, g12687, g20682, I27349)
+g28991 = AND(g14438, g25209, g26424, g27469)
+g28998 = AND(g17424, g25212, g26424, g27474)
+I27364 = AND(g25541, g26424, g22698)
+g29008 = AND(g27163, g12730, g20739, I27364)
+g29029 = AND(g14506, g25227, g26424, g27494)
+I27381 = AND(g25549, g26424, g22698)
+g29036 = AND(g27163, g12762, g20875, I27381)
+I27409 = AND(g25556, g26424, g22698)
+g29073 = AND(g27163, g10290, g21012, I27409)
+I27429 = AND(g25562, g26424, g22698)
+g29110 = AND(g27187, g12687, g20751, I27429)
+g29178 = AND(g27163, g12687)
+g29182 = AND(g27163, g12730)
+g29188 = AND(g27163, g12762)
+g29192 = AND(g27163, g10290)
+g29199 = AND(g27187, g12687)
+I27503 = AND(g19890, g24075, g24076, g28032)
+I27504 = AND(g24077, g24078, g24079, g24080)
+g29201 = AND(g24081, I27503, I27504)
+I27508 = AND(g19935, g24082, g24083, g28033)
+I27509 = AND(g24084, g24085, g24086, g24087)
+g29202 = AND(g24088, I27508, I27509)
+I27513 = AND(g19984, g24089, g24090, g28034)
+I27514 = AND(g24091, g24092, g24093, g24094)
+g29203 = AND(g24095, I27513, I27514)
+I27518 = AND(g20720, g24104, g24105, g24106)
+I27519 = AND(g28036, g24107, g24108, g24109)
+g29204 = AND(g24110, I27518, I27519)
+I27523 = AND(g20857, g24111, g24112, g24113)
+I27524 = AND(g28037, g24114, g24115, g24116)
+g29205 = AND(g24117, I27523, I27524)
+I27528 = AND(g20998, g24118, g24119, g24120)
+I27529 = AND(g28038, g24121, g24122, g24123)
+g29206 = AND(g24124, I27528, I27529)
+I27533 = AND(g21143, g24125, g24126, g24127)
+I27534 = AND(g28039, g24128, g24129, g24130)
+g29207 = AND(g24131, I27533, I27534)
+I27538 = AND(g21209, g24132, g24133, g24134)
+I27539 = AND(g28040, g24135, g24136, g24137)
+g29208 = AND(g24138, I27538, I27539)
+g29314 = AND(g29005, g22144)
+g29315 = AND(g29188, g7051, g5990)
+g29316 = AND(g28528, g6875, g3288)
+g29320 = AND(g29068, g22147)
+g29321 = AND(g29033, g22148)
+g29322 = AND(g29192, g7074, g6336)
+g29323 = AND(g28539, g6905, g3639)
+g29324 = AND(g29078, g18883)
+g29326 = AND(g29105, g22155)
+g29327 = AND(g29070, g22156)
+g29328 = AND(g28553, g6928, g3990)
+g29329 = AND(g7995, g28353)
+g29330 = AND(g29114, g18894)
+g29331 = AND(g29143, g22169)
+g29332 = AND(g29107, g22170)
+g29334 = AND(g29148, g18908)
+g29336 = AND(g4704, g28363)
+g29337 = AND(g29166, g22180)
+g29338 = AND(g29145, g22181)
+g29344 = AND(g29168, g18932)
+g29345 = AND(g4749, g28376)
+g29346 = AND(g4894, g28381)
+g29347 = AND(g29176, g22201)
+g29349 = AND(g4760, g28391)
+g29350 = AND(g4939, g28395)
+g29351 = AND(g4771, g28406)
+g29352 = AND(g4950, g28410)
+g29354 = AND(g4961, g28421)
+g29360 = AND(g27364, g28294)
+g29362 = AND(g27379, g28307)
+g29363 = AND(g8458, g28444)
+g29364 = AND(g27400, g28321)
+g29367 = AND(g8575, g28325)
+g29369 = AND(g28209, g22341)
+g29375 = AND(g13946, g28370)
+g29376 = AND(g14002, g28504)
+g29377 = AND(g28132, g19387)
+g29378 = AND(g28137, g22493)
+g29380 = AND(g28134, g19396)
+g29381 = AND(g28135, g19399)
+g29382 = AND(g26424, g22763, g28172)
+g29383 = AND(g28138, g19412)
+g29384 = AND(g26424, g22763, g28179)
+g29475 = AND(g14033, g28500)
+g29477 = AND(g14090, g28441)
+g29494 = AND(g9073, g28479)
+g29509 = AND(g1600, g28755)
+g29510 = AND(g28856, g22342)
+g29511 = AND(g1736, g28783)
+g29512 = AND(g2161, g28793)
+g29513 = AND(g28448, g14095)
+g29514 = AND(g1608, g28780)
+g29515 = AND(g28888, g22342)
+g29516 = AND(g28895, g22369)
+g29517 = AND(g1870, g28827)
+g29518 = AND(g28906, g22384)
+g29519 = AND(g2295, g28840)
+g29521 = AND(g1744, g28824)
+g29522 = AND(g28923, g22369)
+g29523 = AND(g28930, g22417)
+g29524 = AND(g2004, g28864)
+g29525 = AND(g2169, g28837)
+g29526 = AND(g28938, g22384)
+g29527 = AND(g28945, g22432)
+g29528 = AND(g2429, g28874)
+g29530 = AND(g1612, g28820)
+g29531 = AND(g1664, g28559)
+g29532 = AND(g1878, g28861)
+g29533 = AND(g28958, g22417)
+g29534 = AND(g28965, g22457)
+g29535 = AND(g2303, g28871)
+g29536 = AND(g28969, g22432)
+g29537 = AND(g28976, g22472)
+g29538 = AND(g2563, g28914)
+g29547 = AND(g1748, g28857)
+g29548 = AND(g1798, g28575)
+g29549 = AND(g2012, g28900)
+g29550 = AND(g28990, g22457)
+g29551 = AND(g2173, g28867)
+g29552 = AND(g2223, g28579)
+g29553 = AND(g2437, g28911)
+g29554 = AND(g28997, g22472)
+g29555 = AND(g29004, g22498)
+g29563 = AND(g1616, g28853)
+g29564 = AND(g1882, g28896)
+g29565 = AND(g1932, g28590)
+g29566 = AND(g2307, g28907)
+g29567 = AND(g2357, g28593)
+g29568 = AND(g2571, g28950)
+g29569 = AND(g29028, g22498)
+g29570 = AND(g2763, g28598)
+g29571 = AND(g28452, g11762)
+g29572 = AND(g1620, g28885)
+g29573 = AND(g1752, g28892)
+g29574 = AND(g2016, g28931)
+g29575 = AND(g2066, g28604)
+g29576 = AND(g2177, g28903)
+g29577 = AND(g2441, g28946)
+g29578 = AND(g2491, g28606)
+g29579 = AND(g28457, g7964)
+g29580 = AND(g28519, g14186)
+g29581 = AND(g28462, g11796)
+g29582 = AND(g27766, g28608)
+g29584 = AND(g1706, g29018)
+g29585 = AND(g1756, g28920)
+g29586 = AND(g1886, g28927)
+g29587 = AND(g2181, g28935)
+g29588 = AND(g2311, g28942)
+g29589 = AND(g2575, g28977)
+g29590 = AND(g2625, g28615)
+g29591 = AND(g28552, g11346)
+g29592 = AND(g28469, g11832)
+g29593 = AND(g28470, g7985)
+g29594 = AND(g28529, g14192)
+g29595 = AND(g28475, g11833)
+g29596 = AND(g27823, g28620)
+g29598 = AND(g28823, g22342)
+g29599 = AND(g1710, g29018)
+g29600 = AND(g1840, g29049)
+g29601 = AND(g1890, g28955)
+g29602 = AND(g2020, g28962)
+g29603 = AND(g2265, g29060)
+g29604 = AND(g2315, g28966)
+g29605 = AND(g2445, g28973)
+g29606 = AND(g28480, g8011)
+g29607 = AND(g28509, g14208)
+g29608 = AND(g28568, g11385)
+g29609 = AND(g28482, g11861)
+g29610 = AND(g28483, g8026)
+g29611 = AND(g28540, g14209)
+g29612 = AND(g27875, g28633)
+g29613 = AND(g28208, g19763)
+g29614 = AND(g28860, g22369)
+g29615 = AND(g1844, g29049)
+g29616 = AND(g1974, g29085)
+g29617 = AND(g2024, g28987)
+g29618 = AND(g28870, g22384)
+g29619 = AND(g2269, g29060)
+g29620 = AND(g2399, g29097)
+g29621 = AND(g2449, g28994)
+g29622 = AND(g2579, g29001)
+g29623 = AND(g28496, g11563)
+g29624 = AND(g28491, g8070)
+g29625 = AND(g28514, g14226)
+g29626 = AND(g28584, g11415)
+g29627 = AND(g28493, g11884)
+g29628 = AND(g27924, g28648)
+g29629 = AND(g28211, g19779)
+g29630 = AND(g28212, g19781)
+g29631 = AND(g1682, g28656)
+g29632 = AND(g28899, g22417)
+g29633 = AND(g1978, g29085)
+g29634 = AND(g2108, g29121)
+g29635 = AND(g28910, g22432)
+g29636 = AND(g2403, g29097)
+g29637 = AND(g2533, g29134)
+g29638 = AND(g2583, g29025)
+g29639 = AND(g28510, g11618)
+g29640 = AND(g28498, g8125)
+g29641 = AND(g28520, g14237)
+g29642 = AND(g27954, g28669)
+g29644 = AND(g28216, g19794)
+g29645 = AND(g1714, g29018)
+g29646 = AND(g1816, g28675)
+g29647 = AND(g28934, g22457)
+g29648 = AND(g2112, g29121)
+g29649 = AND(g2241, g28678)
+g29650 = AND(g28949, g22472)
+g29651 = AND(g2537, g29134)
+g29652 = AND(g2667, g29157)
+g29656 = AND(g28515, g11666)
+g29661 = AND(g1687, g29015)
+g29662 = AND(g1848, g29049)
+g29663 = AND(g1950, g28693)
+g29664 = AND(g2273, g29060)
+g29665 = AND(g2375, g28696)
+g29666 = AND(g28980, g22498)
+g29667 = AND(g2671, g29157)
+g29668 = AND(g28527, g14255)
+g29683 = AND(g1821, g29046)
+g29684 = AND(g1982, g29085)
+g29685 = AND(g2084, g28711)
+g29686 = AND(g2246, g29057)
+g29687 = AND(g2407, g29097)
+g29688 = AND(g2509, g28713)
+g29693 = AND(g28207, g10233)
+g29708 = AND(g1955, g29082)
+g29709 = AND(g2116, g29121)
+g29710 = AND(g2380, g29094)
+g29711 = AND(g2541, g29134)
+g29712 = AND(g2643, g28726)
+g29718 = AND(g28512, g11136)
+g29731 = AND(g2089, g29118)
+g29732 = AND(g2514, g29131)
+g29733 = AND(g2675, g29157)
+g29736 = AND(g28522, g10233)
+g29740 = AND(g2648, g29154)
+g29742 = AND(g28288, g10233)
+g29743 = AND(g28206, g10233)
+g29746 = AND(g28279, g20037)
+g29747 = AND(g28286, g23196)
+g29749 = AND(g28295, g23214)
+g29750 = AND(g28296, g23215)
+g29751 = AND(g28297, g23216)
+g29752 = AND(g28516, g10233)
+g29757 = AND(g28305, g23221)
+g29758 = AND(g28306, g23222)
+g29759 = AND(g28308, g23226)
+g29760 = AND(g28309, g23227)
+g29761 = AND(g28310, g23228)
+g29762 = AND(g28298, g10233)
+g29766 = AND(g28316, g23235)
+g29767 = AND(g28317, g23236)
+g29769 = AND(g28319, g23237)
+g29770 = AND(g28320, g23238)
+g29771 = AND(g28322, g23242)
+g29772 = AND(g28323, g23243)
+g29773 = AND(g28203, g10233)
+g29774 = AND(g28287, g10233)
+g29782 = AND(g28328, g23245)
+g29783 = AND(g28329, g23246)
+g29784 = AND(g28331, g23247)
+g29785 = AND(g28332, g23248)
+g29787 = AND(g28334, g23249)
+g29788 = AND(g28335, g23250)
+g29789 = AND(g28270, g10233)
+g29794 = AND(g28342, g23256)
+g29795 = AND(g28344, g23257)
+g29796 = AND(g28345, g23258)
+g29797 = AND(g28347, g23259)
+g29798 = AND(g28348, g23260)
+g29799 = AND(g28271, g10233)
+g29803 = AND(g28414, g26836)
+g29804 = AND(g1592, g29014)
+g29805 = AND(g28357, g23270)
+g29806 = AND(g28358, g23271)
+g29807 = AND(g28359, g23272)
+g29808 = AND(g28361, g23273)
+g29809 = AND(g28362, g23274)
+g29810 = AND(g28259, g11317)
+g29834 = AND(g28368, g23278)
+g29835 = AND(g28326, g24866)
+g29836 = AND(g28425, g26841)
+g29837 = AND(g28369, g20144)
+g29838 = AND(g1636, g29044)
+g29839 = AND(g1728, g29045)
+g29840 = AND(g2153, g29056)
+g29841 = AND(g28371, g23283)
+g29842 = AND(g28372, g23284)
+g29843 = AND(g28373, g23289)
+g29844 = AND(g28374, g23290)
+g29845 = AND(g28375, g23291)
+g29850 = AND(g28340, g24893)
+g29851 = AND(g1668, g29079)
+g29852 = AND(g1772, g29080)
+g29853 = AND(g1862, g29081)
+g29854 = AND(g2197, g29092)
+g29855 = AND(g2287, g29093)
+g29856 = AND(g28385, g23303)
+g29857 = AND(g28386, g23304)
+g29858 = AND(g28387, g23306)
+g29859 = AND(g28388, g23307)
+g29860 = AND(g28389, g23312)
+g29861 = AND(g28390, g23313)
+g29865 = AND(g1802, g29115)
+g29866 = AND(g1906, g29116)
+g29867 = AND(g1996, g29117)
+g29868 = AND(g2227, g29128)
+g29869 = AND(g2331, g29129)
+g29870 = AND(g2421, g29130)
+g29871 = AND(g28400, g23332)
+g29872 = AND(g28401, g23333)
+g29874 = AND(g28402, g23336)
+g29875 = AND(g28403, g23337)
+g29876 = AND(g28404, g23339)
+g29877 = AND(g28405, g23340)
+g29880 = AND(g1936, g29149)
+g29881 = AND(g2040, g29150)
+g29882 = AND(g2361, g29151)
+g29883 = AND(g2465, g29152)
+g29884 = AND(g2555, g29153)
+g29885 = AND(g28416, g23350)
+g29887 = AND(g28417, g23351)
+g29888 = AND(g28418, g23352)
+g29890 = AND(g28419, g23355)
+g29891 = AND(g28420, g23356)
+g29894 = AND(g2070, g29169)
+g29895 = AND(g2495, g29170)
+g29896 = AND(g2599, g29171)
+g29899 = AND(g28428, g23375)
+g29901 = AND(g28429, g23376)
+g29902 = AND(g28430, g23377)
+g29907 = AND(g2629, g29177)
+g29909 = AND(g28435, g23388)
+g29924 = AND(g13031, g29190)
+g29926 = AND(g1604, g28736)
+g29937 = AND(g13044, g29196)
+g29938 = AND(g23552, g28889)
+g29940 = AND(g1740, g28758)
+g29943 = AND(g2165, g28765)
+g29949 = AND(g23575, g28924)
+g29951 = AND(g1874, g28786)
+g29952 = AND(g23576, g28939)
+g29954 = AND(g2299, g28796)
+g29959 = AND(g28953, g12823)
+g29962 = AND(g23616, g28959)
+g29964 = AND(g2008, g28830)
+g29966 = AND(g23617, g28970)
+g29968 = AND(g2433, g28843)
+g29969 = AND(g28121, g20509)
+g29973 = AND(g28981, g9206)
+g29974 = AND(g29173, g12914)
+g29975 = AND(g28986, g10420)
+g29979 = AND(g23655, g28991)
+g29982 = AND(g23656, g28998)
+g29984 = AND(g2567, g28877)
+g29985 = AND(g28127, g20532)
+g29986 = AND(g28468, g23473)
+g29987 = AND(g29197, g26424, g22763)
+g29988 = AND(g29187, g12235)
+g29989 = AND(g29006, g10489)
+g29990 = AND(g29007, g9239)
+g29991 = AND(g29179, g12922)
+g29992 = AND(g29012, g10490)
+g30000 = AND(g23685, g29029)
+g30001 = AND(g28490, g23486)
+g30002 = AND(g28481, g23487)
+g30003 = AND(g28149, g9021)
+g30004 = AND(g28521, g25837)
+g30005 = AND(g28230, g24394)
+g30006 = AND(g29032, g9259)
+g30007 = AND(g29141, g12929)
+g30008 = AND(g29191, g12297)
+g30009 = AND(g29034, g10518)
+g30010 = AND(g29035, g9274)
+g30011 = AND(g29183, g12930)
+g30015 = AND(g29040, g10519)
+g30023 = AND(g28508, g20570)
+g30024 = AND(g28497, g23501)
+g30025 = AND(g28492, g23502)
+g30026 = AND(g28476, g25064)
+g30027 = AND(g29104, g12550)
+g30028 = AND(g29069, g9311)
+g30029 = AND(g29164, g12936)
+g30030 = AND(g29198, g12347)
+g30031 = AND(g29071, g10540)
+g30032 = AND(g29072, g9326)
+g30033 = AND(g29189, g12937)
+g30034 = AND(g29077, g10541)
+g30035 = AND(g22539, g28120)
+g30041 = AND(g28511, g23518)
+g30042 = AND(g29142, g12601)
+g30043 = AND(g29106, g9392)
+g30044 = AND(g29174, g12944)
+g30045 = AND(g29200, g12419)
+g30046 = AND(g29108, g10564)
+g30047 = AND(g29109, g9407)
+g30048 = AND(g29193, g12945)
+g30049 = AND(g13114, g28167)
+g30050 = AND(g22545, g28126)
+g30051 = AND(g28513, g20604)
+g30056 = AND(g29165, g12659)
+g30057 = AND(g29144, g9462)
+g30058 = AND(g29180, g12950)
+g30059 = AND(g28106, g12467)
+g30060 = AND(g29146, g10581)
+g30061 = AND(g1036, g28188)
+g30062 = AND(g13129, g28174)
+g30064 = AND(g28517, g20630)
+g30066 = AND(g28518, g20636)
+g30069 = AND(g29175, g12708)
+g30070 = AND(g29167, g9529)
+g30071 = AND(g29184, g12975)
+g30073 = AND(g1379, g28194)
+g30075 = AND(g28525, g20662)
+g30078 = AND(g28526, g20667)
+g30080 = AND(g28121, g20674)
+g30082 = AND(g29181, g12752)
+g30083 = AND(g28533, g20698)
+g30084 = AND(g28534, g20700)
+g30086 = AND(g28536, g20704)
+g30089 = AND(g28538, g20709)
+g30091 = AND(g28127, g20716)
+g30094 = AND(g28544, g20767)
+g30095 = AND(g28545, g20768)
+g30096 = AND(g28546, g20770)
+g30098 = AND(g28548, g20774)
+g30099 = AND(g28549, g20776)
+g30101 = AND(g28551, g20780)
+g30107 = AND(g28560, g20909)
+g30108 = AND(g28561, g20910)
+g30109 = AND(g28562, g20912)
+g30110 = AND(g28564, g20916)
+g30111 = AND(g28565, g20917)
+g30112 = AND(g28566, g20919)
+g30118 = AND(g28574, g21050)
+g30120 = AND(g28576, g21051)
+g30121 = AND(g28577, g21052)
+g30122 = AND(g28578, g21054)
+g30124 = AND(g28580, g21055)
+g30125 = AND(g28581, g21056)
+g30126 = AND(g28582, g21058)
+g30131 = AND(g28589, g21178)
+g30133 = AND(g28591, g21179)
+g30135 = AND(g28592, g21180)
+g30137 = AND(g28594, g21181)
+g30138 = AND(g28595, g21182)
+g30139 = AND(g28596, g21184)
+g30140 = AND(g28600, g23749)
+g30145 = AND(g28603, g21247)
+g30149 = AND(g28605, g21248)
+g30151 = AND(g28607, g21249)
+g30152 = AND(g28609, g23767)
+g30153 = AND(g28610, g23768)
+g30154 = AND(g28611, g23769)
+g30158 = AND(g28613, g21274)
+g30161 = AND(g28614, g21275)
+g30164 = AND(g28618, g23787)
+g30165 = AND(g28619, g23788)
+g30166 = AND(g28621, g23792)
+g30167 = AND(g28622, g23793)
+g30168 = AND(g28623, g23794)
+g30172 = AND(g28625, g21286)
+g30173 = AND(g28118, g13082)
+g30174 = AND(g28628, g23812)
+g30175 = AND(g28629, g23813)
+g30177 = AND(g28631, g23814)
+g30178 = AND(g28632, g23815)
+g30179 = AND(g28634, g23819)
+g30180 = AND(g28635, g23820)
+g30181 = AND(g28636, g23821)
+g30185 = AND(g28640, g23838)
+g30186 = AND(g28641, g23839)
+g30187 = AND(g28643, g23840)
+g30188 = AND(g28644, g23841)
+g30190 = AND(g28646, g23842)
+g30191 = AND(g28647, g23843)
+g30192 = AND(g28649, g23847)
+g30193 = AND(g28650, g23848)
+g30194 = AND(g28651, g23849)
+g30196 = AND(g28659, g23858)
+g30197 = AND(g28661, g23859)
+g30198 = AND(g28662, g23860)
+g30199 = AND(g28664, g23861)
+g30200 = AND(g28665, g23862)
+g30202 = AND(g28667, g23863)
+g30203 = AND(g28668, g23864)
+g30204 = AND(g28670, g23868)
+g30205 = AND(g28671, g23869)
+g30207 = AND(g28680, g23874)
+g30208 = AND(g28681, g23875)
+g30209 = AND(g28682, g23876)
+g30210 = AND(g28684, g23877)
+g30211 = AND(g28685, g23878)
+g30212 = AND(g28687, g23879)
+g30213 = AND(g28688, g23880)
+g30215 = AND(g28690, g23881)
+g30216 = AND(g28691, g23882)
+g30219 = AND(g28698, g23887)
+g30220 = AND(g28699, g23888)
+g30221 = AND(g28700, g23893)
+g30222 = AND(g28701, g23894)
+g30223 = AND(g28702, g23895)
+g30224 = AND(g28704, g23896)
+g30225 = AND(g28705, g23897)
+g30226 = AND(g28707, g23898)
+g30227 = AND(g28708, g23899)
+g30228 = AND(g28715, g23903)
+g30229 = AND(g28716, g23904)
+g30230 = AND(g28717, g23906)
+g30231 = AND(g28718, g23907)
+g30232 = AND(g28719, g23912)
+g30233 = AND(g28720, g23913)
+g30234 = AND(g28721, g23914)
+g30235 = AND(g28723, g23915)
+g30236 = AND(g28724, g23916)
+g30238 = AND(g28727, g23922)
+g30239 = AND(g28728, g23923)
+g30241 = AND(g28729, g23926)
+g30242 = AND(g28730, g23927)
+g30243 = AND(g28731, g23929)
+g30244 = AND(g28732, g23930)
+g30245 = AND(g28733, g23935)
+g30246 = AND(g28734, g23936)
+g30247 = AND(g28735, g23937)
+g30248 = AND(g28743, g23938)
+g30250 = AND(g28744, g23939)
+g30251 = AND(g28745, g23940)
+g30253 = AND(g28746, g23943)
+g30254 = AND(g28747, g23944)
+g30255 = AND(g28748, g23946)
+g30256 = AND(g28749, g23947)
+g30257 = AND(g28750, g23952)
+g30258 = AND(g28751, g23953)
+g30261 = AND(g28772, g23961)
+g30263 = AND(g28773, g23962)
+g30264 = AND(g28774, g23963)
+g30266 = AND(g28775, g23966)
+g30267 = AND(g28776, g23967)
+g30268 = AND(g28777, g23969)
+g30269 = AND(g28778, g23970)
+g30272 = AND(g28814, g23982)
+g30274 = AND(g28815, g23983)
+g30275 = AND(g28816, g23984)
+g30277 = AND(g28817, g23987)
+g30278 = AND(g28818, g23988)
+g30281 = AND(g28850, g23992)
+g30283 = AND(g28851, g23993)
+g30284 = AND(g28852, g23994)
+g30289 = AND(g28884, g24000)
+g30308 = AND(g29178, g7004, g5297)
+g30315 = AND(g29182, g7028, g5644)
+g30316 = AND(g29199, g7097, g6682)
+g30564 = AND(g21358, g29385)
+g30566 = AND(g26247, g29507)
+g30576 = AND(g18898, g29800)
+g30577 = AND(g26267, g29679)
+g30583 = AND(g19666, g29355)
+g30589 = AND(g18898, g29811)
+g30590 = AND(g18911, g29812)
+g30592 = AND(g30270, g18929)
+g30594 = AND(g18898, g29846)
+g30595 = AND(g18911, g29847)
+g30596 = AND(g30279, g18947)
+g30598 = AND(g18898, g29862)
+g30599 = AND(g18911, g29863)
+g30600 = AND(g30287, g18975)
+g30604 = AND(g18911, g29878)
+g30607 = AND(g30291, g18989)
+g30612 = AND(g26338, g29597)
+g30614 = AND(g20154, g29814)
+g30670 = AND(g11330, g29359)
+g30671 = AND(g29319, g22317)
+g30673 = AND(g20175, g29814)
+g30730 = AND(g26346, g29778)
+g30731 = AND(g11374, g29361)
+g30735 = AND(g29814, g22319)
+g30825 = AND(g29814, g22332)
+g30914 = AND(g29873, g20887)
+g30915 = AND(g29886, g24778)
+g30918 = AND(g8681, g29707)
+g30919 = AND(g29898, g23286)
+g30920 = AND(g29889, g21024)
+g30921 = AND(g29900, g24789)
+g30925 = AND(g29908, g23309)
+g30926 = AND(g29903, g21163)
+g30927 = AND(g29910, g24795)
+g30930 = AND(g29915, g23342)
+g30935 = AND(g8808, g29745)
+g30936 = AND(g8830, g29916)
+g30937 = AND(g22626, g29814)
+g30982 = AND(g8895, g29933)
+g31015 = AND(g29476, g22758)
+g31016 = AND(g29478, g22840)
+g31017 = AND(g29479, g22841)
+g31018 = AND(g29480, g22855)
+g31019 = AND(g29481, g22856)
+g31021 = AND(g26025, g29814)
+g31066 = AND(g29483, g22865)
+g31067 = AND(g29484, g22868)
+g31069 = AND(g29793, g14150)
+g31070 = AND(g29814, g25985)
+g31115 = AND(g29487, g22882)
+g31118 = AND(g29490, g22906)
+g31120 = AND(g1700, g29976)
+g31122 = AND(g12144, g29993)
+g31123 = AND(g1834, g29994)
+g31124 = AND(g2259, g29997)
+g31125 = AND(g29502, g22973)
+g31128 = AND(g12187, g30016)
+g31129 = AND(g1968, g30017)
+g31130 = AND(g12191, g30019)
+g31131 = AND(g2393, g30020)
+g31132 = AND(g29504, g22987)
+g31139 = AND(g12221, g30036)
+g31140 = AND(g2102, g30037)
+g31141 = AND(g12224, g30038)
+g31142 = AND(g2527, g30039)
+g31143 = AND(g29506, g22999)
+g31145 = AND(g9970, g30052)
+g31146 = AND(g12285, g30053)
+g31147 = AND(g12286, g30054)
+g31148 = AND(g2661, g30055)
+g31149 = AND(g29508, g23021)
+g31150 = AND(g1682, g30063)
+g31151 = AND(g10037, g30065)
+g31152 = AND(g10039, g30067)
+g31153 = AND(g12336, g30068)
+g31154 = AND(g19128, g29814)
+g31166 = AND(g1816, g30074)
+g31167 = AND(g10080, g30076)
+g31168 = AND(g2241, g30077)
+g31169 = AND(g10083, g30079)
+g31170 = AND(g19128, g29814)
+g31182 = AND(g30240, g20682)
+g31183 = AND(g30249, g25174)
+g31184 = AND(g1950, g30085)
+g31185 = AND(g10114, g30087)
+g31186 = AND(g2375, g30088)
+g31187 = AND(g10118, g30090)
+g31188 = AND(g20028, g29653)
+g31194 = AND(g19128, g29814)
+g31206 = AND(g30260, g23890)
+g31207 = AND(g30252, g20739)
+g31208 = AND(g30262, g25188)
+g31209 = AND(g2084, g30097)
+g31210 = AND(g2509, g30100)
+g31211 = AND(g10156, g30102)
+g31212 = AND(g20028, g29669)
+g31218 = AND(g30271, g23909)
+g31219 = AND(g30265, g20875)
+g31220 = AND(g30273, g25202)
+g31222 = AND(g2643, g30113)
+g31223 = AND(g20028, g29689)
+g31224 = AND(g30280, g23932)
+g31225 = AND(g30276, g21012)
+g31226 = AND(g30282, g25218)
+g31228 = AND(g20028, g29713)
+g31229 = AND(g30288, g23949)
+g31230 = AND(g30285, g20751)
+g31231 = AND(g30290, g25239)
+g31232 = AND(g30294, g23972)
+g31237 = AND(g29366, g25325)
+g31238 = AND(g29583, g20053)
+g31240 = AND(g14793, g30206)
+g31242 = AND(g29373, g25409)
+g31252 = AND(g29643, g20101)
+g31261 = AND(g14754, g30259)
+g31266 = AND(g30129, g27742)
+g31270 = AND(g29692, g23282)
+g31271 = AND(g29706, g23300)
+g31272 = AND(g30117, g27742)
+g31273 = AND(g30143, g27779)
+g31275 = AND(g30147, g27800)
+g31278 = AND(g29716, g23302)
+g31280 = AND(g29717, g23305)
+g31281 = AND(g30106, g27742)
+g31282 = AND(g30130, g27779)
+g31283 = AND(g30156, g27837)
+g31285 = AND(g30134, g27800)
+g31286 = AND(g30159, g27858)
+g31290 = AND(g29734, g23335)
+g31292 = AND(g29735, g23338)
+g31296 = AND(g30119, g27779)
+g31297 = AND(g30144, g27837)
+g31298 = AND(g30169, g27886)
+g31299 = AND(g30123, g27800)
+g31300 = AND(g30148, g27858)
+g31301 = AND(g30170, g27907)
+g31305 = AND(g29741, g23354)
+g31309 = AND(g30132, g27837)
+g31310 = AND(g30157, g27886)
+g31312 = AND(g30136, g27858)
+g31313 = AND(g30160, g27907)
+g31314 = AND(g30183, g27937)
+g31321 = AND(g30146, g27886)
+g31323 = AND(g30150, g27907)
+g31324 = AND(g30171, g27937)
+g31327 = AND(g19200, g29814)
+g31374 = AND(g29748, g23390)
+g31376 = AND(g24952, g29814)
+g31467 = AND(g30162, g27937)
+g31470 = AND(g29753, g23398)
+g31471 = AND(g29754, g23399)
+g31475 = AND(g29756, g23406)
+g31477 = AND(g29763, g23409)
+g31478 = AND(g29764, g23410)
+g31480 = AND(g1644, g30296)
+g31481 = AND(g29768, g23417)
+g31484 = AND(g29775, g23418)
+g31485 = AND(g29776, g23421)
+g31486 = AND(g29777, g23422)
+g31488 = AND(g1779, g30302)
+g31489 = AND(g2204, g30305)
+g31490 = AND(g29786, g23429)
+g31492 = AND(g29790, g23431)
+g31493 = AND(g29791, g23434)
+g31494 = AND(g29792, g23435)
+g31495 = AND(g1913, g30309)
+g31496 = AND(g2338, g30312)
+g31497 = AND(g20041, g29930)
+g31499 = AND(g29801, g23446)
+g31500 = AND(g29802, g23449)
+g31501 = AND(g2047, g29310)
+g31502 = AND(g2472, g29311)
+g31503 = AND(g20041, g29945)
+g31504 = AND(g29370, g10553)
+g31505 = AND(g30195, g24379)
+g31508 = AND(g29813, g23459)
+g31513 = AND(g2606, g29318)
+g31514 = AND(g20041, g29956)
+g31516 = AND(g29848, g23476)
+g31517 = AND(g29849, g23482)
+g31518 = AND(g20041, g29970)
+g31519 = AND(g29864, g23490)
+g31520 = AND(g29879, g23507)
+g31523 = AND(g7528, g29333)
+g31524 = AND(g29897, g20593)
+g31525 = AND(g29892, g23526)
+g31526 = AND(g22521, g29342)
+g31527 = AND(g7553, g29343)
+g31528 = AND(g19050, g29814)
+g31540 = AND(g29904, g23548)
+g31541 = AND(g22536, g29348)
+g31542 = AND(g19050, g29814)
+g31554 = AND(g19050, g29814)
+g31566 = AND(g19050, g29814)
+g31579 = AND(g19128, g29814)
+g31654 = AND(g29325, g13062)
+g31672 = AND(g29814, g19050)
+g31707 = AND(g30081, g23886)
+g31710 = AND(g29814, g19128)
+g31744 = AND(g30092, g23902)
+g31746 = AND(g30093, g23905)
+g31750 = AND(g30103, g23925)
+g31752 = AND(g30104, g23928)
+g31756 = AND(g30114, g23942)
+g31758 = AND(g30115, g23945)
+g31759 = AND(g21291, g29385)
+g31763 = AND(g30127, g23965)
+g31765 = AND(g30128, g23968)
+g31769 = AND(g30141, g23986)
+g31776 = AND(g21329, g29385)
+g31777 = AND(g21343, g29385)
+g31778 = AND(g21369, g29385)
+g31780 = AND(g30163, g23999)
+g31784 = AND(g30176, g24003)
+g31786 = AND(g30189, g24010)
+g31787 = AND(g21281, g29385)
+g31788 = AND(g21352, g29385)
+g31789 = AND(g30201, g24013)
+g31790 = AND(g21299, g29385)
+g31792 = AND(g30214, g24017)
+g31933 = AND(g939, g30735)
+g31934 = AND(g31670, g18827)
+g31936 = AND(g31213, g24005)
+g31940 = AND(g943, g30735)
+g31941 = AND(g1283, g30825)
+g31943 = AND(g4717, g30614)
+g31944 = AND(g31745, g22146)
+g31948 = AND(g30670, g18884)
+g31949 = AND(g1287, g30825)
+g31959 = AND(g4907, g30673)
+g31960 = AND(g31749, g22153)
+g31961 = AND(g31751, g22154)
+g31962 = AND(g8033, g31013)
+g31963 = AND(g30731, g18895)
+g31966 = AND(g31754, g22166)
+g31967 = AND(g31755, g22167)
+g31968 = AND(g31757, g22168)
+g31969 = AND(g31189, g22139)
+g31974 = AND(g31760, g22176)
+g31975 = AND(g31761, g22177)
+g31976 = AND(g31762, g22178)
+g31977 = AND(g31764, g22179)
+g31985 = AND(g4722, g30614)
+g31986 = AND(g31766, g22197)
+g31987 = AND(g31767, g22198)
+g31988 = AND(g31768, g22199)
+g31989 = AND(g31770, g22200)
+g31990 = AND(g31772, g18945)
+g31991 = AND(g4912, g30673)
+g31992 = AND(g31773, g22213)
+g31993 = AND(g31774, g22214)
+g31994 = AND(g31775, g22215)
+g31995 = AND(g28274, g30569)
+g31996 = AND(g31779, g18979)
+g32008 = AND(g31781, g22223)
+g32009 = AND(g31782, g22224)
+g32010 = AND(g31785, g22303)
+g32011 = AND(g8287, g31134)
+g32012 = AND(g8297, g31233)
+g32013 = AND(g8673, g30614)
+g32014 = AND(g8715, g30673)
+g32016 = AND(g8522, g31138)
+g32018 = AND(g4146, g30937)
+g32019 = AND(g30579, g22358)
+g32020 = AND(g4157, g30937)
+g32028 = AND(g30569, g29339)
+g32029 = AND(g31318, g16482)
+g32030 = AND(g4172, g30937)
+g32031 = AND(g31372, g13464)
+g32032 = AND(g31373, g16515)
+g32034 = AND(g14124, g31239)
+g32035 = AND(g4176, g30937)
+g32036 = AND(g31469, g13486)
+g32039 = AND(g31476, g20070)
+g32040 = AND(g14122, g31243)
+g32041 = AND(g13913, g31262)
+g32042 = AND(g27244, g31070)
+g32043 = AND(g31482, g16173)
+g32044 = AND(g31483, g20085)
+g32045 = AND(g31491, g16187)
+g32046 = AND(g10925, g30735)
+g32047 = AND(g27248, g31070)
+g32048 = AND(g31498, g13869)
+g32049 = AND(g10902, g30735)
+g32050 = AND(g11003, g30825)
+g32051 = AND(g31506, g10831)
+g32052 = AND(g31507, g13885)
+g32053 = AND(g14176, g31509)
+g32054 = AND(g10890, g30735)
+g32055 = AND(g10999, g30825)
+g32056 = AND(g27271, g31021)
+g32067 = AND(g4727, g30614)
+g32068 = AND(g31515, g10862)
+g32069 = AND(g10878, g30735)
+g32070 = AND(g10967, g30825)
+g32071 = AND(g27236, g31070)
+g32082 = AND(g4917, g30673)
+g32083 = AND(g947, g30735)
+g32084 = AND(g10948, g30825)
+g32085 = AND(g27253, g31021)
+g32086 = AND(g7597, g30735)
+g32087 = AND(g1291, g30825)
+g32088 = AND(g27241, g31070)
+g32089 = AND(g27261, g31021)
+g32095 = AND(g7619, g30825)
+g32096 = AND(g31601, g29893)
+g32097 = AND(g25960, g31021)
+g32098 = AND(g4732, g30614)
+g32103 = AND(g31609, g29905)
+g32104 = AND(g31616, g29906)
+g32105 = AND(g4922, g30673)
+g32106 = AND(g31601, g29911)
+g32107 = AND(g31624, g29912)
+g32108 = AND(g31631, g29913)
+g32109 = AND(g31609, g29920)
+g32110 = AND(g31639, g29921)
+g32111 = AND(g31616, g29922)
+g32112 = AND(g31646, g29923)
+g32113 = AND(g31601, g29925)
+g32114 = AND(g31624, g29927)
+g32115 = AND(g31631, g29928)
+g32116 = AND(g31658, g29929)
+g32119 = AND(g31609, g29939)
+g32120 = AND(g31639, g29941)
+g32121 = AND(g31616, g29942)
+g32122 = AND(g31646, g29944)
+g32126 = AND(g31601, g29948)
+g32127 = AND(g31624, g29950)
+g32128 = AND(g31631, g29953)
+g32129 = AND(g31658, g29955)
+g32139 = AND(g31601, g29960)
+g32140 = AND(g31609, g29961)
+g32141 = AND(g31639, g29963)
+g32142 = AND(g31616, g29965)
+g32143 = AND(g31646, g29967)
+g32145 = AND(g31609, g29977)
+g32146 = AND(g31624, g29978)
+g32147 = AND(g31616, g29980)
+g32148 = AND(g31631, g29981)
+g32149 = AND(g31658, g29983)
+g32150 = AND(g31624, g29995)
+g32151 = AND(g31639, g29996)
+g32152 = AND(g31631, g29998)
+g32153 = AND(g31646, g29999)
+g32154 = AND(g31277, g14184)
+g32156 = AND(g31639, g30018)
+g32157 = AND(g31646, g30021)
+g32158 = AND(g31658, g30022)
+g32159 = AND(g31658, g30040)
+g32160 = AND(g31001, g22995)
+g32161 = AND(g3151, g31154)
+g32162 = AND(g31002, g23014)
+g32163 = AND(g3502, g31170)
+g32164 = AND(g30733, g25171)
+g32165 = AND(g31669, g27742)
+g32166 = AND(g31007, g23029)
+g32167 = AND(g3853, g31194)
+g32168 = AND(g30597, g25185)
+g32169 = AND(g31014, g23046)
+g32170 = AND(g31671, g27779)
+g32171 = AND(g31706, g27800)
+g32172 = AND(g2767, g31608)
+g32173 = AND(g160, g31134)
+g32174 = AND(g31708, g27837)
+g32175 = AND(g31709, g27858)
+g32176 = AND(g2779, g31623)
+g32177 = AND(g30608, g25214)
+g32178 = AND(g31747, g27886)
+g32179 = AND(g31748, g27907)
+g32180 = AND(g2791, g31638)
+g32181 = AND(g31020, g19912)
+g32182 = AND(g31753, g27937)
+g32183 = AND(g2795, g31653)
+g32184 = AND(g30611, g25249)
+g32187 = AND(g30672, g25287)
+g32188 = AND(g27586, g31376)
+g32189 = AND(g30824, g25369)
+g32190 = AND(g142, g31233)
+g32191 = AND(g27593, g31376)
+g32193 = AND(g30732, g25410)
+g32194 = AND(g30601, g28436)
+g32195 = AND(g30734, g25451)
+g32196 = AND(g27587, g31376)
+g32197 = AND(g31144, g20088)
+g32198 = AND(g4253, g31327)
+g32199 = AND(g30916, g25506)
+g32200 = AND(g27468, g31376)
+g32203 = AND(g4249, g31327)
+g32204 = AND(g4245, g31327)
+g32205 = AND(g30922, g28463)
+g32206 = AND(g30609, g25524)
+g32207 = AND(g31221, g23323)
+g32224 = AND(g4300, g31327)
+g32232 = AND(g31241, g20266)
+g32234 = AND(g31601, g30292)
+g32241 = AND(g31244, g20323)
+g32242 = AND(g31245, g20324)
+g32244 = AND(g31609, g30297)
+g32246 = AND(g31246, g20326)
+g32248 = AND(g31616, g30299)
+g32254 = AND(g31247, g20379)
+g32255 = AND(g31248, g20381)
+g32256 = AND(g31249, g20382)
+g32258 = AND(g31624, g30303)
+g32260 = AND(g31250, g20385)
+g32261 = AND(g31251, g20386)
+g32263 = AND(g31631, g30306)
+g32265 = AND(g2799, g30567)
+g32269 = AND(g31253, g20443)
+g32270 = AND(g31254, g20444)
+g32272 = AND(g31639, g30310)
+g32273 = AND(g31255, g20446)
+g32274 = AND(g31256, g20447)
+g32276 = AND(g31646, g30313)
+g32278 = AND(g2811, g30572)
+g32281 = AND(g31257, g20500)
+g32282 = AND(g31258, g20503)
+g32283 = AND(g31259, g20506)
+g32284 = AND(g31260, g20507)
+g32286 = AND(g31658, g29312)
+g32287 = AND(g2823, g30578)
+g32290 = AND(g31267, g20525)
+g32291 = AND(g31268, g20527)
+g32292 = AND(g31269, g20530)
+g32293 = AND(g2827, g30593)
+g32295 = AND(g27931, g31376)
+g32300 = AND(g31274, g20544)
+g32301 = AND(g31276, g20547)
+g32302 = AND(g31279, g23485)
+g32303 = AND(g27550, g31376)
+g32304 = AND(g31284, g20564)
+g32305 = AND(g31287, g20567)
+g32306 = AND(g31289, g23499)
+g32307 = AND(g31291, g23500)
+g32308 = AND(g31293, g23503)
+g32309 = AND(g5160, g31528)
+g32310 = AND(g27577, g31376)
+g32311 = AND(g31295, g20582)
+g32312 = AND(g31302, g20591)
+g32313 = AND(g31303, g23515)
+g32314 = AND(g31304, g23516)
+g32315 = AND(g31306, g23517)
+g32316 = AND(g31307, g23522)
+g32317 = AND(g5507, g31542)
+g32321 = AND(g27613, g31376)
+g32322 = AND(g31308, g20605)
+g32323 = AND(g31311, g20610)
+g32324 = AND(g31315, g23537)
+g32325 = AND(g31316, g23538)
+g32326 = AND(g31317, g23539)
+g32327 = AND(g31319, g23544)
+g32328 = AND(g5853, g31554)
+g32330 = AND(g31320, g20631)
+g32331 = AND(g31322, g20637)
+g32332 = AND(g31325, g23558)
+g32333 = AND(g31326, g23559)
+g32334 = AND(g31375, g23568)
+g32335 = AND(g6199, g31566)
+g32336 = AND(g31596, g11842)
+g32337 = AND(g31465, g20663)
+g32338 = AND(g31466, g20668)
+g32339 = AND(g31474, g20672)
+g32340 = AND(g31468, g23585)
+g32341 = AND(g31472, g23610)
+g32342 = AND(g6545, g31579)
+g32343 = AND(g31473, g20710)
+g32345 = AND(g2138, g31672)
+g32348 = AND(g2145, g31672)
+g32350 = AND(g2697, g31710)
+g32356 = AND(g2704, g31710)
+g32369 = AND(g2130, g31672)
+g32376 = AND(g2689, g31710)
+g32396 = AND(g4698, g30983)
+g32397 = AND(g31068, g15830)
+g32400 = AND(g4743, g30989)
+g32401 = AND(g31116, g13432)
+g32402 = AND(g4888, g30990)
+g32403 = AND(g31117, g15842)
+g32409 = AND(g4754, g30996)
+g32410 = AND(g4933, g30997)
+g32411 = AND(g31119, g13469)
+g32412 = AND(g4765, g30998)
+g32413 = AND(g31121, g19518)
+g32414 = AND(g4944, g30999)
+g32418 = AND(g31126, g16239)
+g32419 = AND(g4955, g31000)
+g32420 = AND(g31127, g19533)
+g32425 = AND(g31668, g21604)
+g32428 = AND(g31133, g16261)
+g33071 = AND(g31591, g32404)
+g33073 = AND(g32386, g18828)
+g33074 = AND(g32387, g18830)
+g33081 = AND(g32388, g18875)
+g33082 = AND(g32389, g18877)
+g33086 = AND(g32390, g18887)
+g33087 = AND(g32391, g18888)
+g33091 = AND(g32392, g18897)
+g33099 = AND(g32395, g18944)
+g33101 = AND(g32398, g18976)
+g33102 = AND(g32399, g18978)
+g33104 = AND(g26296, g32137)
+g33105 = AND(g26298, g32138)
+g33106 = AND(g32408, g18990)
+g33110 = AND(g32404, g32415)
+g33111 = AND(g24005, g32421)
+g33113 = AND(g31964, g22339)
+g33114 = AND(g22139, g31945)
+g33121 = AND(g8748, g32212)
+g33122 = AND(g8859, g32192)
+g33124 = AND(g8945, g32296)
+g33126 = AND(g9044, g32201)
+g33186 = AND(g32037, g22830)
+g33233 = AND(g32094, g23005)
+g33237 = AND(g32394, g25198)
+g33239 = AND(g32117, g19902)
+g33241 = AND(g32173, g23128)
+g33242 = AND(g32123, g19931)
+g33243 = AND(g32124, g19947)
+g33244 = AND(g32190, g23152)
+g33245 = AND(g32125, g19961)
+g33247 = AND(g32130, g19980)
+g33248 = AND(g32131, g19996)
+g33249 = AND(g32144, g20026)
+g33252 = AND(g32155, g20064)
+g33263 = AND(g32393, g25481)
+g33264 = AND(g31965, g21306)
+g33269 = AND(g31970, g15582)
+g33304 = AND(g32427, g31971)
+g33305 = AND(g31935, g17811)
+g33311 = AND(g31942, g12925)
+g33322 = AND(g32202, g20450)
+g33327 = AND(g32208, g20561)
+g33328 = AND(g32209, g20584)
+g33329 = AND(g32210, g20585)
+g33330 = AND(g32211, g20588)
+g33331 = AND(g32216, g20607)
+g33332 = AND(g32217, g20608)
+g33333 = AND(g32218, g20612)
+g33334 = AND(g32219, g20613)
+g33338 = AND(g32220, g20633)
+g33339 = AND(g32221, g20634)
+g33340 = AND(g32222, g20639)
+g33341 = AND(g32223, g20640)
+g33342 = AND(g32226, g20660)
+g33343 = AND(g32227, g20665)
+g33344 = AND(g32228, g20670)
+g33345 = AND(g32229, g20671)
+g33349 = AND(g32233, g20699)
+g33350 = AND(g32235, g20702)
+g33351 = AND(g32236, g20707)
+g33352 = AND(g32237, g20712)
+g33353 = AND(g32240, g20732)
+g33355 = AND(g32243, g20769)
+g33356 = AND(g32245, g20772)
+g33357 = AND(g32247, g20775)
+g33358 = AND(g32249, g20778)
+g33359 = AND(g32252, g20853)
+g33360 = AND(g32253, g20869)
+g33361 = AND(g32257, g20911)
+g33362 = AND(g32259, g20914)
+g33363 = AND(g32262, g20918)
+g33364 = AND(g32264, g20921)
+g33365 = AND(g32267, g20994)
+g33366 = AND(g32268, g21010)
+g33367 = AND(g32271, g21053)
+g33368 = AND(g32275, g21057)
+g33369 = AND(g32277, g21060)
+g33370 = AND(g32279, g21139)
+g33371 = AND(g32280, g21155)
+g33372 = AND(g32285, g21183)
+g33373 = AND(g32288, g21205)
+g33374 = AND(g32289, g21221)
+g33376 = AND(g32294, g21268)
+g33379 = AND(g30984, g32364)
+g33381 = AND(g11842, g32318)
+g33392 = AND(g32344, g21362)
+g33399 = AND(g32346, g21379)
+g33400 = AND(g32347, g21380)
+g33401 = AND(g32349, g21381)
+g33402 = AND(g32351, g21395)
+g33403 = AND(g32352, g21396)
+g33404 = AND(g32353, g21397)
+g33405 = AND(g32354, g21398)
+g33406 = AND(g32355, g21399)
+g33407 = AND(g32357, g21406)
+g33408 = AND(g32358, g21407)
+g33409 = AND(g32359, g21408)
+g33410 = AND(g32360, g21409)
+g33411 = AND(g32361, g21410)
+g33412 = AND(g32362, g21411)
+g33414 = AND(g32367, g21421)
+g33415 = AND(g32368, g21422)
+g33416 = AND(g32370, g21423)
+g33417 = AND(g32371, g21424)
+g33418 = AND(g32372, g21425)
+g33420 = AND(g32373, g21454)
+g33421 = AND(g32374, g21455)
+g33422 = AND(g32375, g21456)
+g33423 = AND(g32225, g29657)
+g33425 = AND(g32380, g21466)
+g33428 = AND(g32230, g29672)
+g33429 = AND(g32231, g29676)
+g33431 = AND(g32364, g32377)
+g33433 = AND(g32238, g29694)
+g33434 = AND(g32239, g29702)
+g33440 = AND(g32250, g29719)
+g33441 = AND(g32251, g29722)
+g33446 = AND(g32385, g21607)
+g33450 = AND(g32266, g29737)
+I31001 = AND(g29385, g32456, g32457, g32458)
+I31002 = AND(g32459, g32460, g32461, g32462)
+g33461 = AND(g32463, I31001, I31002)
+I31006 = AND(g31376, g31796, g32464, g32465)
+I31007 = AND(g32466, g32467, g32468, g32469)
+g33462 = AND(g32470, I31006, I31007)
+I31011 = AND(g30735, g31797, g32471, g32472)
+I31012 = AND(g32473, g32474, g32475, g32476)
+g33463 = AND(g32477, I31011, I31012)
+I31016 = AND(g30825, g31798, g32478, g32479)
+I31017 = AND(g32480, g32481, g32482, g32483)
+g33464 = AND(g32484, I31016, I31017)
+I31021 = AND(g31070, g31799, g32485, g32486)
+I31022 = AND(g32487, g32488, g32489, g32490)
+g33465 = AND(g32491, I31021, I31022)
+I31026 = AND(g31194, g31800, g32492, g32493)
+I31027 = AND(g32494, g32495, g32496, g32497)
+g33466 = AND(g32498, I31026, I31027)
+I31031 = AND(g30614, g31801, g32499, g32500)
+I31032 = AND(g32501, g32502, g32503, g32504)
+g33467 = AND(g32505, I31031, I31032)
+I31036 = AND(g30673, g31802, g32506, g32507)
+I31037 = AND(g32508, g32509, g32510, g32511)
+g33468 = AND(g32512, I31036, I31037)
+I31041 = AND(g31566, g31803, g32513, g32514)
+I31042 = AND(g32515, g32516, g32517, g32518)
+g33469 = AND(g32519, I31041, I31042)
+I31046 = AND(g29385, g32521, g32522, g32523)
+I31047 = AND(g32524, g32525, g32526, g32527)
+g33470 = AND(g32528, I31046, I31047)
+I31051 = AND(g31376, g31804, g32529, g32530)
+I31052 = AND(g32531, g32532, g32533, g32534)
+g33471 = AND(g32535, I31051, I31052)
+I31056 = AND(g30735, g31805, g32536, g32537)
+I31057 = AND(g32538, g32539, g32540, g32541)
+g33472 = AND(g32542, I31056, I31057)
+I31061 = AND(g30825, g31806, g32543, g32544)
+I31062 = AND(g32545, g32546, g32547, g32548)
+g33473 = AND(g32549, I31061, I31062)
+I31066 = AND(g31070, g31807, g32550, g32551)
+I31067 = AND(g32552, g32553, g32554, g32555)
+g33474 = AND(g32556, I31066, I31067)
+I31071 = AND(g31170, g31808, g32557, g32558)
+I31072 = AND(g32559, g32560, g32561, g32562)
+g33475 = AND(g32563, I31071, I31072)
+I31076 = AND(g30614, g31809, g32564, g32565)
+I31077 = AND(g32566, g32567, g32568, g32569)
+g33476 = AND(g32570, I31076, I31077)
+I31081 = AND(g30673, g31810, g32571, g32572)
+I31082 = AND(g32573, g32574, g32575, g32576)
+g33477 = AND(g32577, I31081, I31082)
+I31086 = AND(g31554, g31811, g32578, g32579)
+I31087 = AND(g32580, g32581, g32582, g32583)
+g33478 = AND(g32584, I31086, I31087)
+I31091 = AND(g29385, g32586, g32587, g32588)
+I31092 = AND(g32589, g32590, g32591, g32592)
+g33479 = AND(g32593, I31091, I31092)
+I31096 = AND(g31376, g31812, g32594, g32595)
+I31097 = AND(g32596, g32597, g32598, g32599)
+g33480 = AND(g32600, I31096, I31097)
+I31101 = AND(g30735, g31813, g32601, g32602)
+I31102 = AND(g32603, g32604, g32605, g32606)
+g33481 = AND(g32607, I31101, I31102)
+I31106 = AND(g30825, g31814, g32608, g32609)
+I31107 = AND(g32610, g32611, g32612, g32613)
+g33482 = AND(g32614, I31106, I31107)
+I31111 = AND(g31070, g31815, g32615, g32616)
+I31112 = AND(g32617, g32618, g32619, g32620)
+g33483 = AND(g32621, I31111, I31112)
+I31116 = AND(g31154, g31816, g32622, g32623)
+I31117 = AND(g32624, g32625, g32626, g32627)
+g33484 = AND(g32628, I31116, I31117)
+I31121 = AND(g30614, g31817, g32629, g32630)
+I31122 = AND(g32631, g32632, g32633, g32634)
+g33485 = AND(g32635, I31121, I31122)
+I31126 = AND(g30673, g31818, g32636, g32637)
+I31127 = AND(g32638, g32639, g32640, g32641)
+g33486 = AND(g32642, I31126, I31127)
+I31131 = AND(g31542, g31819, g32643, g32644)
+I31132 = AND(g32645, g32646, g32647, g32648)
+g33487 = AND(g32649, I31131, I31132)
+I31136 = AND(g29385, g32651, g32652, g32653)
+I31137 = AND(g32654, g32655, g32656, g32657)
+g33488 = AND(g32658, I31136, I31137)
+I31141 = AND(g31376, g31820, g32659, g32660)
+I31142 = AND(g32661, g32662, g32663, g32664)
+g33489 = AND(g32665, I31141, I31142)
+I31146 = AND(g30735, g31821, g32666, g32667)
+I31147 = AND(g32668, g32669, g32670, g32671)
+g33490 = AND(g32672, I31146, I31147)
+I31151 = AND(g30825, g31822, g32673, g32674)
+I31152 = AND(g32675, g32676, g32677, g32678)
+g33491 = AND(g32679, I31151, I31152)
+I31156 = AND(g31070, g31823, g32680, g32681)
+I31157 = AND(g32682, g32683, g32684, g32685)
+g33492 = AND(g32686, I31156, I31157)
+I31161 = AND(g30614, g31824, g32687, g32688)
+I31162 = AND(g32689, g32690, g32691, g32692)
+g33493 = AND(g32693, I31161, I31162)
+I31166 = AND(g30673, g31825, g32694, g32695)
+I31167 = AND(g32696, g32697, g32698, g32699)
+g33494 = AND(g32700, I31166, I31167)
+I31171 = AND(g31528, g31826, g32701, g32702)
+I31172 = AND(g32703, g32704, g32705, g32706)
+g33495 = AND(g32707, I31171, I31172)
+I31176 = AND(g31579, g31827, g32708, g32709)
+I31177 = AND(g32710, g32711, g32712, g32713)
+g33496 = AND(g32714, I31176, I31177)
+I31181 = AND(g29385, g32716, g32717, g32718)
+I31182 = AND(g32719, g32720, g32721, g32722)
+g33497 = AND(g32723, I31181, I31182)
+I31186 = AND(g31376, g31828, g32724, g32725)
+I31187 = AND(g32726, g32727, g32728, g32729)
+g33498 = AND(g32730, I31186, I31187)
+I31191 = AND(g30735, g31829, g32731, g32732)
+I31192 = AND(g32733, g32734, g32735, g32736)
+g33499 = AND(g32737, I31191, I31192)
+I31196 = AND(g30825, g31830, g32738, g32739)
+I31197 = AND(g32740, g32741, g32742, g32743)
+g33500 = AND(g32744, I31196, I31197)
+I31201 = AND(g31672, g31831, g32745, g32746)
+I31202 = AND(g32747, g32748, g32749, g32750)
+g33501 = AND(g32751, I31201, I31202)
+I31206 = AND(g31710, g31832, g32752, g32753)
+I31207 = AND(g32754, g32755, g32756, g32757)
+g33502 = AND(g32758, I31206, I31207)
+I31211 = AND(g31021, g31833, g32759, g32760)
+I31212 = AND(g32761, g32762, g32763, g32764)
+g33503 = AND(g32765, I31211, I31212)
+I31216 = AND(g30937, g31834, g32766, g32767)
+I31217 = AND(g32768, g32769, g32770, g32771)
+g33504 = AND(g32772, I31216, I31217)
+I31221 = AND(g31327, g31835, g32773, g32774)
+I31222 = AND(g32775, g32776, g32777, g32778)
+g33505 = AND(g32779, I31221, I31222)
+I31226 = AND(g29385, g32781, g32782, g32783)
+I31227 = AND(g32784, g32785, g32786, g32787)
+g33506 = AND(g32788, I31226, I31227)
+I31231 = AND(g31376, g31836, g32789, g32790)
+I31232 = AND(g32791, g32792, g32793, g32794)
+g33507 = AND(g32795, I31231, I31232)
+I31236 = AND(g30735, g31837, g32796, g32797)
+I31237 = AND(g32798, g32799, g32800, g32801)
+g33508 = AND(g32802, I31236, I31237)
+I31241 = AND(g30825, g31838, g32803, g32804)
+I31242 = AND(g32805, g32806, g32807, g32808)
+g33509 = AND(g32809, I31241, I31242)
+I31246 = AND(g31672, g31839, g32810, g32811)
+I31247 = AND(g32812, g32813, g32814, g32815)
+g33510 = AND(g32816, I31246, I31247)
+I31251 = AND(g31710, g31840, g32817, g32818)
+I31252 = AND(g32819, g32820, g32821, g32822)
+g33511 = AND(g32823, I31251, I31252)
+I31256 = AND(g31021, g31841, g32824, g32825)
+I31257 = AND(g32826, g32827, g32828, g32829)
+g33512 = AND(g32830, I31256, I31257)
+I31261 = AND(g30937, g31842, g32831, g32832)
+I31262 = AND(g32833, g32834, g32835, g32836)
+g33513 = AND(g32837, I31261, I31262)
+I31266 = AND(g31327, g31843, g32838, g32839)
+I31267 = AND(g32840, g32841, g32842, g32843)
+g33514 = AND(g32844, I31266, I31267)
+I31271 = AND(g29385, g32846, g32847, g32848)
+I31272 = AND(g32849, g32850, g32851, g32852)
+g33515 = AND(g32853, I31271, I31272)
+I31276 = AND(g31376, g31844, g32854, g32855)
+I31277 = AND(g32856, g32857, g32858, g32859)
+g33516 = AND(g32860, I31276, I31277)
+I31281 = AND(g30735, g31845, g32861, g32862)
+I31282 = AND(g32863, g32864, g32865, g32866)
+g33517 = AND(g32867, I31281, I31282)
+I31286 = AND(g30825, g31846, g32868, g32869)
+I31287 = AND(g32870, g32871, g32872, g32873)
+g33518 = AND(g32874, I31286, I31287)
+I31291 = AND(g31021, g31847, g32875, g32876)
+I31292 = AND(g32877, g32878, g32879, g32880)
+g33519 = AND(g32881, I31291, I31292)
+I31296 = AND(g30937, g31848, g32882, g32883)
+I31297 = AND(g32884, g32885, g32886, g32887)
+g33520 = AND(g32888, I31296, I31297)
+I31301 = AND(g31327, g31849, g32889, g32890)
+I31302 = AND(g32891, g32892, g32893, g32894)
+g33521 = AND(g32895, I31301, I31302)
+I31306 = AND(g30614, g31850, g32896, g32897)
+I31307 = AND(g32898, g32899, g32900, g32901)
+g33522 = AND(g32902, I31306, I31307)
+I31311 = AND(g30673, g31851, g32903, g32904)
+I31312 = AND(g32905, g32906, g32907, g32908)
+g33523 = AND(g32909, I31311, I31312)
+I31316 = AND(g29385, g32911, g32912, g32913)
+I31317 = AND(g32914, g32915, g32916, g32917)
+g33524 = AND(g32918, I31316, I31317)
+I31321 = AND(g31376, g31852, g32919, g32920)
+I31322 = AND(g32921, g32922, g32923, g32924)
+g33525 = AND(g32925, I31321, I31322)
+I31326 = AND(g30735, g31853, g32926, g32927)
+I31327 = AND(g32928, g32929, g32930, g32931)
+g33526 = AND(g32932, I31326, I31327)
+I31331 = AND(g30825, g31854, g32933, g32934)
+I31332 = AND(g32935, g32936, g32937, g32938)
+g33527 = AND(g32939, I31331, I31332)
+I31336 = AND(g31672, g31855, g32940, g32941)
+I31337 = AND(g32942, g32943, g32944, g32945)
+g33528 = AND(g32946, I31336, I31337)
+I31341 = AND(g31710, g31856, g32947, g32948)
+I31342 = AND(g32949, g32950, g32951, g32952)
+g33529 = AND(g32953, I31341, I31342)
+I31346 = AND(g31021, g31857, g32954, g32955)
+I31347 = AND(g32956, g32957, g32958, g32959)
+g33530 = AND(g32960, I31346, I31347)
+I31351 = AND(g30937, g31858, g32961, g32962)
+I31352 = AND(g32963, g32964, g32965, g32966)
+g33531 = AND(g32967, I31351, I31352)
+I31356 = AND(g31327, g31859, g32968, g32969)
+I31357 = AND(g32970, g32971, g32972, g32973)
+g33532 = AND(g32974, I31356, I31357)
+g33639 = AND(g33386, g18829)
+g33640 = AND(g33387, g18831)
+g33646 = AND(g33389, g18876)
+g33647 = AND(g33390, g18878)
+g33652 = AND(g33393, g18889)
+g33657 = AND(g30991, g33443)
+g33674 = AND(g33164, g10710, g22319)
+g33675 = AND(g33164, g10727, g22332)
+g33676 = AND(g33125, g7970)
+g33677 = AND(g33443, g31937)
+g33678 = AND(g33149, g10710, g22319)
+g33680 = AND(g33128, g4688)
+g33681 = AND(g33129, g7991)
+g33683 = AND(g33149, g10727, g22332)
+g33684 = AND(g33139, g13565)
+g33687 = AND(g33132, g4878)
+g33689 = AND(g33144, g11006)
+g33690 = AND(g33146, g16280)
+g33693 = AND(g33145, g13594)
+g33697 = AND(g33160, g13330)
+g33700 = AND(g33148, g11012)
+g33701 = AND(g33162, g16305)
+g33704 = AND(g33176, g10710, g22319)
+g33707 = AND(g33174, g13346)
+g33710 = AND(g14037, g33246)
+g33711 = AND(g33176, g10727, g22332)
+g33715 = AND(g33135, g19416)
+g33717 = AND(g14092, g33306)
+g33718 = AND(g33147, g19432)
+g33719 = AND(g33141, g19433)
+g33720 = AND(g33161, g19439)
+g33721 = AND(g33163, g19440)
+g33722 = AND(g33175, g19445)
+g33723 = AND(g14091, g33299)
+g33724 = AND(g14145, g33258)
+g33725 = AND(g22626, g10851, g33176)
+g33727 = AND(g33115, g19499)
+g33728 = AND(g22626, g10851, g33187)
+g33730 = AND(g7202, g4621, g33127, g4633)
+g33731 = AND(g33116, g19520)
+I31593 = AND(g31003, g8350, g7788)
+g33734 = AND(g7806, g33136, I31593)
+g33735 = AND(g33118, g19553)
+I31600 = AND(g31009, g8400, g7809)
+g33742 = AND(g7828, g33142, I31600)
+g33743 = AND(g33119, g19574)
+g33758 = AND(g33133, g20269)
+g33759 = AND(g33123, g22847)
+g33760 = AND(g33143, g20328)
+g33784 = AND(g33107, g20531)
+g33785 = AND(g33100, g20550)
+g33786 = AND(g33130, g20572)
+g33787 = AND(g33103, g20595)
+g33789 = AND(g33159, g23022)
+g33790 = AND(g33108, g20643)
+g33795 = AND(g33138, g20782)
+g33796 = AND(g33117, g25267)
+g33798 = AND(g33227, g20058)
+g33801 = AND(g33437, g25327)
+g33802 = AND(g33097, g14545)
+g33803 = AND(g33231, g20071)
+g33805 = AND(g33232, g20079)
+g33807 = AND(g33112, g25452)
+g33808 = AND(g33109, g22161)
+g33809 = AND(g33432, g30184)
+g33810 = AND(g33427, g12768)
+g33811 = AND(g33439, g17573)
+g33812 = AND(g23088, g33187, g9104)
+g33814 = AND(g33098, g28144)
+g33815 = AND(g33449, g12911)
+g33816 = AND(g33234, g20096)
+g33817 = AND(g33235, g20102)
+g33818 = AND(g33236, g20113)
+g33819 = AND(g23088, g33176, g9104)
+g33820 = AND(g33075, g26830)
+g33821 = AND(g33238, g20153)
+g33822 = AND(g33385, g20157)
+g33828 = AND(g33090, g24411)
+g33829 = AND(g33240, g20164)
+g33830 = AND(g33382, g20166)
+g33831 = AND(g23088, g33149, g9104)
+g33832 = AND(g33088, g27991)
+g33833 = AND(g33093, g25852)
+g33834 = AND(g33095, g29172)
+g33835 = AND(g4340, g33413)
+g33836 = AND(g33096, g27020)
+g33837 = AND(g33251, g20233)
+g33840 = AND(g33253, g20267)
+g33841 = AND(g33254, g20268)
+g33842 = AND(g33255, g20322)
+g33843 = AND(g33256, g20325)
+g33844 = AND(g33257, g20327)
+g33846 = AND(g33259, g20380)
+g33847 = AND(g33260, g20383)
+g33848 = AND(g33261, g20384)
+g33849 = AND(g33262, g20387)
+g33855 = AND(g33265, g20441)
+g33856 = AND(g33266, g20442)
+g33857 = AND(g33267, g20445)
+g33858 = AND(g33268, g20448)
+g33859 = AND(g33426, g10531)
+g33860 = AND(g33270, g20501)
+g33861 = AND(g33271, g20502)
+g33862 = AND(g33272, g20504)
+g33863 = AND(g33273, g20505)
+g33864 = AND(g33274, g20524)
+g33865 = AND(g33275, g20526)
+g33866 = AND(g33276, g20528)
+g33867 = AND(g33277, g20529)
+g33868 = AND(g33278, g20542)
+g33869 = AND(g33279, g20543)
+g33870 = AND(g33280, g20545)
+g33871 = AND(g33281, g20546)
+g33872 = AND(g33282, g20548)
+g33873 = AND(g33291, g20549)
+g33876 = AND(g33286, g20562)
+g33877 = AND(g33287, g20563)
+g33878 = AND(g33288, g20565)
+g33879 = AND(g33289, g20566)
+g33880 = AND(g33290, g20568)
+g33881 = AND(g33292, g20586)
+g33882 = AND(g33293, g20587)
+g33883 = AND(g33294, g20589)
+g33884 = AND(g33295, g20590)
+g33885 = AND(g33296, g20609)
+g33886 = AND(g33297, g20614)
+g33887 = AND(g33298, g20615)
+g33889 = AND(g33303, g20641)
+g33890 = AND(g33310, g20659)
+g33892 = AND(g33312, g20701)
+g33893 = AND(g33313, g20706)
+g33896 = AND(g33314, g20771)
+g33897 = AND(g33315, g20777)
+g33898 = AND(g33419, g15655)
+g33899 = AND(g32132, g33335)
+g33900 = AND(g33316, g20913)
+g33901 = AND(g33317, g20920)
+g33902 = AND(g33085, g13202)
+g33903 = AND(g33447, g19146)
+g33904 = AND(g33321, g21059)
+g33905 = AND(g33089, g15574)
+g33906 = AND(g33084, g22311)
+g33907 = AND(g23088, g33219, g9104)
+g33908 = AND(g33092, g18935)
+g33909 = AND(g33131, g10708)
+g33910 = AND(g33134, g7836)
+g33911 = AND(g33137, g10725)
+g33913 = AND(g23088, g33204, g9104)
+g33915 = AND(g33140, g7846)
+g33919 = AND(g33438, g10795)
+g33921 = AND(g33187, g9104, g19200)
+g33922 = AND(g33448, g7202)
+g33924 = AND(g33335, g33346)
+g33927 = AND(g33094, g21412)
+g33941 = AND(g33380, g21560)
+g33942 = AND(g33383, g21608)
+g33943 = AND(g33384, g21609)
+g34045 = AND(g33766, g22942)
+g34050 = AND(g33772, g22942)
+g34054 = AND(g33778, g22942)
+g34061 = AND(g33800, g23076)
+g34063 = AND(g33806, g23121)
+g34065 = AND(g33813, g23148)
+g34066 = AND(g33730, g19352)
+g34069 = AND(g8774, g33797)
+g34071 = AND(g8854, g33799)
+g34072 = AND(g33839, g24872)
+g34073 = AND(g8948, g33823)
+g34074 = AND(g33685, g19498)
+g34075 = AND(g33692, g19517)
+g34076 = AND(g33694, g19519)
+g34077 = AND(g22957, g9104, g33736)
+g34078 = AND(g33699, g19531)
+g34079 = AND(g33703, g19532)
+g34080 = AND(g22957, g9104, g33750)
+g34081 = AND(g33706, g19552)
+g34082 = AND(g33709, g19554)
+g34083 = AND(g33714, g19573)
+g34084 = AND(g9214, g33851)
+g34085 = AND(g33761, g9104, g18957)
+g34086 = AND(g20114, g33766, g9104)
+g34087 = AND(g33766, g9104, g18957)
+g34088 = AND(g33736, g9104, g18957)
+g34089 = AND(g22957, g9104, g33744)
+g34091 = AND(g22957, g9104, g33761)
+g34092 = AND(g33750, g9104, g18957)
+g34093 = AND(g20114, g33755, g9104)
+g34096 = AND(g22957, g9104, g33772)
+g34097 = AND(g33772, g9104, g18957)
+g34098 = AND(g33744, g9104, g18957)
+g34102 = AND(g33912, g23599)
+g34104 = AND(g33916, g23639)
+g34105 = AND(g33778, g9104, g18957)
+g34106 = AND(g33917, g23675)
+g34108 = AND(g22957, g9104, g33766)
+g34109 = AND(g33918, g23708)
+g34110 = AND(g33732, g22935)
+g34111 = AND(g33733, g22936)
+g34112 = AND(g22957, g9104, g33778)
+g34113 = AND(g33734, g19744)
+g34114 = AND(g33920, g23742)
+g34115 = AND(g20516, g9104, g33750)
+g34116 = AND(g33933, g25140)
+g34117 = AND(g33742, g19755)
+g34119 = AND(g20516, g9104, g33755)
+g34120 = AND(g33930, g25158)
+g34133 = AND(g33845, g23958)
+g34135 = AND(g33926, g23802)
+g34136 = AND(g33850, g23293)
+g34137 = AND(g33928, g23802)
+g34138 = AND(g33929, g23828)
+g34139 = AND(g33827, g23314)
+g34140 = AND(g33931, g23802)
+g34141 = AND(g33932, g23828)
+g34143 = AND(g33934, g23828)
+g34146 = AND(g33788, g20091)
+g34157 = AND(g33794, g20159)
+g34169 = AND(g33804, g31227)
+g34171 = AND(g33925, g24360)
+g34173 = AND(g33679, g24368)
+g34178 = AND(g33712, g24361)
+g34179 = AND(g33686, g24372)
+g34180 = AND(g33716, g24373)
+g34182 = AND(g33691, g24384)
+g34183 = AND(g33695, g24385)
+g34184 = AND(g33698, g24388)
+g34185 = AND(g33702, g24389)
+g34186 = AND(g33705, g24396)
+g34187 = AND(g33708, g24397)
+g34191 = AND(g33713, g24404)
+g34196 = AND(g33682, g24485)
+g34198 = AND(g33688, g24491)
+g34203 = AND(g33726, g24537)
+g34205 = AND(g33729, g24541)
+g34211 = AND(g33891, g21349)
+g34212 = AND(g33761, g22689)
+g34213 = AND(g33766, g22689)
+g34214 = AND(g33772, g22689)
+g34215 = AND(g33778, g22670)
+g34216 = AND(g33778, g22689)
+g34217 = AND(g33736, g22876)
+g34218 = AND(g33744, g22670)
+g34219 = AND(g33736, g22942)
+g34223 = AND(g33744, g22876)
+g34224 = AND(g33736, g22670)
+g34225 = AND(g33744, g22942)
+g34226 = AND(g33914, g21467)
+g34228 = AND(g33750, g22942)
+g34230 = AND(g33761, g22942)
+g34279 = AND(g34231, g19208)
+g34281 = AND(g34043, g19276)
+g34284 = AND(g34046, g19351)
+g34287 = AND(g11370, g34124)
+g34291 = AND(g34055, g19366)
+g34295 = AND(g34057, g19370)
+g34298 = AND(g8679, g34132)
+g34301 = AND(g34064, g19415)
+g34309 = AND(g13947, g34147)
+g34310 = AND(g14003, g34162)
+g34319 = AND(g9535, g34156)
+g34322 = AND(g14188, g34174)
+g34324 = AND(g14064, g34161)
+g34329 = AND(g14511, g34181)
+g34333 = AND(g9984, g34192)
+g34334 = AND(g34090, g19865)
+g34335 = AND(g8461, g34197)
+g34337 = AND(g34095, g19881)
+g34338 = AND(g34099, g19905)
+g34340 = AND(g34100, g19950)
+g34341 = AND(g34101, g19952)
+g34342 = AND(g34103, g19998)
+g34344 = AND(g34107, g20038)
+g34348 = AND(g34125, g20128)
+g34363 = AND(g34148, g20389)
+g34364 = AND(g34048, g24366)
+g34365 = AND(g34149, g20451)
+g34367 = AND(g7404, g34042)
+g34370 = AND(g34067, g10554)
+g34371 = AND(g7450, g34044)
+g34375 = AND(g13077, g34049)
+g34378 = AND(g13095, g34053)
+g34380 = AND(g34158, g20571)
+g34381 = AND(g34166, g20594)
+g34382 = AND(g34167, g20618)
+g34385 = AND(g34168, g20642)
+g34386 = AND(g10800, g34060)
+g34388 = AND(g10802, g34062)
+g34389 = AND(g34170, g20715)
+g34390 = AND(g34172, g21069)
+g34393 = AND(g34189, g21304)
+g34394 = AND(g34190, g21305)
+g34395 = AND(g34193, g21336)
+g34396 = AND(g34194, g21337)
+g34397 = AND(g7673, g34068)
+g34398 = AND(g7684, g34070)
+g34401 = AND(g34199, g21383)
+g34410 = AND(g34204, g21427)
+g34413 = AND(g34094, g22670)
+g34414 = AND(g34206, g21457)
+g34415 = AND(g34207, g21458)
+g34470 = AND(g7834, g34325)
+g34474 = AND(g20083, g34326)
+g34475 = AND(g27450, g34327)
+g34476 = AND(g34399, g18891)
+g34477 = AND(g26344, g34328)
+g34478 = AND(g34402, g18904)
+g34479 = AND(g34403, g18905)
+g34481 = AND(g34404, g18916)
+g34482 = AND(g34405, g18917)
+g34483 = AND(g34406, g18938)
+g34484 = AND(g34407, g18939)
+g34485 = AND(g34411, g18952)
+g34486 = AND(g34412, g18953)
+g34487 = AND(g34416, g18983)
+g34488 = AND(g34417, g18988)
+g34489 = AND(g34421, g19068)
+g34492 = AND(g34272, g33430)
+g34493 = AND(g34273, g19360)
+g34495 = AND(g34274, g19365)
+g34497 = AND(g34275, g33072)
+g34498 = AND(g13888, g34336)
+g34499 = AND(g31288, g34339)
+g34500 = AND(g34276, g30568)
+g34502 = AND(g26363, g34343)
+g34503 = AND(g34278, g19437)
+g34506 = AND(g8833, g34354)
+g34507 = AND(g34280, g19454)
+g34508 = AND(g34282, g19472)
+g34509 = AND(g34283, g19473)
+g34513 = AND(g9003, g34346)
+g34514 = AND(g34286, g19480)
+g34515 = AND(g34288, g19491)
+g34516 = AND(g34289, g19492)
+g34517 = AND(g34290, g19493)
+g34518 = AND(g34292, g19503)
+g34519 = AND(g34293, g19504)
+g34520 = AND(g34294, g19505)
+g34523 = AND(g9162, g34351)
+g34524 = AND(g9083, g34359)
+g34525 = AND(g34297, g19528)
+g34526 = AND(g34300, g19569)
+g34527 = AND(g34303, g19603)
+g34528 = AND(g34305, g19617)
+g34529 = AND(g34306, g19634)
+g34532 = AND(g34314, g19710)
+g34533 = AND(g34318, g19731)
+g34534 = AND(g34321, g19743)
+g34538 = AND(g34330, g20054)
+g34541 = AND(g34331, g20087)
+g34542 = AND(g34332, g20089)
+g34554 = AND(g34347, g20495)
+g34555 = AND(g34349, g20512)
+g34556 = AND(g34350, g20537)
+g34557 = AND(g34352, g20555)
+g34558 = AND(g34353, g20578)
+g34560 = AND(g34366, g17366)
+g34561 = AND(g34368, g17410)
+g34562 = AND(g34369, g17411)
+g34563 = AND(g34372, g17465)
+g34564 = AND(g34373, g17466)
+g34565 = AND(g34374, g17471)
+g34566 = AND(g34376, g17489)
+g34567 = AND(g34377, g17491)
+g34568 = AND(g34379, g17512)
+g34571 = AND(g27225, g34299)
+g34572 = AND(g34387, g33326)
+g34577 = AND(g24577, g34307)
+g34578 = AND(g24578, g34308)
+g34580 = AND(g29539, g34311)
+g34581 = AND(g22864, g34312)
+g34582 = AND(g7764, g34313)
+g34584 = AND(g24653, g34315)
+g34585 = AND(g24705, g34316)
+g34586 = AND(g11025, g34317)
+g34588 = AND(g26082, g34323)
+g34655 = AND(g34573, g18885)
+g34658 = AND(g34574, g18896)
+g34661 = AND(g34575, g18907)
+g34662 = AND(g34576, g18931)
+g34665 = AND(g34583, g19067)
+g34666 = AND(g34587, g19144)
+g34667 = AND(g34471, g33424)
+g34678 = AND(g34490, g19431)
+g34679 = AND(g14093, g34539)
+g34681 = AND(g34491, g19438)
+g34684 = AND(g14178, g34545)
+g34685 = AND(g14164, g34550)
+g34686 = AND(g34494, g19494)
+g34687 = AND(g14181, g34543)
+g34694 = AND(g34530, g19885)
+g34696 = AND(g34531, g20004)
+g34700 = AND(g34535, g20129)
+g34701 = AND(g34536, g20179)
+g34702 = AND(g34537, g20208)
+g34706 = AND(g34496, g10570)
+g34707 = AND(g34544, g20579)
+g34709 = AND(g34549, g17242)
+g34710 = AND(g34553, g20903)
+g34715 = AND(g34570, g33375)
+g34738 = AND(g34660, g33442)
+g34740 = AND(g34664, g19414)
+g34741 = AND(g8899, g34697)
+g34742 = AND(g9000, g34698)
+g34743 = AND(g8951, g34703)
+g34744 = AND(g34668, g19481)
+g34745 = AND(g34669, g19482)
+g34746 = AND(g34670, g19526)
+g34747 = AND(g34671, g19527)
+g34748 = AND(g34672, g19529)
+g34750 = AND(g34673, g19542)
+g34751 = AND(g34674, g19543)
+g34752 = AND(g34675, g19544)
+g34753 = AND(g34676, g19586)
+g34754 = AND(g34677, g19602)
+g34756 = AND(g34680, g19618)
+g34757 = AND(g34682, g19635)
+g34758 = AND(g34683, g19657)
+g34763 = AND(g34689, g19915)
+g34764 = AND(g34691, g20009)
+g34765 = AND(g34692, g20057)
+g34771 = AND(g34693, g20147)
+g34774 = AND(g34695, g20180)
+g34782 = AND(g34711, g33888)
+g34811 = AND(g14165, g34766)
+g34841 = AND(g34761, g20080)
+g34842 = AND(g34762, g20168)
+g34857 = AND(g16540, g34813)
+g34858 = AND(g16540, g34816)
+g34859 = AND(g16540, g34820)
+g34860 = AND(g16540, g34823)
+g34861 = AND(g16540, g34827)
+g34862 = AND(g16540, g34830)
+g34863 = AND(g16540, g34833)
+g34865 = AND(g16540, g34836)
+g34866 = AND(g34819, g20106)
+g34867 = AND(g34826, g20145)
+g34868 = AND(g34813, g19866)
+g34869 = AND(g34816, g19869)
+g34870 = AND(g34820, g19882)
+g34871 = AND(g34823, g19908)
+g34872 = AND(g34827, g19954)
+g34873 = AND(g34830, g20046)
+g34874 = AND(g34833, g20060)
+g34875 = AND(g34836, g20073)
+g34876 = AND(g34844, g20534)
+g34909 = AND(g34856, g20130)
+g34948 = AND(g16540, g34935)
+g34953 = AND(g34935, g19957)
+g34955 = AND(g34931, g34320)
+g34961 = AND(g34944, g23019)
+g34962 = AND(g34945, g23020)
+g34963 = AND(g34946, g23041)
+g34964 = AND(g34947, g23060)
+g34965 = AND(g34949, g23084)
+g34966 = AND(g34950, g23170)
+g34967 = AND(g34951, g23189)
+g34968 = AND(g34952, g23203)
+g34969 = AND(g34960, g19570)
+g34999 = AND(g34998, g23085)
+
+g7404 = OR(g933, g939)
+g7450 = OR(g1277, g1283)
+g7673 = OR(g4153, g4172)
+g7684 = OR(g4072, g4176)
+g7764 = OR(g2999, g2932)
+g7834 = OR(g2886, g2946)
+g7932 = OR(g4072, g4153)
+I12583 = OR(g1157, g1239, g990)
+g8417 = OR(g1056, g1116, I12583)
+g8461 = OR(g301, g534)
+I12611 = OR(g1500, g1582, g1333)
+g8476 = OR(g1399, g1459, I12611)
+g8679 = OR(g222, g199)
+I12782 = OR(g4188, g4194, g4197, g4200)
+I12783 = OR(g4204, g4207, g4210, g4180)
+g8790 = OR(I12782, I12783)
+g8863 = OR(g1644, g1664)
+g8904 = OR(g1779, g1798)
+g8905 = OR(g2204, g2223)
+I12902 = OR(g4235, g4232, g4229, g4226)
+I12903 = OR(g4222, g4219, g4216, g4213)
+g8921 = OR(I12902, I12903)
+g8956 = OR(g1913, g1932)
+g8957 = OR(g2338, g2357)
+g9012 = OR(g2047, g2066)
+g9013 = OR(g2472, g2491)
+g9055 = OR(g2606, g2625)
+g9483 = OR(g1008, g969)
+g9535 = OR(g209, g538)
+g9536 = OR(g1351, g1312)
+g9984 = OR(g4300, g4242)
+g10589 = OR(g7223, g7201)
+g10800 = OR(g7517, g952)
+g10802 = OR(g7533, g1296)
+g11025 = OR(g2980, g7831)
+g11370 = OR(g8807, g550)
+g11372 = OR(g490, g482, g8038)
+g11380 = OR(g8583, g8530)
+g11737 = OR(g8359, g8292)
+g12768 = OR(g7785, g7202)
+g12832 = OR(g10347, g10348)
+g12911 = OR(g10278, g12768)
+g12925 = OR(g8928, g10511)
+g12954 = OR(g12186, g9906)
+g12981 = OR(g12219, g9967)
+g12982 = OR(g12220, g9968)
+g13006 = OR(g12284, g10034)
+g13077 = OR(g11330, g943)
+g13091 = OR(g329, g319, g10796)
+g13095 = OR(g11374, g1287)
+g13155 = OR(g11496, g11546)
+g13211 = OR(g11294, g7567)
+g13242 = OR(g11336, g7601)
+g13289 = OR(g10619, g10624)
+g13295 = OR(g10625, g10655)
+g13296 = OR(g10626, g10657)
+g13300 = OR(g10656, g10676)
+g13385 = OR(g11967, g9479)
+g13526 = OR(g209, g10685, g301)
+g13540 = OR(g10822, g10827)
+g13543 = OR(g10543, g10565)
+g13570 = OR(g9223, g11130)
+g13597 = OR(g9247, g11149)
+g13623 = OR(g482, g12527)
+g13657 = OR(g7251, g10616)
+g13660 = OR(g8183, g12527)
+g13662 = OR(g10896, g10917)
+g13699 = OR(g10921, g10947)
+g13728 = OR(g6804, g12527)
+g13761 = OR(g490, g12527)
+g13762 = OR(g499, g12527)
+g13794 = OR(g7396, g10684)
+g13820 = OR(g11184, g9187, g12527)
+g13858 = OR(g209, g10685)
+g13888 = OR(g2941, g11691)
+g13914 = OR(g8643, g11380)
+g13938 = OR(g11213, g11191)
+g13941 = OR(g11019, g11023)
+g13969 = OR(g11448, g8913)
+g13972 = OR(g11232, g11203)
+g13973 = OR(g11024, g11028)
+g13997 = OR(g11029, g11036)
+g14030 = OR(g11037, g11046)
+g14044 = OR(g10776, g8703)
+g14062 = OR(g11047, g11116)
+g14078 = OR(g10776, g8703)
+g14119 = OR(g10776, g8703)
+g14182 = OR(g11741, g11721, g753)
+g14187 = OR(g8871, g11771)
+g14309 = OR(g10320, g11048)
+g14387 = OR(g9086, g11048)
+g14511 = OR(g10685, g546)
+g14583 = OR(g10685, g542)
+g14844 = OR(g10776, g8703)
+g14888 = OR(g10776, g8703)
+g14936 = OR(g10776, g8703)
+g14977 = OR(g10776, g8703)
+g15017 = OR(g10776, g8703)
+g15124 = OR(g13605, g4581)
+g15125 = OR(g10363, g13605)
+g15582 = OR(g8977, g12925)
+g15727 = OR(g13383, g13345, g13333, g11010)
+g15732 = OR(g13411, g13384, g13349, g11016)
+g15789 = OR(g10819, g13211)
+g15792 = OR(g12920, g10501)
+g15800 = OR(g10821, g13242)
+g15803 = OR(g12924, g10528)
+g15910 = OR(g13025, g10654)
+g15935 = OR(g13029, g10665)
+g15965 = OR(g13035, g10675)
+g15968 = OR(g13038, g10677)
+g16021 = OR(g13047, g10706)
+g16022 = OR(g13048, g10707)
+g16052 = OR(g13060, g10724)
+g16076 = OR(g13081, g10736)
+g16173 = OR(g8796, g13464)
+g16187 = OR(g8822, g13486)
+g16239 = OR(g7892, g13432)
+g16258 = OR(g13247, g10856)
+g16261 = OR(g7898, g13469)
+g16430 = OR(g182, g13657)
+g16448 = OR(g13287, g10934)
+g16506 = OR(g13294, g10966)
+g16800 = OR(g13436, g11027)
+g16810 = OR(g13461, g11032)
+g16811 = OR(g8690, g13914)
+g16839 = OR(g13473, g11035)
+g16866 = OR(g13492, g11044)
+g16867 = OR(g13493, g11045)
+g16876 = OR(g14028, g11773, g11755)
+g16882 = OR(g13508, g11114)
+g16883 = OR(g13509, g11115)
+g16926 = OR(g14061, g11804, g11780)
+g16927 = OR(g13524, g11126)
+g16928 = OR(g13525, g11127)
+g16959 = OR(g13542, g11142)
+g16970 = OR(g13567, g11163)
+g17264 = OR(g7118, g14309)
+g17268 = OR(g9220, g14387)
+I18385 = OR(g14413, g14391, g14360)
+g17464 = OR(g14334, g14313, g11935, I18385)
+I18417 = OR(g14444, g14414, g14392)
+g17488 = OR(g14361, g14335, g11954, I18417)
+I18421 = OR(g14447, g14417, g14395)
+g17490 = OR(g14364, g14337, g11958, I18421)
+I18449 = OR(g14512, g14445, g14415)
+g17510 = OR(g14393, g14362, g11972, I18449)
+I18452 = OR(g14514, g14448, g14418)
+g17511 = OR(g14396, g14365, g11976, I18452)
+I18492 = OR(g14538, g14513, g14446)
+g17569 = OR(g14416, g14394, g11995, I18492)
+I18495 = OR(g14539, g14515, g14449)
+g17570 = OR(g14419, g14397, g11999, I18495)
+I18543 = OR(g14568, g14540, g14516)
+g17594 = OR(g14450, g14420, g12025, I18543)
+g18879 = OR(g17365, g14423)
+g18994 = OR(g16303, g13632)
+g19267 = OR(g17752, g17768)
+g19274 = OR(g17753, g14791)
+g19336 = OR(g17769, g14831)
+g19337 = OR(g17770, g17785)
+g19344 = OR(g17771, g14832)
+g19356 = OR(g17784, g14874)
+g19359 = OR(g17786, g14875)
+g19363 = OR(g17810, g14913)
+g19441 = OR(g15507, g12931)
+g19449 = OR(g15567, g12939)
+g19467 = OR(g16896, g14097)
+g19475 = OR(g16930, g14126)
+g19486 = OR(g15589, g12979)
+g19488 = OR(g16965, g14148)
+g19501 = OR(g16986, g14168)
+g19522 = OR(g17057, g14180)
+g19525 = OR(g7696, g16811)
+g19534 = OR(g15650, g13019)
+g19535 = OR(g15651, g13020)
+g19555 = OR(g15672, g13030)
+g19557 = OR(g17123, g14190)
+g19572 = OR(g17133, g14193)
+g19575 = OR(g15693, g13042)
+g19576 = OR(g17138, g14202)
+g19587 = OR(g15700, g13046)
+g19593 = OR(g17145, g14210)
+g19595 = OR(g17149, g14218)
+g19604 = OR(g15704, g13059)
+g19605 = OR(g15707, g13063)
+g19619 = OR(g15712, g13080)
+g19879 = OR(g15841, g13265)
+g19904 = OR(g17636, g14654)
+g19949 = OR(g17671, g14681)
+g20034 = OR(g15902, g13299)
+g20051 = OR(g15936, g13306)
+g20063 = OR(g15978, g13313)
+g20077 = OR(g16025, g13320)
+g20082 = OR(g16026, g13321)
+g20083 = OR(g2902, g17058)
+g20148 = OR(g16128, g13393)
+g20160 = OR(g16163, g13415)
+g20169 = OR(g16184, g13460)
+g20187 = OR(g16202, g13491)
+g20196 = OR(g16207, g13497)
+g20202 = OR(g16211, g13507)
+g20217 = OR(g16221, g13523)
+g20241 = OR(g16233, g13541)
+g20276 = OR(g16243, g13566)
+g20522 = OR(g691, g16893)
+g20905 = OR(g7216, g17264)
+g21891 = OR(g19948, g15103)
+g21892 = OR(g19788, g15104)
+g21893 = OR(g20094, g18655)
+g21894 = OR(g20112, g15107)
+g21895 = OR(g20135, g15108)
+g21896 = OR(g20084, g15110)
+g21897 = OR(g20095, g15111)
+g21898 = OR(g20152, g15112)
+g21899 = OR(g20162, g15113)
+g21900 = OR(g20977, g15114)
+g21901 = OR(g21251, g15115)
+g22152 = OR(g21188, g17469)
+g22217 = OR(g21302, g17617)
+g22225 = OR(g21332, g17654)
+g22226 = OR(g21333, g17655)
+g22304 = OR(g21347, g17693)
+g22318 = OR(g21394, g17783)
+g22331 = OR(g21405, g17809)
+g22447 = OR(g21464, g12761)
+g22487 = OR(g21512, g12794)
+g22490 = OR(g21513, g12795)
+g22516 = OR(g21559, g12817)
+g22530 = OR(g16751, g20171)
+g22531 = OR(g20773, g20922)
+g22547 = OR(g16855, g20215)
+g22585 = OR(g20915, g21061)
+g22591 = OR(g18893, g18909)
+g22625 = OR(g18910, g18933)
+g22634 = OR(g18934, g15590)
+g22636 = OR(g18943, g15611)
+g22639 = OR(g18950, g15612)
+g22640 = OR(g18951, g15613)
+g22641 = OR(g18974, g15631)
+g22644 = OR(g18981, g15632)
+g22645 = OR(g18982, g15633)
+g22648 = OR(g18987, g15652)
+g22652 = OR(g18992, g15653)
+g22653 = OR(g18993, g15654)
+g22659 = OR(g19062, g15673)
+g22662 = OR(g19069, g15679)
+g22664 = OR(g19139, g15694)
+g22669 = OR(g7763, g19525)
+g22679 = OR(g19145, g15701)
+g22684 = OR(g19206, g15703)
+g22707 = OR(g20559, g17156)
+g22708 = OR(g19266, g15711)
+g22751 = OR(g19333, g15716)
+g22832 = OR(g19354, g15722)
+g22872 = OR(g19372, g19383)
+g22901 = OR(g19384, g15745)
+g23087 = OR(g19487, g15852)
+g23129 = OR(g19500, g15863)
+g23153 = OR(g19521, g15876)
+I22267 = OR(g20236, g20133, g20111)
+g23162 = OR(g20184, g20170, I22267)
+g23171 = OR(g19536, g15903)
+g23183 = OR(g19545, g15911)
+I22280 = OR(g20271, g20150, g20134)
+g23184 = OR(g20198, g20185, I22280)
+g23193 = OR(g19556, g15937)
+g23194 = OR(g19564, g19578)
+g23197 = OR(g19571, g15966)
+I22298 = OR(g20371, g20161, g20151)
+g23198 = OR(g20214, g20199, I22298)
+g23209 = OR(g19585, g19601)
+g23217 = OR(g19588, g16023)
+g23251 = OR(g19637, g16098)
+g23255 = OR(g19655, g16122)
+g23261 = OR(g19660, g16125)
+g23262 = OR(g19661, g16126)
+g23275 = OR(g19680, g16160)
+g23276 = OR(g19681, g16161)
+g23296 = OR(g19691, g16177)
+g23297 = OR(g19692, g16178)
+g23298 = OR(g19693, g16179)
+g23317 = OR(g19715, g16191)
+g23318 = OR(g19716, g16192)
+g23319 = OR(g19717, g16193)
+g23345 = OR(g19735, g16203)
+g23346 = OR(g19736, g16204)
+g23358 = OR(g19746, g16212)
+g23374 = OR(g19767, g13514)
+g23383 = OR(g19756, g16222)
+g23405 = OR(g19791, g16245)
+g23574 = OR(g20093, g20108)
+g23615 = OR(g20109, g20131)
+I22830 = OR(g21429, g21338, g21307)
+g23687 = OR(g21384, g21363, I22830)
+g23716 = OR(g9194, g20905)
+g23720 = OR(g20165, g16801)
+I22852 = OR(g21459, g21350, g21339)
+g23721 = OR(g21401, g21385, I22852)
+g23750 = OR(g20174, g16840)
+I22880 = OR(g21509, g21356, g21351)
+g23751 = OR(g21415, g21402, I22880)
+g23770 = OR(g20188, g16868)
+I22912 = OR(g21555, g21364, g21357)
+g23771 = OR(g21432, g21416, I22912)
+g23795 = OR(g20203, g16884)
+I22958 = OR(g21603, g21386, g21365)
+g23796 = OR(g21462, g21433, I22958)
+g23822 = OR(g20218, g16929)
+g23825 = OR(g20705, g20781)
+g23989 = OR(g20581, g17179)
+g23997 = OR(g20602, g17191)
+I23162 = OR(g19919, g19968, g20014, g20841)
+I23163 = OR(g20982, g21127, g21193, g21256)
+g24151 = OR(g18088, g21661)
+g24200 = OR(g22831, g18103)
+g24201 = OR(g22848, g18104)
+g24202 = OR(g22899, g18106)
+g24203 = OR(g22982, g18107)
+g24204 = OR(g22990, g18108)
+g24205 = OR(g23006, g18109)
+g24206 = OR(g23386, g18110)
+g24207 = OR(g23396, g18119)
+g24208 = OR(g23404, g18121)
+g24209 = OR(g23415, g18122)
+g24210 = OR(g22900, g18125)
+g24211 = OR(g23572, g18138)
+g24212 = OR(g23280, g18155)
+g24213 = OR(g23220, g18186)
+g24214 = OR(g23471, g18195)
+g24215 = OR(g23484, g18196)
+g24216 = OR(g23416, g18197)
+g24231 = OR(g22589, g18201)
+g24232 = OR(g22686, g18228)
+g24233 = OR(g22590, g18236)
+g24234 = OR(g22622, g18237)
+g24235 = OR(g22632, g18238)
+g24236 = OR(g22489, g18241)
+g24237 = OR(g22515, g18242)
+g24238 = OR(g23254, g18248)
+g24239 = OR(g22752, g18250)
+g24240 = OR(g22861, g18251)
+g24241 = OR(g22920, g18252)
+g24242 = OR(g22834, g18253)
+g24243 = OR(g22992, g18254)
+g24244 = OR(g23349, g18255)
+g24245 = OR(g22849, g18256)
+g24246 = OR(g23372, g18257)
+g24247 = OR(g22623, g18259)
+g24248 = OR(g22710, g18286)
+g24249 = OR(g22624, g18294)
+g24250 = OR(g22633, g18295)
+g24251 = OR(g22637, g18296)
+g24252 = OR(g22518, g18299)
+g24253 = OR(g22525, g18300)
+g24254 = OR(g23265, g18306)
+g24255 = OR(g22835, g18308)
+g24256 = OR(g22873, g18309)
+g24257 = OR(g22938, g18310)
+g24258 = OR(g22851, g18311)
+g24259 = OR(g23008, g18312)
+g24260 = OR(g23373, g18313)
+g24261 = OR(g22862, g18314)
+g24262 = OR(g23387, g18315)
+g24263 = OR(g23497, g18529)
+g24264 = OR(g22310, g18559)
+g24265 = OR(g22316, g18560)
+g24266 = OR(g22329, g18561)
+g24267 = OR(g23439, g18611)
+g24268 = OR(g23025, g18612)
+g24269 = OR(g23131, g18613)
+g24270 = OR(g23165, g18614)
+g24271 = OR(g23451, g18628)
+g24272 = OR(g23056, g18629)
+g24273 = OR(g23166, g18630)
+g24274 = OR(g23187, g18631)
+g24275 = OR(g23474, g18645)
+g24276 = OR(g23083, g18646)
+g24277 = OR(g23188, g18647)
+g24278 = OR(g23201, g18648)
+g24279 = OR(g23218, g15105)
+g24280 = OR(g23292, g15109)
+g24281 = OR(g23397, g18656)
+g24282 = OR(g23407, g18657)
+g24334 = OR(g23991, g18676)
+g24335 = OR(g22165, g18678)
+g24336 = OR(g24012, g18753)
+g24337 = OR(g23540, g18754)
+g24338 = OR(g23658, g18755)
+g24339 = OR(g23690, g18756)
+g24340 = OR(g24016, g18770)
+g24341 = OR(g23564, g18771)
+g24342 = OR(g23691, g18772)
+g24343 = OR(g23724, g18773)
+g24344 = OR(g22145, g18787)
+g24345 = OR(g23606, g18788)
+g24346 = OR(g23725, g18789)
+g24347 = OR(g23754, g18790)
+g24348 = OR(g22149, g18804)
+g24349 = OR(g23646, g18805)
+g24350 = OR(g23755, g18806)
+g24351 = OR(g23774, g18807)
+g24352 = OR(g22157, g18821)
+g24353 = OR(g23682, g18822)
+g24354 = OR(g23775, g18823)
+g24355 = OR(g23799, g18824)
+g24363 = OR(g7831, g22138)
+g24374 = OR(g19345, g24004)
+g24390 = OR(g23779, g21285)
+g24398 = OR(g23801, g21296)
+g24401 = OR(g23811, g21298)
+g24430 = OR(g23151, g8234)
+g24432 = OR(g23900, g21361)
+g24433 = OR(g10878, g22400)
+g24443 = OR(g23917, g21378)
+g24444 = OR(g10890, g22400)
+g24447 = OR(g10948, g22450)
+g24457 = OR(g10902, g22400)
+g24460 = OR(g10967, g22450)
+g24468 = OR(g10925, g22400)
+g24471 = OR(g10999, g22450)
+g24478 = OR(g11003, g22450)
+g24496 = OR(g24008, g21557)
+g24500 = OR(g24011, g21605)
+g24510 = OR(g22488, g7567)
+g24517 = OR(g22158, g18906)
+g24518 = OR(g22517, g7601)
+g24557 = OR(g22308, g19207)
+I23755 = OR(g22904, g22927, g22980, g23444)
+I23756 = OR(g23457, g23480, g23494, g23511)
+g24561 = OR(I23755, I23756)
+g24565 = OR(g22309, g19275)
+g24577 = OR(g2856, g22531)
+g24578 = OR(g2882, g23825)
+g24580 = OR(g22340, g13096)
+g24641 = OR(g22151, g22159)
+g24653 = OR(g2848, g22585)
+g24705 = OR(g2890, g23267)
+g24715 = OR(g22189, g22207)
+g24746 = OR(g22588, g19461)
+g24782 = OR(g23857, g23872)
+g24799 = OR(g23901, g23921)
+g24813 = OR(g22685, g19594)
+g24821 = OR(g21404, g23990)
+g24840 = OR(g21419, g23996)
+g24841 = OR(g21420, g23998)
+g24842 = OR(g7804, g22669)
+g24853 = OR(g21452, g24001)
+g24854 = OR(g21453, g24002)
+g24879 = OR(g21465, g24009)
+g24896 = OR(g22863, g19684)
+g24907 = OR(g21558, g24015)
+g24919 = OR(g21606, g22143)
+g24935 = OR(g22937, g19749)
+g24946 = OR(g22360, g22409, g8130)
+I24117 = OR(g23088, g23154, g23172)
+g24952 = OR(g21326, g21340, I24117)
+g24965 = OR(g22667, g23825)
+g24968 = OR(g22360, g22409, g23389)
+g25010 = OR(g23267, g2932)
+g25037 = OR(g23103, g19911)
+g25261 = OR(g23348, g20193)
+g25539 = OR(g23531, g20628)
+g25545 = OR(g23551, g20658)
+g25575 = OR(g24139, g24140)
+g25576 = OR(g24141, g24142)
+g25577 = OR(g24143, g24144)
+g25582 = OR(g21662, g24152)
+g25583 = OR(g21666, g24153)
+g25584 = OR(g21670, g24154)
+g25585 = OR(g21674, g24155)
+g25586 = OR(g21678, g24156)
+g25587 = OR(g21682, g24157)
+g25588 = OR(g21686, g24158)
+g25589 = OR(g21690, g24159)
+g25590 = OR(g21694, g24160)
+g25591 = OR(g24642, g21705)
+g25592 = OR(g24672, g21706)
+g25593 = OR(g24716, g21707)
+g25594 = OR(g24772, g21708)
+g25595 = OR(g24835, g21717)
+g25596 = OR(g24865, g21718)
+g25597 = OR(g24892, g21719)
+g25598 = OR(g24904, g21720)
+g25599 = OR(g24914, g21721)
+g25600 = OR(g24650, g18111)
+g25601 = OR(g24660, g18112)
+g25602 = OR(g24673, g18113)
+g25603 = OR(g24698, g18114)
+g25604 = OR(g24717, g18115)
+g25605 = OR(g24743, g18116)
+g25606 = OR(g24761, g18117)
+g25607 = OR(g24773, g18118)
+g25608 = OR(g24643, g18120)
+g25609 = OR(g24915, g18126)
+g25610 = OR(g24923, g18127)
+g25611 = OR(g24931, g18128)
+g25612 = OR(g24941, g18132)
+g25613 = OR(g25181, g18140)
+g25614 = OR(g24797, g18161)
+g25615 = OR(g24803, g18162)
+g25616 = OR(g25096, g18172)
+g25617 = OR(g25466, g18189)
+g25618 = OR(g25491, g18192)
+g25619 = OR(g24961, g18193)
+g25621 = OR(g24523, g18205)
+g25622 = OR(g24546, g18217)
+g25623 = OR(g24552, g18219)
+g25624 = OR(g24408, g18224)
+g25625 = OR(g24553, g18226)
+g25626 = OR(g24499, g18235)
+g25627 = OR(g24503, g18247)
+g25628 = OR(g24600, g18249)
+g25629 = OR(g24962, g18258)
+g25630 = OR(g24532, g18263)
+g25631 = OR(g24554, g18275)
+g25632 = OR(g24558, g18277)
+g25633 = OR(g24420, g18282)
+g25634 = OR(g24559, g18284)
+g25635 = OR(g24504, g18293)
+g25636 = OR(g24507, g18305)
+g25637 = OR(g24618, g18307)
+g25638 = OR(g24977, g18316)
+g25639 = OR(g25122, g18530)
+g25643 = OR(g24602, g21736)
+g25644 = OR(g24622, g21737)
+g25645 = OR(g24679, g21738)
+g25646 = OR(g24706, g21739)
+g25647 = OR(g24725, g21740)
+g25648 = OR(g24644, g21741)
+g25649 = OR(g24654, g21742)
+g25650 = OR(g24663, g21743)
+g25651 = OR(g24680, g21744)
+g25652 = OR(g24777, g21747)
+g25653 = OR(g24664, g18602)
+g25654 = OR(g24634, g18606)
+g25655 = OR(g24645, g18607)
+g25656 = OR(g24945, g18609)
+g25657 = OR(g24624, g21782)
+g25658 = OR(g24635, g21783)
+g25659 = OR(g24707, g21784)
+g25660 = OR(g24726, g21785)
+g25661 = OR(g24754, g21786)
+g25662 = OR(g24656, g21787)
+g25663 = OR(g24666, g21788)
+g25664 = OR(g24681, g21789)
+g25665 = OR(g24708, g21790)
+g25666 = OR(g24788, g21793)
+g25667 = OR(g24682, g18619)
+g25668 = OR(g24646, g18623)
+g25669 = OR(g24657, g18624)
+g25670 = OR(g24967, g18626)
+g25671 = OR(g24637, g21828)
+g25672 = OR(g24647, g21829)
+g25673 = OR(g24727, g21830)
+g25674 = OR(g24755, g21831)
+g25675 = OR(g24769, g21832)
+g25676 = OR(g24668, g21833)
+g25677 = OR(g24684, g21834)
+g25678 = OR(g24709, g21835)
+g25679 = OR(g24728, g21836)
+g25680 = OR(g24794, g21839)
+g25681 = OR(g24710, g18636)
+g25682 = OR(g24658, g18640)
+g25683 = OR(g24669, g18641)
+g25684 = OR(g24983, g18643)
+g25685 = OR(g24476, g21866)
+g25686 = OR(g24712, g21881)
+g25687 = OR(g24729, g21882)
+g25688 = OR(g24812, g21887)
+g25689 = OR(g24849, g21888)
+g25690 = OR(g24864, g21889)
+g25691 = OR(g24536, g21890)
+g25693 = OR(g24627, g18707)
+g25694 = OR(g24638, g18738)
+g25695 = OR(g24998, g21914)
+g25696 = OR(g25012, g21915)
+g25697 = OR(g25086, g21916)
+g25698 = OR(g25104, g21917)
+g25699 = OR(g25125, g21918)
+g25700 = OR(g25040, g21919)
+g25701 = OR(g25054, g21920)
+g25702 = OR(g25068, g21921)
+g25703 = OR(g25087, g21922)
+g25704 = OR(g25173, g21925)
+g25705 = OR(g25069, g18744)
+g25706 = OR(g25030, g18748)
+g25707 = OR(g25041, g18749)
+g25708 = OR(g25526, g18751)
+g25709 = OR(g25014, g21960)
+g25710 = OR(g25031, g21961)
+g25711 = OR(g25105, g21962)
+g25712 = OR(g25126, g21963)
+g25713 = OR(g25147, g21964)
+g25714 = OR(g25056, g21965)
+g25715 = OR(g25071, g21966)
+g25716 = OR(g25088, g21967)
+g25717 = OR(g25106, g21968)
+g25718 = OR(g25187, g21971)
+g25719 = OR(g25089, g18761)
+g25720 = OR(g25042, g18765)
+g25721 = OR(g25057, g18766)
+g25722 = OR(g25530, g18768)
+g25723 = OR(g25033, g22006)
+g25724 = OR(g25043, g22007)
+g25725 = OR(g25127, g22008)
+g25726 = OR(g25148, g22009)
+g25727 = OR(g25163, g22010)
+g25728 = OR(g25076, g22011)
+g25729 = OR(g25091, g22012)
+g25730 = OR(g25107, g22013)
+g25731 = OR(g25128, g22014)
+g25732 = OR(g25201, g22017)
+g25733 = OR(g25108, g18778)
+g25734 = OR(g25058, g18782)
+g25735 = OR(g25077, g18783)
+g25736 = OR(g25536, g18785)
+g25737 = OR(g25045, g22052)
+g25738 = OR(g25059, g22053)
+g25739 = OR(g25149, g22054)
+g25740 = OR(g25164, g22055)
+g25741 = OR(g25178, g22056)
+g25742 = OR(g25093, g22057)
+g25743 = OR(g25110, g22058)
+g25744 = OR(g25129, g22059)
+g25745 = OR(g25150, g22060)
+g25746 = OR(g25217, g22063)
+g25747 = OR(g25130, g18795)
+g25748 = OR(g25078, g18799)
+g25749 = OR(g25094, g18800)
+g25750 = OR(g25543, g18802)
+g25751 = OR(g25061, g22098)
+g25752 = OR(g25079, g22099)
+g25753 = OR(g25165, g22100)
+g25754 = OR(g25179, g22101)
+g25755 = OR(g25192, g22102)
+g25756 = OR(g25112, g22103)
+g25757 = OR(g25132, g22104)
+g25758 = OR(g25151, g22105)
+g25759 = OR(g25166, g22106)
+g25760 = OR(g25238, g22109)
+g25761 = OR(g25152, g18812)
+g25762 = OR(g25095, g18816)
+g25763 = OR(g25113, g18817)
+g25764 = OR(g25551, g18819)
+g25767 = OR(g25207, g12015)
+g25774 = OR(g25223, g12043)
+g25789 = OR(g25285, g14543)
+g25791 = OR(g25411, g25371, g25328, g25290)
+g25805 = OR(g25453, g25414, g25374, g25331)
+g25819 = OR(g25323, g23836)
+g25821 = OR(g25482, g25456, g25417, g25377)
+g25834 = OR(g25366, g23854)
+g25835 = OR(g25367, g23855)
+g25836 = OR(g25368, g23856)
+g25839 = OR(g25507, g25485, g25459, g25420)
+g25856 = OR(g25518, g25510, g25488, g25462)
+g25867 = OR(g25449, g23884)
+g25868 = OR(g25450, g23885)
+g25877 = OR(g25502, g23919)
+g25878 = OR(g25503, g23920)
+g25885 = OR(g25522, g23957)
+g25894 = OR(g24817, g23229)
+g25906 = OR(g25559, g24014)
+g25910 = OR(g25565, g22142)
+g25911 = OR(g22514, g24510)
+g25917 = OR(g22524, g24518)
+g25929 = OR(g24395, g22193)
+g25935 = OR(g24402, g22208)
+g25936 = OR(g24403, g22209)
+g25937 = OR(g24406, g22216)
+g25940 = OR(g24415, g22218)
+g25941 = OR(g24416, g22219)
+g25942 = OR(g24422, g22298)
+g25943 = OR(g24423, g22299)
+g25945 = OR(g24427, g22307)
+g25960 = OR(g24566, g24678)
+g26080 = OR(g19393, g24502)
+g26082 = OR(g2898, g24561)
+g26089 = OR(g24501, g22534)
+g26099 = OR(g24506, g22538)
+g26278 = OR(g24545, g24549)
+g26293 = OR(g24550, g24555)
+g26299 = OR(g24551, g22665)
+g26305 = OR(g24556, g24564)
+g26327 = OR(g8462, g24591)
+g26328 = OR(g1183, g24591)
+g26329 = OR(g8526, g24609)
+g26334 = OR(g1171, g24591)
+g26335 = OR(g1526, g24609)
+g26342 = OR(g8407, g24591)
+g26343 = OR(g1514, g24609)
+g26344 = OR(g2927, g25010)
+g26348 = OR(g8466, g24609)
+g26349 = OR(g24630, g13409)
+g26359 = OR(g24651, g22939)
+g26361 = OR(g24674, g22991)
+g26363 = OR(g2965, g24965)
+g26365 = OR(g25504, g25141)
+g26377 = OR(g24700, g23007)
+g26386 = OR(g24719, g23023)
+g26392 = OR(g24745, g23050)
+g26396 = OR(g24762, g23062)
+g26422 = OR(g24774, g23104)
+g26512 = OR(g24786, g23130)
+g26616 = OR(g24881, g24855, g24843, g24822)
+g26636 = OR(g24897, g24884, g24858, g24846)
+g26657 = OR(g24908, g24900, g24887, g24861)
+g26673 = OR(g24433, g10674)
+g26690 = OR(g10776, g24433)
+g26694 = OR(g24444, g10704)
+g26703 = OR(g24447, g10705)
+g26721 = OR(g10776, g24444)
+g26725 = OR(g24457, g10719)
+g26733 = OR(g10776, g24447)
+g26737 = OR(g24460, g10720)
+g26751 = OR(g24903, g24912)
+g26755 = OR(g10776, g24457)
+g26759 = OR(g24468, g7511)
+g26766 = OR(g10776, g24460)
+g26770 = OR(g24471, g10732)
+g26781 = OR(g24913, g24921)
+g26785 = OR(g10776, g24468)
+g26789 = OR(g10776, g24471)
+g26793 = OR(g24478, g7520)
+g26800 = OR(g24922, g24929)
+g26805 = OR(g10776, g24478)
+g26809 = OR(g24930, g24939)
+g26813 = OR(g24940, g24949)
+g26866 = OR(g20204, g20242, g24363)
+I25612 = OR(g25567, g25568, g25569, g25570)
+I25613 = OR(g25571, g25572, g25573, g25574)
+g26874 = OR(I25612, I25613)
+g26875 = OR(g21652, g25575)
+g26876 = OR(g21655, g25576)
+g26877 = OR(g21658, g25577)
+g26878 = OR(g25578, g25579)
+g26879 = OR(g25580, g25581)
+g26880 = OR(g26610, g24186)
+g26881 = OR(g26629, g24187)
+g26882 = OR(g26650, g24188)
+g26883 = OR(g26670, g24189)
+g26884 = OR(g26511, g24190)
+g26885 = OR(g26541, g24191)
+g26886 = OR(g26651, g24192)
+g26887 = OR(g26542, g24193)
+g26888 = OR(g26671, g24194)
+g26889 = OR(g26689, g24195)
+g26890 = OR(g26630, g24196)
+g26891 = OR(g26652, g24197)
+g26892 = OR(g26719, g24198)
+g26893 = OR(g26753, g24199)
+g26894 = OR(g25979, g18129)
+g26895 = OR(g26783, g18148)
+g26896 = OR(g26341, g18171)
+g26897 = OR(g26611, g18176)
+g26898 = OR(g26387, g18194)
+g26899 = OR(g26844, g18199)
+g26900 = OR(g26819, g24217)
+g26901 = OR(g26362, g24218)
+g26902 = OR(g26378, g24219)
+g26903 = OR(g26388, g24220)
+g26904 = OR(g26393, g24221)
+g26905 = OR(g26397, g24222)
+g26906 = OR(g26423, g24223)
+g26907 = OR(g26513, g24224)
+g26908 = OR(g26358, g24225)
+g26909 = OR(g26543, g24227)
+g26910 = OR(g26571, g24228)
+g26911 = OR(g26612, g24230)
+g26912 = OR(g25946, g18209)
+g26913 = OR(g25848, g18225)
+g26914 = OR(g25949, g18227)
+g26915 = OR(g25900, g18230)
+g26916 = OR(g25916, g18232)
+g26917 = OR(g26122, g18233)
+g26918 = OR(g25931, g18243)
+g26919 = OR(g25951, g18267)
+g26920 = OR(g25865, g18283)
+g26921 = OR(g25955, g18285)
+g26922 = OR(g25902, g18288)
+g26923 = OR(g25923, g18290)
+g26924 = OR(g26153, g18291)
+g26925 = OR(g25939, g18301)
+g26926 = OR(g26633, g18531)
+g26927 = OR(g26711, g18539)
+g26928 = OR(g26713, g18541)
+g26929 = OR(g26635, g18543)
+g26930 = OR(g26799, g18544)
+g26931 = OR(g26778, g18547)
+g26932 = OR(g26684, g18549)
+g26933 = OR(g26808, g18551)
+g26934 = OR(g26845, g18556)
+g26938 = OR(g26186, g21883)
+g26939 = OR(g25907, g21884)
+g26940 = OR(g25908, g21886)
+g26944 = OR(g26130, g18658)
+g26945 = OR(g26379, g24283)
+g26946 = OR(g26389, g24284)
+g26947 = OR(g26394, g24285)
+g26948 = OR(g26399, g24286)
+g26949 = OR(g26356, g24287)
+g26950 = OR(g26357, g24288)
+g26951 = OR(g26390, g24289)
+g26952 = OR(g26360, g24290)
+g26953 = OR(g26486, g24291)
+g26954 = OR(g26380, g24292)
+g26955 = OR(g26391, g24293)
+g26956 = OR(g26487, g24294)
+g26957 = OR(g26517, g24295)
+g26958 = OR(g26395, g24297)
+g26959 = OR(g26381, g24299)
+g26960 = OR(g26258, g24304)
+g26961 = OR(g26280, g24306)
+g26962 = OR(g26295, g24307)
+g26963 = OR(g26306, g24308)
+g26964 = OR(g26259, g24316)
+g26965 = OR(g26336, g24317)
+g26966 = OR(g26345, g24318)
+g26967 = OR(g26350, g24319)
+g26968 = OR(g26307, g24321)
+g26969 = OR(g26313, g24329)
+g26970 = OR(g26308, g24332)
+g26971 = OR(g26325, g24333)
+g26972 = OR(g26780, g25229)
+I25736 = OR(g12, g22150, g20277)
+g27008 = OR(g26866, g21370, I25736)
+g27016 = OR(g26821, g14585)
+g27019 = OR(g26822, g14610)
+g27024 = OR(g26826, g17692)
+g27026 = OR(g26828, g17726)
+g27031 = OR(g26213, g26190, g26166, g26148)
+g27037 = OR(g26236, g26218, g26195, g26171)
+g27108 = OR(g22522, g25911)
+g27122 = OR(g22537, g25917)
+g27126 = OR(g24378, g25787)
+g27133 = OR(g25788, g24392)
+g27135 = OR(g24387, g25803)
+g27147 = OR(g25802, g24399)
+g27150 = OR(g25804, g24400)
+g27152 = OR(g24393, g25817)
+g27159 = OR(g25814, g12953)
+g27179 = OR(g25816, g24409)
+g27182 = OR(g25818, g24410)
+g27205 = OR(g25833, g24421)
+g27224 = OR(g25870, g15678)
+g27225 = OR(g2975, g26364)
+g27226 = OR(g25872, g24436)
+g27231 = OR(g25873, g15699)
+g27232 = OR(g25874, g24450)
+g27233 = OR(g25876, g24451)
+g27236 = OR(g24620, g25974)
+g27238 = OR(g25879, g24464)
+g27239 = OR(g25881, g24465)
+g27240 = OR(g25883, g24467)
+g27241 = OR(g24584, g25984)
+g27243 = OR(g25884, g24475)
+g27244 = OR(g24652, g25995)
+g27248 = OR(g24880, g25953)
+g27250 = OR(g25901, g15738)
+g27253 = OR(g24661, g26052)
+g27257 = OR(g25904, g24498)
+g27258 = OR(g25905, g15749)
+g27261 = OR(g24544, g25996)
+g27271 = OR(g24547, g26053)
+g27274 = OR(g15779, g25915)
+g27278 = OR(g15786, g25921)
+g27283 = OR(g25922, g25924)
+g27289 = OR(g25925, g25927)
+g27290 = OR(g25926, g25928)
+g27383 = OR(g24569, g25961)
+g27394 = OR(g25957, g24573)
+g27403 = OR(g25962, g24581)
+g27405 = OR(g24572, g25968)
+g27426 = OR(g25967, g24588)
+g27429 = OR(g25969, g24589)
+g27431 = OR(g24582, g25977)
+g27450 = OR(g2917, g26483)
+g27453 = OR(g25976, g24606)
+g27456 = OR(g25978, g24607)
+g27458 = OR(g24590, g25989)
+g27484 = OR(g25988, g24628)
+g27487 = OR(g25990, g24629)
+g27489 = OR(g24608, g26022)
+g27506 = OR(g26021, g24639)
+g27509 = OR(g26023, g24640)
+g27515 = OR(g26051, g13431)
+g27524 = OR(g26050, g24649)
+g27532 = OR(g16176, g26084)
+g27533 = OR(g26078, g24659)
+g27542 = OR(g16190, g26094)
+g27543 = OR(g26085, g24670)
+g27544 = OR(g26087, g24671)
+g27551 = OR(g26091, g24675)
+g27552 = OR(g26092, g24676)
+g27555 = OR(g26095, g24686)
+g27556 = OR(g26097, g24687)
+g27561 = OR(g26100, g24702)
+g27562 = OR(g26102, g24703)
+g27563 = OR(g26104, g24704)
+g27566 = OR(g26119, g24713)
+g27567 = OR(g26121, g24714)
+g27569 = OR(g26124, g24721)
+g27570 = OR(g26126, g24722)
+g27571 = OR(g26127, g24723)
+g27572 = OR(g26129, g24724)
+g27574 = OR(g26145, g24730)
+g27575 = OR(g26147, g24731)
+g27578 = OR(g26155, g24747)
+g27579 = OR(g26157, g24748)
+g27580 = OR(g26159, g24749)
+g27581 = OR(g26161, g24750)
+g27584 = OR(g26165, g24758)
+g27589 = OR(g26177, g24763)
+g27590 = OR(g26179, g24764)
+g27591 = OR(g26181, g24765)
+g27596 = OR(g26207, g24775)
+g27663 = OR(g26323, g24820)
+g27742 = OR(g17292, g26673)
+g27779 = OR(g17317, g26694)
+g27800 = OR(g17321, g26703)
+g27837 = OR(g17401, g26725)
+g27858 = OR(g17405, g26737)
+g27886 = OR(g14438, g26759)
+g27907 = OR(g17424, g26770)
+g27937 = OR(g14506, g26793)
+g27970 = OR(g26514, g25050)
+g27972 = OR(g26131, g26105)
+g27974 = OR(g26544, g25063)
+g27980 = OR(g26105, g26131)
+g28030 = OR(g24018, g26874)
+I26522 = OR(g19890, g19935, g19984, g26365)
+I26523 = OR(g20720, g20857, g20998, g21143)
+g28041 = OR(g24145, g26878)
+g28042 = OR(g24148, g26879)
+g28043 = OR(g27323, g21714)
+g28044 = OR(g27256, g18130)
+g28045 = OR(g27378, g18141)
+g28046 = OR(g27667, g18157)
+g28047 = OR(g27676, g18160)
+g28048 = OR(g27362, g18163)
+g28049 = OR(g27684, g18164)
+g28050 = OR(g27692, g18165)
+g28051 = OR(g27699, g18166)
+g28052 = OR(g27710, g18167)
+g28053 = OR(g27393, g18168)
+g28054 = OR(g27723, g18170)
+g28055 = OR(g27560, g18190)
+g28056 = OR(g27230, g18210)
+g28057 = OR(g27033, g18218)
+g28058 = OR(g27235, g18268)
+g28059 = OR(g27042, g18276)
+g28060 = OR(g27616, g18532)
+g28061 = OR(g27287, g21735)
+g28062 = OR(g27288, g21746)
+g28063 = OR(g27541, g21773)
+g28064 = OR(g27298, g21781)
+g28065 = OR(g27299, g21792)
+g28066 = OR(g27553, g21819)
+g28067 = OR(g27309, g21827)
+g28068 = OR(g27310, g21838)
+g28069 = OR(g27564, g21865)
+g28070 = OR(g27050, g21867)
+g28071 = OR(g27085, g21873)
+g28072 = OR(g27086, g21874)
+g28073 = OR(g27097, g21875)
+g28074 = OR(g27119, g21876)
+g28075 = OR(g27083, g21877)
+g28076 = OR(g27098, g21878)
+g28077 = OR(g27120, g21879)
+g28078 = OR(g27140, g21880)
+g28082 = OR(g27369, g24315)
+g28083 = OR(g27249, g18689)
+g28084 = OR(g27254, g18698)
+g28085 = OR(g27263, g18700)
+g28086 = OR(g27268, g18702)
+g28087 = OR(g27255, g18720)
+g28088 = OR(g27264, g18729)
+g28089 = OR(g27269, g18731)
+g28090 = OR(g27275, g18733)
+g28091 = OR(g27665, g21913)
+g28092 = OR(g27666, g21924)
+g28093 = OR(g27981, g21951)
+g28094 = OR(g27673, g21959)
+g28095 = OR(g27674, g21970)
+g28096 = OR(g27988, g21997)
+g28097 = OR(g27682, g22005)
+g28098 = OR(g27683, g22016)
+g28099 = OR(g27992, g22043)
+g28100 = OR(g27690, g22051)
+g28101 = OR(g27691, g22062)
+g28102 = OR(g27995, g22089)
+g28103 = OR(g27696, g22097)
+g28104 = OR(g27697, g22108)
+g28105 = OR(g27997, g22135)
+g28118 = OR(g27821, g26815)
+g28132 = OR(g27932, g27957)
+g28134 = OR(g27958, g27962)
+g28135 = OR(g27959, g27963)
+g28138 = OR(g27964, g27968)
+I26643 = OR(g27073, g27058, g27045, g27040)
+I26644 = OR(g27057, g27044, g27039, g27032)
+g28140 = OR(I26643, I26644)
+g28172 = OR(g27469, g27440, g27416, g27395)
+g28179 = OR(g27494, g27474, g27445, g27421)
+g28180 = OR(g20242, g27511)
+g28186 = OR(g27209, g27185, g27161, g27146)
+g28188 = OR(g22535, g27108)
+g28191 = OR(g27217, g27210, g27186, g27162)
+g28194 = OR(g22540, g27122)
+g28208 = OR(g27025, g27028)
+g28209 = OR(g27223, g27141)
+g28211 = OR(g27029, g27034)
+g28212 = OR(g27030, g27035)
+g28216 = OR(g27036, g27043)
+I26741 = OR(g22881, g22905, g22928, g27402)
+I26742 = OR(g23430, g23445, g23458, g23481)
+g28220 = OR(g23495, I26741, I26742)
+g28230 = OR(g27669, g14261)
+g28279 = OR(g27087, g25909)
+g28286 = OR(g27090, g15757)
+g28295 = OR(g27094, g15783)
+g28296 = OR(g27095, g15784)
+g28297 = OR(g27096, g15785)
+g28305 = OR(g27103, g15793)
+g28306 = OR(g27104, g15794)
+g28308 = OR(g27105, g15795)
+g28309 = OR(g27106, g15796)
+g28310 = OR(g27107, g15797)
+g28316 = OR(g27113, g15804)
+g28317 = OR(g27114, g15805)
+g28319 = OR(g27115, g15807)
+g28320 = OR(g27116, g15808)
+g28322 = OR(g27117, g15809)
+g28323 = OR(g27118, g15810)
+g28328 = OR(g27127, g15812)
+g28329 = OR(g27128, g15813)
+g28331 = OR(g27129, g15814)
+g28332 = OR(g27130, g15815)
+g28334 = OR(g27131, g15817)
+g28335 = OR(g27132, g15818)
+g28342 = OR(g27134, g15819)
+g28344 = OR(g27136, g15820)
+g28345 = OR(g27137, g15821)
+g28347 = OR(g27138, g15822)
+g28348 = OR(g27139, g15823)
+g28357 = OR(g27148, g15836)
+g28358 = OR(g27149, g15837)
+g28359 = OR(g27151, g15838)
+g28361 = OR(g27153, g15839)
+g28362 = OR(g27154, g15840)
+g28368 = OR(g27158, g27184)
+g28369 = OR(g27160, g25938)
+g28371 = OR(g27177, g15847)
+g28372 = OR(g27178, g15848)
+g28373 = OR(g27180, g15849)
+g28374 = OR(g27181, g15850)
+g28375 = OR(g27183, g15851)
+g28385 = OR(g27201, g15857)
+g28386 = OR(g27202, g13277)
+g28387 = OR(g27203, g15858)
+g28388 = OR(g27204, g15859)
+g28389 = OR(g27206, g15860)
+g28390 = OR(g27207, g15861)
+g28400 = OR(g27211, g15870)
+g28401 = OR(g27212, g15871)
+g28402 = OR(g27213, g15873)
+g28403 = OR(g27214, g13282)
+g28404 = OR(g27215, g15874)
+g28405 = OR(g27216, g15875)
+g28416 = OR(g27218, g15880)
+g28417 = OR(g27219, g15881)
+g28418 = OR(g27220, g15882)
+g28419 = OR(g27221, g15884)
+g28420 = OR(g27222, g13290)
+g28428 = OR(g27227, g15912)
+g28429 = OR(g27228, g15913)
+g28430 = OR(g27229, g15914)
+g28435 = OR(g27234, g15967)
+g28490 = OR(g27262, g16185)
+g28497 = OR(g27267, g16199)
+g28511 = OR(g27272, g16208)
+g28513 = OR(g27276, g26123)
+g28517 = OR(g27280, g26154)
+g28518 = OR(g27281, g26158)
+g28525 = OR(g27284, g26176)
+g28526 = OR(g27285, g26178)
+g28527 = OR(g27286, g26182)
+g28533 = OR(g27291, g26203)
+g28534 = OR(g27292, g26204)
+g28536 = OR(g27293, g26205)
+g28538 = OR(g27294, g26206)
+g28544 = OR(g27300, g26229)
+g28545 = OR(g27301, g26230)
+g28546 = OR(g27302, g26231)
+g28548 = OR(g27303, g26232)
+g28549 = OR(g27304, g26233)
+g28551 = OR(g27305, g26234)
+g28560 = OR(g27311, g26249)
+g28561 = OR(g27312, g26250)
+g28562 = OR(g27313, g26251)
+g28564 = OR(g27314, g26252)
+g28565 = OR(g27315, g26253)
+g28566 = OR(g27316, g26254)
+g28574 = OR(g27324, g26270)
+g28576 = OR(g27325, g26271)
+g28577 = OR(g27326, g26272)
+g28578 = OR(g27327, g26273)
+g28580 = OR(g27328, g26275)
+g28581 = OR(g27329, g26276)
+g28582 = OR(g27330, g26277)
+g28589 = OR(g27331, g26285)
+g28591 = OR(g27332, g26286)
+g28592 = OR(g27333, g26288)
+g28594 = OR(g27334, g26289)
+g28595 = OR(g27335, g26290)
+g28596 = OR(g27336, g26291)
+g28600 = OR(g27339, g16427)
+g28603 = OR(g27340, g26300)
+g28605 = OR(g27341, g26302)
+g28607 = OR(g27342, g26303)
+g28609 = OR(g27346, g16483)
+g28610 = OR(g27347, g16484)
+g28611 = OR(g27348, g16485)
+g28613 = OR(g27350, g26310)
+g28614 = OR(g27351, g26311)
+g28618 = OR(g27357, g16516)
+g28619 = OR(g27358, g16517)
+g28621 = OR(g27359, g16518)
+g28622 = OR(g27360, g16519)
+g28623 = OR(g27361, g16520)
+g28625 = OR(g27363, g26324)
+g28628 = OR(g27370, g16531)
+g28629 = OR(g27371, g16532)
+g28631 = OR(g27372, g16534)
+g28632 = OR(g27373, g16535)
+g28634 = OR(g27374, g16536)
+g28635 = OR(g27375, g16537)
+g28636 = OR(g27376, g16538)
+g28640 = OR(g27384, g16590)
+g28641 = OR(g27385, g16591)
+g28643 = OR(g27386, g16592)
+g28644 = OR(g27387, g16593)
+g28646 = OR(g27388, g16595)
+g28647 = OR(g27389, g16596)
+g28649 = OR(g27390, g16597)
+g28650 = OR(g27391, g16598)
+g28651 = OR(g27392, g16599)
+g28659 = OR(g27404, g16610)
+g28661 = OR(g27406, g16611)
+g28662 = OR(g27407, g16612)
+g28664 = OR(g27408, g16613)
+g28665 = OR(g27409, g16614)
+g28667 = OR(g27410, g16616)
+g28668 = OR(g27411, g16617)
+g28670 = OR(g27412, g16618)
+g28671 = OR(g27413, g16619)
+g28680 = OR(g27427, g16633)
+g28681 = OR(g27428, g16634)
+g28682 = OR(g27430, g16635)
+g28684 = OR(g27432, g16636)
+g28685 = OR(g27433, g16637)
+g28687 = OR(g27434, g16638)
+g28688 = OR(g27435, g16639)
+g28690 = OR(g27436, g16641)
+g28691 = OR(g27437, g16642)
+g28698 = OR(g27451, g16666)
+g28699 = OR(g27452, g16667)
+g28700 = OR(g27454, g16668)
+g28701 = OR(g27455, g16669)
+g28702 = OR(g27457, g16670)
+g28704 = OR(g27459, g16671)
+g28705 = OR(g27460, g16672)
+g28707 = OR(g27461, g16673)
+g28708 = OR(g27462, g16674)
+g28715 = OR(g27480, g16700)
+g28716 = OR(g27481, g13887)
+g28717 = OR(g27482, g16701)
+g28718 = OR(g27483, g16702)
+g28719 = OR(g27485, g16703)
+g28720 = OR(g27486, g16704)
+g28721 = OR(g27488, g16705)
+g28723 = OR(g27490, g16706)
+g28724 = OR(g27491, g16707)
+g28727 = OR(g27500, g16729)
+g28728 = OR(g27501, g16730)
+g28729 = OR(g27502, g16732)
+g28730 = OR(g27503, g13912)
+g28731 = OR(g27504, g16733)
+g28732 = OR(g27505, g16734)
+g28733 = OR(g27507, g16735)
+g28734 = OR(g27508, g16736)
+g28735 = OR(g27510, g16737)
+g28743 = OR(g27517, g16758)
+g28744 = OR(g27518, g16759)
+g28745 = OR(g27519, g16760)
+g28746 = OR(g27520, g16762)
+g28747 = OR(g27521, g13942)
+g28748 = OR(g27522, g16763)
+g28749 = OR(g27523, g16764)
+g28750 = OR(g27525, g16765)
+g28751 = OR(g27526, g16766)
+g28772 = OR(g27534, g16802)
+g28773 = OR(g27535, g16803)
+g28774 = OR(g27536, g16804)
+g28775 = OR(g27537, g16806)
+g28776 = OR(g27538, g13974)
+g28777 = OR(g27539, g16807)
+g28778 = OR(g27540, g16808)
+g28814 = OR(g27545, g16841)
+g28815 = OR(g27546, g16842)
+g28816 = OR(g27547, g16843)
+g28817 = OR(g27548, g16845)
+g28818 = OR(g27549, g13998)
+g28850 = OR(g27557, g16869)
+g28851 = OR(g27558, g16870)
+g28852 = OR(g27559, g16871)
+g28884 = OR(g27568, g16885)
+g29068 = OR(g27628, g17119)
+g29078 = OR(g27633, g26572)
+g29105 = OR(g27645, g17134)
+g29114 = OR(g27646, g26602)
+g29143 = OR(g27650, g17146)
+g29148 = OR(g27651, g26606)
+g29166 = OR(g27653, g17153)
+g29168 = OR(g27658, g26613)
+g29176 = OR(g27661, g17177)
+g29197 = OR(g27187, g27163)
+g29222 = OR(g28252, g18105)
+g29223 = OR(g28341, g18131)
+g29224 = OR(g28919, g18156)
+g29225 = OR(g28451, g18158)
+g29226 = OR(g28455, g18159)
+g29227 = OR(g28456, g18169)
+g29228 = OR(g28426, g18173)
+g29229 = OR(g28532, g18191)
+g29230 = OR(g28107, g18202)
+g29231 = OR(g28301, g18229)
+g29232 = OR(g28183, g18231)
+g29233 = OR(g28171, g18234)
+g29234 = OR(g28415, g18239)
+g29235 = OR(g28110, g18260)
+g29236 = OR(g28313, g18287)
+g29237 = OR(g28185, g18289)
+g29238 = OR(g28178, g18292)
+g29239 = OR(g28427, g18297)
+g29240 = OR(g28655, g18328)
+g29241 = OR(g28638, g18332)
+g29242 = OR(g28674, g18354)
+g29243 = OR(g28657, g18358)
+g29244 = OR(g28692, g18380)
+g29245 = OR(g28676, g18384)
+g29246 = OR(g28710, g18406)
+g29247 = OR(g28694, g18410)
+g29248 = OR(g28677, g18434)
+g29249 = OR(g28658, g18438)
+g29250 = OR(g28695, g18460)
+g29251 = OR(g28679, g18464)
+g29252 = OR(g28712, g18486)
+g29253 = OR(g28697, g18490)
+g29254 = OR(g28725, g18512)
+g29255 = OR(g28714, g18516)
+g29256 = OR(g28597, g18533)
+g29257 = OR(g28228, g18600)
+g29258 = OR(g28238, g18601)
+g29259 = OR(g28304, g18603)
+g29260 = OR(g28315, g18604)
+g29261 = OR(g28247, g18605)
+g29262 = OR(g28327, g18608)
+g29263 = OR(g28239, g18617)
+g29264 = OR(g28248, g18618)
+g29265 = OR(g28318, g18620)
+g29266 = OR(g28330, g18621)
+g29267 = OR(g28257, g18622)
+g29268 = OR(g28343, g18625)
+g29269 = OR(g28249, g18634)
+g29270 = OR(g28258, g18635)
+g29271 = OR(g28333, g18637)
+g29272 = OR(g28346, g18638)
+g29273 = OR(g28269, g18639)
+g29274 = OR(g28360, g18642)
+g29275 = OR(g28165, g21868)
+g29276 = OR(g28616, g18709)
+g29277 = OR(g28440, g18710)
+g29278 = OR(g28626, g18740)
+g29279 = OR(g28442, g18741)
+g29280 = OR(g28530, g18742)
+g29281 = OR(g28541, g18743)
+g29282 = OR(g28617, g18745)
+g29283 = OR(g28627, g18746)
+g29284 = OR(g28554, g18747)
+g29285 = OR(g28639, g18750)
+g29286 = OR(g28542, g18759)
+g29287 = OR(g28555, g18760)
+g29288 = OR(g28630, g18762)
+g29289 = OR(g28642, g18763)
+g29290 = OR(g28569, g18764)
+g29291 = OR(g28660, g18767)
+g29292 = OR(g28556, g18776)
+g29293 = OR(g28570, g18777)
+g29294 = OR(g28645, g18779)
+g29295 = OR(g28663, g18780)
+g29296 = OR(g28586, g18781)
+g29297 = OR(g28683, g18784)
+g29298 = OR(g28571, g18793)
+g29299 = OR(g28587, g18794)
+g29300 = OR(g28666, g18796)
+g29301 = OR(g28686, g18797)
+g29302 = OR(g28601, g18798)
+g29303 = OR(g28703, g18801)
+g29304 = OR(g28588, g18810)
+g29305 = OR(g28602, g18811)
+g29306 = OR(g28689, g18813)
+g29307 = OR(g28706, g18814)
+g29308 = OR(g28612, g18815)
+g29309 = OR(g28722, g18818)
+g29313 = OR(g28284, g27270)
+g29319 = OR(g28812, g14453)
+g29325 = OR(g28813, g27820)
+g29366 = OR(g13738, g28439)
+g29373 = OR(g13832, g28453)
+g29476 = OR(g28108, g28112)
+g29478 = OR(g28111, g22160)
+g29479 = OR(g28113, g28116)
+g29480 = OR(g28115, g22172)
+g29481 = OR(g28117, g28125)
+g29482 = OR(g28524, g27588)
+g29483 = OR(g25801, g28130)
+g29484 = OR(g28124, g22191)
+g29485 = OR(g28535, g27594)
+g29486 = OR(g28537, g27595)
+g29487 = OR(g25815, g28133)
+g29488 = OR(g28547, g27600)
+g29489 = OR(g28550, g27601)
+g29490 = OR(g25832, g28136)
+g29495 = OR(g28563, g27614)
+g29496 = OR(g28567, g27615)
+g29501 = OR(g28583, g27634)
+g29502 = OR(g28139, g25871)
+g29504 = OR(g28143, g25875)
+g29506 = OR(g28148, g25880)
+g29508 = OR(g28152, g27041)
+g29520 = OR(g28291, g28281, g28264, g28254)
+g29529 = OR(g28303, g28293, g28283, g28267)
+g29539 = OR(g2864, g28220)
+g29583 = OR(g28182, g27099)
+g29643 = OR(g28192, g27145)
+g29692 = OR(g28197, g10873)
+g29706 = OR(g28198, g27208)
+g29716 = OR(g28199, g15856)
+g29717 = OR(g28200, g10883)
+g29730 = OR(g28150, g28141)
+g29734 = OR(g28201, g15872)
+g29735 = OR(g28202, g10898)
+g29741 = OR(g28205, g15883)
+g29748 = OR(g28210, g28214)
+g29753 = OR(g28213, g22720)
+g29754 = OR(g28215, g28218)
+g29756 = OR(g22717, g28223)
+g29763 = OR(g28217, g22762)
+g29764 = OR(g28219, g28226)
+g29768 = OR(g22760, g28229)
+g29775 = OR(g25966, g28232)
+g29776 = OR(g28225, g22846)
+g29777 = OR(g28227, g28234)
+g29786 = OR(g22843, g28240)
+g29790 = OR(g25975, g28242)
+g29791 = OR(g28233, g22859)
+g29792 = OR(g28235, g28244)
+g29793 = OR(g28237, g27247)
+g29801 = OR(g25987, g28251)
+g29802 = OR(g28243, g22871)
+g29813 = OR(g26020, g28261)
+g29848 = OR(g28260, g26077)
+g29849 = OR(g26049, g28273)
+g29864 = OR(g28272, g26086)
+g29879 = OR(g28289, g26096)
+g29892 = OR(g28300, g26120)
+g29904 = OR(g28312, g26146)
+I28147 = OR(g2946, g24561, g28220)
+g29914 = OR(g22531, g22585, I28147)
+g30081 = OR(g28454, g11366)
+g30092 = OR(g28466, g16699)
+g30093 = OR(g28467, g11397)
+g30103 = OR(g28477, g16731)
+g30104 = OR(g28478, g11427)
+g30114 = OR(g28488, g16761)
+g30115 = OR(g28489, g11449)
+g30127 = OR(g28494, g16805)
+g30128 = OR(g28495, g11497)
+g30141 = OR(g28499, g16844)
+g30163 = OR(g23381, g28523)
+g30176 = OR(g23392, g28531)
+g30189 = OR(g23401, g28543)
+g30201 = OR(g23412, g28557)
+g30214 = OR(g23424, g28572)
+g30270 = OR(g28624, g27664)
+g30279 = OR(g28637, g27668)
+g30286 = OR(g28191, g28186)
+g30287 = OR(g28653, g27677)
+g30291 = OR(g28672, g27685)
+g30293 = OR(g28236, g27246)
+g30298 = OR(g28245, g27251)
+g30300 = OR(g28246, g27252)
+g30304 = OR(g28255, g27259)
+g30307 = OR(g28256, g27260)
+g30311 = OR(g28265, g27265)
+g30314 = OR(g28268, g27266)
+I28566 = OR(g29201, g29202, g29203, g28035)
+I28567 = OR(g29204, g29205, g29206, g29207)
+g30317 = OR(g29208, I28566, I28567)
+g30333 = OR(g29834, g21699)
+g30334 = OR(g29837, g18143)
+g30335 = OR(g29746, g18174)
+g30336 = OR(g29324, g18203)
+g30337 = OR(g29334, g18220)
+g30338 = OR(g29613, g18240)
+g30339 = OR(g29629, g18244)
+g30340 = OR(g29377, g18245)
+g30341 = OR(g29380, g18246)
+g30342 = OR(g29330, g18261)
+g30343 = OR(g29344, g18278)
+g30344 = OR(g29630, g18298)
+g30345 = OR(g29644, g18302)
+g30346 = OR(g29381, g18303)
+g30347 = OR(g29383, g18304)
+g30348 = OR(g30083, g18329)
+g30349 = OR(g30051, g18333)
+g30350 = OR(g30118, g18334)
+g30351 = OR(g30084, g18339)
+g30352 = OR(g30094, g18340)
+g30353 = OR(g30095, g18355)
+g30354 = OR(g30064, g18359)
+g30355 = OR(g30131, g18360)
+g30356 = OR(g30096, g18365)
+g30357 = OR(g30107, g18366)
+g30358 = OR(g30108, g18381)
+g30359 = OR(g30075, g18385)
+g30360 = OR(g30145, g18386)
+g30361 = OR(g30109, g18391)
+g30362 = OR(g30120, g18392)
+g30363 = OR(g30121, g18407)
+g30364 = OR(g30086, g18411)
+g30365 = OR(g30158, g18412)
+g30366 = OR(g30122, g18417)
+g30367 = OR(g30133, g18418)
+g30368 = OR(g30098, g18435)
+g30369 = OR(g30066, g18439)
+g30370 = OR(g30135, g18440)
+g30371 = OR(g30099, g18445)
+g30372 = OR(g30110, g18446)
+g30373 = OR(g30111, g18461)
+g30374 = OR(g30078, g18465)
+g30375 = OR(g30149, g18466)
+g30376 = OR(g30112, g18471)
+g30377 = OR(g30124, g18472)
+g30378 = OR(g30125, g18487)
+g30379 = OR(g30089, g18491)
+g30380 = OR(g30161, g18492)
+g30381 = OR(g30126, g18497)
+g30382 = OR(g30137, g18498)
+g30383 = OR(g30138, g18513)
+g30384 = OR(g30101, g18517)
+g30385 = OR(g30172, g18518)
+g30386 = OR(g30139, g18523)
+g30387 = OR(g30151, g18524)
+g30388 = OR(g30023, g18534)
+g30389 = OR(g29969, g18554)
+g30390 = OR(g29985, g18555)
+g30391 = OR(g30080, g18557)
+g30392 = OR(g30091, g18558)
+g30393 = OR(g29986, g21748)
+g30394 = OR(g29805, g21753)
+g30395 = OR(g29841, g21754)
+g30396 = OR(g29856, g21755)
+g30397 = OR(g29747, g21756)
+g30398 = OR(g29749, g21757)
+g30399 = OR(g29757, g21758)
+g30400 = OR(g29766, g21759)
+g30401 = OR(g29782, g21760)
+g30402 = OR(g29871, g21761)
+g30403 = OR(g29750, g21762)
+g30404 = OR(g29758, g21763)
+g30405 = OR(g29767, g21764)
+g30406 = OR(g29783, g21765)
+g30407 = OR(g29794, g21766)
+g30408 = OR(g29806, g21767)
+g30409 = OR(g29842, g21768)
+g30410 = OR(g29857, g21769)
+g30411 = OR(g29872, g21770)
+g30412 = OR(g29885, g21771)
+g30413 = OR(g30001, g21772)
+g30414 = OR(g30002, g21794)
+g30415 = OR(g29843, g21799)
+g30416 = OR(g29858, g21800)
+g30417 = OR(g29874, g21801)
+g30418 = OR(g29751, g21802)
+g30419 = OR(g29759, g21803)
+g30420 = OR(g29769, g21804)
+g30421 = OR(g29784, g21805)
+g30422 = OR(g29795, g21806)
+g30423 = OR(g29887, g21807)
+g30424 = OR(g29760, g21808)
+g30425 = OR(g29770, g21809)
+g30426 = OR(g29785, g21810)
+g30427 = OR(g29796, g21811)
+g30428 = OR(g29807, g21812)
+g30429 = OR(g29844, g21813)
+g30430 = OR(g29859, g21814)
+g30431 = OR(g29875, g21815)
+g30432 = OR(g29888, g21816)
+g30433 = OR(g29899, g21817)
+g30434 = OR(g30024, g21818)
+g30435 = OR(g30025, g21840)
+g30436 = OR(g29860, g21845)
+g30437 = OR(g29876, g21846)
+g30438 = OR(g29890, g21847)
+g30439 = OR(g29761, g21848)
+g30440 = OR(g29771, g21849)
+g30441 = OR(g29787, g21850)
+g30442 = OR(g29797, g21851)
+g30443 = OR(g29808, g21852)
+g30444 = OR(g29901, g21853)
+g30445 = OR(g29772, g21854)
+g30446 = OR(g29788, g21855)
+g30447 = OR(g29798, g21856)
+g30448 = OR(g29809, g21857)
+g30449 = OR(g29845, g21858)
+g30450 = OR(g29861, g21859)
+g30451 = OR(g29877, g21860)
+g30452 = OR(g29891, g21861)
+g30453 = OR(g29902, g21862)
+g30454 = OR(g29909, g21863)
+g30455 = OR(g30041, g21864)
+g30456 = OR(g29378, g21869)
+g30457 = OR(g29369, g21885)
+g30458 = OR(g30005, g24330)
+g30459 = OR(g29314, g21926)
+g30460 = OR(g30207, g21931)
+g30461 = OR(g30219, g21932)
+g30462 = OR(g30228, g21933)
+g30463 = OR(g30140, g21934)
+g30464 = OR(g30152, g21935)
+g30465 = OR(g30164, g21936)
+g30466 = OR(g30174, g21937)
+g30467 = OR(g30185, g21938)
+g30468 = OR(g30238, g21939)
+g30469 = OR(g30153, g21940)
+g30470 = OR(g30165, g21941)
+g30471 = OR(g30175, g21942)
+g30472 = OR(g30186, g21943)
+g30473 = OR(g30196, g21944)
+g30474 = OR(g30208, g21945)
+g30475 = OR(g30220, g21946)
+g30476 = OR(g30229, g21947)
+g30477 = OR(g30239, g21948)
+g30478 = OR(g30248, g21949)
+g30479 = OR(g29320, g21950)
+g30480 = OR(g29321, g21972)
+g30481 = OR(g30221, g21977)
+g30482 = OR(g30230, g21978)
+g30483 = OR(g30241, g21979)
+g30484 = OR(g30154, g21980)
+g30485 = OR(g30166, g21981)
+g30486 = OR(g30177, g21982)
+g30487 = OR(g30187, g21983)
+g30488 = OR(g30197, g21984)
+g30489 = OR(g30250, g21985)
+g30490 = OR(g30167, g21986)
+g30491 = OR(g30178, g21987)
+g30492 = OR(g30188, g21988)
+g30493 = OR(g30198, g21989)
+g30494 = OR(g30209, g21990)
+g30495 = OR(g30222, g21991)
+g30496 = OR(g30231, g21992)
+g30497 = OR(g30242, g21993)
+g30498 = OR(g30251, g21994)
+g30499 = OR(g30261, g21995)
+g30500 = OR(g29326, g21996)
+g30501 = OR(g29327, g22018)
+g30502 = OR(g30232, g22023)
+g30503 = OR(g30243, g22024)
+g30504 = OR(g30253, g22025)
+g30505 = OR(g30168, g22026)
+g30506 = OR(g30179, g22027)
+g30507 = OR(g30190, g22028)
+g30508 = OR(g30199, g22029)
+g30509 = OR(g30210, g22030)
+g30510 = OR(g30263, g22031)
+g30511 = OR(g30180, g22032)
+g30512 = OR(g30191, g22033)
+g30513 = OR(g30200, g22034)
+g30514 = OR(g30211, g22035)
+g30515 = OR(g30223, g22036)
+g30516 = OR(g30233, g22037)
+g30517 = OR(g30244, g22038)
+g30518 = OR(g30254, g22039)
+g30519 = OR(g30264, g22040)
+g30520 = OR(g30272, g22041)
+g30521 = OR(g29331, g22042)
+g30522 = OR(g29332, g22064)
+g30523 = OR(g30245, g22069)
+g30524 = OR(g30255, g22070)
+g30525 = OR(g30266, g22071)
+g30526 = OR(g30181, g22072)
+g30527 = OR(g30192, g22073)
+g30528 = OR(g30202, g22074)
+g30529 = OR(g30212, g22075)
+g30530 = OR(g30224, g22076)
+g30531 = OR(g30274, g22077)
+g30532 = OR(g30193, g22078)
+g30533 = OR(g30203, g22079)
+g30534 = OR(g30213, g22080)
+g30535 = OR(g30225, g22081)
+g30536 = OR(g30234, g22082)
+g30537 = OR(g30246, g22083)
+g30538 = OR(g30256, g22084)
+g30539 = OR(g30267, g22085)
+g30540 = OR(g30275, g22086)
+g30541 = OR(g30281, g22087)
+g30542 = OR(g29337, g22088)
+g30543 = OR(g29338, g22110)
+g30544 = OR(g30257, g22115)
+g30545 = OR(g30268, g22116)
+g30546 = OR(g30277, g22117)
+g30547 = OR(g30194, g22118)
+g30548 = OR(g30204, g22119)
+g30549 = OR(g30215, g22120)
+g30550 = OR(g30226, g22121)
+g30551 = OR(g30235, g22122)
+g30552 = OR(g30283, g22123)
+g30553 = OR(g30205, g22124)
+g30554 = OR(g30216, g22125)
+g30555 = OR(g30227, g22126)
+g30556 = OR(g30236, g22127)
+g30557 = OR(g30247, g22128)
+g30558 = OR(g30258, g22129)
+g30559 = OR(g30269, g22130)
+g30560 = OR(g30278, g22131)
+g30561 = OR(g30284, g22132)
+g30562 = OR(g30289, g22133)
+g30563 = OR(g29347, g22134)
+g30579 = OR(g30173, g14571)
+g30597 = OR(g13564, g29693)
+g30605 = OR(g29529, g29520)
+g30608 = OR(g13604, g29736)
+g30609 = OR(g13633, g29742)
+g30611 = OR(g13671, g29743)
+g30672 = OR(g13737, g29752)
+g30732 = OR(g13778, g29762)
+g30733 = OR(g13807, g29773)
+g30734 = OR(g13808, g29774)
+g30824 = OR(g13833, g29789)
+g30916 = OR(g13853, g29799)
+g30984 = OR(g29765, g29755)
+g31001 = OR(g29360, g28151)
+g31002 = OR(g29362, g28154)
+g31007 = OR(g29364, g28159)
+g31014 = OR(g29367, g28160)
+g31020 = OR(g29375, g28164)
+g31144 = OR(g29477, g28193)
+g31221 = OR(g29494, g28204)
+g31241 = OR(g25959, g29510)
+g31244 = OR(g25963, g29515)
+g31245 = OR(g25964, g29516)
+g31246 = OR(g25965, g29518)
+g31247 = OR(g29513, g13324)
+g31248 = OR(g25970, g29522)
+g31249 = OR(g25971, g29523)
+g31250 = OR(g25972, g29526)
+g31251 = OR(g25973, g29527)
+g31253 = OR(g25980, g29533)
+g31254 = OR(g25981, g29534)
+g31255 = OR(g25982, g29536)
+g31256 = OR(g25983, g29537)
+g31257 = OR(g29531, g28253)
+g31258 = OR(g25991, g29550)
+g31259 = OR(g25992, g29554)
+g31260 = OR(g25993, g29555)
+g31267 = OR(g29548, g28263)
+g31268 = OR(g29552, g28266)
+g31269 = OR(g26024, g29569)
+g31274 = OR(g29565, g28280)
+g31276 = OR(g29567, g28282)
+g31277 = OR(g29570, g28285)
+g31279 = OR(g29571, g29579)
+g31284 = OR(g29575, g28290)
+g31287 = OR(g29578, g28292)
+g31288 = OR(g2955, g29914)
+g31289 = OR(g29580, g29591)
+g31291 = OR(g29581, g29593)
+g31293 = OR(g29582, g28299)
+g31295 = OR(g26090, g29598)
+g31302 = OR(g29590, g28302)
+g31303 = OR(g29592, g29606)
+g31304 = OR(g29594, g29608)
+g31306 = OR(g29595, g29610)
+g31307 = OR(g29596, g28311)
+g31308 = OR(g26101, g29614)
+g31311 = OR(g26103, g29618)
+g31315 = OR(g29607, g29623)
+g31316 = OR(g29609, g29624)
+g31317 = OR(g29611, g29626)
+g31319 = OR(g29612, g28324)
+g31320 = OR(g26125, g29632)
+g31322 = OR(g26128, g29635)
+g31325 = OR(g29625, g29639)
+g31326 = OR(g29627, g29640)
+g31375 = OR(g29628, g28339)
+g31465 = OR(g26156, g29647)
+g31466 = OR(g26160, g29650)
+g31468 = OR(g29641, g29656)
+g31472 = OR(g29642, g28352)
+g31473 = OR(g26180, g29666)
+g31474 = OR(g29668, g13583)
+g31591 = OR(g29358, g29353)
+g31668 = OR(g29924, g28558)
+g31670 = OR(g29937, g28573)
+g31745 = OR(g29959, g29973)
+g31749 = OR(g29974, g29988)
+g31751 = OR(g29975, g29990)
+g31754 = OR(g29989, g30006)
+g31755 = OR(g29991, g30008)
+g31757 = OR(g29992, g30010)
+g31760 = OR(g30007, g30027)
+g31761 = OR(g30009, g30028)
+g31762 = OR(g30011, g30030)
+g31764 = OR(g30015, g30032)
+g31766 = OR(g30029, g30042)
+g31767 = OR(g30031, g30043)
+g31768 = OR(g30033, g30045)
+g31770 = OR(g30034, g30047)
+g31772 = OR(g30035, g28654)
+g31773 = OR(g30044, g30056)
+g31774 = OR(g30046, g30057)
+g31775 = OR(g30048, g30059)
+g31779 = OR(g30050, g28673)
+g31781 = OR(g30058, g30069)
+g31782 = OR(g30060, g30070)
+I29351 = OR(g29328, g29323, g29316, g30316)
+I29352 = OR(g29322, g29315, g30315, g30308)
+g31783 = OR(I29351, I29352)
+g31785 = OR(g30071, g30082)
+g31793 = OR(g28031, g30317)
+g31864 = OR(g31271, g21703)
+g31865 = OR(g31149, g21709)
+g31866 = OR(g31252, g18142)
+g31867 = OR(g31238, g18175)
+g31868 = OR(g30600, g18204)
+g31869 = OR(g30592, g18221)
+g31870 = OR(g30607, g18262)
+g31871 = OR(g30596, g18279)
+g31872 = OR(g31524, g18535)
+g31873 = OR(g31270, g21728)
+g31874 = OR(g31016, g21729)
+g31875 = OR(g31066, g21730)
+g31876 = OR(g31125, g21731)
+g31877 = OR(g31278, g21732)
+g31878 = OR(g31015, g21733)
+g31879 = OR(g31475, g21745)
+g31880 = OR(g31280, g21774)
+g31881 = OR(g31018, g21775)
+g31882 = OR(g31115, g21776)
+g31883 = OR(g31132, g21777)
+g31884 = OR(g31290, g21778)
+g31885 = OR(g31017, g21779)
+g31886 = OR(g31481, g21791)
+g31887 = OR(g31292, g21820)
+g31888 = OR(g31067, g21821)
+g31889 = OR(g31118, g21822)
+g31890 = OR(g31143, g21823)
+g31891 = OR(g31305, g21824)
+g31892 = OR(g31019, g21825)
+g31893 = OR(g31490, g21837)
+g31894 = OR(g30671, g21870)
+g31895 = OR(g31505, g24296)
+g31896 = OR(g31242, g24305)
+g31897 = OR(g31237, g24322)
+g31898 = OR(g31707, g21906)
+g31899 = OR(g31470, g21907)
+g31900 = OR(g31484, g21908)
+g31901 = OR(g31516, g21909)
+g31902 = OR(g31744, g21910)
+g31903 = OR(g31374, g21911)
+g31904 = OR(g31780, g21923)
+g31905 = OR(g31746, g21952)
+g31906 = OR(g31477, g21953)
+g31907 = OR(g31492, g21954)
+g31908 = OR(g31519, g21955)
+g31909 = OR(g31750, g21956)
+g31910 = OR(g31471, g21957)
+g31911 = OR(g31784, g21969)
+g31912 = OR(g31752, g21998)
+g31913 = OR(g31485, g21999)
+g31914 = OR(g31499, g22000)
+g31915 = OR(g31520, g22001)
+g31916 = OR(g31756, g22002)
+g31917 = OR(g31478, g22003)
+g31918 = OR(g31786, g22015)
+g31919 = OR(g31758, g22044)
+g31920 = OR(g31493, g22045)
+g31921 = OR(g31508, g22046)
+g31922 = OR(g31525, g22047)
+g31923 = OR(g31763, g22048)
+g31924 = OR(g31486, g22049)
+g31925 = OR(g31789, g22061)
+g31926 = OR(g31765, g22090)
+g31927 = OR(g31500, g22091)
+g31928 = OR(g31517, g22092)
+g31929 = OR(g31540, g22093)
+g31930 = OR(g31769, g22094)
+g31931 = OR(g31494, g22095)
+g31932 = OR(g31792, g22107)
+g31964 = OR(g31654, g14544)
+g32037 = OR(g30566, g29329)
+g32094 = OR(g30612, g29363)
+g32117 = OR(g24482, g30914)
+g32123 = OR(g30915, g30919)
+g32124 = OR(g24488, g30920)
+g32125 = OR(g30918, g29376)
+g32130 = OR(g30921, g30925)
+g32131 = OR(g24495, g30926)
+g32132 = OR(g31487, g31479)
+g32144 = OR(g30927, g30930)
+g32155 = OR(g30935, g29475)
+g32202 = OR(g31069, g13410)
+g32208 = OR(g31120, g29584)
+g32209 = OR(g31122, g29599)
+g32210 = OR(g31123, g29600)
+g32211 = OR(g31124, g29603)
+g32216 = OR(g31128, g29615)
+g32217 = OR(g31129, g29616)
+g32218 = OR(g31130, g29619)
+g32219 = OR(g31131, g29620)
+g32220 = OR(g31139, g29633)
+g32221 = OR(g31140, g29634)
+g32222 = OR(g31141, g29636)
+g32223 = OR(g31142, g29637)
+g32225 = OR(g30576, g29336)
+g32226 = OR(g31145, g29645)
+g32227 = OR(g31146, g29648)
+g32228 = OR(g31147, g29651)
+g32229 = OR(g31148, g29652)
+g32230 = OR(g30589, g29345)
+g32231 = OR(g30590, g29346)
+g32233 = OR(g31150, g29661)
+g32235 = OR(g31151, g29662)
+g32236 = OR(g31152, g29664)
+g32237 = OR(g31153, g29667)
+g32238 = OR(g30594, g29349)
+g32239 = OR(g30595, g29350)
+g32240 = OR(g24757, g31182)
+g32243 = OR(g31166, g29683)
+g32245 = OR(g31167, g29684)
+g32247 = OR(g31168, g29686)
+g32249 = OR(g31169, g29687)
+g32250 = OR(g30598, g29351)
+g32251 = OR(g30599, g29352)
+g32252 = OR(g31183, g31206)
+g32253 = OR(g24771, g31207)
+g32257 = OR(g31184, g29708)
+g32259 = OR(g31185, g29709)
+g32262 = OR(g31186, g29710)
+g32264 = OR(g31187, g29711)
+g32266 = OR(g30604, g29354)
+g32267 = OR(g31208, g31218)
+g32268 = OR(g24785, g31219)
+g32271 = OR(g31209, g29731)
+g32275 = OR(g31210, g29732)
+g32277 = OR(g31211, g29733)
+g32279 = OR(g31220, g31224)
+g32280 = OR(g24790, g31225)
+g32285 = OR(g31222, g29740)
+g32288 = OR(g31226, g31229)
+g32289 = OR(g24796, g31230)
+g32294 = OR(g31231, g31232)
+g32344 = OR(g29804, g31266)
+g32346 = OR(g29838, g31272)
+g32347 = OR(g29839, g31273)
+g32349 = OR(g29840, g31275)
+g32351 = OR(g29851, g31281)
+g32352 = OR(g29852, g31282)
+g32353 = OR(g29853, g31283)
+g32354 = OR(g29854, g31285)
+g32355 = OR(g29855, g31286)
+g32357 = OR(g29865, g31296)
+g32358 = OR(g29866, g31297)
+g32359 = OR(g29867, g31298)
+g32360 = OR(g29868, g31299)
+g32361 = OR(g29869, g31300)
+g32362 = OR(g29870, g31301)
+g32367 = OR(g29880, g31309)
+g32368 = OR(g29881, g31310)
+g32370 = OR(g29882, g31312)
+g32371 = OR(g29883, g31313)
+g32372 = OR(g29884, g31314)
+g32373 = OR(g29894, g31321)
+g32374 = OR(g29895, g31323)
+g32375 = OR(g29896, g31324)
+g32380 = OR(g29907, g31467)
+g32385 = OR(g31480, g29938)
+g32386 = OR(g31488, g29949)
+g32387 = OR(g31489, g29952)
+g32388 = OR(g31495, g29962)
+g32389 = OR(g31496, g29966)
+g32390 = OR(g31501, g29979)
+g32391 = OR(g31502, g29982)
+g32392 = OR(g31513, g30000)
+g32395 = OR(g31523, g30049)
+g32398 = OR(g31526, g30061)
+g32399 = OR(g31527, g30062)
+g32408 = OR(g31541, g30073)
+g32426 = OR(g26105, g26131, g30613)
+g32427 = OR(g8928, g30583)
+g32429 = OR(g30318, g31794)
+g32454 = OR(g30322, g31795)
+I29985 = OR(g29385, g31376, g30735, g30825)
+I29986 = OR(g31070, g31194, g30614, g30673)
+I30054 = OR(g29385, g31376, g30735, g30825)
+I30055 = OR(g31070, g31170, g30614, g30673)
+I30123 = OR(g29385, g31376, g30735, g30825)
+I30124 = OR(g31070, g31154, g30614, g30673)
+I30192 = OR(g29385, g31376, g30735, g30825)
+I30193 = OR(g31070, g30614, g30673, g31528)
+I30261 = OR(g29385, g31376, g30735, g30825)
+I30262 = OR(g31672, g31710, g31021, g30937)
+I30330 = OR(g29385, g31376, g30735, g30825)
+I30331 = OR(g31672, g31710, g31021, g30937)
+I30399 = OR(g29385, g31376, g30735, g30825)
+I30400 = OR(g31021, g30937, g31327, g30614)
+I30468 = OR(g29385, g31376, g30735, g30825)
+I30469 = OR(g31672, g31710, g31021, g30937)
+g32976 = OR(g32207, g21704)
+g32977 = OR(g32169, g21710)
+g32978 = OR(g32197, g18145)
+g32979 = OR(g32181, g18177)
+g32980 = OR(g32254, g18198)
+g32981 = OR(g32425, g18206)
+g32982 = OR(g31948, g18208)
+g32983 = OR(g31990, g18222)
+g32984 = OR(g31934, g18264)
+g32985 = OR(g31963, g18266)
+g32986 = OR(g31996, g18280)
+g32987 = OR(g32311, g18323)
+g32988 = OR(g32232, g18325)
+g32989 = OR(g32241, g18326)
+g32990 = OR(g32281, g18341)
+g32991 = OR(g32322, g18349)
+g32992 = OR(g32242, g18351)
+g32993 = OR(g32255, g18352)
+g32994 = OR(g32290, g18367)
+g32995 = OR(g32330, g18375)
+g32996 = OR(g32256, g18377)
+g32997 = OR(g32269, g18378)
+g32998 = OR(g32300, g18393)
+g32999 = OR(g32337, g18401)
+g33000 = OR(g32270, g18403)
+g33001 = OR(g32282, g18404)
+g33002 = OR(g32304, g18419)
+g33003 = OR(g32323, g18429)
+g33004 = OR(g32246, g18431)
+g33005 = OR(g32260, g18432)
+g33006 = OR(g32291, g18447)
+g33007 = OR(g32331, g18455)
+g33008 = OR(g32261, g18457)
+g33009 = OR(g32273, g18458)
+g33010 = OR(g32301, g18473)
+g33011 = OR(g32338, g18481)
+g33012 = OR(g32274, g18483)
+g33013 = OR(g32283, g18484)
+g33014 = OR(g32305, g18499)
+g33015 = OR(g32343, g18507)
+g33016 = OR(g32284, g18509)
+g33017 = OR(g32292, g18510)
+g33018 = OR(g32312, g18525)
+g33019 = OR(g32339, g18536)
+g33020 = OR(g32160, g21734)
+g33021 = OR(g32302, g21749)
+g33022 = OR(g32306, g21750)
+g33023 = OR(g32313, g21751)
+g33024 = OR(g32324, g21752)
+g33025 = OR(g32162, g21780)
+g33026 = OR(g32307, g21795)
+g33027 = OR(g32314, g21796)
+g33028 = OR(g32325, g21797)
+g33029 = OR(g32332, g21798)
+g33030 = OR(g32166, g21826)
+g33031 = OR(g32315, g21841)
+g33032 = OR(g32326, g21842)
+g33033 = OR(g32333, g21843)
+g33034 = OR(g32340, g21844)
+g33035 = OR(g32019, g21872)
+g33036 = OR(g32168, g24309)
+g33037 = OR(g32177, g24310)
+g33038 = OR(g32184, g24311)
+g33039 = OR(g32187, g24312)
+g33040 = OR(g32164, g24313)
+g33041 = OR(g32189, g24323)
+g33042 = OR(g32193, g24324)
+g33043 = OR(g32195, g24325)
+g33044 = OR(g32199, g24327)
+g33045 = OR(g32206, g24328)
+g33046 = OR(g32308, g21912)
+g33047 = OR(g31944, g21927)
+g33048 = OR(g31960, g21928)
+g33049 = OR(g31966, g21929)
+g33050 = OR(g31974, g21930)
+g33051 = OR(g32316, g21958)
+g33052 = OR(g31961, g21973)
+g33053 = OR(g31967, g21974)
+g33054 = OR(g31975, g21975)
+g33055 = OR(g31986, g21976)
+g33056 = OR(g32327, g22004)
+g33057 = OR(g31968, g22019)
+g33058 = OR(g31976, g22020)
+g33059 = OR(g31987, g22021)
+g33060 = OR(g31992, g22022)
+g33061 = OR(g32334, g22050)
+g33062 = OR(g31977, g22065)
+g33063 = OR(g31988, g22066)
+g33064 = OR(g31993, g22067)
+g33065 = OR(g32008, g22068)
+g33066 = OR(g32341, g22096)
+g33067 = OR(g31989, g22111)
+g33068 = OR(g31994, g22112)
+g33069 = OR(g32009, g22113)
+g33070 = OR(g32010, g22114)
+g33076 = OR(g32336, g32446)
+g33115 = OR(g32397, g32401)
+g33116 = OR(g32403, g32411)
+g33118 = OR(g32413, g32418)
+g33119 = OR(g32420, g32428)
+g33123 = OR(g31962, g30577)
+I30717 = OR(g31787, g32200, g31940, g31949)
+I30718 = OR(g32348, g32356, g32097, g32020)
+g33149 = OR(g32204, I30717, I30718)
+g33159 = OR(g32016, g30730)
+I30727 = OR(g31759, g32196, g31933, g31941)
+I30728 = OR(g32345, g32350, g32056, g32018)
+g33164 = OR(g32203, I30727, I30728)
+I30734 = OR(g31790, g32191, g32086, g32095)
+I30735 = OR(g32369, g32376, g32089, g32035)
+g33176 = OR(g32198, I30734, I30735)
+I30740 = OR(g31776, g32188, g32083, g32087)
+I30741 = OR(g32085, g32030, g32224, g32013)
+g33187 = OR(g32014, I30740, I30741)
+I30745 = OR(g31777, g32321, g32069, g32084)
+I30746 = OR(g32047, g31985, g31991, g32309)
+g33197 = OR(g32342, I30745, I30746)
+I30750 = OR(g31788, g32310, g32054, g32070)
+I30751 = OR(g32042, g32161, g31943, g31959)
+g33204 = OR(g32317, I30750, I30751)
+I30755 = OR(g30564, g32303, g32049, g32055)
+I30756 = OR(g32088, g32163, g32098, g32105)
+g33212 = OR(g32328, I30755, I30756)
+I30760 = OR(g31778, g32295, g32046, g32050)
+I30761 = OR(g32071, g32167, g32067, g32082)
+g33219 = OR(g32335, I30760, I30761)
+g33227 = OR(g32029, g32031)
+g33231 = OR(g32032, g32036)
+g33232 = OR(g32034, g30936)
+g33234 = OR(g32039, g32043)
+g33235 = OR(g32040, g30982)
+g33236 = OR(g32044, g32045)
+g33238 = OR(g32048, g32051)
+g33240 = OR(g32052, g32068)
+g33251 = OR(g32096, g29509)
+g33253 = OR(g32103, g29511)
+g33254 = OR(g32104, g29512)
+g33255 = OR(g32106, g29514)
+g33256 = OR(g32107, g29517)
+g33257 = OR(g32108, g29519)
+g33259 = OR(g32109, g29521)
+g33260 = OR(g32110, g29524)
+g33261 = OR(g32111, g29525)
+g33262 = OR(g32112, g29528)
+g33265 = OR(g32113, g29530)
+g33266 = OR(g32114, g29532)
+g33267 = OR(g32115, g29535)
+g33268 = OR(g32116, g29538)
+g33270 = OR(g32119, g29547)
+g33271 = OR(g32120, g29549)
+g33272 = OR(g32121, g29551)
+g33273 = OR(g32122, g29553)
+g33274 = OR(g32126, g29563)
+g33275 = OR(g32127, g29564)
+g33276 = OR(g32128, g29566)
+g33277 = OR(g32129, g29568)
+g33278 = OR(g32139, g29572)
+g33279 = OR(g32140, g29573)
+g33280 = OR(g32141, g29574)
+g33281 = OR(g32142, g29576)
+g33282 = OR(g32143, g29577)
+g33283 = OR(g31995, g30318)
+g33286 = OR(g32145, g29585)
+g33287 = OR(g32146, g29586)
+g33288 = OR(g32147, g29587)
+g33289 = OR(g32148, g29588)
+g33290 = OR(g32149, g29589)
+g33291 = OR(g32154, g13477)
+g33292 = OR(g32150, g29601)
+g33293 = OR(g32151, g29602)
+g33294 = OR(g32152, g29604)
+g33295 = OR(g32153, g29605)
+g33296 = OR(g32156, g29617)
+g33297 = OR(g32157, g29621)
+g33298 = OR(g32158, g29622)
+g33303 = OR(g32159, g29638)
+g33310 = OR(g29631, g32165)
+g33312 = OR(g29646, g32170)
+g33313 = OR(g29649, g32171)
+g33314 = OR(g29663, g32174)
+g33315 = OR(g29665, g32175)
+g33316 = OR(g29685, g32178)
+g33317 = OR(g29688, g32179)
+g33318 = OR(g31969, g32434)
+g33321 = OR(g29712, g32182)
+g33323 = OR(g31936, g32442)
+g33380 = OR(g32234, g29926)
+g33383 = OR(g32244, g29940)
+g33384 = OR(g32248, g29943)
+g33386 = OR(g32258, g29951)
+g33387 = OR(g32263, g29954)
+g33389 = OR(g32272, g29964)
+g33390 = OR(g32276, g29968)
+g33393 = OR(g32286, g29984)
+g33534 = OR(g33186, g21700)
+g33535 = OR(g33233, g21711)
+g33536 = OR(g33241, g21715)
+g33537 = OR(g33244, g21716)
+g33538 = OR(g33252, g18144)
+g33539 = OR(g33245, g18178)
+g33540 = OR(g33099, g18207)
+g33541 = OR(g33101, g18223)
+g33542 = OR(g33102, g18265)
+g33543 = OR(g33106, g18281)
+g33544 = OR(g33392, g18317)
+g33545 = OR(g33399, g18324)
+g33546 = OR(g33402, g18327)
+g33547 = OR(g33349, g18331)
+g33548 = OR(g33327, g18336)
+g33549 = OR(g33328, g18337)
+g33550 = OR(g33342, g18338)
+g33551 = OR(g33446, g18342)
+g33552 = OR(g33400, g18343)
+g33553 = OR(g33403, g18350)
+g33554 = OR(g33407, g18353)
+g33555 = OR(g33355, g18357)
+g33556 = OR(g33329, g18362)
+g33557 = OR(g33331, g18363)
+g33558 = OR(g33350, g18364)
+g33559 = OR(g33073, g18368)
+g33560 = OR(g33404, g18369)
+g33561 = OR(g33408, g18376)
+g33562 = OR(g33414, g18379)
+g33563 = OR(g33361, g18383)
+g33564 = OR(g33332, g18388)
+g33565 = OR(g33338, g18389)
+g33566 = OR(g33356, g18390)
+g33567 = OR(g33081, g18394)
+g33568 = OR(g33409, g18395)
+g33569 = OR(g33415, g18402)
+g33570 = OR(g33420, g18405)
+g33571 = OR(g33367, g18409)
+g33572 = OR(g33339, g18414)
+g33573 = OR(g33343, g18415)
+g33574 = OR(g33362, g18416)
+g33575 = OR(g33086, g18420)
+g33576 = OR(g33401, g18423)
+g33577 = OR(g33405, g18430)
+g33578 = OR(g33410, g18433)
+g33579 = OR(g33357, g18437)
+g33580 = OR(g33330, g18442)
+g33581 = OR(g33333, g18443)
+g33582 = OR(g33351, g18444)
+g33583 = OR(g33074, g18448)
+g33584 = OR(g33406, g18449)
+g33585 = OR(g33411, g18456)
+g33586 = OR(g33416, g18459)
+g33587 = OR(g33363, g18463)
+g33588 = OR(g33334, g18468)
+g33589 = OR(g33340, g18469)
+g33590 = OR(g33358, g18470)
+g33591 = OR(g33082, g18474)
+g33592 = OR(g33412, g18475)
+g33593 = OR(g33417, g18482)
+g33594 = OR(g33421, g18485)
+g33595 = OR(g33368, g18489)
+g33596 = OR(g33341, g18494)
+g33597 = OR(g33344, g18495)
+g33598 = OR(g33364, g18496)
+g33599 = OR(g33087, g18500)
+g33600 = OR(g33418, g18501)
+g33601 = OR(g33422, g18508)
+g33602 = OR(g33425, g18511)
+g33603 = OR(g33372, g18515)
+g33604 = OR(g33345, g18520)
+g33605 = OR(g33352, g18521)
+g33606 = OR(g33369, g18522)
+g33607 = OR(g33091, g18526)
+g33608 = OR(g33322, g18537)
+g33609 = OR(g33239, g18615)
+g33610 = OR(g33242, g18616)
+g33611 = OR(g33243, g18632)
+g33612 = OR(g33247, g18633)
+g33613 = OR(g33248, g18649)
+g33614 = OR(g33249, g18650)
+g33615 = OR(g33113, g21871)
+g33616 = OR(g33237, g24314)
+g33617 = OR(g33263, g24326)
+g33618 = OR(g33353, g18757)
+g33619 = OR(g33359, g18758)
+g33620 = OR(g33360, g18774)
+g33621 = OR(g33365, g18775)
+g33622 = OR(g33366, g18791)
+g33623 = OR(g33370, g18792)
+g33624 = OR(g33371, g18808)
+g33625 = OR(g33373, g18809)
+g33626 = OR(g33374, g18825)
+g33627 = OR(g33376, g18826)
+g33628 = OR(g33071, g32450)
+g33685 = OR(g32396, g33423)
+g33692 = OR(g32400, g33428)
+g33694 = OR(g32402, g33429)
+g33699 = OR(g32409, g33433)
+g33703 = OR(g32410, g33434)
+g33706 = OR(g32412, g33440)
+g33709 = OR(g32414, g33441)
+g33714 = OR(g32419, g33450)
+g33732 = OR(g33104, g32011)
+g33733 = OR(g33105, g32012)
+g33788 = OR(g33122, g32041)
+g33791 = OR(g33379, g32430)
+g33794 = OR(g33126, g32053)
+g33891 = OR(g33264, g33269)
+g33914 = OR(g33305, g33311)
+g33945 = OR(g32430, g33455)
+g33946 = OR(g32434, g33456)
+g33947 = OR(g32438, g33457)
+g33948 = OR(g32442, g33458)
+g33949 = OR(g32446, g33459)
+g33950 = OR(g32450, g33460)
+I31838 = OR(g33461, g33462, g33463, g33464)
+I31839 = OR(g33465, g33466, g33467, g33468)
+g33951 = OR(g33469, I31838, I31839)
+I31843 = OR(g33470, g33471, g33472, g33473)
+I31844 = OR(g33474, g33475, g33476, g33477)
+g33952 = OR(g33478, I31843, I31844)
+I31848 = OR(g33479, g33480, g33481, g33482)
+I31849 = OR(g33483, g33484, g33485, g33486)
+g33953 = OR(g33487, I31848, I31849)
+I31853 = OR(g33488, g33489, g33490, g33491)
+I31854 = OR(g33492, g33493, g33494, g33495)
+g33954 = OR(g33496, I31853, I31854)
+I31858 = OR(g33497, g33498, g33499, g33500)
+I31859 = OR(g33501, g33502, g33503, g33504)
+g33955 = OR(g33505, I31858, I31859)
+I31863 = OR(g33506, g33507, g33508, g33509)
+I31864 = OR(g33510, g33511, g33512, g33513)
+g33956 = OR(g33514, I31863, I31864)
+I31868 = OR(g33515, g33516, g33517, g33518)
+I31869 = OR(g33519, g33520, g33521, g33522)
+g33957 = OR(g33523, I31868, I31869)
+I31873 = OR(g33524, g33525, g33526, g33527)
+I31874 = OR(g33528, g33529, g33530, g33531)
+g33958 = OR(g33532, I31873, I31874)
+g33960 = OR(g33759, g21701)
+g33961 = OR(g33789, g21712)
+g33962 = OR(g33822, g18123)
+g33963 = OR(g33830, g18124)
+g33964 = OR(g33817, g18146)
+g33965 = OR(g33805, g18179)
+g33966 = OR(g33837, g18318)
+g33967 = OR(g33842, g18319)
+g33968 = OR(g33855, g18320)
+g33969 = OR(g33864, g18321)
+g33970 = OR(g33868, g18322)
+g33971 = OR(g33890, g18330)
+g33972 = OR(g33941, g18335)
+g33973 = OR(g33840, g18344)
+g33974 = OR(g33846, g18345)
+g33975 = OR(g33860, g18346)
+g33976 = OR(g33869, g18347)
+g33977 = OR(g33876, g18348)
+g33978 = OR(g33892, g18356)
+g33979 = OR(g33942, g18361)
+g33980 = OR(g33843, g18370)
+g33981 = OR(g33856, g18371)
+g33982 = OR(g33865, g18372)
+g33983 = OR(g33877, g18373)
+g33984 = OR(g33881, g18374)
+g33985 = OR(g33896, g18382)
+g33986 = OR(g33639, g18387)
+g33987 = OR(g33847, g18396)
+g33988 = OR(g33861, g18397)
+g33989 = OR(g33870, g18398)
+g33990 = OR(g33882, g18399)
+g33991 = OR(g33885, g18400)
+g33992 = OR(g33900, g18408)
+g33993 = OR(g33646, g18413)
+g33994 = OR(g33841, g18424)
+g33995 = OR(g33848, g18425)
+g33996 = OR(g33862, g18426)
+g33997 = OR(g33871, g18427)
+g33998 = OR(g33878, g18428)
+g33999 = OR(g33893, g18436)
+g34000 = OR(g33943, g18441)
+g34001 = OR(g33844, g18450)
+g34002 = OR(g33857, g18451)
+g34003 = OR(g33866, g18452)
+g34004 = OR(g33879, g18453)
+g34005 = OR(g33883, g18454)
+g34006 = OR(g33897, g18462)
+g34007 = OR(g33640, g18467)
+g34008 = OR(g33849, g18476)
+g34009 = OR(g33863, g18477)
+g34010 = OR(g33872, g18478)
+g34011 = OR(g33884, g18479)
+g34012 = OR(g33886, g18480)
+g34013 = OR(g33901, g18488)
+g34014 = OR(g33647, g18493)
+g34015 = OR(g33858, g18502)
+g34016 = OR(g33867, g18503)
+g34017 = OR(g33880, g18504)
+g34018 = OR(g33887, g18505)
+g34019 = OR(g33889, g18506)
+g34020 = OR(g33904, g18514)
+g34021 = OR(g33652, g18519)
+g34022 = OR(g33873, g18538)
+g34023 = OR(g33796, g24320)
+g34024 = OR(g33807, g24331)
+g34025 = OR(g33927, g18672)
+g34026 = OR(g33715, g18682)
+g34027 = OR(g33718, g18683)
+g34028 = OR(g33720, g18684)
+g34029 = OR(g33798, g18703)
+g34030 = OR(g33727, g18704)
+g34031 = OR(g33735, g18705)
+g34032 = OR(g33816, g18706)
+g34033 = OR(g33821, g18708)
+g34034 = OR(g33719, g18713)
+g34035 = OR(g33721, g18714)
+g34036 = OR(g33722, g18715)
+g34037 = OR(g33803, g18734)
+g34038 = OR(g33731, g18735)
+g34039 = OR(g33743, g18736)
+g34040 = OR(g33818, g18737)
+g34041 = OR(g33829, g18739)
+g34043 = OR(g33903, g33905)
+g34046 = OR(g33906, g33908)
+g34055 = OR(g33909, g33910)
+g34057 = OR(g33911, g33915)
+g34064 = OR(g33919, g33922)
+g34090 = OR(g33676, g33680)
+g34095 = OR(g33681, g33687)
+g34099 = OR(g33684, g33689)
+g34100 = OR(g33690, g33697)
+g34101 = OR(g33693, g33700)
+g34103 = OR(g33701, g33707)
+g34107 = OR(g33710, g33121)
+g34125 = OR(g33724, g33124)
+g34127 = OR(g33657, g32438)
+g34148 = OR(g33758, g19656)
+g34149 = OR(g33760, g19674)
+g34153 = OR(g33899, g33451)
+g34158 = OR(g33784, g19740)
+g34166 = OR(g33785, g19752)
+g34167 = OR(g33786, g19768)
+g34168 = OR(g33787, g19784)
+g34170 = OR(g33790, g19855)
+g34172 = OR(g33795, g19914)
+g34189 = OR(g33801, g33808)
+g34190 = OR(g33802, g33810)
+g34193 = OR(g33809, g33814)
+g34194 = OR(g33811, g33815)
+g34199 = OR(g33820, g33828)
+g34204 = OR(g33832, g33833)
+g34206 = OR(g33834, g33836)
+g34207 = OR(g33835, g33304)
+g34231 = OR(g33898, g33902)
+g34232 = OR(g33451, g33944)
+g34233 = OR(g32455, g33951)
+g34234 = OR(g32520, g33952)
+g34235 = OR(g32585, g33953)
+g34236 = OR(g32650, g33954)
+g34237 = OR(g32715, g33955)
+g34238 = OR(g32780, g33956)
+g34239 = OR(g32845, g33957)
+g34240 = OR(g32910, g33958)
+g34249 = OR(g34110, g21702)
+g34250 = OR(g34111, g21713)
+g34251 = OR(g34157, g18147)
+g34252 = OR(g34146, g18180)
+g34253 = OR(g34171, g24300)
+g34254 = OR(g34116, g24301)
+g34255 = OR(g34120, g24302)
+g34256 = OR(g34173, g24303)
+g34257 = OR(g34226, g18674)
+g34258 = OR(g34211, g18675)
+g34259 = OR(g34066, g18679)
+g34260 = OR(g34113, g18680)
+g34261 = OR(g34074, g18688)
+g34262 = OR(g34075, g18697)
+g34263 = OR(g34078, g18699)
+g34264 = OR(g34081, g18701)
+g34265 = OR(g34117, g18711)
+g34266 = OR(g34076, g18719)
+g34267 = OR(g34079, g18728)
+g34268 = OR(g34082, g18730)
+g34269 = OR(g34083, g18732)
+g34273 = OR(g27765, g34203)
+g34274 = OR(g27822, g34205)
+g34278 = OR(g26829, g34212)
+g34280 = OR(g26833, g34213)
+g34282 = OR(g26838, g34214)
+g34283 = OR(g26839, g34215)
+g34286 = OR(g26842, g34216)
+g34288 = OR(g26846, g34217)
+g34289 = OR(g26847, g34218)
+g34290 = OR(g26848, g34219)
+g34292 = OR(g26853, g34223)
+g34293 = OR(g26854, g34224)
+g34294 = OR(g26855, g34225)
+g34297 = OR(g26858, g34228)
+g34300 = OR(g26864, g34230)
+g34303 = OR(g25768, g34045)
+g34305 = OR(g25775, g34050)
+g34306 = OR(g25782, g34054)
+g34314 = OR(g25831, g34061)
+g34318 = OR(g25850, g34063)
+g34321 = OR(g25866, g34065)
+g34330 = OR(g34069, g33717)
+g34331 = OR(g27121, g34072)
+g34332 = OR(g34071, g33723)
+g34347 = OR(g25986, g34102)
+g34349 = OR(g26019, g34104)
+g34350 = OR(g26048, g34106)
+g34352 = OR(g26079, g34109)
+g34353 = OR(g26088, g34114)
+g34366 = OR(g26257, g34133)
+g34368 = OR(g26274, g34135)
+g34369 = OR(g26279, g34136)
+g34372 = OR(g26287, g34137)
+g34373 = OR(g26292, g34138)
+g34374 = OR(g26294, g34139)
+g34376 = OR(g26301, g34140)
+g34377 = OR(g26304, g34141)
+g34379 = OR(g26312, g34143)
+g34399 = OR(g34178, g25067)
+g34402 = OR(g34179, g25084)
+g34403 = OR(g34180, g25085)
+g34404 = OR(g34182, g25102)
+g34405 = OR(g34183, g25103)
+g34406 = OR(g34184, g25123)
+g34407 = OR(g34185, g25124)
+g34411 = OR(g34186, g25142)
+g34412 = OR(g34187, g25143)
+g34416 = OR(g34191, g25159)
+g34417 = OR(g27678, g34196)
+g34421 = OR(g27686, g34198)
+g34438 = OR(g34348, g18150)
+g34439 = OR(g34344, g18181)
+g34440 = OR(g34364, g24226)
+g34441 = OR(g34381, g18540)
+g34442 = OR(g34380, g18542)
+g34443 = OR(g34385, g18545)
+g34444 = OR(g34389, g18546)
+g34445 = OR(g34382, g18548)
+g34446 = OR(g34390, g18550)
+g34447 = OR(g34363, g18552)
+g34448 = OR(g34365, g18553)
+g34449 = OR(g34279, g18662)
+g34450 = OR(g34281, g18663)
+g34451 = OR(g34393, g18664)
+g34452 = OR(g34401, g18665)
+g34453 = OR(g34410, g18666)
+g34454 = OR(g34414, g18667)
+g34455 = OR(g34284, g18668)
+g34456 = OR(g34395, g18669)
+g34457 = OR(g34394, g18670)
+g34458 = OR(g34396, g18671)
+g34459 = OR(g34415, g18673)
+g34460 = OR(g34301, g18677)
+g34461 = OR(g34291, g18681)
+g34462 = OR(g34334, g18685)
+g34463 = OR(g34338, g18686)
+g34464 = OR(g34340, g18687)
+g34465 = OR(g34295, g18712)
+g34466 = OR(g34337, g18716)
+g34467 = OR(g34341, g18717)
+g34468 = OR(g34342, g18718)
+g34494 = OR(g26849, g34413)
+g34535 = OR(g34309, g34073)
+g34537 = OR(g34324, g34084)
+g34598 = OR(g34541, g18136)
+g34599 = OR(g34542, g18149)
+g34600 = OR(g34538, g18182)
+g34601 = OR(g34488, g18211)
+g34602 = OR(g34489, g18269)
+g34603 = OR(g34561, g15075)
+g34604 = OR(g34563, g15076)
+g34605 = OR(g34566, g15077)
+g34606 = OR(g34564, g15080)
+g34607 = OR(g34567, g15081)
+g34608 = OR(g34568, g15082)
+g34609 = OR(g34503, g18563)
+g34610 = OR(g34507, g18564)
+g34611 = OR(g34508, g18565)
+g34612 = OR(g34514, g18566)
+g34613 = OR(g34515, g18567)
+g34614 = OR(g34518, g18568)
+g34615 = OR(g34516, g18576)
+g34616 = OR(g34519, g18577)
+g34617 = OR(g34526, g18579)
+g34618 = OR(g34527, g18580)
+g34619 = OR(g34528, g18581)
+g34620 = OR(g34529, g18582)
+g34621 = OR(g34517, g18583)
+g34622 = OR(g34520, g18584)
+g34623 = OR(g34525, g18585)
+g34624 = OR(g34509, g18592)
+g34625 = OR(g34532, g18610)
+g34626 = OR(g34533, g18627)
+g34627 = OR(g34534, g18644)
+g34628 = OR(g34493, g18653)
+g34629 = OR(g34495, g18654)
+g34630 = OR(g34560, g15117)
+g34631 = OR(g34562, g15118)
+g34632 = OR(g34565, g15119)
+g34633 = OR(g34481, g18690)
+g34634 = OR(g34483, g18691)
+g34635 = OR(g34485, g18692)
+g34636 = OR(g34476, g18693)
+g34637 = OR(g34478, g18694)
+g34638 = OR(g34484, g18721)
+g34639 = OR(g34486, g18722)
+g34640 = OR(g34487, g18723)
+g34641 = OR(g34479, g18724)
+g34642 = OR(g34482, g18725)
+g34643 = OR(g34554, g18752)
+g34644 = OR(g34555, g18769)
+g34645 = OR(g34556, g18786)
+g34646 = OR(g34557, g18803)
+g34647 = OR(g34558, g18820)
+g34649 = OR(g33111, g34492)
+g34657 = OR(g33114, g34497)
+g34663 = OR(g32028, g34500)
+g34693 = OR(g34513, g34310)
+g34695 = OR(g34523, g34322)
+g34708 = OR(g33381, g34572)
+g34719 = OR(g34701, g18133)
+g34720 = OR(g34694, g18134)
+g34721 = OR(g34696, g18135)
+g34722 = OR(g34707, g18137)
+g34723 = OR(g34710, g18139)
+g34724 = OR(g34702, g18152)
+g34725 = OR(g34700, g18183)
+g34726 = OR(g34665, g18212)
+g34727 = OR(g34655, g18213)
+g34728 = OR(g34661, g18214)
+g34729 = OR(g34666, g18270)
+g34730 = OR(g34658, g18271)
+g34731 = OR(g34662, g18272)
+g34732 = OR(g34686, g18593)
+g34733 = OR(g34678, g18651)
+g34734 = OR(g34681, g18652)
+g34735 = OR(g34709, g15116)
+g34761 = OR(g34679, g34506)
+g34762 = OR(g34687, g34524)
+g34781 = OR(g33431, g34715)
+g34783 = OR(g33110, g34667)
+g34790 = OR(g34774, g18151)
+g34791 = OR(g34771, g18184)
+g34792 = OR(g34750, g18569)
+g34793 = OR(g34744, g18570)
+g34794 = OR(g34746, g18571)
+g34795 = OR(g34753, g18572)
+g34796 = OR(g34745, g18573)
+g34797 = OR(g34747, g18574)
+g34798 = OR(g34754, g18575)
+g34799 = OR(g34751, g18578)
+g34800 = OR(g34752, g18586)
+g34801 = OR(g34756, g18588)
+g34802 = OR(g34757, g18589)
+g34803 = OR(g34758, g18590)
+g34804 = OR(g34740, g18591)
+g34805 = OR(g34748, g18594)
+g34806 = OR(g34763, g18595)
+g34807 = OR(g34764, g18596)
+g34808 = OR(g34765, g18599)
+g34809 = OR(g33677, g34738)
+g34819 = OR(g34741, g34684)
+g34826 = OR(g34742, g34685)
+g34843 = OR(g33924, g34782)
+g34849 = OR(g34842, g18154)
+g34850 = OR(g34841, g18185)
+g34856 = OR(g34811, g34743)
+g34880 = OR(g34867, g18153)
+g34881 = OR(g34866, g18187)
+g34882 = OR(g34876, g18659)
+g34884 = OR(g34858, g21666)
+g34887 = OR(g34865, g21670)
+g34890 = OR(g34863, g21674)
+g34894 = OR(g34862, g21678)
+g34897 = OR(g34861, g21682)
+g34900 = OR(g34860, g21686)
+g34903 = OR(g34859, g21690)
+g34906 = OR(g34857, g21694)
+g34911 = OR(g34909, g18188)
+g34931 = OR(g2984, g34912)
+g34957 = OR(g34948, g21662)
+g34970 = OR(g34868, g34961)
+g34971 = OR(g34869, g34962)
+g34974 = OR(g34870, g34963)
+g34975 = OR(g34871, g34964)
+g34976 = OR(g34872, g34965)
+g34977 = OR(g34873, g34966)
+g34978 = OR(g34874, g34967)
+g34979 = OR(g34875, g34968)
+g34980 = OR(g34969, g18587)
+g35000 = OR(g34953, g34999)
+
+I11824 = NAND(g4593, g4601)
+I11825 = NAND(g4593, I11824)
+I11826 = NAND(g4601, I11824)
+g7133 = NAND(I11825, I11826)
+g7150 = NAND(g5016, g5062)
+g7167 = NAND(g5360, g5406)
+g7184 = NAND(g5706, g5752)
+I11864 = NAND(g4434, g4401)
+I11865 = NAND(g4434, I11864)
+I11866 = NAND(g4401, I11864)
+g7201 = NAND(I11865, I11866)
+g7209 = NAND(g6052, g6098)
+I11877 = NAND(g4388, g4430)
+I11878 = NAND(g4388, I11877)
+I11879 = NAND(g4430, I11877)
+g7223 = NAND(I11878, I11879)
+g7227 = NAND(g4584, g4593)
+g7228 = NAND(g6398, g6444)
+g7442 = NAND(g896, g890)
+g7549 = NAND(g1018, g1030)
+g7582 = NAND(g1361, g1373)
+I12074 = NAND(g996, g979)
+I12075 = NAND(g996, I12074)
+I12076 = NAND(g979, I12074)
+g7598 = NAND(I12075, I12076)
+g7611 = NAND(g4057, g4064)
+I12096 = NAND(g1339, g1322)
+I12097 = NAND(g1339, I12096)
+I12098 = NAND(g1322, I12096)
+g7620 = NAND(I12097, I12098)
+g7690 = NAND(g4669, g4659, g4653)
+g7701 = NAND(g4859, g4849, g4843)
+I12203 = NAND(g1094, g1135)
+I12204 = NAND(g1094, I12203)
+I12205 = NAND(g1135, I12203)
+g7803 = NAND(I12204, I12205)
+I12217 = NAND(g1437, g1478)
+I12218 = NAND(g1437, I12217)
+I12219 = NAND(g1478, I12217)
+g7823 = NAND(I12218, I12219)
+g7836 = NAND(g4653, g4688)
+g7846 = NAND(g4843, g4878)
+g7850 = NAND(g554, g807)
+I12240 = NAND(g1111, g1105)
+I12241 = NAND(g1111, I12240)
+I12242 = NAND(g1105, I12240)
+g7857 = NAND(I12241, I12242)
+I12251 = NAND(g1124, g1129)
+I12252 = NAND(g1124, I12251)
+I12253 = NAND(g1129, I12251)
+g7869 = NAND(I12252, I12253)
+I12261 = NAND(g1454, g1448)
+I12262 = NAND(g1454, I12261)
+I12263 = NAND(g1448, I12261)
+g7879 = NAND(I12262, I12263)
+I12269 = NAND(g1141, g956)
+I12270 = NAND(g1141, I12269)
+I12271 = NAND(g956, I12269)
+g7885 = NAND(I12270, I12271)
+I12277 = NAND(g1467, g1472)
+I12278 = NAND(g1467, I12277)
+I12279 = NAND(g1472, I12277)
+g7887 = NAND(I12278, I12279)
+I12287 = NAND(g1484, g1300)
+I12288 = NAND(g1484, I12287)
+I12289 = NAND(g1300, I12287)
+g7897 = NAND(I12288, I12289)
+I12344 = NAND(g3106, g3111)
+I12345 = NAND(g3106, I12344)
+I12346 = NAND(g3111, I12344)
+g8010 = NAND(I12345, I12346)
+I12372 = NAND(g3457, g3462)
+I12373 = NAND(g3457, I12372)
+I12374 = NAND(g3462, I12372)
+g8069 = NAND(I12373, I12374)
+g8105 = NAND(g3068, g3072)
+I12401 = NAND(g3808, g3813)
+I12402 = NAND(g3808, I12401)
+I12403 = NAND(g3813, I12401)
+g8124 = NAND(I12402, I12403)
+g8163 = NAND(g3419, g3423)
+g8227 = NAND(g3770, g3774)
+I12468 = NAND(g405, g392)
+I12469 = NAND(g405, I12468)
+I12470 = NAND(g392, I12468)
+g8238 = NAND(I12469, I12470)
+g8292 = NAND(g218, g215)
+g8347 = NAND(g4358, g4349, g4340)
+I12544 = NAND(g191, g194)
+I12545 = NAND(g191, I12544)
+I12546 = NAND(g194, I12544)
+g8359 = NAND(I12545, I12546)
+g8434 = NAND(g3080, g3072)
+g8500 = NAND(g3431, g3423)
+g8561 = NAND(g3782, g3774)
+g8609 = NAND(g1171, g1157)
+g8632 = NAND(g1514, g1500)
+g8678 = NAND(g376, g358)
+g8691 = NAND(g3267, g3310, g3281, g3303)
+g8728 = NAND(g3618, g3661, g3632, g3654)
+I12728 = NAND(g4291, g4287)
+I12729 = NAND(g4291, I12728)
+I12730 = NAND(g4287, I12728)
+g8737 = NAND(I12729, I12730)
+g8751 = NAND(g3969, g4012, g3983, g4005)
+g8769 = NAND(g691, g714)
+g8803 = NAND(g128, g4646)
+g8806 = NAND(g358, g370, g376, g385)
+g8829 = NAND(g5011, g4836)
+g8847 = NAND(g4831, g4681)
+I12840 = NAND(g4222, g4235)
+I12841 = NAND(g4222, I12840)
+I12842 = NAND(g4235, I12840)
+g8871 = NAND(I12841, I12842)
+I12848 = NAND(g4281, g4277)
+I12849 = NAND(g4281, I12848)
+I12850 = NAND(g4277, I12848)
+g8873 = NAND(I12849, I12850)
+g8889 = NAND(g3684, g4871)
+I12876 = NAND(g4200, g4180)
+I12877 = NAND(g4200, I12876)
+I12878 = NAND(g4180, I12876)
+g8913 = NAND(I12877, I12878)
+g8967 = NAND(g4264, g4258)
+g9092 = NAND(g3004, g3050)
+g9177 = NAND(g3355, g3401)
+g9203 = NAND(g3706, g3752)
+g9246 = NAND(g847, g812)
+I13043 = NAND(g5115, g5120)
+I13044 = NAND(g5115, I13043)
+I13045 = NAND(g5120, I13043)
+g9258 = NAND(I13044, I13045)
+I13065 = NAND(g4308, g4304)
+I13066 = NAND(g4308, I13065)
+I13067 = NAND(g4304, I13065)
+g9295 = NAND(I13066, I13067)
+I13077 = NAND(g5462, g5467)
+I13078 = NAND(g5462, I13077)
+I13079 = NAND(g5467, I13077)
+g9310 = NAND(I13078, I13079)
+g9334 = NAND(g827, g832)
+g9372 = NAND(g5080, g5084)
+I13109 = NAND(g5808, g5813)
+I13110 = NAND(g5808, I13109)
+I13111 = NAND(g5813, I13109)
+g9391 = NAND(I13110, I13111)
+g9442 = NAND(g5424, g5428)
+I13139 = NAND(g6154, g6159)
+I13140 = NAND(g6154, I13139)
+I13141 = NAND(g6159, I13139)
+g9461 = NAND(I13140, I13141)
+g9485 = NAND(g1657, g1624)
+g9509 = NAND(g5770, g5774)
+I13182 = NAND(g6500, g6505)
+I13183 = NAND(g6500, I13182)
+I13184 = NAND(g6505, I13182)
+g9528 = NAND(I13183, I13184)
+g9538 = NAND(g1792, g1760)
+g9543 = NAND(g2217, g2185)
+g9567 = NAND(g6116, g6120)
+g9591 = NAND(g1926, g1894)
+g9595 = NAND(g2351, g2319)
+g9629 = NAND(g6462, g6466)
+g9645 = NAND(g2060, g2028)
+g9654 = NAND(g2485, g2453)
+g9663 = NAND(g128, g4646)
+g9705 = NAND(g2619, g2587)
+g9715 = NAND(g5011, g4836)
+g9724 = NAND(g5092, g5084)
+I13334 = NAND(g1687, g1691)
+I13335 = NAND(g1687, I13334)
+I13336 = NAND(g1691, I13334)
+g9750 = NAND(I13335, I13336)
+g9775 = NAND(g4831, g4681)
+g9800 = NAND(g5436, g5428)
+I13382 = NAND(g269, g246)
+I13383 = NAND(g269, I13382)
+I13384 = NAND(g246, I13382)
+g9823 = NAND(I13383, I13384)
+I13390 = NAND(g1821, g1825)
+I13391 = NAND(g1821, I13390)
+I13392 = NAND(g1825, I13390)
+g9825 = NAND(I13391, I13392)
+I13401 = NAND(g2246, g2250)
+I13402 = NAND(g2246, I13401)
+I13403 = NAND(g2250, I13401)
+g9830 = NAND(I13402, I13403)
+g9852 = NAND(g3684, g4871)
+g9883 = NAND(g5782, g5774)
+I13442 = NAND(g262, g239)
+I13443 = NAND(g262, I13442)
+I13444 = NAND(g239, I13442)
+g9904 = NAND(I13443, I13444)
+I13452 = NAND(g1955, g1959)
+I13453 = NAND(g1955, I13452)
+I13454 = NAND(g1959, I13452)
+g9908 = NAND(I13453, I13454)
+I13462 = NAND(g2380, g2384)
+I13463 = NAND(g2380, I13462)
+I13464 = NAND(g2384, I13462)
+g9912 = NAND(I13463, I13464)
+g9954 = NAND(g6128, g6120)
+I13497 = NAND(g255, g232)
+I13498 = NAND(g255, I13497)
+I13499 = NAND(g232, I13497)
+g9966 = NAND(I13498, I13499)
+I13509 = NAND(g2089, g2093)
+I13510 = NAND(g2089, I13509)
+I13511 = NAND(g2093, I13509)
+g9972 = NAND(I13510, I13511)
+I13518 = NAND(g2514, g2518)
+I13519 = NAND(g2514, I13518)
+I13520 = NAND(g2518, I13518)
+g9975 = NAND(I13519, I13520)
+g10022 = NAND(g6474, g6466)
+I13564 = NAND(g2648, g2652)
+I13565 = NAND(g2648, I13564)
+I13566 = NAND(g2652, I13564)
+g10041 = NAND(I13565, I13566)
+g10124 = NAND(g5276, g5320, g5290, g5313)
+g10160 = NAND(g5623, g5666, g5637, g5659)
+g10185 = NAND(g5969, g6012, g5983, g6005)
+g10207 = NAND(g6315, g6358, g6329, g6351)
+g10224 = NAND(g6661, g6704, g6675, g6697)
+I13729 = NAND(g4534, g4537)
+I13730 = NAND(g4534, I13729)
+I13731 = NAND(g4537, I13729)
+g10307 = NAND(I13730, I13731)
+I13749 = NAND(g4608, g4584)
+I13750 = NAND(g4608, I13749)
+I13751 = NAND(g4584, I13749)
+g10336 = NAND(I13750, I13751)
+I13850 = NAND(g862, g7397)
+I13851 = NAND(g862, I13850)
+I13852 = NAND(g7397, I13850)
+g10472 = NAND(I13851, I13852)
+g10511 = NAND(g4628, g7202, g4621)
+g10515 = NAND(g10337, g5022)
+g10520 = NAND(g7195, g7115)
+g10529 = NAND(g1592, g7308)
+g10537 = NAND(g7138, g5366)
+g10550 = NAND(g7268, g7308)
+g10551 = NAND(g1728, g7356)
+g10552 = NAND(g2153, g7374)
+g10556 = NAND(g7971, g8133)
+g10561 = NAND(g7157, g5712)
+g10566 = NAND(g7315, g7356)
+g10567 = NAND(g1862, g7405)
+g10568 = NAND(g7328, g7374)
+g10569 = NAND(g2287, g7418)
+g10573 = NAND(g7992, g8179)
+g10578 = NAND(g7174, g6058)
+g10583 = NAND(g7475, g862)
+g10584 = NAND(g7362, g7405)
+g10585 = NAND(g1996, g7451)
+g10586 = NAND(g7380, g7418)
+g10587 = NAND(g2421, g7456)
+g10598 = NAND(g7191, g6404)
+g10601 = NAND(g896, g7397)
+g10602 = NAND(g7411, g7451)
+g10603 = NAND(g10077, g9751)
+g10604 = NAND(g7424, g7456)
+g10605 = NAND(g2555, g7490)
+g10609 = NAND(g10111, g9826)
+g10610 = NAND(g7462, g7490)
+g10611 = NAND(g10115, g9831)
+g10614 = NAND(g9024, g8977, g8928)
+g10617 = NAND(g10151, g9909)
+g10618 = NAND(g10153, g9913)
+g10622 = NAND(g10178, g9973)
+g10623 = NAND(g10181, g9976)
+g10653 = NAND(g10204, g10042)
+g10726 = NAND(g7304, g7661, g979, g1061)
+g10737 = NAND(g6961, g9848)
+g10738 = NAND(g6961, g10308)
+g10754 = NAND(g7936, g7913, g8411)
+g10755 = NAND(g7352, g7675, g1322, g1404)
+g10759 = NAND(g7537, g324)
+g10775 = NAND(g7960, g7943, g8470)
+g10796 = NAND(g7537, g7523)
+g10820 = NAND(g9985, g9920, g9843)
+g10905 = NAND(g1116, g7304)
+g10909 = NAND(g7304, g1116)
+g10916 = NAND(g1146, g7854)
+g10928 = NAND(g8181, g8137, g417)
+g10929 = NAND(g1099, g7854)
+g10935 = NAND(g1459, g7352)
+g10939 = NAND(g7352, g1459)
+g10946 = NAND(g1489, g7876)
+g10951 = NAND(g7845, g7868)
+g10961 = NAND(g1442, g7876)
+g10971 = NAND(g7867, g7886)
+g11002 = NAND(g7475, g862)
+g11020 = NAND(g9187, g9040)
+g11117 = NAND(g8087, g8186, g8239)
+I14169 = NAND(g8389, g3119)
+I14170 = NAND(g8389, I14169)
+I14171 = NAND(g3119, I14169)
+g11118 = NAND(I14170, I14171)
+g11130 = NAND(g1221, g7918)
+g11134 = NAND(g8138, g8240, g8301)
+I14185 = NAND(g8442, g3470)
+I14186 = NAND(g8442, I14185)
+I14187 = NAND(g3470, I14185)
+g11135 = NAND(I14186, I14187)
+g11149 = NAND(g1564, g7948)
+I14204 = NAND(g8508, g3821)
+I14205 = NAND(g8508, I14204)
+I14206 = NAND(g3821, I14204)
+g11153 = NAND(I14205, I14206)
+I14211 = NAND(g9252, g9295)
+I14212 = NAND(g9252, I14211)
+I14213 = NAND(g9295, I14211)
+g11154 = NAND(I14212, I14213)
+g11155 = NAND(g4776, g7892, g9030)
+I14228 = NAND(g979, g8055)
+I14229 = NAND(g979, I14228)
+I14230 = NAND(g8055, I14228)
+g11169 = NAND(I14229, I14230)
+g11172 = NAND(g8478, g3096)
+g11173 = NAND(g4966, g7898, g9064)
+I14247 = NAND(g1322, g8091)
+I14248 = NAND(g1322, I14247)
+I14249 = NAND(g8091, I14247)
+g11189 = NAND(I14248, I14249)
+g11190 = NAND(g8539, g3447)
+I14257 = NAND(g8154, g3133)
+I14258 = NAND(g8154, I14257)
+I14259 = NAND(g3133, I14257)
+g11193 = NAND(I14258, I14259)
+g11200 = NAND(g8592, g3798)
+I14275 = NAND(g8218, g3484)
+I14276 = NAND(g8218, I14275)
+I14277 = NAND(g3484, I14275)
+g11206 = NAND(I14276, I14277)
+I14289 = NAND(g8282, g3835)
+I14290 = NAND(g8282, I14289)
+I14291 = NAND(g3835, I14289)
+g11224 = NAND(I14290, I14291)
+g11245 = NAND(g7636, g7733, g7697)
+g11251 = NAND(g8438, g3092)
+g11279 = NAND(g8504, g3443)
+I14330 = NAND(g225, g9966)
+I14331 = NAND(g225, I14330)
+I14332 = NAND(g9966, I14330)
+g11292 = NAND(I14331, I14332)
+g11302 = NAND(g9496, g3281)
+g11312 = NAND(g8565, g3794)
+g11320 = NAND(g4633, g4621, g7202)
+I14350 = NAND(g8890, g8848)
+I14351 = NAND(g8890, I14350)
+I14352 = NAND(g8848, I14350)
+g11323 = NAND(I14351, I14352)
+g11326 = NAND(g8993, g376, g365, g370)
+g11330 = NAND(g9483, g1193)
+I14368 = NAND(g8481, g3303)
+I14369 = NAND(g8481, I14368)
+I14370 = NAND(g3303, I14368)
+g11350 = NAND(I14369, I14370)
+g11355 = NAND(g9551, g3310)
+g11356 = NAND(g9552, g3632)
+g11374 = NAND(g9536, g1536)
+g11381 = NAND(g9660, g3274)
+g11382 = NAND(g8644, g6895, g8663)
+I14398 = NAND(g8542, g3654)
+I14399 = NAND(g8542, I14398)
+I14400 = NAND(g3654, I14398)
+g11389 = NAND(I14399, I14400)
+g11394 = NAND(g9600, g3661)
+g11395 = NAND(g9601, g3983)
+g11396 = NAND(g8713, g4688)
+g11405 = NAND(g2741, g2735, g6856, g2748)
+g11409 = NAND(g9842, g3298)
+g11410 = NAND(g6875, g6895, g8696)
+g11411 = NAND(g9713, g3625)
+g11412 = NAND(g8666, g6918, g8697)
+I14427 = NAND(g8595, g4005)
+I14428 = NAND(g8595, I14427)
+I14429 = NAND(g4005, I14427)
+g11419 = NAND(I14428, I14429)
+g11424 = NAND(g9662, g4012)
+g11426 = NAND(g8742, g4878)
+g11432 = NAND(g10295, g8864)
+g11441 = NAND(g9599, g3267)
+g11442 = NAND(g8644, g3288, g3343)
+g11443 = NAND(g9916, g3649)
+g11444 = NAND(g6905, g6918, g8733)
+g11445 = NAND(g9771, g3976)
+g11446 = NAND(g8700, g6941, g8734)
+g11479 = NAND(g6875, g3288, g3347)
+g11480 = NAND(g10323, g8906)
+g11489 = NAND(g9661, g3618)
+g11490 = NAND(g8666, g3639, g3694)
+g11491 = NAND(g9982, g4000)
+g11492 = NAND(g6928, g6941, g8756)
+I14480 = NAND(g10074, g655)
+I14481 = NAND(g10074, I14480)
+I14482 = NAND(g655, I14480)
+g11511 = NAND(I14481, I14482)
+g11533 = NAND(g6905, g3639, g3698)
+g11534 = NAND(g7121, g8958)
+g11543 = NAND(g9714, g3969)
+g11544 = NAND(g8700, g3990, g4045)
+I14497 = NAND(g9020, g8737)
+I14498 = NAND(g9020, I14497)
+I14499 = NAND(g8737, I14497)
+g11545 = NAND(I14498, I14499)
+I14508 = NAND(g370, g8721)
+I14509 = NAND(g370, I14508)
+I14510 = NAND(g8721, I14508)
+g11559 = NAND(I14509, I14510)
+I14516 = NAND(g10147, g661)
+I14517 = NAND(g10147, I14516)
+I14518 = NAND(g661, I14516)
+g11561 = NAND(I14517, I14518)
+g11590 = NAND(g6928, g3990, g4049)
+I14530 = NAND(g8840, g8873)
+I14531 = NAND(g8840, I14530)
+I14532 = NAND(g8873, I14530)
+g11591 = NAND(I14531, I14532)
+g11639 = NAND(g8933, g4722)
+g11674 = NAND(g8676, g4674)
+g11675 = NAND(g8984, g4912)
+g11676 = NAND(g358, g8944, g376, g385)
+g11679 = NAND(g8836, g802)
+g11707 = NAND(g8718, g4864)
+g11708 = NAND(g10147, g10110)
+I14609 = NAND(g8993, g8678)
+I14610 = NAND(g8993, I14609)
+I14611 = NAND(g8678, I14609)
+g11761 = NAND(I14610, I14611)
+g11858 = NAND(g9014, g3010)
+g11881 = NAND(g9060, g3361)
+g11892 = NAND(g7777, g9086)
+g11903 = NAND(g9099, g3712)
+I14712 = NAND(g9671, g5128)
+I14713 = NAND(g9671, I14712)
+I14714 = NAND(g5128, I14712)
+g11906 = NAND(I14713, I14714)
+g11914 = NAND(g8187, g1648)
+I14733 = NAND(g9732, g5475)
+I14734 = NAND(g9732, I14733)
+I14735 = NAND(g5475, I14733)
+g11923 = NAND(I14734, I14735)
+g11933 = NAND(g837, g9334, g7197)
+g11934 = NAND(g8139, g8187)
+g11936 = NAND(g8241, g1783)
+g11938 = NAND(g8259, g2208)
+I14764 = NAND(g9808, g5821)
+I14765 = NAND(g9808, I14764)
+I14766 = NAND(g5821, I14764)
+g11944 = NAND(I14765, I14766)
+g11951 = NAND(g9166, g847, g703)
+g11952 = NAND(g1624, g8187)
+g11953 = NAND(g8195, g8241)
+g11955 = NAND(g8302, g1917)
+g11957 = NAND(g8205, g8259)
+g11959 = NAND(g8316, g2342)
+g11961 = NAND(g9777, g5105)
+I14788 = NAND(g9891, g6167)
+I14789 = NAND(g9891, I14788)
+I14790 = NAND(g6167, I14788)
+g11962 = NAND(I14789, I14790)
+g11968 = NAND(g837, g9334, g9086)
+g11969 = NAND(g7252, g1636)
+g11970 = NAND(g1760, g8241)
+g11971 = NAND(g8249, g8302)
+g11973 = NAND(g8365, g2051)
+g11974 = NAND(g2185, g8259)
+g11975 = NAND(g8267, g8316)
+g11977 = NAND(g8373, g2476)
+g11979 = NAND(g9861, g5452)
+I14816 = NAND(g9962, g6513)
+I14817 = NAND(g9962, I14816)
+I14818 = NAND(g6513, I14816)
+g11980 = NAND(I14817, I14818)
+g11990 = NAND(g9166, g703)
+g11992 = NAND(g7275, g1772)
+g11993 = NAND(g1894, g8302)
+g11994 = NAND(g8310, g8365)
+g11996 = NAND(g7280, g2197)
+g11997 = NAND(g2319, g8316)
+g11998 = NAND(g8324, g8373)
+g12000 = NAND(g8418, g2610)
+I14853 = NAND(g9433, g5142)
+I14854 = NAND(g9433, I14853)
+I14855 = NAND(g5142, I14853)
+g12001 = NAND(I14854, I14855)
+g12008 = NAND(g9932, g5798)
+g12014 = NAND(g7197, g703)
+g12016 = NAND(g1648, g8093)
+g12019 = NAND(g7322, g1906)
+g12020 = NAND(g2028, g8365)
+g12022 = NAND(g7335, g2331)
+g12023 = NAND(g2453, g8373)
+g12024 = NAND(g8381, g8418)
+I14883 = NAND(g9500, g5489)
+I14884 = NAND(g9500, I14883)
+I14885 = NAND(g5489, I14883)
+g12028 = NAND(I14884, I14885)
+g12035 = NAND(g10000, g6144)
+g12042 = NAND(g9086, g703)
+g12044 = NAND(g1657, g8139)
+g12045 = NAND(g1783, g8146)
+g12048 = NAND(g7369, g2040)
+g12049 = NAND(g2208, g8150)
+g12052 = NAND(g7387, g2465)
+g12053 = NAND(g2587, g8418)
+I14923 = NAND(g9558, g5835)
+I14924 = NAND(g9558, I14923)
+I14925 = NAND(g5835, I14923)
+g12066 = NAND(I14924, I14925)
+g12073 = NAND(g10058, g6490)
+g12078 = NAND(g8187, g8093)
+g12079 = NAND(g1792, g8195)
+g12080 = NAND(g1917, g8201)
+g12083 = NAND(g2217, g8205)
+g12084 = NAND(g2342, g8211)
+g12087 = NAND(g7431, g2599)
+I14955 = NAND(g9620, g6181)
+I14956 = NAND(g9620, I14955)
+I14957 = NAND(g6181, I14955)
+g12100 = NAND(I14956, I14957)
+g12111 = NAND(g847, g9166)
+g12112 = NAND(g8139, g1624)
+g12114 = NAND(g8241, g8146)
+g12115 = NAND(g1926, g8249)
+g12116 = NAND(g2051, g8255)
+g12118 = NAND(g8259, g8150)
+g12119 = NAND(g2351, g8267)
+g12120 = NAND(g2476, g8273)
+g12124 = NAND(g8741, g4674)
+g12125 = NAND(g9728, g5101)
+I14991 = NAND(g9685, g6527)
+I14992 = NAND(g9685, I14991)
+I14993 = NAND(g6527, I14991)
+g12136 = NAND(I14992, I14993)
+I15002 = NAND(g9691, g1700)
+I15003 = NAND(g9691, I15002)
+I15004 = NAND(g1700, I15002)
+g12144 = NAND(I15003, I15004)
+g12145 = NAND(g8195, g1760)
+g12147 = NAND(g8302, g8201)
+g12148 = NAND(g2060, g8310)
+g12149 = NAND(g8205, g2185)
+g12151 = NAND(g8316, g8211)
+g12152 = NAND(g2485, g8324)
+g12153 = NAND(g2610, g8330)
+g12155 = NAND(g7753, g7717)
+g12159 = NAND(g8765, g4864)
+g12169 = NAND(g9804, g5448)
+g12185 = NAND(g9905, g799)
+I15041 = NAND(g9752, g1834)
+I15042 = NAND(g9752, I15041)
+I15043 = NAND(g1834, I15041)
+g12187 = NAND(I15042, I15043)
+g12188 = NAND(g8249, g1894)
+g12190 = NAND(g8365, g8255)
+I15051 = NAND(g9759, g2259)
+I15052 = NAND(g9759, I15051)
+I15053 = NAND(g2259, I15051)
+g12191 = NAND(I15052, I15053)
+g12192 = NAND(g8267, g2319)
+g12194 = NAND(g8373, g8273)
+g12195 = NAND(g2619, g8381)
+g12196 = NAND(g8764, g4688)
+g12197 = NAND(g7296, g5290)
+g12207 = NAND(g9887, g5794)
+I15078 = NAND(g9827, g1968)
+I15079 = NAND(g9827, I15078)
+I15080 = NAND(g1968, I15078)
+g12221 = NAND(I15079, I15080)
+g12222 = NAND(g8310, g2028)
+I15087 = NAND(g9832, g2393)
+I15088 = NAND(g9832, I15087)
+I15089 = NAND(g2393, I15087)
+g12224 = NAND(I15088, I15089)
+g12225 = NAND(g8324, g2453)
+g12227 = NAND(g8418, g8330)
+g12232 = NAND(g8804, g4878)
+I15105 = NAND(g9780, g5313)
+I15106 = NAND(g9780, I15105)
+I15107 = NAND(g5313, I15105)
+g12239 = NAND(I15106, I15107)
+g12244 = NAND(g7343, g5320)
+g12245 = NAND(g7344, g5637)
+g12255 = NAND(g9958, g6140)
+I15121 = NAND(g9910, g2102)
+I15122 = NAND(g9910, I15121)
+I15123 = NAND(g2102, I15121)
+g12285 = NAND(I15122, I15123)
+I15128 = NAND(g9914, g2527)
+I15129 = NAND(g9914, I15128)
+I15130 = NAND(g2527, I15128)
+g12286 = NAND(I15129, I15130)
+g12287 = NAND(g8381, g2587)
+g12289 = NAND(g9978, g9766, g9708)
+g12292 = NAND(g4698, g8933)
+g12293 = NAND(g7436, g5283)
+g12294 = NAND(g10044, g7018, g10090)
+I15147 = NAND(g9864, g5659)
+I15148 = NAND(g9864, I15147)
+I15149 = NAND(g5659, I15147)
+g12301 = NAND(I15148, I15149)
+g12306 = NAND(g7394, g5666)
+g12307 = NAND(g7395, g5983)
+g12317 = NAND(g10026, g6486)
+g12323 = NAND(g9480, g640)
+I15166 = NAND(g9904, g9823)
+I15167 = NAND(g9904, I15166)
+I15168 = NAND(g9823, I15166)
+g12332 = NAND(I15167, I15168)
+I15174 = NAND(g9977, g2661)
+I15175 = NAND(g9977, I15174)
+I15176 = NAND(g2661, I15174)
+g12336 = NAND(I15175, I15176)
+g12340 = NAND(g4888, g8984)
+g12341 = NAND(g7512, g5308)
+g12342 = NAND(g7004, g7018, g10129)
+g12343 = NAND(g7470, g5630)
+g12344 = NAND(g10093, g7041, g10130)
+I15193 = NAND(g9935, g6005)
+I15194 = NAND(g9935, I15193)
+I15195 = NAND(g6005, I15193)
+g12351 = NAND(I15194, I15195)
+g12356 = NAND(g7438, g6012)
+g12357 = NAND(g7439, g6329)
+g12369 = NAND(g9049, g637)
+I15212 = NAND(g10035, g1714)
+I15213 = NAND(g10035, I15212)
+I15214 = NAND(g1714, I15212)
+g12370 = NAND(I15213, I15214)
+g12402 = NAND(g7704, g10266)
+g12411 = NAND(g7393, g5276)
+g12412 = NAND(g10044, g5297, g5348)
+g12413 = NAND(g7521, g5654)
+g12414 = NAND(g7028, g7041, g10165)
+g12415 = NAND(g7496, g5976)
+g12416 = NAND(g10133, g7064, g10166)
+I15241 = NAND(g10003, g6351)
+I15242 = NAND(g10003, I15241)
+I15243 = NAND(g6351, I15241)
+g12423 = NAND(I15242, I15243)
+g12428 = NAND(g7472, g6358)
+g12429 = NAND(g7473, g6675)
+I15253 = NAND(g10078, g1848)
+I15254 = NAND(g10078, I15253)
+I15255 = NAND(g1848, I15253)
+g12431 = NAND(I15254, I15255)
+I15262 = NAND(g10081, g2273)
+I15263 = NAND(g10081, I15262)
+I15264 = NAND(g2273, I15262)
+g12436 = NAND(I15263, I15264)
+g12449 = NAND(g7004, g5297, g5352)
+g12450 = NAND(g7738, g10281)
+g12459 = NAND(g7437, g5623)
+g12460 = NAND(g10093, g5644, g5694)
+g12461 = NAND(g7536, g6000)
+g12462 = NAND(g7051, g7064, g10190)
+g12463 = NAND(g7513, g6322)
+g12464 = NAND(g10169, g7087, g10191)
+I15287 = NAND(g10061, g6697)
+I15288 = NAND(g10061, I15287)
+I15289 = NAND(g6697, I15287)
+g12471 = NAND(I15288, I15289)
+g12476 = NAND(g7498, g6704)
+I15298 = NAND(g10112, g1982)
+I15299 = NAND(g10112, I15298)
+I15300 = NAND(g1982, I15298)
+g12478 = NAND(I15299, I15300)
+I15306 = NAND(g10116, g2407)
+I15307 = NAND(g10116, I15306)
+I15308 = NAND(g2407, I15306)
+g12482 = NAND(I15307, I15308)
+g12491 = NAND(g7285, g4462, g6961)
+g12511 = NAND(g7028, g5644, g5698)
+g12512 = NAND(g7766, g10312)
+g12521 = NAND(g7471, g5969)
+g12522 = NAND(g10133, g5990, g6040)
+g12523 = NAND(g7563, g6346)
+g12524 = NAND(g7074, g7087, g10212)
+g12525 = NAND(g7522, g6668)
+g12526 = NAND(g10194, g7110, g10213)
+I15333 = NAND(g10152, g2116)
+I15334 = NAND(g10152, I15333)
+I15335 = NAND(g2116, I15333)
+g12538 = NAND(I15334, I15335)
+I15340 = NAND(g10154, g2541)
+I15341 = NAND(g10154, I15340)
+I15342 = NAND(g2541, I15340)
+g12539 = NAND(I15341, I15342)
+g12577 = NAND(g7051, g5990, g6044)
+g12578 = NAND(g7791, g10341)
+g12587 = NAND(g7497, g6315)
+g12588 = NAND(g10169, g6336, g6386)
+g12589 = NAND(g7591, g6692)
+g12590 = NAND(g7097, g7110, g10229)
+I15363 = NAND(g10182, g2675)
+I15364 = NAND(g10182, I15363)
+I15365 = NAND(g2675, I15363)
+g12592 = NAND(I15364, I15365)
+g12628 = NAND(g7074, g6336, g6390)
+g12629 = NAND(g7812, g7142)
+g12638 = NAND(g7514, g6661)
+g12639 = NAND(g10194, g6682, g6732)
+g12644 = NAND(g10233, g4531)
+g12686 = NAND(g7097, g6682, g6736)
+g12767 = NAND(g4467, g6961)
+g12796 = NAND(g4467, g6961)
+g12797 = NAND(g10275, g7655, g7643, g7627)
+g12819 = NAND(g9848, g6961)
+g12822 = NAND(g6978, g7236, g7224, g7163)
+g12910 = NAND(g11002, g10601)
+g12915 = NAND(g12806, g12632)
+g12933 = NAND(g7150, g10515)
+g12941 = NAND(g7167, g10537)
+g12947 = NAND(g7184, g10561)
+g12969 = NAND(g4388, g7178, g10476)
+g12971 = NAND(g9024, g8977, g10664)
+g12972 = NAND(g7209, g10578)
+g12999 = NAND(g4392, g10476, g4401)
+g13000 = NAND(g7228, g10598)
+g13040 = NAND(g5196, g12002, g5308, g9780)
+g13043 = NAND(g10521, g969)
+g13050 = NAND(g5543, g12029, g5654, g9864)
+g13057 = NAND(g969, g11294)
+g13058 = NAND(g10544, g1312)
+g13066 = NAND(g4430, g7178, g10590)
+g13067 = NAND(g5240, g12059, g5331, g9780)
+g13069 = NAND(g5889, g12067, g6000, g9935)
+g13079 = NAND(g1312, g11336)
+g13083 = NAND(g4392, g10590, g4434)
+g13084 = NAND(g5587, g12093, g5677, g9864)
+g13086 = NAND(g6235, g12101, g6346, g10003)
+g13092 = NAND(g1061, g10761)
+g13093 = NAND(g10649, g7661, g979, g1061)
+g13097 = NAND(g5204, g12002, g5339, g9780)
+g13098 = NAND(g5933, g12129, g6023, g9935)
+g13100 = NAND(g6581, g12137, g6692, g10061)
+g13102 = NAND(g7523, g10759)
+g13104 = NAND(g1404, g10794)
+g13105 = NAND(g10671, g7675, g1322, g1404)
+g13108 = NAND(g5551, g12029, g5685, g9864)
+g13109 = NAND(g6279, g12173, g6369, g10003)
+g13115 = NAND(g1008, g11786, g11294)
+g13118 = NAND(g5897, g12067, g6031, g9935)
+g13119 = NAND(g6625, g12211, g6715, g10061)
+g13121 = NAND(g11117, g8411)
+g13124 = NAND(g10666, g7661, g979, g1061)
+g13130 = NAND(g1351, g11815, g11336)
+g13131 = NAND(g6243, g12101, g6377, g10003)
+g13134 = NAND(g11134, g8470)
+g13137 = NAND(g10699, g7675, g1322, g1404)
+g13139 = NAND(g6589, g12137, g6723, g10061)
+g13143 = NAND(g10695, g7661, g979, g1061)
+g13176 = NAND(g10715, g7675, g1322, g1404)
+g13210 = NAND(g7479, g10521)
+g13217 = NAND(g4082, g10808)
+g13240 = NAND(g1046, g10521)
+g13241 = NAND(g7503, g10544)
+g13248 = NAND(g9985, g12399, g9843)
+g13256 = NAND(g11846, g11294, g11812)
+g13257 = NAND(g1389, g10544)
+g13260 = NAND(g1116, g10666)
+g13264 = NAND(g11869, g11336, g11849)
+g13266 = NAND(g12440, g9920, g9843)
+g13273 = NAND(g1459, g10699)
+g13281 = NAND(g10916, g1099)
+g13283 = NAND(g12440, g12399, g9843)
+g13284 = NAND(g10695, g1157)
+g13288 = NAND(g10946, g1442)
+g13291 = NAND(g10715, g1500)
+g13307 = NAND(g1116, g10695)
+g13315 = NAND(g1459, g10715)
+g13330 = NAND(g4664, g11006)
+g13346 = NAND(g4854, g11012)
+g13432 = NAND(g4793, g10831)
+g13459 = NAND(g7479, g11294, g11846)
+g13462 = NAND(g12449, g12412, g12342, g12294)
+g13464 = NAND(g10831, g4793, g4776)
+g13469 = NAND(g4983, g10862)
+g13475 = NAND(g1008, g11294, g11786)
+g13476 = NAND(g7503, g11336, g11869)
+g13478 = NAND(g12511, g12460, g12414, g12344)
+g13479 = NAND(g12686, g12639, g12590, g12526)
+g13486 = NAND(g10862, g4983, g4966)
+g13495 = NAND(g1008, g11786, g7972)
+g13496 = NAND(g1351, g11336, g11815)
+g13498 = NAND(g12577, g12522, g12462, g12416)
+g13499 = NAND(g11479, g11442, g11410, g11382)
+g13511 = NAND(g182, g174, g203, g12812)
+g13513 = NAND(g1351, g11815, g8002)
+g13515 = NAND(g12628, g12588, g12524, g12464)
+g13516 = NAND(g11533, g11490, g11444, g11412)
+g13527 = NAND(g182, g168, g203, g12812)
+g13528 = NAND(g11294, g7549, g1008)
+g13529 = NAND(g11590, g11544, g11492, g11446)
+g13544 = NAND(g7972, g10521, g7549, g1008)
+g13551 = NAND(g11812, g7479, g7903, g10521)
+g13554 = NAND(g11336, g7582, g1351)
+g13573 = NAND(g8002, g10544, g7582, g1351)
+g13580 = NAND(g11849, g7503, g7922, g10544)
+g13600 = NAND(g3021, g11039)
+g13627 = NAND(g11172, g8388)
+g13628 = NAND(g3372, g11107)
+g13634 = NAND(g11797, g11261)
+g13666 = NAND(g11190, g8441)
+g13667 = NAND(g3723, g11119)
+g13672 = NAND(g8933, g11261)
+g13676 = NAND(g11834, g11283)
+g13708 = NAND(g11200, g8507)
+g13709 = NAND(g11755, g11261)
+g13712 = NAND(g8984, g11283)
+g13727 = NAND(g174, g203, g168, g12812)
+g13739 = NAND(g11773, g11261)
+g13742 = NAND(g11780, g11283)
+g13756 = NAND(g203, g12812)
+g13764 = NAND(g11252, g3072)
+g13779 = NAND(g11804, g11283)
+g13795 = NAND(g11216, g401)
+g13797 = NAND(g8102, g11273)
+g13798 = NAND(g11280, g3423)
+g13821 = NAND(g11251, g8340)
+g13822 = NAND(g8160, g11306)
+g13823 = NAND(g11313, g3774)
+g13834 = NAND(g4754, g11773)
+g13846 = NAND(g1116, g10649)
+g13850 = NAND(g11279, g8396)
+g13851 = NAND(g8224, g11360)
+g13854 = NAND(g4765, g11797)
+g13855 = NAND(g4944, g11804)
+g13861 = NAND(g1459, g10671)
+g13866 = NAND(g3239, g11194, g3321, g11519)
+g13867 = NAND(g11312, g8449)
+g13870 = NAND(g11773, g4732)
+g13871 = NAND(g4955, g11834)
+g13873 = NAND(g11566, g11729)
+g13882 = NAND(g3590, g11207, g3672, g11576)
+g13884 = NAND(g11797, g4727)
+g13886 = NAND(g11804, g4922)
+g13889 = NAND(g11566, g11435)
+g13892 = NAND(g11653, g11473)
+g13896 = NAND(g3227, g11194, g3281, g11350)
+g13897 = NAND(g3211, g11217, g3329, g11519)
+g13898 = NAND(g11621, g11747)
+g13907 = NAND(g3941, g11225, g4023, g11631)
+g13909 = NAND(g11396, g8847, g11674, g8803)
+g13911 = NAND(g11834, g4917)
+g13915 = NAND(g11566, g11473)
+g13918 = NAND(g3259, g11217, g3267, g11350)
+g13920 = NAND(g11621, g11483)
+g13923 = NAND(g11692, g11527)
+g13927 = NAND(g3578, g11207, g3632, g11389)
+g13928 = NAND(g3562, g11238, g3680, g11576)
+g13929 = NAND(g11669, g11763)
+g13940 = NAND(g11426, g8889, g11707, g8829)
+g13945 = NAND(g691, g11740)
+g13948 = NAND(g11610, g8864)
+g13951 = NAND(g10295, g11729)
+g13955 = NAND(g11621, g11527)
+g13958 = NAND(g3610, g11238, g3618, g11389)
+g13960 = NAND(g11669, g11537)
+g13963 = NAND(g11715, g11584)
+g13967 = NAND(g3929, g11225, g3983, g11419)
+g13968 = NAND(g3913, g11255, g4031, g11631)
+g13977 = NAND(g11610, g11729)
+g13980 = NAND(g10295, g11435)
+g13983 = NAND(g11658, g8906)
+g13986 = NAND(g10323, g11747)
+g13990 = NAND(g11669, g11584)
+g13993 = NAND(g3961, g11255, g3969, g11419)
+g14005 = NAND(g11514, g11729)
+g14008 = NAND(g11610, g11435)
+g14011 = NAND(g10295, g11473)
+g14014 = NAND(g3199, g11217, g3298, g11519)
+g14015 = NAND(g11658, g11747)
+g14018 = NAND(g10323, g11483)
+g14021 = NAND(g11697, g8958)
+g14024 = NAND(g7121, g11763)
+g14038 = NAND(g11514, g11435)
+g14041 = NAND(g11610, g11473)
+g14045 = NAND(g11571, g11747)
+g14048 = NAND(g11658, g11483)
+g14051 = NAND(g10323, g11527)
+g14054 = NAND(g3550, g11238, g3649, g11576)
+g14055 = NAND(g11697, g11763)
+g14058 = NAND(g7121, g11537)
+g14066 = NAND(g11514, g11473)
+g14069 = NAND(g11653, g8864)
+g14072 = NAND(g11571, g11483)
+g14075 = NAND(g11658, g11527)
+g14079 = NAND(g11626, g11763)
+g14082 = NAND(g11697, g11537)
+g14085 = NAND(g7121, g11584)
+g14088 = NAND(g3901, g11255, g4000, g11631)
+g14089 = NAND(g11755, g4717)
+g14098 = NAND(g11566, g8864)
+g14101 = NAND(g11653, g11729)
+g14104 = NAND(g11514, g8864)
+g14107 = NAND(g11571, g11527)
+g14110 = NAND(g11692, g8906)
+g14113 = NAND(g11626, g11537)
+g14116 = NAND(g11697, g11584)
+g14120 = NAND(g11780, g4907)
+g14123 = NAND(g10685, g10928)
+g14127 = NAND(g11653, g11435)
+g14130 = NAND(g11621, g8906)
+g14133 = NAND(g11692, g11747)
+g14136 = NAND(g11571, g8906)
+g14139 = NAND(g11626, g11584)
+g14142 = NAND(g11715, g8958)
+g14146 = NAND(g11020, g691)
+g14151 = NAND(g11692, g11483)
+g14154 = NAND(g11669, g8958)
+g14157 = NAND(g11715, g11763)
+g14160 = NAND(g11626, g8958)
+g14170 = NAND(g11715, g11537)
+g14177 = NAND(g11741, g11721, g753)
+g14223 = NAND(g9092, g11858)
+g14234 = NAND(g9177, g11881)
+g14254 = NAND(g11968, g11933, g11951)
+g14258 = NAND(g9203, g11903)
+g14279 = NAND(g12111, g9246)
+g14317 = NAND(g5033, g11862)
+g14333 = NAND(g12042, g12014, g11990, g11892)
+g14343 = NAND(g11961, g9670)
+g14344 = NAND(g5377, g11885)
+g14378 = NAND(g11979, g9731)
+g14379 = NAND(g5723, g11907)
+g14407 = NAND(g12008, g9807)
+g14408 = NAND(g6069, g11924)
+g14422 = NAND(g3187, g11194, g3298, g8481)
+g14433 = NAND(g12035, g9890)
+g14434 = NAND(g6415, g11945)
+g14452 = NAND(g3538, g11207, g3649, g8542)
+g14489 = NAND(g12126, g5084)
+g14505 = NAND(g12073, g9961)
+g14517 = NAND(g3231, g11217, g3321, g8481)
+g14519 = NAND(g3889, g11225, g4000, g8595)
+g14520 = NAND(g9369, g12163)
+g14521 = NAND(g12170, g5428)
+g14542 = NAND(g3582, g11238, g3672, g8542)
+g14546 = NAND(g12125, g9613)
+g14547 = NAND(g9439, g12201)
+g14548 = NAND(g12208, g5774)
+g14569 = NAND(g3195, g11194, g3329, g8481)
+g14570 = NAND(g3933, g11255, g4023, g8595)
+g14572 = NAND(g12169, g9678)
+g14573 = NAND(g9506, g12249)
+g14574 = NAND(g12256, g6120)
+g14590 = NAND(g3546, g11207, g3680, g8542)
+g14596 = NAND(g12196, g9775, g12124, g9663)
+g14598 = NAND(g5248, g12002, g5331, g12497)
+g14599 = NAND(g12207, g9739)
+g14600 = NAND(g9564, g12311)
+g14601 = NAND(g12318, g6466)
+g14625 = NAND(g3897, g11225, g4031, g8595)
+g14626 = NAND(g12232, g9852, g12159, g9715)
+g14627 = NAND(g12553, g12772)
+g14636 = NAND(g5595, g12029, g5677, g12563)
+g14637 = NAND(g12255, g9815)
+g14638 = NAND(g9626, g12361)
+g14655 = NAND(g4743, g11755)
+g14656 = NAND(g12553, g12405)
+g14659 = NAND(g12646, g12443)
+g14663 = NAND(g5236, g12002, g5290, g12239)
+g14664 = NAND(g5220, g12059, g5339, g12497)
+g14665 = NAND(g12604, g12798)
+g14674 = NAND(g5941, g12067, g6023, g12614)
+g14675 = NAND(g12317, g9898)
+I16778 = NAND(g11292, g12332)
+I16779 = NAND(g11292, I16778)
+I16780 = NAND(g12332, I16778)
+g14677 = NAND(I16779, I16780)
+g14682 = NAND(g4933, g11780)
+g14683 = NAND(g12553, g12443)
+g14686 = NAND(g5268, g12059, g5276, g12239)
+g14688 = NAND(g12604, g12453)
+g14691 = NAND(g12695, g12505)
+g14695 = NAND(g5583, g12029, g5637, g12301)
+g14696 = NAND(g5567, g12093, g5685, g12563)
+g14697 = NAND(g12662, g12824)
+g14706 = NAND(g6287, g12101, g6369, g12672)
+g14720 = NAND(g12593, g10266)
+g14723 = NAND(g7704, g12772)
+g14727 = NAND(g12604, g12505)
+g14730 = NAND(g5615, g12093, g5623, g12301)
+g14732 = NAND(g12662, g12515)
+g14735 = NAND(g12739, g12571)
+g14739 = NAND(g5929, g12067, g5983, g12351)
+g14740 = NAND(g5913, g12129, g6031, g12614)
+g14741 = NAND(g12711, g10421)
+g14750 = NAND(g6633, g12137, g6715, g12721)
+g14755 = NAND(g12593, g12772)
+g14758 = NAND(g7704, g12405)
+g14761 = NAND(g12651, g10281)
+g14764 = NAND(g7738, g12798)
+g14768 = NAND(g12662, g12571)
+g14771 = NAND(g5961, g12129, g5969, g12351)
+g14773 = NAND(g12711, g12581)
+g14776 = NAND(g12780, g12622)
+g14780 = NAND(g6275, g12101, g6329, g12423)
+g14781 = NAND(g6259, g12173, g6377, g12672)
+g14782 = NAND(g12755, g10491)
+g14794 = NAND(g12492, g12772)
+g14797 = NAND(g12593, g12405)
+g14800 = NAND(g7704, g12443)
+g14803 = NAND(g5208, g12059, g5308, g12497)
+g14804 = NAND(g12651, g12798)
+g14807 = NAND(g7738, g12453)
+g14810 = NAND(g12700, g10312)
+g14813 = NAND(g7766, g12824)
+g14817 = NAND(g12711, g12622)
+g14820 = NAND(g6307, g12173, g6315, g12423)
+g14822 = NAND(g12755, g12632)
+g14825 = NAND(g12806, g12680)
+g14829 = NAND(g6621, g12137, g6675, g12471)
+g14830 = NAND(g6605, g12211, g6723, g12721)
+g14838 = NAND(g12492, g12405)
+g14841 = NAND(g12593, g12443)
+g14845 = NAND(g12558, g12798)
+g14848 = NAND(g12651, g12453)
+g14851 = NAND(g7738, g12505)
+g14854 = NAND(g5555, g12093, g5654, g12563)
+g14855 = NAND(g12700, g12824)
+g14858 = NAND(g7766, g12515)
+g14861 = NAND(g12744, g10341)
+g14864 = NAND(g7791, g10421)
+g14868 = NAND(g12755, g12680)
+g14871 = NAND(g6653, g12211, g6661, g12471)
+g14876 = NAND(g12492, g12443)
+g14879 = NAND(g12646, g10266)
+g14882 = NAND(g12558, g12453)
+g14885 = NAND(g12651, g12505)
+g14889 = NAND(g12609, g12824)
+g14892 = NAND(g12700, g12515)
+g14895 = NAND(g7766, g12571)
+g14898 = NAND(g5901, g12129, g6000, g12614)
+g14899 = NAND(g12744, g10421)
+g14902 = NAND(g7791, g12581)
+g14905 = NAND(g12785, g7142)
+g14908 = NAND(g7812, g10491)
+g14915 = NAND(g12553, g10266)
+g14918 = NAND(g12646, g12772)
+g14921 = NAND(g12492, g10266)
+g14924 = NAND(g12558, g12505)
+g14927 = NAND(g12695, g10281)
+g14930 = NAND(g12609, g12515)
+g14933 = NAND(g12700, g12571)
+g14937 = NAND(g12667, g10421)
+g14940 = NAND(g12744, g12581)
+g14943 = NAND(g7791, g12622)
+g14946 = NAND(g6247, g12173, g6346, g12672)
+g14947 = NAND(g12785, g10491)
+g14950 = NAND(g7812, g12632)
+g14953 = NAND(g12646, g12405)
+g14956 = NAND(g12604, g10281)
+g14959 = NAND(g12695, g12798)
+g14962 = NAND(g12558, g10281)
+g14965 = NAND(g12609, g12571)
+g14968 = NAND(g12739, g10312)
+g14971 = NAND(g12667, g12581)
+g14974 = NAND(g12744, g12622)
+g14978 = NAND(g12716, g10491)
+g14981 = NAND(g12785, g12632)
+g14984 = NAND(g7812, g12680)
+g14987 = NAND(g6593, g12211, g6692, g12721)
+g14993 = NAND(g12695, g12453)
+g14996 = NAND(g12662, g10312)
+g14999 = NAND(g12739, g12824)
+g15002 = NAND(g12609, g10312)
+g15005 = NAND(g12667, g12622)
+g15008 = NAND(g12780, g10341)
+g15011 = NAND(g12716, g12632)
+g15014 = NAND(g12785, g12680)
+g15018 = NAND(g12739, g12515)
+g15021 = NAND(g12711, g10341)
+g15024 = NAND(g12780, g10421)
+g15027 = NAND(g12667, g10341)
+g15030 = NAND(g12716, g12680)
+g15033 = NAND(g12806, g7142)
+g15036 = NAND(g12780, g12581)
+g15039 = NAND(g12755, g7142)
+g15042 = NAND(g12806, g10491)
+g15045 = NAND(g12716, g7142)
+g15572 = NAND(g12969, g7219)
+g15581 = NAND(g7232, g12999)
+g15591 = NAND(g4332, g4322, g13202)
+g15674 = NAND(g921, g13110)
+g15695 = NAND(g1266, g13125)
+g15702 = NAND(g13066, g7293)
+g15708 = NAND(g7340, g13083)
+g15709 = NAND(g5224, g14399, g5327, g9780)
+g15710 = NAND(g319, g13385)
+g15713 = NAND(g5571, g14425, g5673, g9864)
+g15715 = NAND(g336, g305, g13385)
+g15717 = NAND(g10754, g13092)
+g15719 = NAND(g5256, g14490, g5335, g9780)
+g15720 = NAND(g5917, g14497, g6019, g9935)
+g15721 = NAND(g7564, g311, g13385)
+g15723 = NAND(g10775, g13104)
+g15725 = NAND(g5603, g14522, g5681, g9864)
+g15726 = NAND(g6263, g14529, g6365, g10003)
+g15728 = NAND(g5200, g14399, g5313, g9780)
+g15729 = NAND(g5949, g14549, g6027, g9935)
+g15730 = NAND(g6609, g14556, g6711, g10061)
+g15734 = NAND(g5228, g12059, g5290, g14631)
+g15735 = NAND(g5547, g14425, g5659, g9864)
+g15736 = NAND(g6295, g14575, g6373, g10003)
+g15737 = NAND(g13240, g13115, g7903, g13210)
+g15741 = NAND(g5244, g14490, g5320, g14631)
+g15742 = NAND(g5575, g12093, g5637, g14669)
+g15743 = NAND(g5893, g14497, g6005, g9935)
+g15744 = NAND(g6641, g14602, g6719, g10061)
+g15748 = NAND(g13257, g13130, g7922, g13241)
+g15751 = NAND(g5591, g14522, g5666, g14669)
+g15752 = NAND(g5921, g12129, g5983, g14701)
+g15753 = NAND(g6239, g14529, g6351, g10003)
+g15780 = NAND(g5937, g14549, g6012, g14701)
+g15781 = NAND(g6267, g12173, g6329, g14745)
+g15782 = NAND(g6585, g14556, g6697, g10061)
+g15787 = NAND(g6283, g14575, g6358, g14745)
+g15788 = NAND(g6613, g12211, g6675, g14786)
+g15798 = NAND(g6629, g14602, g6704, g14786)
+g15829 = NAND(g4112, g13831)
+g15832 = NAND(g7903, g7479, g13256)
+g15833 = NAND(g14714, g12378, g12337)
+g15843 = NAND(g7922, g7503, g13264)
+g15844 = NAND(g14714, g9340, g12378)
+g15853 = NAND(g14714, g9417, g12337)
+g15864 = NAND(g14833, g12543, g12487)
+g15867 = NAND(g14714, g9417, g9340)
+g15877 = NAND(g14833, g9340, g12543)
+I17379 = NAND(g13336, g1129)
+I17380 = NAND(g13336, I17379)
+I17381 = NAND(g1129, I17379)
+g15904 = NAND(I17380, I17381)
+g15907 = NAND(g14833, g9417, g12487)
+I17404 = NAND(g13378, g1472)
+I17405 = NAND(g13378, I17404)
+I17406 = NAND(g1472, I17404)
+g15959 = NAND(I17405, I17406)
+g15962 = NAND(g14833, g9417, g9340)
+I17446 = NAND(g13336, g956)
+I17447 = NAND(g13336, I17446)
+I17448 = NAND(g956, I17446)
+g16069 = NAND(I17447, I17448)
+I17460 = NAND(g13378, g1300)
+I17461 = NAND(g13378, I17460)
+I17462 = NAND(g1300, I17460)
+g16093 = NAND(I17461, I17462)
+g16097 = NAND(g13319, g10998)
+I17474 = NAND(g13336, g1105)
+I17475 = NAND(g13336, I17474)
+I17476 = NAND(g1105, I17474)
+g16119 = NAND(I17475, I17476)
+I17494 = NAND(g13378, g1448)
+I17495 = NAND(g13378, I17494)
+I17496 = NAND(g1448, I17494)
+g16155 = NAND(I17495, I17496)
+g16181 = NAND(g13475, g13495, g13057, g13459)
+g16196 = NAND(g13496, g13513, g13079, g13476)
+g16225 = NAND(g13544, g13528, g13043)
+g16236 = NAND(g13573, g13554, g13058)
+g16238 = NAND(g4698, g13883, g12054)
+g16259 = NAND(g4743, g13908, g12054)
+g16260 = NAND(g4888, g13910, g12088)
+g16264 = NAND(g518, g9158, g13223)
+g16275 = NAND(g9291, g13480)
+g16278 = NAND(g8102, g8057, g13664)
+g16281 = NAND(g4754, g13937, g12054)
+g16282 = NAND(g4933, g13939, g12088)
+g16291 = NAND(g13551, g13545)
+g16296 = NAND(g9360, g13501)
+g16299 = NAND(g8160, g8112, g13706)
+g16304 = NAND(g4765, g13970, g12054)
+g16306 = NAND(g4944, g13971, g12088)
+g16312 = NAND(g13580, g13574)
+g16316 = NAND(g9429, g13518)
+g16319 = NAND(g8224, g8170, g13736)
+g16321 = NAND(g4955, g13996, g12088)
+g16507 = NAND(g13797, g13764)
+g16524 = NAND(g13822, g13798)
+g16586 = NAND(g13851, g13823)
+g16604 = NAND(g3251, g11194, g3267, g13877)
+g16625 = NAND(g3203, g13700, g3274, g11519)
+g16628 = NAND(g3602, g11207, g3618, g13902)
+g16657 = NAND(g3554, g13730, g3625, g11576)
+g16660 = NAND(g3953, g11225, g3969, g13933)
+g16663 = NAND(g13854, g13834, g14655, g12292)
+I17883 = NAND(g13336, g1135)
+I17884 = NAND(g13336, I17883)
+I17885 = NAND(g1135, I17883)
+g16681 = NAND(I17884, I17885)
+g16687 = NAND(g3255, g13700, g3325, g11519)
+g16694 = NAND(g3905, g13772, g3976, g11631)
+g16696 = NAND(g13871, g13855, g14682, g12340)
+I17923 = NAND(g13378, g1478)
+I17924 = NAND(g13378, I17923)
+I17925 = NAND(g1478, I17923)
+g16713 = NAND(I17924, I17925)
+g16719 = NAND(g3243, g13700, g3310, g11350)
+g16723 = NAND(g3606, g13730, g3676, g11576)
+g16728 = NAND(g13884, g13870, g14089, g11639)
+g16741 = NAND(g3207, g13765, g3303, g11519)
+g16745 = NAND(g3594, g13730, g3661, g11389)
+g16749 = NAND(g3957, g13772, g4027, g11631)
+g16757 = NAND(g13911, g13886, g14120, g11675)
+g16770 = NAND(g3263, g13765, g3274, g8481)
+g16772 = NAND(g3558, g13799, g3654, g11576)
+g16776 = NAND(g3945, g13772, g4012, g11419)
+g16813 = NAND(g3614, g13799, g3625, g8542)
+g16815 = NAND(g3909, g13824, g4005, g11631)
+g16854 = NAND(g3965, g13824, g3976, g8595)
+g16875 = NAND(g3223, g13765, g3317, g11519)
+g16893 = NAND(g10685, g13252, g703)
+g16925 = NAND(g3574, g13799, g3668, g11576)
+g16956 = NAND(g3925, g13824, g4019, g11631)
+g17137 = NAND(g13727, g13511, g13527)
+g17217 = NAND(g7239, g14194)
+g17220 = NAND(g9369, g9298, g14376)
+g17225 = NAND(g8612, g14367)
+g17243 = NAND(g7247, g14212)
+g17246 = NAND(g9439, g9379, g14405)
+g17287 = NAND(g7262, g14228)
+g17290 = NAND(g9506, g9449, g14431)
+g17297 = NAND(g2729, g14291)
+g17312 = NAND(g7297, g14248)
+g17315 = NAND(g9564, g9516, g14503)
+g17363 = NAND(g8635, g14367)
+g17364 = NAND(g8639, g14367)
+g17396 = NAND(g7345, g14272)
+g17399 = NAND(g9626, g9574, g14535)
+g17412 = NAND(g14520, g14489)
+g17468 = NAND(g3215, g13700, g3317, g8481)
+g17474 = NAND(g14547, g14521)
+g17492 = NAND(g8655, g14367)
+g17493 = NAND(g8659, g14367)
+g17495 = NAND(g3566, g13730, g3668, g8542)
+g17500 = NAND(g14573, g14548)
+g17513 = NAND(g3247, g13765, g3325, g8481)
+g17514 = NAND(g3917, g13772, g4019, g8595)
+g17520 = NAND(g5260, g12002, g5276, g14631)
+g17525 = NAND(g14600, g14574)
+I18485 = NAND(g1677, g14611)
+I18486 = NAND(g1677, I18485)
+I18487 = NAND(g14611, I18485)
+g17568 = NAND(I18486, I18487)
+g17571 = NAND(g8579, g14367)
+g17572 = NAND(g3598, g13799, g3676, g8542)
+g17578 = NAND(g5212, g14399, g5283, g12497)
+g17581 = NAND(g5607, g12029, g5623, g14669)
+g17586 = NAND(g14638, g14601)
+I18529 = NAND(g1811, g14640)
+I18530 = NAND(g1811, I18529)
+I18531 = NAND(g14640, I18529)
+g17592 = NAND(I18530, I18531)
+I18536 = NAND(g2236, g14642)
+I18537 = NAND(g2236, I18536)
+I18538 = NAND(g14642, I18536)
+g17593 = NAND(I18537, I18538)
+g17595 = NAND(g8616, g14367)
+g17596 = NAND(g8686, g14367)
+g17597 = NAND(g3191, g13700, g3303, g8481)
+g17598 = NAND(g3949, g13824, g4027, g8595)
+g17605 = NAND(g5559, g14425, g5630, g12563)
+g17608 = NAND(g5953, g12067, g5969, g14701)
+I18579 = NAND(g1945, g14678)
+I18580 = NAND(g1945, I18579)
+I18581 = NAND(g14678, I18579)
+g17618 = NAND(I18580, I18581)
+I18587 = NAND(g2370, g14679)
+I18588 = NAND(g2370, I18587)
+I18589 = NAND(g14679, I18587)
+g17624 = NAND(I18588, I18589)
+g17634 = NAND(g3219, g11217, g3281, g13877)
+g17635 = NAND(g3542, g13730, g3654, g8542)
+g17640 = NAND(g5264, g14399, g5335, g12497)
+g17647 = NAND(g5905, g14497, g5976, g12614)
+g17650 = NAND(g6299, g12101, g6315, g14745)
+I18625 = NAND(g2079, g14712)
+I18626 = NAND(g2079, I18625)
+I18627 = NAND(g14712, I18625)
+g17656 = NAND(I18626, I18627)
+I18633 = NAND(g2504, g14713)
+I18634 = NAND(g2504, I18633)
+I18635 = NAND(g14713, I18633)
+g17662 = NAND(I18634, I18635)
+g17668 = NAND(g3235, g13765, g3310, g13877)
+g17669 = NAND(g3570, g11238, g3632, g13902)
+g17670 = NAND(g3893, g13772, g4005, g8595)
+g17675 = NAND(g5252, g14399, g5320, g12239)
+g17679 = NAND(g5611, g14425, g5681, g12563)
+g17686 = NAND(g6251, g14529, g6322, g12672)
+g17689 = NAND(g6645, g12137, g6661, g14786)
+I18680 = NAND(g2638, g14752)
+I18681 = NAND(g2638, I18680)
+I18682 = NAND(g14752, I18680)
+g17699 = NAND(I18681, I18682)
+g17705 = NAND(g3586, g13799, g3661, g13902)
+g17706 = NAND(g3921, g11255, g3983, g13933)
+g17708 = NAND(g5216, g14490, g5313, g12497)
+g17712 = NAND(g5599, g14425, g5666, g12301)
+g17716 = NAND(g5957, g14497, g6027, g12614)
+g17723 = NAND(g6597, g14556, g6668, g12721)
+g17732 = NAND(g3937, g13824, g4012, g13933)
+g17734 = NAND(g5272, g14490, g5283, g9780)
+g17736 = NAND(g5563, g14522, g5659, g12563)
+g17740 = NAND(g5945, g14497, g6012, g12351)
+g17744 = NAND(g6303, g14529, g6373, g12672)
+g17748 = NAND(g562, g14708, g12323)
+g17755 = NAND(g5619, g14522, g5630, g9864)
+g17757 = NAND(g5909, g14549, g6005, g12614)
+g17761 = NAND(g6291, g14529, g6358, g12423)
+g17765 = NAND(g6649, g14556, g6719, g12721)
+g17773 = NAND(g5965, g14549, g5976, g9935)
+g17775 = NAND(g6255, g14575, g6351, g12672)
+g17779 = NAND(g6637, g14556, g6704, g12471)
+g17788 = NAND(g5232, g14490, g5327, g12497)
+g17790 = NAND(g6311, g14575, g6322, g10003)
+g17792 = NAND(g6601, g14602, g6697, g12721)
+g17814 = NAND(g5579, g14522, g5673, g12563)
+g17816 = NAND(g6657, g14602, g6668, g10061)
+g17820 = NAND(g5925, g14549, g6019, g12614)
+g17846 = NAND(g6271, g14575, g6365, g12672)
+g17872 = NAND(g6617, g14602, g6711, g12721)
+g19265 = NAND(g15721, g15715, g13091, g15710)
+g19335 = NAND(g15717, g1056)
+g19358 = NAND(g15723, g1399)
+g19442 = NAND(g11431, g17794)
+g19450 = NAND(g11471, g17794)
+g19455 = NAND(g15969, g10841, g7781)
+g19466 = NAND(g11562, g17794)
+g19474 = NAND(g11609, g17794)
+g19483 = NAND(g15969, g10841, g10922)
+g19495 = NAND(g15969, g10841, g7781)
+g19506 = NAND(g4087, g15825)
+g19510 = NAND(g15969, g10841, g10899)
+g19513 = NAND(g15969, g10841, g10922)
+g19530 = NAND(g15829, g10841)
+g19546 = NAND(g15969, g10841, g10884)
+g19549 = NAND(g15969, g10841, g10899)
+g19589 = NAND(g15969, g10841, g10884)
+g19597 = NAND(g1199, g15995)
+g19611 = NAND(g1070, g1199, g15995)
+g19614 = NAND(g1542, g16047)
+g19632 = NAND(g1413, g1542, g16047)
+I20165 = NAND(g16246, g990)
+I20166 = NAND(g16246, I20165)
+I20167 = NAND(g990, I20165)
+g19764 = NAND(I20166, I20167)
+I20187 = NAND(g16272, g1333)
+I20188 = NAND(g16272, I20187)
+I20189 = NAND(g1333, I20187)
+g19782 = NAND(I20188, I20189)
+I20203 = NAND(g16246, g11147)
+I20204 = NAND(g16246, I20203)
+I20205 = NAND(g11147, I20203)
+g19792 = NAND(I20204, I20205)
+g19795 = NAND(g13600, g16275)
+I20221 = NAND(g16272, g11170)
+I20222 = NAND(g16272, I20221)
+I20223 = NAND(g11170, I20221)
+g19854 = NAND(I20222, I20223)
+g19856 = NAND(g13626, g16278, g8105)
+g19857 = NAND(g13628, g16296)
+g19874 = NAND(g13665, g16299, g8163)
+g19875 = NAND(g13667, g16316)
+g19886 = NAND(g11403, g17794)
+g19903 = NAND(g13707, g16319, g8227)
+g19913 = NAND(g11430, g17794)
+g19916 = NAND(g3029, g16313)
+g19962 = NAND(g11470, g17794)
+g19965 = NAND(g3380, g16424)
+g20007 = NAND(g11512, g17794)
+g20011 = NAND(g3731, g16476)
+g20039 = NAND(g11250, g17794)
+g20055 = NAND(g11269, g17794)
+g20068 = NAND(g11293, g17794)
+g20076 = NAND(g13795, g16521)
+g20081 = NAND(g11325, g17794)
+g20092 = NAND(g11373, g17794)
+g20107 = NAND(g11404, g17794)
+g20111 = NAND(g17513, g14517, g17468, g14422)
+g20133 = NAND(g17668, g17634, g17597, g14569)
+g20134 = NAND(g17572, g14542, g17495, g14452)
+g20150 = NAND(g17705, g17669, g17635, g14590)
+g20151 = NAND(g17598, g14570, g17514, g14519)
+g20161 = NAND(g17732, g17706, g17670, g14625)
+g20163 = NAND(g16663, g13938)
+g20170 = NAND(g16741, g13897, g16687, g13866)
+g20172 = NAND(g16876, g8131)
+g20173 = NAND(g16696, g13972)
+g20181 = NAND(g13252, g16846)
+g20184 = NAND(g16770, g13918, g16719, g13896)
+g20185 = NAND(g16772, g13928, g16723, g13882)
+g20186 = NAND(g16926, g8177)
+g20198 = NAND(g16813, g13958, g16745, g13927)
+g20199 = NAND(g16815, g13968, g16749, g13907)
+I20460 = NAND(g17515, g14187)
+I20461 = NAND(g17515, I20460)
+I20462 = NAND(g14187, I20460)
+g20200 = NAND(I20461, I20462)
+I20467 = NAND(g16663, g16728)
+I20468 = NAND(g16663, I20467)
+I20469 = NAND(g16728, I20467)
+g20201 = NAND(I20468, I20469)
+g20214 = NAND(g16854, g13993, g16776, g13967)
+I20486 = NAND(g16696, g16757)
+I20487 = NAND(g16696, I20486)
+I20488 = NAND(g16757, I20486)
+g20216 = NAND(I20487, I20488)
+g20236 = NAND(g16875, g14014, g16625, g16604)
+g20248 = NAND(g17056, g14146, g14123)
+g20271 = NAND(g16925, g14054, g16657, g16628)
+g20371 = NAND(g16956, g14088, g16694, g16660)
+g20619 = NAND(g14317, g17217)
+g20644 = NAND(g14342, g17220, g9372)
+g20645 = NAND(g14344, g17243)
+g20675 = NAND(g14377, g17246, g9442)
+g20676 = NAND(g14379, g17287)
+g20733 = NAND(g14406, g17290, g9509)
+g20734 = NAND(g14408, g17312)
+g20783 = NAND(g14616, g17225)
+g20784 = NAND(g14616, g17595)
+g20838 = NAND(g5041, g17284)
+g20870 = NAND(g14432, g17315, g9567)
+g20871 = NAND(g14434, g17396)
+g20979 = NAND(g5385, g17309)
+g21011 = NAND(g14504, g17399, g9629)
+g21124 = NAND(g5731, g17393)
+g21186 = NAND(g14616, g17363)
+g21187 = NAND(g14616, g17364)
+g21190 = NAND(g6077, g17420)
+g21253 = NAND(g6423, g17482)
+g21272 = NAND(g11268, g17157)
+g21283 = NAND(g11291, g17157)
+g21287 = NAND(g14616, g17571)
+g21288 = NAND(g14616, g17492)
+g21289 = NAND(g14616, g17493)
+g21294 = NAND(g11324, g17157)
+g21301 = NAND(g11371, g17157)
+g21307 = NAND(g15719, g13067, g15709, g13040)
+g21330 = NAND(g11401, g17157)
+g21331 = NAND(g11402, g17157)
+g21334 = NAND(g14616, g17596)
+g21338 = NAND(g15741, g15734, g15728, g13097)
+g21339 = NAND(g15725, g13084, g15713, g13050)
+g21344 = NAND(g11428, g17157)
+g21345 = NAND(g11429, g17157)
+g21350 = NAND(g15751, g15742, g15735, g13108)
+g21351 = NAND(g15729, g13098, g15720, g13069)
+g21353 = NAND(g11467, g17157)
+g21354 = NAND(g11468, g17157)
+g21356 = NAND(g15780, g15752, g15743, g13118)
+g21357 = NAND(g15736, g13109, g15726, g13086)
+g21359 = NAND(g11509, g17157)
+g21360 = NAND(g11510, g17157)
+g21363 = NAND(g17708, g14664, g17640, g14598)
+g21364 = NAND(g15787, g15781, g15753, g13131)
+g21365 = NAND(g15744, g13119, g15730, g13100)
+g21377 = NAND(g11560, g17157)
+g21384 = NAND(g17734, g14686, g17675, g14663)
+g21385 = NAND(g17736, g14696, g17679, g14636)
+g21386 = NAND(g15798, g15788, g15782, g13139)
+g21388 = NAND(g11608, g17157)
+g21401 = NAND(g17755, g14730, g17712, g14695)
+g21402 = NAND(g17757, g14740, g17716, g14674)
+g21403 = NAND(g11652, g17157)
+g21415 = NAND(g17773, g14771, g17740, g14739)
+g21416 = NAND(g17775, g14781, g17744, g14706)
+g21417 = NAND(g11677, g17157)
+g21429 = NAND(g17788, g14803, g17578, g17520)
+g21432 = NAND(g17790, g14820, g17761, g14780)
+g21433 = NAND(g17792, g14830, g17765, g14750)
+g21459 = NAND(g17814, g14854, g17605, g17581)
+g21462 = NAND(g17816, g14871, g17779, g14829)
+g21509 = NAND(g17820, g14898, g17647, g17608)
+g21555 = NAND(g17846, g14946, g17686, g17650)
+g21603 = NAND(g17872, g14987, g17723, g17689)
+g22306 = NAND(g4584, g4616, g13202, g19071)
+g22312 = NAND(g907, g19063)
+g22325 = NAND(g1252, g19140)
+g22638 = NAND(g18957, g2886)
+g22642 = NAND(g7870, g19560)
+g22643 = NAND(g20136, g18954)
+g22650 = NAND(g7888, g19581)
+g22651 = NAND(g20114, g2873)
+g22661 = NAND(g20136, g94)
+I21976 = NAND(g7680, g19620)
+I21977 = NAND(g7680, I21976)
+I21978 = NAND(g19620, I21976)
+g22663 = NAND(I21977, I21978)
+g22666 = NAND(g18957, g2878)
+g22668 = NAND(g20219, g2912)
+I21992 = NAND(g7670, g19638)
+I21993 = NAND(g7670, I21992)
+I21994 = NAND(g19638, I21992)
+g22681 = NAND(I21993, I21994)
+g22687 = NAND(g19560, g7870)
+g22688 = NAND(g20219, g2936)
+g22709 = NAND(g1193, g19611)
+g22711 = NAND(g19581, g7888)
+g22712 = NAND(g18957, g2864)
+g22713 = NAND(g20114, g2890)
+g22715 = NAND(g20114, g2999)
+g22753 = NAND(g1536, g19632)
+g22754 = NAND(g20114, g19376)
+g22755 = NAND(g20136, g18984)
+g22757 = NAND(g20114, g7891)
+g22833 = NAND(g1193, g19560, g10666)
+g22836 = NAND(g18918, g2852)
+g22837 = NAND(g20219, g2907)
+g22838 = NAND(g20219, g2960)
+g22839 = NAND(g20114, g2988)
+g22850 = NAND(g1536, g19581, g10699)
+g22852 = NAND(g18957, g2856)
+g22853 = NAND(g20219, g2922)
+g22864 = NAND(g7780, g21156)
+g22874 = NAND(g18918, g2844)
+g22875 = NAND(g20516, g2980)
+g22885 = NAND(g9104, g20154)
+g22902 = NAND(g18957, g2848)
+g22908 = NAND(g9104, g20175)
+g22921 = NAND(g20219, g2950)
+g22940 = NAND(g18918, g2860)
+g22941 = NAND(g20219, g2970)
+g22984 = NAND(g20114, g2868)
+g23010 = NAND(g20516, g2984)
+g23047 = NAND(g482, g20000)
+g23067 = NAND(g20887, g10721)
+g23105 = NAND(g8097, g19887)
+g23112 = NAND(g21024, g10733)
+g23132 = NAND(g8155, g19932)
+g23139 = NAND(g21163, g10756)
+g23167 = NAND(g8219, g19981)
+g23195 = NAND(g20136, g37)
+g23210 = NAND(g18957, g2882)
+g23266 = NAND(g18918, g2894)
+g23281 = NAND(g18957, g2898)
+g23286 = NAND(g6875, g20887)
+g23309 = NAND(g6905, g21024)
+g23324 = NAND(g703, g20181)
+g23342 = NAND(g6928, g21163)
+g23357 = NAND(g20201, g11231)
+g23379 = NAND(g20216, g11248)
+g23428 = NAND(g13945, g20522)
+I22683 = NAND(g11893, g21434)
+I22684 = NAND(g11893, I22683)
+I22685 = NAND(g21434, I22683)
+g23552 = NAND(I22684, I22685)
+I22710 = NAND(g11915, g21434)
+I22711 = NAND(g11915, I22710)
+I22712 = NAND(g21434, I22710)
+g23575 = NAND(I22711, I22712)
+I22717 = NAND(g11916, g21434)
+I22718 = NAND(g11916, I22717)
+I22719 = NAND(g21434, I22717)
+g23576 = NAND(I22718, I22719)
+g23590 = NAND(g20682, g11111)
+I22753 = NAND(g11937, g21434)
+I22754 = NAND(g11937, I22753)
+I22755 = NAND(g21434, I22753)
+g23616 = NAND(I22754, I22755)
+I22760 = NAND(g11939, g21434)
+I22761 = NAND(g11939, I22760)
+I22762 = NAND(g21434, I22760)
+g23617 = NAND(I22761, I22762)
+g23623 = NAND(g9364, g20717)
+g23630 = NAND(g20739, g11123)
+I22792 = NAND(g11956, g21434)
+I22793 = NAND(g11956, I22792)
+I22794 = NAND(g21434, I22792)
+g23655 = NAND(I22793, I22794)
+I22799 = NAND(g11960, g21434)
+I22800 = NAND(g11960, I22799)
+I22801 = NAND(g21434, I22799)
+g23656 = NAND(I22800, I22801)
+g23659 = NAND(g9434, g20854)
+g23666 = NAND(g20875, g11139)
+I22822 = NAND(g11978, g21434)
+I22823 = NAND(g11978, I22822)
+I22824 = NAND(g21434, I22822)
+g23685 = NAND(I22823, I22824)
+g23692 = NAND(g9501, g20995)
+g23699 = NAND(g21012, g11160)
+I22844 = NAND(g12113, g21228)
+I22845 = NAND(g12113, I22844)
+I22846 = NAND(g21228, I22844)
+g23719 = NAND(I22845, I22846)
+g23726 = NAND(g9559, g21140)
+g23733 = NAND(g20751, g11178)
+I22864 = NAND(g12146, g21228)
+I22865 = NAND(g12146, I22864)
+I22866 = NAND(g21228, I22864)
+g23747 = NAND(I22865, I22866)
+I22871 = NAND(g12150, g21228)
+I22872 = NAND(g12150, I22871)
+I22873 = NAND(g21228, I22871)
+g23748 = NAND(I22872, I22873)
+g23756 = NAND(g9621, g21206)
+I22892 = NAND(g12189, g21228)
+I22893 = NAND(g12189, I22892)
+I22894 = NAND(g21228, I22892)
+g23761 = NAND(I22893, I22894)
+I22899 = NAND(g12193, g21228)
+I22900 = NAND(g12193, I22899)
+I22901 = NAND(g21228, I22899)
+g23762 = NAND(I22900, I22901)
+I22921 = NAND(g14677, g21284)
+I22922 = NAND(g14677, I22921)
+I22923 = NAND(g21284, I22921)
+g23778 = NAND(I22922, I22923)
+I22929 = NAND(g12223, g21228)
+I22930 = NAND(g12223, I22929)
+I22931 = NAND(g21228, I22929)
+g23780 = NAND(I22930, I22931)
+I22936 = NAND(g12226, g21228)
+I22937 = NAND(g12226, I22936)
+I22938 = NAND(g21228, I22936)
+g23781 = NAND(I22937, I22938)
+g23782 = NAND(g2741, g21062)
+I22944 = NAND(g9492, g19620)
+I22945 = NAND(g9492, I22944)
+I22946 = NAND(g19620, I22944)
+g23786 = NAND(I22945, I22946)
+I22965 = NAND(g12288, g21228)
+I22966 = NAND(g12288, I22965)
+I22967 = NAND(g21228, I22965)
+g23809 = NAND(I22966, I22967)
+I22972 = NAND(g9657, g19638)
+I22973 = NAND(g9657, I22972)
+I22974 = NAND(g19638, I22972)
+g23810 = NAND(I22973, I22974)
+g23850 = NAND(g12185, g19462)
+g23890 = NAND(g7004, g20682)
+g23909 = NAND(g7028, g20739)
+g23932 = NAND(g7051, g20875)
+g23949 = NAND(g7074, g21012)
+g23972 = NAND(g7097, g20751)
+I23118 = NAND(g20076, g417)
+I23119 = NAND(g20076, I23118)
+I23120 = NAND(g417, I23118)
+g23975 = NAND(I23119, I23120)
+g23978 = NAND(g572, g21389, g12323)
+g24362 = NAND(g21370, g22136)
+I23585 = NAND(g22409, g4332)
+I23586 = NAND(g22409, I23585)
+I23587 = NAND(g4332, I23585)
+g24369 = NAND(I23586, I23587)
+I23600 = NAND(g22360, g4322)
+I23601 = NAND(g22360, I23600)
+I23602 = NAND(g4322, I23600)
+g24380 = NAND(I23601, I23602)
+g24528 = NAND(g4098, g22654)
+g24544 = NAND(g22666, g22661, g22651)
+g24547 = NAND(g22638, g22643, g22754)
+g24566 = NAND(g22755, g22713)
+g24567 = NAND(g22957, g2917)
+g24570 = NAND(g22957, g2941)
+g24574 = NAND(g22709, g22687)
+g24576 = NAND(g22957, g2902)
+g24583 = NAND(g22753, g22711)
+g24584 = NAND(g22852, g22836, g22715)
+g24591 = NAND(g22833, g22642)
+g24601 = NAND(g22957, g2965)
+g24609 = NAND(g22850, g22650)
+g24620 = NAND(g22902, g22874)
+g24621 = NAND(g22957, g2927)
+g24652 = NAND(g22712, g22940, g22757)
+g24661 = NAND(g23210, g23195, g22984)
+g24662 = NAND(g22957, g2955)
+g24677 = NAND(g22957, g2975)
+g24678 = NAND(g22994, g23010)
+I23917 = NAND(g23975, g9333)
+I23918 = NAND(g23975, I23917)
+I23919 = NAND(g9333, I23917)
+g24760 = NAND(I23918, I23919)
+g24776 = NAND(g3040, g23052)
+g24787 = NAND(g3391, g23079)
+I23949 = NAND(g23162, g13603)
+I23950 = NAND(g23162, I23949)
+I23951 = NAND(g13603, I23949)
+g24792 = NAND(I23950, I23951)
+g24793 = NAND(g3742, g23124)
+I23961 = NAND(g23184, g13631)
+I23962 = NAND(g23184, I23961)
+I23963 = NAND(g13631, I23961)
+g24798 = NAND(I23962, I23963)
+I23969 = NAND(g22202, g490)
+I23970 = NAND(g22202, I23969)
+I23971 = NAND(g490, I23969)
+g24802 = NAND(I23970, I23971)
+g24804 = NAND(g19916, g23105)
+I23978 = NAND(g23198, g13670)
+I23979 = NAND(g23198, I23978)
+I23980 = NAND(g13670, I23978)
+g24807 = NAND(I23979, I23980)
+I23985 = NAND(g22182, g482)
+I23986 = NAND(g22182, I23985)
+I23987 = NAND(g482, I23985)
+g24808 = NAND(I23986, I23987)
+g24809 = NAND(g19965, g23132)
+g24814 = NAND(g20011, g23167)
+g24880 = NAND(g23281, g23266, g22839)
+g24890 = NAND(g13852, g22929)
+g24905 = NAND(g534, g23088)
+g24906 = NAND(g8743, g23088)
+g24916 = NAND(g19450, g23154)
+g24917 = NAND(g19913, g23172)
+g24918 = NAND(g136, g23088)
+g24924 = NAND(g20007, g23172)
+g24925 = NAND(g20092, g23154)
+g24926 = NAND(g20172, g20163, g23357, g13995)
+g24932 = NAND(g19886, g23172)
+g24933 = NAND(g19466, g23154)
+g24934 = NAND(g21283, g23462)
+g24936 = NAND(g20186, g20173, g23379, g14029)
+g24942 = NAND(g20039, g23172)
+g24943 = NAND(g20068, g23172)
+g24944 = NAND(g21354, g23363)
+g24950 = NAND(g19442, g23154)
+g24951 = NAND(g199, g23088)
+g24957 = NAND(g21359, g23462)
+g24958 = NAND(g21330, g23462)
+g24972 = NAND(g19962, g23172)
+g24973 = NAND(g21272, g23462)
+g24974 = NAND(g21301, g23363)
+g24975 = NAND(g21388, g23363)
+g24988 = NAND(g546, g23088)
+g24989 = NAND(g21345, g23363)
+g25002 = NAND(g19474, g23154)
+g25003 = NAND(g21353, g23462)
+g25018 = NAND(g20107, g23154)
+g25019 = NAND(g20055, g23172)
+g25020 = NAND(g21377, g23462)
+g25021 = NAND(g21417, g23363)
+g25038 = NAND(g21331, g23363)
+g25048 = NAND(g542, g23088)
+g25049 = NAND(g21344, g23462)
+g25062 = NAND(g21403, g23363)
+g25172 = NAND(g5052, g23560)
+g25186 = NAND(g5396, g23602)
+I24363 = NAND(g23687, g14320)
+I24364 = NAND(g23687, I24363)
+I24365 = NAND(g14320, I24363)
+g25199 = NAND(I24364, I24365)
+g25200 = NAND(g5742, g23642)
+I24383 = NAND(g23721, g14347)
+I24384 = NAND(g23721, I24383)
+I24385 = NAND(g14347, I24383)
+g25215 = NAND(I24384, I24385)
+g25216 = NAND(g6088, g23678)
+g25233 = NAND(g20838, g23623)
+I24414 = NAND(g23751, g14382)
+I24415 = NAND(g23751, I24414)
+I24416 = NAND(g14382, I24414)
+g25236 = NAND(I24415, I24416)
+g25237 = NAND(g6434, g23711)
+g25255 = NAND(g20979, g23659)
+I24438 = NAND(g23771, g14411)
+I24439 = NAND(g23771, I24438)
+I24440 = NAND(g14411, I24438)
+g25258 = NAND(I24439, I24440)
+g25268 = NAND(g21124, g23692)
+I24461 = NAND(g23796, g14437)
+I24462 = NAND(g23796, I24461)
+I24463 = NAND(g14437, I24461)
+g25271 = NAND(I24462, I24463)
+g25275 = NAND(g22342, g11991)
+g25293 = NAND(g21190, g23726)
+g25300 = NAND(g22369, g12018)
+g25309 = NAND(g22384, g12021)
+g25334 = NAND(g21253, g23756)
+g25337 = NAND(g22342, g1648, g8187)
+g25341 = NAND(g22417, g12047)
+g25349 = NAND(g22432, g12051)
+g25381 = NAND(g538, g23088)
+g25382 = NAND(g12333, g22342)
+g25385 = NAND(g22369, g1783, g8241)
+g25389 = NAND(g22457, g12082)
+g25396 = NAND(g22384, g2208, g8259)
+g25400 = NAND(g22472, g12086)
+g25425 = NAND(g20081, g23172)
+g25426 = NAND(g12371, g22369)
+g25429 = NAND(g22417, g1917, g8302)
+g25432 = NAND(g12374, g22384)
+g25435 = NAND(g22432, g2342, g8316)
+g25439 = NAND(g22498, g12122)
+g25467 = NAND(g12432, g22417)
+g25470 = NAND(g22457, g2051, g8365)
+g25473 = NAND(g12437, g22432)
+g25476 = NAND(g22472, g2476, g8373)
+g25492 = NAND(g12479, g22457)
+g25495 = NAND(g12483, g22472)
+g25498 = NAND(g22498, g2610, g8418)
+g25514 = NAND(g12540, g22498)
+g25527 = NAND(g21294, g23462)
+g25531 = NAND(g22763, g2868)
+g25532 = NAND(g21360, g23363)
+g25537 = NAND(g22763, g2873)
+g25779 = NAND(g19694, g24362)
+g25888 = NAND(g914, g24439)
+g25895 = NAND(g1259, g24453)
+g25953 = NAND(g22756, g24570, g22688)
+g25974 = NAND(g24576, g22837)
+g25984 = NAND(g24567, g22668)
+g25985 = NAND(g24631, g23956)
+g25995 = NAND(g24621, g22853)
+g25996 = NAND(g24601, g22838)
+g26025 = NAND(g22405, g24631)
+g26052 = NAND(g22714, g24662, g22921)
+g26053 = NAND(g22875, g24677, g22941)
+g26208 = NAND(g7975, g24751)
+g26235 = NAND(g8016, g24766)
+I25219 = NAND(g482, g24718)
+I25220 = NAND(g482, I25219)
+I25221 = NAND(g24718, I25219)
+g26248 = NAND(I25220, I25221)
+g26255 = NAND(g8075, g24779)
+I25242 = NAND(g490, g24744)
+I25243 = NAND(g490, I25242)
+I25244 = NAND(g24744, I25242)
+g26269 = NAND(I25243, I25244)
+g26352 = NAND(g744, g24875, g11679)
+g26382 = NAND(g577, g24953, g12323)
+g26666 = NAND(g9229, g25144)
+g26685 = NAND(g9264, g25160)
+g26714 = NAND(g9316, g25175)
+g26745 = NAND(g6856, g25317)
+g26752 = NAND(g9397, g25189)
+g26782 = NAND(g9467, g25203)
+I25845 = NAND(g26212, g24799)
+I25846 = NAND(g26212, I25845)
+I25847 = NAND(g24799, I25845)
+g27141 = NAND(I25846, I25847)
+I25907 = NAND(g26256, g24782)
+I25908 = NAND(g26256, I25907)
+I25909 = NAND(g24782, I25907)
+g27223 = NAND(I25908, I25909)
+g27273 = NAND(g10504, g26131, g26105)
+g27282 = NAND(g11192, g26269, g26248, g479)
+g27295 = NAND(g24776, g26208)
+g27306 = NAND(g24787, g26235)
+g27317 = NAND(g24793, g26255)
+I26049 = NAND(g25997, g13500)
+I26050 = NAND(g25997, I26049)
+I26051 = NAND(g13500, I26049)
+g27365 = NAND(I26050, I26051)
+g27377 = NAND(g10685, g25930)
+I26070 = NAND(g26026, g13517)
+I26071 = NAND(g26026, I26070)
+I26072 = NAND(g13517, I26070)
+g27380 = NAND(I26071, I26072)
+I26093 = NAND(g26055, g13539)
+I26094 = NAND(g26055, I26093)
+I26095 = NAND(g13539, I26093)
+g27401 = NAND(I26094, I26095)
+g27463 = NAND(g287, g26330, g23204)
+g27468 = NAND(g24951, g24932, g24925, g26852)
+g27550 = NAND(g24943, g25772)
+g27577 = NAND(g25019, g25002, g24988, g25765)
+g27582 = NAND(g10857, g26131, g26105)
+g27586 = NAND(g24924, g24916, g24905, g26863)
+g27587 = NAND(g24917, g25018, g24918, g26857)
+g27593 = NAND(g24972, g24950, g24906, g26861)
+g27613 = NAND(g24942, g24933, g25048, g26871)
+g27654 = NAND(g164, g26598, g23042)
+g27670 = NAND(g25172, g26666)
+g27679 = NAND(g25186, g26685)
+g27687 = NAND(g25200, g26714)
+g27693 = NAND(g25216, g26752)
+g27705 = NAND(g25237, g26782)
+g27738 = NAND(g21228, g25243, g26424, g26148)
+I26366 = NAND(g26400, g14211)
+I26367 = NAND(g26400, I26366)
+I26368 = NAND(g14211, I26366)
+g27767 = NAND(I26367, I26368)
+g27775 = NAND(g21228, g25262, g26424, g26166)
+g27796 = NAND(g21228, g25263, g26424, g26171)
+I26393 = NAND(g26488, g14227)
+I26394 = NAND(g26488, I26393)
+I26395 = NAND(g14227, I26393)
+g27824 = NAND(I26394, I26395)
+g27833 = NAND(g21228, g25282, g26424, g26190)
+g27854 = NAND(g21228, g25283, g26424, g26195)
+I26417 = NAND(g26519, g14247)
+I26418 = NAND(g26519, I26417)
+I26419 = NAND(g14247, I26417)
+g27876 = NAND(I26418, I26419)
+g27882 = NAND(g21228, g25307, g26424, g26213)
+g27903 = NAND(g21228, g25316, g26424, g26218)
+I26438 = NAND(g26549, g14271)
+I26439 = NAND(g26549, I26438)
+I26440 = NAND(g14271, I26438)
+g27925 = NAND(I26439, I26440)
+g27931 = NAND(g25425, g25381, g25780)
+g27933 = NAND(g21228, g25356, g26424, g26236)
+I26459 = NAND(g26576, g14306)
+I26460 = NAND(g26576, I26459)
+I26461 = NAND(g14306, I26459)
+g27955 = NAND(I26460, I26461)
+g28109 = NAND(g27051, g25783)
+g28131 = NAND(g27051, g25838)
+g28167 = NAND(g925, g27046)
+g28174 = NAND(g1270, g27059)
+g28203 = NAND(g12546, g27985, g27977)
+g28206 = NAND(g12546, g26105, g27985)
+g28207 = NAND(g12546, g26131, g27977)
+g28259 = NAND(g10504, g26987, g26973)
+g28270 = NAND(g10504, g26105, g26987)
+g28271 = NAND(g10533, g27004, g26990)
+g28287 = NAND(g10504, g26131, g26973)
+g28288 = NAND(g10533, g26105, g27004)
+g28298 = NAND(g10533, g26131, g26990)
+g28336 = NAND(g27064, g24756, g27163, g19644)
+g28349 = NAND(g27074, g24770, g27187, g19644)
+g28363 = NAND(g27064, g13593)
+g28376 = NAND(g27064, g13620)
+g28381 = NAND(g27074, g13621)
+g28391 = NAND(g27064, g13637)
+g28395 = NAND(g27074, g13655)
+g28406 = NAND(g27064, g13675)
+g28410 = NAND(g27074, g13679)
+g28421 = NAND(g27074, g13715)
+g28448 = NAND(g23975, g27377)
+g28500 = NAND(g590, g27629, g12323)
+g28504 = NAND(g758, g27528, g11679)
+g28512 = NAND(g10857, g27155, g27142)
+g28516 = NAND(g10857, g26105, g27155)
+g28522 = NAND(g10857, g26131, g27142)
+g28736 = NAND(g27742, g7308, g7252)
+g28755 = NAND(g27742, g7268, g1592)
+g28758 = NAND(g27779, g7356, g7275)
+g28765 = NAND(g27800, g7374, g7280)
+g28780 = NAND(g27742, g7308, g1636)
+g28783 = NAND(g27779, g7315, g1728)
+g28786 = NAND(g27837, g7405, g7322)
+g28793 = NAND(g27800, g7328, g2153)
+g28796 = NAND(g27858, g7418, g7335)
+g28820 = NAND(g27742, g1668, g1592)
+g28823 = NAND(g27738, g14565)
+g28824 = NAND(g27779, g7356, g1772)
+g28827 = NAND(g27837, g7362, g1862)
+g28830 = NAND(g27886, g7451, g7369)
+g28837 = NAND(g27800, g7374, g2197)
+g28840 = NAND(g27858, g7380, g2287)
+g28843 = NAND(g27907, g7456, g7387)
+g28853 = NAND(g27742, g1636, g7252)
+g28856 = NAND(g27738, g8093)
+g28857 = NAND(g27779, g1802, g1728)
+g28860 = NAND(g27775, g14586)
+g28861 = NAND(g27837, g7405, g1906)
+g28864 = NAND(g27886, g7411, g1996)
+g28867 = NAND(g27800, g2227, g2153)
+g28870 = NAND(g27796, g14588)
+g28871 = NAND(g27858, g7418, g2331)
+g28874 = NAND(g27907, g7424, g2421)
+g28877 = NAND(g27937, g7490, g7431)
+g28885 = NAND(g27742, g1668, g7268)
+g28888 = NAND(g27738, g8139)
+g28892 = NAND(g27779, g1772, g7275)
+g28895 = NAND(g27775, g8146)
+g28896 = NAND(g27837, g1936, g1862)
+g28899 = NAND(g27833, g14612)
+g28900 = NAND(g27886, g7451, g2040)
+g28903 = NAND(g27800, g2197, g7280)
+g28906 = NAND(g27796, g8150)
+g28907 = NAND(g27858, g2361, g2287)
+g28910 = NAND(g27854, g14614)
+g28911 = NAND(g27907, g7456, g2465)
+g28914 = NAND(g27937, g7462, g2555)
+g28920 = NAND(g27779, g1802, g7315)
+g28923 = NAND(g27775, g8195)
+g28927 = NAND(g27837, g1906, g7322)
+g28930 = NAND(g27833, g8201)
+g28931 = NAND(g27886, g2070, g1996)
+g28934 = NAND(g27882, g14641)
+g28935 = NAND(g27800, g2227, g7328)
+g28938 = NAND(g27796, g8205)
+g28942 = NAND(g27858, g2331, g7335)
+g28945 = NAND(g27854, g8211)
+g28946 = NAND(g27907, g2495, g2421)
+g28949 = NAND(g27903, g14643)
+g28950 = NAND(g27937, g7490, g2599)
+g28955 = NAND(g27837, g1936, g7362)
+g28958 = NAND(g27833, g8249)
+g28962 = NAND(g27886, g2040, g7369)
+g28965 = NAND(g27882, g8255)
+g28966 = NAND(g27858, g2361, g7380)
+g28969 = NAND(g27854, g8267)
+g28973 = NAND(g27907, g2465, g7387)
+g28976 = NAND(g27903, g8273)
+g28977 = NAND(g27937, g2629, g2555)
+g28980 = NAND(g27933, g14680)
+g28987 = NAND(g27886, g2070, g7411)
+g28990 = NAND(g27882, g8310)
+g28994 = NAND(g27907, g2495, g7424)
+g28997 = NAND(g27903, g8324)
+g29001 = NAND(g27937, g2599, g7431)
+g29004 = NAND(g27933, g8330)
+g29015 = NAND(g27742, g9586)
+g29018 = NAND(g9586, g27742)
+g29025 = NAND(g27937, g2629, g7462)
+g29028 = NAND(g27933, g8381)
+g29046 = NAND(g27779, g9640)
+g29049 = NAND(g9640, g27779)
+g29057 = NAND(g27800, g9649)
+g29060 = NAND(g9649, g27800)
+g29082 = NAND(g27837, g9694)
+g29085 = NAND(g9694, g27837)
+g29094 = NAND(g27858, g9700)
+g29097 = NAND(g9700, g27858)
+g29118 = NAND(g27886, g9755)
+g29121 = NAND(g9755, g27886)
+g29131 = NAND(g27907, g9762)
+g29134 = NAND(g9762, g27907)
+g29154 = NAND(g27937, g9835)
+g29157 = NAND(g9835, g27937)
+g29186 = NAND(g27051, g4507)
+g29335 = NAND(g25540, g28131)
+g29355 = NAND(g24383, g28109)
+g29540 = NAND(g28336, g13464)
+g29556 = NAND(g28349, g13486)
+g29657 = NAND(g28363, g13634)
+g29660 = NAND(g28448, g9582)
+g29672 = NAND(g28376, g13672)
+g29676 = NAND(g28381, g13676)
+g29679 = NAND(g153, g28353, g23042)
+g29694 = NAND(g28391, g13709)
+g29702 = NAND(g28395, g13712)
+g29719 = NAND(g28406, g13739)
+g29722 = NAND(g28410, g13742)
+g29737 = NAND(g28421, g13779)
+g29778 = NAND(g294, g28444, g23204)
+g30573 = NAND(g29355, g19666)
+g30580 = NAND(g29335, g19666)
+g31003 = NAND(g27163, g29497, g19644)
+g31009 = NAND(g27187, g29503, g19644)
+g31262 = NAND(g767, g29916, g11679)
+g31509 = NAND(g599, g29933, g12323)
+I29253 = NAND(g29482, g12017)
+I29254 = NAND(g29482, I29253)
+I29255 = NAND(g12017, I29253)
+g31669 = NAND(I29254, I29255)
+I29261 = NAND(g29485, g12046)
+I29262 = NAND(g29485, I29261)
+I29263 = NAND(g12046, I29261)
+g31671 = NAND(I29262, I29263)
+I29269 = NAND(g29486, g12050)
+I29270 = NAND(g29486, I29269)
+I29271 = NAND(g12050, I29269)
+g31706 = NAND(I29270, I29271)
+I29277 = NAND(g29488, g12081)
+I29278 = NAND(g29488, I29277)
+I29279 = NAND(g12081, I29277)
+g31708 = NAND(I29278, I29279)
+I29284 = NAND(g29489, g12085)
+I29285 = NAND(g29489, I29284)
+I29286 = NAND(g12085, I29284)
+g31709 = NAND(I29285, I29286)
+I29295 = NAND(g29495, g12117)
+I29296 = NAND(g29495, I29295)
+I29297 = NAND(g12117, I29295)
+g31747 = NAND(I29296, I29297)
+I29302 = NAND(g29496, g12121)
+I29303 = NAND(g29496, I29302)
+I29304 = NAND(g12121, I29302)
+g31748 = NAND(I29303, I29304)
+I29313 = NAND(g29501, g12154)
+I29314 = NAND(g29501, I29313)
+I29315 = NAND(g12154, I29313)
+g31753 = NAND(I29314, I29315)
+g31950 = NAND(g7285, g30573)
+g31971 = NAND(g30573, g10511)
+g31978 = NAND(g30580, g15591)
+g31997 = NAND(g22306, g30580)
+g32057 = NAND(g31003, g13297)
+g32072 = NAND(g31009, g13301)
+g33083 = NAND(g7805, g32118)
+g33299 = NAND(g608, g32296, g12323)
+g33306 = NAND(g776, g32212, g11679)
+g33394 = NAND(g10159, g4474, g32426)
+g33669 = NAND(g33378, g862)
+g33679 = NAND(g33394, g10737, g10308)
+g33838 = NAND(g33083, g4369)
+g33925 = NAND(g33394, g4462, g4467)
+g33930 = NAND(g33394, g12767, g9848)
+g33933 = NAND(g33394, g12491, g12819, g12796)
+g34048 = NAND(g33669, g10583, g7442)
+I31972 = NAND(g33641, g33631)
+I31973 = NAND(g33641, I31972)
+I31974 = NAND(g33631, I31972)
+g34051 = NAND(I31973, I31974)
+I31983 = NAND(g33653, g33648)
+I31984 = NAND(g33653, I31983)
+I31985 = NAND(g33648, I31983)
+g34056 = NAND(I31984, I31985)
+g34162 = NAND(g785, g33823, g11679)
+g34174 = NAND(g617, g33851, g12323)
+I32185 = NAND(g33665, g33661)
+I32186 = NAND(g33665, I32185)
+I32187 = NAND(g33661, I32185)
+g34220 = NAND(I32186, I32187)
+I32202 = NAND(g33937, g33670)
+I32203 = NAND(g33937, I32202)
+I32204 = NAND(g33670, I32202)
+g34227 = NAND(I32203, I32204)
+I32431 = NAND(g34056, g34051)
+I32432 = NAND(g34056, I32431)
+I32433 = NAND(g34051, I32431)
+g34422 = NAND(I32432, I32433)
+I32439 = NAND(g34227, g34220)
+I32440 = NAND(g34227, I32439)
+I32441 = NAND(g34220, I32439)
+g34424 = NAND(I32440, I32441)
+I32516 = NAND(g34424, g34422)
+I32517 = NAND(g34424, I32516)
+I32518 = NAND(g34422, I32516)
+g34469 = NAND(I32517, I32518)
+g34545 = NAND(g11679, g794, g34354)
+g34550 = NAND(g626, g34359, g12323)
+I32756 = NAND(g34469, g25779)
+I32757 = NAND(g34469, I32756)
+I32758 = NAND(g25779, I32756)
+g34650 = NAND(I32757, I32758)
+
+g7139 = NOR(g5406, g5366)
+g7142 = NOR(g6573, g6565)
+g7158 = NOR(g5752, g5712)
+g7175 = NOR(g6098, g6058)
+g7192 = NOR(g6444, g6404)
+g7304 = NOR(g1183, g1171)
+g7352 = NOR(g1526, g1514)
+g7499 = NOR(g333, g355)
+g7567 = NOR(g979, g990)
+g7601 = NOR(g1322, g1333)
+g7661 = NOR(g1211, g1216, g1221, g1205)
+g7675 = NOR(g1554, g1559, g1564, g1548)
+g7781 = NOR(g4064, g4057)
+g8086 = NOR(g168, g174, g182)
+g8131 = NOR(g4776, g4801, g4793)
+g8177 = NOR(g4966, g4991, g4983)
+g8182 = NOR(g405, g392)
+g8720 = NOR(g358, g365)
+g8864 = NOR(g3179, g3171)
+g8906 = NOR(g3530, g3522)
+g8933 = NOR(g4709, g4785)
+g8958 = NOR(g3881, g3873)
+g8984 = NOR(g4899, g4975)
+g9015 = NOR(g3050, g3010)
+g9061 = NOR(g3401, g3361)
+g9100 = NOR(g3752, g3712)
+g9586 = NOR(g1668, g1592)
+g9602 = NOR(g4688, g4681, g4674, g4646)
+g9640 = NOR(g1802, g1728)
+g9649 = NOR(g2227, g2153)
+g9664 = NOR(g4878, g4871, g4864, g4836)
+g9694 = NOR(g1936, g1862)
+g9700 = NOR(g2361, g2287)
+g9755 = NOR(g2070, g1996)
+g9762 = NOR(g2495, g2421)
+g9835 = NOR(g2629, g2555)
+g10123 = NOR(g4294, g4297)
+g10179 = NOR(g2098, g1964, g1830, g1696)
+g10205 = NOR(g2657, g2523, g2389, g2255)
+g10266 = NOR(g5188, g5180)
+g10281 = NOR(g5535, g5527)
+g10312 = NOR(g5881, g5873)
+g10318 = NOR(g25, g22)
+g10338 = NOR(g5062, g5022)
+g10341 = NOR(g6227, g6219)
+g10421 = NOR(g6227, g9518)
+g10488 = NOR(g4616, g7133, g10336)
+g10491 = NOR(g6573, g9576)
+g10510 = NOR(g7183, g4593, g4584)
+g10555 = NOR(g7227, g4601, g4608)
+g10615 = NOR(g1636, g7308)
+g10649 = NOR(g1183, g8407)
+g10666 = NOR(g8462, g1171)
+g10671 = NOR(g1526, g8466)
+g10695 = NOR(g8462, g8407)
+g10699 = NOR(g8526, g1514)
+g10709 = NOR(g7499, g351)
+g10715 = NOR(g8526, g8466)
+g10760 = NOR(g1046, g7479)
+g10793 = NOR(g1389, g7503)
+g10799 = NOR(g347, g7541)
+g10801 = NOR(g1041, g7479)
+g10803 = NOR(g1384, g7503)
+g10808 = NOR(g8509, g7611)
+g10819 = NOR(g7479, g1041)
+g10821 = NOR(g7503, g1384)
+g10831 = NOR(g7690, g7827)
+g10862 = NOR(g7701, g7840)
+g10884 = NOR(g7650, g8451)
+g10893 = NOR(g1189, g7715, g7749)
+g10899 = NOR(g4064, g8451)
+g10918 = NOR(g1532, g7751, g7778)
+g10922 = NOR(g7650, g4057)
+g11006 = NOR(g7686, g7836)
+g11012 = NOR(g7693, g7846)
+g11039 = NOR(g9056, g9092)
+g11107 = NOR(g9095, g9177)
+g11119 = NOR(g9180, g9203)
+g11148 = NOR(g8052, g9197, g9174, g9050)
+g11171 = NOR(g8088, g9226, g9200, g9091)
+g11184 = NOR(g513, g9040)
+g11185 = NOR(g8038, g8183, g6804)
+g11191 = NOR(g4776, g4801, g9030)
+g11194 = NOR(g3288, g6875)
+g11201 = NOR(g4125, g7765)
+g11203 = NOR(g4966, g4991, g9064)
+g11207 = NOR(g3639, g6905)
+g11213 = NOR(g4776, g7892, g9030)
+g11216 = NOR(g7998, g8037)
+g11217 = NOR(g8531, g6875)
+g11225 = NOR(g3990, g6928)
+g11231 = NOR(g7928, g4801, g4793)
+g11232 = NOR(g4966, g7898, g9064)
+g11238 = NOR(g8584, g6905)
+g11248 = NOR(g7953, g4991, g4983)
+g11252 = NOR(g8620, g3057)
+g11255 = NOR(g8623, g6928)
+g11261 = NOR(g7928, g4801, g9030)
+g11270 = NOR(g8431, g8434)
+g11273 = NOR(g3061, g8620)
+g11276 = NOR(g8534, g8691)
+g11280 = NOR(g8647, g3408)
+g11283 = NOR(g7953, g4991, g9064)
+g11303 = NOR(g8497, g8500)
+g11306 = NOR(g3412, g8647)
+g11309 = NOR(g8587, g8728)
+g11313 = NOR(g8669, g3759)
+g11345 = NOR(g8477, g8479)
+g11346 = NOR(g7980, g7964)
+g11357 = NOR(g8558, g8561)
+g11360 = NOR(g3763, g8669)
+g11363 = NOR(g8626, g8751)
+g11384 = NOR(g8538, g8540)
+g11385 = NOR(g8021, g7985)
+g11414 = NOR(g8591, g8593)
+g11415 = NOR(g8080, g8026)
+g11435 = NOR(g8107, g3171)
+g11448 = NOR(g4191, g8790)
+g11469 = NOR(g650, g9903, g645)
+g11473 = NOR(g8107, g8059)
+g11483 = NOR(g8165, g3522)
+g11493 = NOR(g8964, g8967)
+g11514 = NOR(g10295, g3161, g3155)
+g11527 = NOR(g8165, g8114)
+g11537 = NOR(g8229, g3873)
+g11563 = NOR(g8059, g8011)
+g11566 = NOR(g3161, g7964)
+g11571 = NOR(g10323, g3512, g3506)
+g11584 = NOR(g8229, g8172)
+g11607 = NOR(g8848, g8993, g376)
+g11610 = NOR(g7980, g3155)
+g11618 = NOR(g8114, g8070)
+g11621 = NOR(g3512, g7985)
+g11626 = NOR(g7121, g3863, g3857)
+g11653 = NOR(g7980, g7964)
+g11658 = NOR(g8021, g3506)
+g11666 = NOR(g8172, g8125)
+g11669 = NOR(g3863, g8026)
+g11692 = NOR(g8021, g7985)
+g11697 = NOR(g8080, g3857)
+g11715 = NOR(g8080, g8026)
+g11729 = NOR(g3179, g8059)
+g11747 = NOR(g3530, g8114)
+g11755 = NOR(g4709, g8796)
+g11763 = NOR(g3881, g8172)
+g11771 = NOR(g8921, g4185)
+g11773 = NOR(g8883, g4785)
+g11780 = NOR(g4899, g8822)
+g11797 = NOR(g8883, g8796)
+g11804 = NOR(g8938, g4975)
+g11834 = NOR(g8938, g8822)
+g11846 = NOR(g7635, g7518, g7548)
+g11862 = NOR(g7134, g7150)
+g11869 = NOR(g7649, g7534, g7581)
+g11885 = NOR(g7153, g7167)
+g11891 = NOR(g812, g9166)
+g11907 = NOR(g7170, g7184)
+g11913 = NOR(g7197, g9166)
+g11924 = NOR(g7187, g7209)
+g11932 = NOR(g843, g9166)
+g11935 = NOR(g9485, g7267)
+g11940 = NOR(g2712, g10084)
+g11945 = NOR(g7212, g7228)
+g11950 = NOR(g9220, g9166)
+g11954 = NOR(g9538, g7314)
+g11958 = NOR(g9543, g7327)
+g11972 = NOR(g9591, g7361)
+g11976 = NOR(g9595, g7379)
+g11995 = NOR(g9645, g7410)
+g11999 = NOR(g9654, g7423)
+g12002 = NOR(g5297, g7004)
+g12017 = NOR(g9969, g9586)
+g12025 = NOR(g9705, g7461)
+g12026 = NOR(g9417, g9340)
+g12029 = NOR(g5644, g7028)
+g12046 = NOR(g10036, g9640)
+g12050 = NOR(g10038, g9649)
+g12059 = NOR(g9853, g7004)
+g12067 = NOR(g5990, g7051)
+g12081 = NOR(g10079, g9694)
+g12085 = NOR(g10082, g9700)
+g12093 = NOR(g9924, g7028)
+g12101 = NOR(g6336, g7074)
+g12113 = NOR(g1648, g8187)
+g12117 = NOR(g10113, g9755)
+g12121 = NOR(g10117, g9762)
+g12123 = NOR(g6856, g2748)
+g12126 = NOR(g9989, g5069)
+g12129 = NOR(g9992, g7051)
+g12137 = NOR(g6682, g7097)
+g12146 = NOR(g1783, g8241)
+g12150 = NOR(g2208, g8259)
+g12154 = NOR(g10155, g9835)
+g12160 = NOR(g9721, g9724)
+g12163 = NOR(g5073, g9989)
+g12166 = NOR(g9856, g10124)
+g12170 = NOR(g10047, g5413)
+g12173 = NOR(g10050, g7074)
+g12189 = NOR(g1917, g8302)
+g12193 = NOR(g2342, g8316)
+g12198 = NOR(g9797, g9800)
+g12201 = NOR(g5417, g10047)
+g12204 = NOR(g9927, g10160)
+g12208 = NOR(g10096, g5759)
+g12211 = NOR(g10099, g7097)
+g12223 = NOR(g2051, g8365)
+g12226 = NOR(g2476, g8373)
+g12228 = NOR(g10222, g10206, g10184, g10335)
+g12234 = NOR(g9776, g9778)
+g12235 = NOR(g9234, g9206)
+g12246 = NOR(g9880, g9883)
+g12249 = NOR(g5763, g10096)
+g12252 = NOR(g9995, g10185)
+g12256 = NOR(g10136, g6105)
+g12288 = NOR(g2610, g8418)
+g12296 = NOR(g9860, g9862)
+g12297 = NOR(g9269, g9239)
+g12308 = NOR(g9951, g9954)
+g12311 = NOR(g6109, g10136)
+g12314 = NOR(g10053, g10207)
+g12318 = NOR(g10172, g6451)
+g12333 = NOR(g1624, g8139)
+g12346 = NOR(g9931, g9933)
+g12347 = NOR(g9321, g9274)
+g12358 = NOR(g10019, g10022)
+g12361 = NOR(g6455, g10172)
+g12364 = NOR(g10102, g10224)
+g12371 = NOR(g1760, g8195)
+g12374 = NOR(g2185, g8205)
+g12377 = NOR(g6856, g2748, g9708)
+g12405 = NOR(g9374, g5180)
+g12418 = NOR(g9999, g10001)
+g12419 = NOR(g9402, g9326)
+g12432 = NOR(g1894, g8249)
+g12435 = NOR(g9012, g8956, g8904, g8863)
+g12437 = NOR(g2319, g8267)
+g12443 = NOR(g9374, g9300)
+g12453 = NOR(g9444, g5527)
+g12466 = NOR(g10057, g10059)
+g12467 = NOR(g9472, g9407)
+g12479 = NOR(g2028, g8310)
+g12483 = NOR(g2453, g8324)
+g12486 = NOR(g9055, g9013, g8957, g8905)
+g12492 = NOR(g7704, g5170, g5164)
+g12505 = NOR(g9444, g9381)
+g12515 = NOR(g9511, g5873)
+g12540 = NOR(g2587, g8381)
+g12550 = NOR(g9300, g9259)
+g12553 = NOR(g5170, g9206)
+g12558 = NOR(g7738, g5517, g5511)
+g12571 = NOR(g9511, g9451)
+g12581 = NOR(g9569, g6219)
+g12591 = NOR(g504, g9040)
+g12593 = NOR(g9234, g5164)
+g12601 = NOR(g9381, g9311)
+g12604 = NOR(g5517, g9239)
+g12609 = NOR(g7766, g5863, g5857)
+g12622 = NOR(g9569, g9518)
+g12632 = NOR(g9631, g6565)
+g12645 = NOR(g4467, g6961)
+g12646 = NOR(g9234, g9206)
+g12651 = NOR(g9269, g5511)
+g12659 = NOR(g9451, g9392)
+g12662 = NOR(g5863, g9274)
+g12667 = NOR(g7791, g6209, g6203)
+g12680 = NOR(g9631, g9576)
+g12695 = NOR(g9269, g9239)
+g12700 = NOR(g9321, g5857)
+g12708 = NOR(g9518, g9462)
+g12711 = NOR(g6209, g9326)
+g12716 = NOR(g7812, g6555, g6549)
+g12729 = NOR(g1657, g8139)
+g12739 = NOR(g9321, g9274)
+g12744 = NOR(g9402, g6203)
+g12752 = NOR(g9576, g9529)
+g12755 = NOR(g6555, g9407)
+g12772 = NOR(g5188, g9300)
+g12780 = NOR(g9402, g9326)
+g12785 = NOR(g9472, g6549)
+g12798 = NOR(g5535, g9381)
+g12806 = NOR(g9472, g9407)
+g12821 = NOR(g7132, g10223, g7149, g10261)
+g12824 = NOR(g5881, g9451)
+g12846 = NOR(g6837, g10430)
+g12847 = NOR(g6838, g10430)
+g12848 = NOR(g6839, g10430)
+g12849 = NOR(g6840, g10430)
+g12850 = NOR(g10430, g6845)
+g12851 = NOR(g6846, g10430)
+g12852 = NOR(g6847, g10430)
+g12853 = NOR(g6848, g10430)
+g12854 = NOR(g6849, g10430)
+g12855 = NOR(g10430, g6854)
+g12856 = NOR(g10430, g6855)
+g12858 = NOR(g10365, g10430)
+g12970 = NOR(g10555, g10510, g10488)
+g12980 = NOR(g7909, g10741)
+g13004 = NOR(g7933, g10741)
+g13005 = NOR(g7939, g10762)
+g13013 = NOR(g7957, g10762)
+g13021 = NOR(g7544, g10741)
+g13031 = NOR(g7301, g10741)
+g13032 = NOR(g7577, g10762)
+g13044 = NOR(g7349, g10762)
+g13056 = NOR(g7400, g10741)
+g13076 = NOR(g7443, g10741)
+g13078 = NOR(g7446, g10762)
+g13094 = NOR(g7487, g10762)
+g13110 = NOR(g7841, g10741)
+g13114 = NOR(g7528, g10741)
+g13125 = NOR(g7863, g10762)
+g13129 = NOR(g7553, g10762)
+g13202 = NOR(g8347, g10511)
+g13325 = NOR(g7841, g10741)
+g13326 = NOR(g10929, g10905)
+g13335 = NOR(g7851, g10741)
+g13336 = NOR(g11330, g11011)
+g13341 = NOR(g7863, g10762)
+g13342 = NOR(g10961, g10935)
+g13377 = NOR(g7873, g10762)
+g13378 = NOR(g11374, g11017)
+g13480 = NOR(g3017, g11858)
+g13500 = NOR(g8480, g12641)
+g13501 = NOR(g3368, g11881)
+g13512 = NOR(g9077, g12527)
+g13517 = NOR(g8541, g12692)
+g13518 = NOR(g3719, g11903)
+g13539 = NOR(g8594, g12735)
+g13568 = NOR(g8046, g12527)
+g13603 = NOR(g8009, g10721)
+g13622 = NOR(g278, g11166)
+g13631 = NOR(g8068, g10733)
+g13661 = NOR(g528, g11185)
+g13670 = NOR(g8123, g10756)
+g13698 = NOR(g528, g12527, g11185)
+g13700 = NOR(g3288, g11615)
+g13730 = NOR(g3639, g11663)
+g13765 = NOR(g8531, g11615)
+g13772 = NOR(g3990, g11702)
+g13796 = NOR(g9158, g12527)
+g13799 = NOR(g8584, g11663)
+g13806 = NOR(g11245, g4076)
+g13824 = NOR(g8623, g11702)
+g13831 = NOR(g11245, g7666)
+g13852 = NOR(g11320, g8347)
+g13872 = NOR(g8745, g11083)
+g13883 = NOR(g4709, g4785, g11155)
+g13908 = NOR(g4709, g8796, g11155)
+g13910 = NOR(g4899, g4975, g11173)
+g13913 = NOR(g8859, g11083)
+g13919 = NOR(g3347, g11276)
+g13937 = NOR(g8883, g4785, g11155)
+g13939 = NOR(g4899, g8822, g11173)
+g13944 = NOR(g10262, g12259)
+g13946 = NOR(g8651, g11083)
+g13947 = NOR(g8948, g11083)
+g13954 = NOR(g8663, g11276)
+g13959 = NOR(g3698, g11309)
+g13970 = NOR(g8883, g8796, g11155)
+g13971 = NOR(g8938, g4975, g11173)
+g13989 = NOR(g8697, g11309)
+g13994 = NOR(g4049, g11363)
+g13996 = NOR(g8938, g8822, g11173)
+g14000 = NOR(g8766, g12259)
+g14001 = NOR(g739, g11083)
+g14002 = NOR(g8681, g11083)
+g14003 = NOR(g9003, g11083)
+g14027 = NOR(g8734, g11363)
+g14033 = NOR(g8808, g12259)
+g14036 = NOR(g8725, g11083)
+g14037 = NOR(g8748, g11083)
+g14064 = NOR(g9214, g12259)
+g14090 = NOR(g8851, g12259)
+g14091 = NOR(g8854, g12259)
+g14092 = NOR(g8774, g11083)
+g14093 = NOR(g8833, g11083)
+g14094 = NOR(g8770, g11083)
+g14121 = NOR(g8891, g12259)
+g14122 = NOR(g8895, g12259)
+g14124 = NOR(g8830, g11083)
+g14145 = NOR(g8945, g12259)
+g14163 = NOR(g8997, g12259)
+g14164 = NOR(g9000, g12259)
+g14165 = NOR(g8951, g11083)
+g14176 = NOR(g9044, g12259)
+g14178 = NOR(g8899, g11083)
+g14181 = NOR(g9083, g12259)
+g14188 = NOR(g9162, g12259)
+g14194 = NOR(g5029, g10515)
+g14211 = NOR(g9779, g10823)
+g14212 = NOR(g5373, g10537)
+g14227 = NOR(g9863, g10838)
+g14228 = NOR(g5719, g10561)
+g14247 = NOR(g9934, g10869)
+g14248 = NOR(g6065, g10578)
+g14253 = NOR(g10032, g12259, g9217)
+g14271 = NOR(g10002, g10874)
+g14272 = NOR(g6411, g10598)
+g14278 = NOR(g562, g12259, g9217)
+g14291 = NOR(g9839, g12155)
+g14306 = NOR(g10060, g10887)
+g14313 = NOR(g12016, g9250)
+g14320 = NOR(g9257, g11111)
+g14334 = NOR(g12044, g9337)
+g14335 = NOR(g12045, g9283)
+g14337 = NOR(g12049, g9284)
+g14339 = NOR(g12289, g2735)
+g14347 = NOR(g9309, g11123)
+g14360 = NOR(g12078, g9484)
+g14361 = NOR(g12079, g9413)
+g14362 = NOR(g12080, g9338)
+g14364 = NOR(g12083, g9415)
+g14365 = NOR(g12084, g9339)
+g14367 = NOR(g9547, g12289)
+g14382 = NOR(g9390, g11139)
+g14391 = NOR(g12112, g9585)
+g14392 = NOR(g12114, g9537)
+g14393 = NOR(g12115, g9488)
+g14394 = NOR(g12116, g9414)
+g14395 = NOR(g12118, g9542)
+g14396 = NOR(g12119, g9489)
+g14397 = NOR(g12120, g9416)
+g14399 = NOR(g5297, g12598)
+g14411 = NOR(g9460, g11160)
+g14413 = NOR(g11914, g9638)
+g14414 = NOR(g12145, g9639)
+g14415 = NOR(g12147, g9590)
+g14416 = NOR(g12148, g9541)
+g14417 = NOR(g12149, g9648)
+g14418 = NOR(g12151, g9594)
+g14419 = NOR(g12152, g9546)
+g14420 = NOR(g12153, g9490)
+g14425 = NOR(g5644, g12656)
+g14437 = NOR(g9527, g11178)
+g14444 = NOR(g11936, g9692)
+g14445 = NOR(g12188, g9693)
+g14446 = NOR(g12190, g9644)
+g14447 = NOR(g11938, g9698)
+g14448 = NOR(g12192, g9699)
+g14449 = NOR(g12194, g9653)
+g14450 = NOR(g12195, g9598)
+g14490 = NOR(g9853, g12598)
+g14497 = NOR(g5990, g12705)
+g14512 = NOR(g11955, g9753)
+g14513 = NOR(g12222, g9754)
+g14514 = NOR(g11959, g9760)
+g14515 = NOR(g12225, g9761)
+g14516 = NOR(g12227, g9704)
+g14522 = NOR(g9924, g12656)
+g14529 = NOR(g6336, g12749)
+g14538 = NOR(g11973, g9828)
+g14539 = NOR(g11977, g9833)
+g14540 = NOR(g12287, g9834)
+g14549 = NOR(g9992, g12705)
+g14556 = NOR(g6682, g12790)
+g14568 = NOR(g12000, g9915)
+g14575 = NOR(g10050, g12749)
+g14602 = NOR(g10099, g12790)
+g14611 = NOR(g12333, g9749)
+g14640 = NOR(g12371, g9824)
+g14642 = NOR(g12374, g9829)
+g14678 = NOR(g12432, g9907)
+g14679 = NOR(g12437, g9911)
+g14687 = NOR(g5352, g12166)
+g14707 = NOR(g10143, g12259)
+g14712 = NOR(g12479, g9971)
+g14713 = NOR(g12483, g9974)
+g14726 = NOR(g10090, g12166)
+g14731 = NOR(g5698, g12204)
+g14751 = NOR(g10622, g10617, g10609, g10603)
+g14752 = NOR(g12540, g10040)
+g14754 = NOR(g12821, g2988)
+g14767 = NOR(g10130, g12204)
+g14772 = NOR(g6044, g12252)
+g14792 = NOR(g10653, g10623, g10618, g10611)
+g14793 = NOR(g2988, g12228)
+g14816 = NOR(g10166, g12252)
+g14821 = NOR(g6390, g12314)
+g14867 = NOR(g10191, g12314)
+g14872 = NOR(g6736, g12364)
+g14911 = NOR(g10213, g12364)
+g14914 = NOR(g12822, g12797)
+g14988 = NOR(g10816, g10812, g10805)
+g15049 = NOR(g13350, g6799)
+g15050 = NOR(g12834, g13350)
+g15051 = NOR(g6801, g13350)
+g15052 = NOR(g12835, g13350)
+g15053 = NOR(g12836, g13350)
+g15054 = NOR(g12837, g13350)
+g15055 = NOR(g6808, g13350)
+g15056 = NOR(g6809, g13350)
+g15057 = NOR(g6810, g13350)
+g15058 = NOR(g12838, g13350)
+g15059 = NOR(g12839, g13350)
+g15060 = NOR(g13350, g6814)
+g15061 = NOR(g6815, g13394)
+g15062 = NOR(g6817, g13394)
+g15063 = NOR(g6818, g13394)
+g15064 = NOR(g6820, g13394)
+g15065 = NOR(g13394, g12840)
+g15066 = NOR(g12841, g13394)
+g15067 = NOR(g12842, g13394)
+g15068 = NOR(g6826, g13416)
+g15069 = NOR(g6828, g13416)
+g15070 = NOR(g6829, g13416)
+g15071 = NOR(g6831, g13416)
+g15072 = NOR(g13416, g12843)
+g15073 = NOR(g12844, g13416)
+g15074 = NOR(g12845, g13416)
+g15086 = NOR(g13144, g12859)
+g15087 = NOR(g12860, g13144)
+g15088 = NOR(g13144, g6874)
+g15089 = NOR(g13144, g12861)
+g15090 = NOR(g13144, g12862)
+g15091 = NOR(g13177, g12863)
+g15092 = NOR(g12864, g13177)
+g15093 = NOR(g13177, g6904)
+g15094 = NOR(g13177, g12865)
+g15095 = NOR(g13177, g12866)
+g15096 = NOR(g13191, g12867)
+g15097 = NOR(g12868, g13191)
+g15098 = NOR(g13191, g6927)
+g15099 = NOR(g13191, g12869)
+g15100 = NOR(g13191, g12870)
+g15101 = NOR(g12871, g14591)
+g15102 = NOR(g14591, g6954)
+g15106 = NOR(g12872, g10430)
+g15120 = NOR(g12873, g13605)
+g15121 = NOR(g12874, g13605)
+g15122 = NOR(g6959, g13605)
+g15123 = NOR(g6975, g13605)
+g15126 = NOR(g12878, g13605)
+g15127 = NOR(g12879, g13605)
+g15128 = NOR(g13638, g12880)
+g15129 = NOR(g6984, g13638)
+g15130 = NOR(g13638, g6985)
+g15131 = NOR(g12881, g13638)
+g15132 = NOR(g12882, g13638)
+g15133 = NOR(g12883, g13638)
+g15134 = NOR(g13638, g12884)
+g15135 = NOR(g6990, g13638)
+g15136 = NOR(g13680, g12885)
+g15137 = NOR(g6992, g13680)
+g15138 = NOR(g13680, g6993)
+g15139 = NOR(g12886, g13680)
+g15140 = NOR(g12887, g13680)
+g15141 = NOR(g12888, g13680)
+g15142 = NOR(g13680, g12889)
+g15143 = NOR(g6998, g13680)
+g15144 = NOR(g13716, g12890)
+g15145 = NOR(g12891, g13716)
+g15146 = NOR(g13716, g7003)
+g15147 = NOR(g13716, g12892)
+g15148 = NOR(g13716, g12893)
+g15149 = NOR(g13745, g12894)
+g15150 = NOR(g12895, g13745)
+g15151 = NOR(g13745, g7027)
+g15152 = NOR(g13745, g12896)
+g15153 = NOR(g13745, g12897)
+g15154 = NOR(g13782, g12898)
+g15155 = NOR(g12899, g13782)
+g15156 = NOR(g13782, g7050)
+g15157 = NOR(g13782, g12900)
+g15158 = NOR(g13782, g12901)
+g15159 = NOR(g13809, g12902)
+g15160 = NOR(g12903, g13809)
+g15161 = NOR(g13809, g7073)
+g15162 = NOR(g13809, g12904)
+g15163 = NOR(g13809, g12905)
+g15164 = NOR(g13835, g12906)
+g15165 = NOR(g12907, g13835)
+g15166 = NOR(g13835, g7096)
+g15167 = NOR(g13835, g12908)
+g15168 = NOR(g13835, g12909)
+g15170 = NOR(g7118, g14279)
+g15372 = NOR(g817, g14279)
+g15508 = NOR(g10320, g14279)
+g15570 = NOR(g822, g14279)
+g15578 = NOR(g7216, g14279)
+g15585 = NOR(g11862, g14194)
+g15594 = NOR(g10614, g13026, g7285)
+g15608 = NOR(g11885, g14212)
+g15628 = NOR(g11907, g14228)
+g15647 = NOR(g11924, g14248)
+g15669 = NOR(g11945, g14272)
+g15718 = NOR(g13858, g11330)
+g15724 = NOR(g13858, g11374)
+g15754 = NOR(g341, g7440, g13385)
+g15825 = NOR(g7666, g13217)
+g15992 = NOR(g10929, g13846)
+g16024 = NOR(g14216, g11890)
+g16027 = NOR(g10929, g13260)
+g16044 = NOR(g10961, g13861)
+g16066 = NOR(g10929, g13307)
+g16072 = NOR(g10961, g13273)
+g16090 = NOR(g10961, g13315)
+g16183 = NOR(g9223, g13545)
+g16198 = NOR(g9247, g13574)
+g16201 = NOR(g13462, g4704)
+g16209 = NOR(g13478, g4749)
+g16210 = NOR(g13479, g4894)
+g16215 = NOR(g1211, g13545)
+g16219 = NOR(g13498, g4760)
+g16220 = NOR(g13499, g4939)
+g16226 = NOR(g8052, g13545)
+g16227 = NOR(g1554, g13574)
+g16231 = NOR(g13515, g4771)
+g16232 = NOR(g13516, g4950)
+g16237 = NOR(g8088, g13574)
+g16242 = NOR(g13529, g4961)
+g16246 = NOR(g13551, g11169)
+g16268 = NOR(g7913, g13121)
+g16272 = NOR(g13580, g11189)
+g16287 = NOR(g13622, g11144)
+g16288 = NOR(g13794, g417)
+g16292 = NOR(g7943, g13134)
+g16313 = NOR(g8005, g13600)
+g16424 = NOR(g8064, g13628)
+g16476 = NOR(g8119, g13667)
+g16479 = NOR(g14719, g12490)
+g16488 = NOR(g13697, g13656)
+g16581 = NOR(g13756, g8086)
+g16646 = NOR(g13437, g11020, g11372)
+g17148 = NOR(g827, g14279)
+g17174 = NOR(g9194, g14279)
+g17175 = NOR(g1216, g13545)
+g17180 = NOR(g1559, g13574)
+g17190 = NOR(g723, g14279)
+g17194 = NOR(g11039, g13480)
+g17198 = NOR(g9282, g14279)
+g17213 = NOR(g11107, g13501)
+g17239 = NOR(g11119, g13518)
+g17284 = NOR(g9253, g14317)
+g17309 = NOR(g9305, g14344)
+g17393 = NOR(g9386, g14379)
+g17420 = NOR(g9456, g14408)
+g17482 = NOR(g9523, g14434)
+g17515 = NOR(g13221, g10828)
+g17619 = NOR(g10179, g12955)
+g17625 = NOR(g14541, g12123)
+g17657 = NOR(g14751, g12955)
+g17663 = NOR(g10205, g12983)
+g17694 = NOR(g12435, g12955)
+g17700 = NOR(g14792, g12983)
+g17727 = NOR(g12486, g12983)
+g17954 = NOR(g832, g14279)
+g19063 = NOR(g7909, g15674)
+g19070 = NOR(g16957, g11720)
+g19140 = NOR(g7939, g15695)
+g19209 = NOR(g12971, g15614, g11320)
+g19268 = NOR(g15979, g962)
+g19338 = NOR(g16031, g1306)
+g19388 = NOR(g17181, g14256)
+g19400 = NOR(g17139, g14206)
+g19401 = NOR(g17193, g14296)
+g19402 = NOR(g15979, g13133)
+g19413 = NOR(g17151, g14221)
+g19422 = NOR(g16031, g13141)
+g19430 = NOR(g17150, g14220)
+g19436 = NOR(g17176, g14233)
+g19444 = NOR(g17192, g14295)
+g19453 = NOR(g17199, g14316)
+g19778 = NOR(g16268, g1061)
+g19793 = NOR(g16292, g1404)
+g19853 = NOR(g15746, g1052)
+g19873 = NOR(g15755, g1395)
+g19880 = NOR(g16201, g13634)
+g19887 = NOR(g3025, g16275)
+g19890 = NOR(g16987, g8058)
+g19906 = NOR(g16209, g13672)
+g19907 = NOR(g16210, g13676)
+g19919 = NOR(g16987, g11205)
+g19932 = NOR(g3376, g16296)
+g19935 = NOR(g17062, g8113)
+g19951 = NOR(g16219, g13709)
+g19953 = NOR(g16220, g13712)
+g19968 = NOR(g17062, g11223)
+g19981 = NOR(g3727, g16316)
+g19984 = NOR(g17096, g8171)
+g19997 = NOR(g16231, g13739)
+g19999 = NOR(g16232, g13742)
+g20000 = NOR(g13661, g16264)
+g20014 = NOR(g17096, g11244)
+g20027 = NOR(g16242, g13779)
+g20149 = NOR(g17091, g14185)
+g20183 = NOR(g17152, g14222)
+g20234 = NOR(g17140, g14207)
+g20390 = NOR(g17182, g14257)
+g20717 = NOR(g5037, g17217)
+g20720 = NOR(g17847, g9299)
+g20841 = NOR(g17847, g12027)
+g20854 = NOR(g5381, g17243)
+g20857 = NOR(g17929, g9380)
+g20982 = NOR(g17929, g12065)
+g20995 = NOR(g5727, g17287)
+g20998 = NOR(g18065, g9450)
+g21062 = NOR(g9547, g17297)
+g21127 = NOR(g18065, g12099)
+g21140 = NOR(g6073, g17312)
+g21143 = NOR(g15348, g9517)
+g21193 = NOR(g15348, g12135)
+g21206 = NOR(g6419, g17396)
+g21209 = NOR(g15483, g9575)
+g21250 = NOR(g9417, g9340, g17494)
+g21256 = NOR(g15483, g12179)
+g21277 = NOR(g9417, g9340, g17467)
+g21284 = NOR(g16646, g9690)
+g21389 = NOR(g10143, g17748, g12259)
+g21652 = NOR(g17619, g17663)
+g21655 = NOR(g17657, g17700)
+g21658 = NOR(g17694, g17727)
+g22190 = NOR(g2827, g18949)
+g22357 = NOR(g1024, g19699)
+g22399 = NOR(g1367, g19720)
+g22400 = NOR(g19345, g15718)
+g22405 = NOR(g18957, g20136, g20114)
+g22448 = NOR(g1018, g19699)
+g22450 = NOR(g19345, g15724)
+g22488 = NOR(g19699, g1002)
+g22491 = NOR(g1361, g19720)
+g22513 = NOR(g1002, g19699)
+g22514 = NOR(g19699, g1018)
+g22517 = NOR(g19720, g1345)
+g22521 = NOR(g1036, g19699)
+g22522 = NOR(g19699, g1024)
+g22523 = NOR(g1345, g19720)
+g22524 = NOR(g19720, g1361)
+g22535 = NOR(g19699, g1030)
+g22536 = NOR(g1379, g19720)
+g22537 = NOR(g19720, g1367)
+g22539 = NOR(g1030, g19699)
+g22540 = NOR(g19720, g1373)
+g22545 = NOR(g1373, g19720)
+g22654 = NOR(g7733, g19506)
+g22929 = NOR(g19773, g12970)
+g22983 = NOR(g979, g16268, g19853)
+g22993 = NOR(g1322, g16292, g19873)
+g23024 = NOR(g7936, g19407)
+g23042 = NOR(g16581, g19462, g10685)
+g23051 = NOR(g7960, g19427)
+g23052 = NOR(g8334, g19916)
+g23063 = NOR(g16313, g19887)
+g23079 = NOR(g8390, g19965)
+g23108 = NOR(g16424, g19932)
+g23124 = NOR(g8443, g20011)
+g23135 = NOR(g16476, g19981)
+g23204 = NOR(g10685, g19462, g16488)
+g23208 = NOR(g20035, g16324)
+g23560 = NOR(g9607, g20838)
+g23586 = NOR(g17284, g20717)
+g23602 = NOR(g9672, g20979)
+g23626 = NOR(g17309, g20854)
+g23642 = NOR(g9733, g21124)
+g23662 = NOR(g17393, g20995)
+g23678 = NOR(g9809, g21190)
+g23686 = NOR(g2767, g21066)
+g23695 = NOR(g17420, g21140)
+g23711 = NOR(g9892, g21253)
+g23729 = NOR(g17482, g21206)
+g23763 = NOR(g2795, g21276)
+g23835 = NOR(g2791, g21303)
+g23871 = NOR(g2811, g21348)
+g23883 = NOR(g2779, g21067)
+g23918 = NOR(g2799, g21382)
+g23955 = NOR(g2823, g18890)
+g23956 = NOR(g18957, g18918, g20136, g20114)
+g24018 = NOR(I23162, I23163)
+g24145 = NOR(g19402, g19422)
+g24148 = NOR(g19268, g19338)
+g24383 = NOR(g22409, g22360)
+g24391 = NOR(g22190, g14645)
+g24439 = NOR(g7400, g22312)
+g24453 = NOR(g7446, g22325)
+g24494 = NOR(g23513, g23532)
+g24497 = NOR(g23533, g23553)
+g24508 = NOR(g23577, g23618)
+g24514 = NOR(g23619, g23657)
+g24575 = NOR(g23498, g23514)
+g24619 = NOR(g23554, g23581)
+g24631 = NOR(g20516, g20436, g20219, g22957)
+g24701 = NOR(g979, g23024, g19778)
+g24720 = NOR(g1322, g23051, g19793)
+g24751 = NOR(g3034, g23105)
+g24766 = NOR(g3385, g23132)
+g24779 = NOR(g3736, g23167)
+g24875 = NOR(g8725, g23850, g11083)
+g24953 = NOR(g10262, g23978, g12259)
+g24959 = NOR(g8858, g23324)
+g24976 = NOR(g671, g23324)
+g24990 = NOR(g8898, g23324)
+g25004 = NOR(g676, g23324)
+g25005 = NOR(g6811, g23324)
+g25022 = NOR(g714, g23324)
+g25141 = NOR(g22228, g10334)
+g25144 = NOR(g5046, g23623)
+g25160 = NOR(g5390, g23659)
+g25175 = NOR(g5736, g23692)
+g25189 = NOR(g6082, g23726)
+g25203 = NOR(g6428, g23756)
+g25247 = NOR(g23763, g14645)
+g25317 = NOR(g9766, g23782)
+g25321 = NOR(g23835, g14645)
+g25407 = NOR(g23871, g14645)
+g25446 = NOR(g23686, g14645)
+g25447 = NOR(g23883, g14645)
+g25501 = NOR(g23918, g14645)
+g25504 = NOR(g22550, g7222)
+g25521 = NOR(g23955, g14645)
+g25540 = NOR(g22409, g22360)
+g25769 = NOR(g25453, g25414)
+g25770 = NOR(g25417, g25377)
+g25776 = NOR(g7166, g24380, g24369)
+g25777 = NOR(g25482, g25456)
+g25778 = NOR(g25459, g25420)
+g25784 = NOR(g25507, g25485)
+g25785 = NOR(g25488, g25462)
+g25800 = NOR(g25518, g25510)
+g25851 = NOR(g4311, g24380, g24369)
+g25887 = NOR(g24984, g11706)
+g25932 = NOR(g7680, g24528)
+g25944 = NOR(g7716, g24591)
+g25947 = NOR(g1199, g24591)
+g25948 = NOR(g7752, g24609)
+g25950 = NOR(g1070, g24591)
+g25952 = NOR(g1542, g24609)
+g25954 = NOR(g7750, g24591)
+g25956 = NOR(g1413, g24609)
+g25958 = NOR(g7779, g24609)
+g26098 = NOR(g9073, g24732)
+g26162 = NOR(g23052, g24751)
+g26183 = NOR(g23079, g24766)
+g26209 = NOR(g23124, g24779)
+g26212 = NOR(g23837, g25408)
+g26247 = NOR(g7995, g24732)
+g26256 = NOR(g23873, g25479)
+g26267 = NOR(g8033, g24732)
+g26268 = NOR(g283, g24825)
+g26296 = NOR(g8287, g24732)
+g26297 = NOR(g8519, g24825)
+g26298 = NOR(g8297, g24825)
+g26309 = NOR(g8575, g24825)
+g26314 = NOR(g24808, g24802)
+g26330 = NOR(g8631, g24825)
+g26338 = NOR(g8458, g24825)
+g26346 = NOR(g8522, g24825)
+g26515 = NOR(g24843, g24822)
+g26545 = NOR(g24881, g24855)
+g26546 = NOR(g24858, g24846)
+g26573 = NOR(g24897, g24884)
+g26574 = NOR(g24887, g24861)
+g26598 = NOR(g8990, g13756, g24732)
+g26603 = NOR(g24908, g24900)
+g26609 = NOR(g146, g24732)
+g26625 = NOR(g23560, g25144)
+g26628 = NOR(g8990, g24732)
+g26645 = NOR(g23602, g25160)
+g26649 = NOR(g9037, g24732)
+g26667 = NOR(g23642, g25175)
+g26686 = NOR(g23678, g25189)
+g26715 = NOR(g23711, g25203)
+g26865 = NOR(g25328, g25290)
+g26872 = NOR(g25411, g25371)
+g26873 = NOR(g25374, g25331)
+g26976 = NOR(g5016, g25791)
+g26993 = NOR(g5360, g25805)
+g27007 = NOR(g5706, g25821)
+g27010 = NOR(g6052, g25839)
+g27012 = NOR(g6398, g25856)
+g27027 = NOR(g26398, g26484)
+g27046 = NOR(g7544, g25888)
+g27059 = NOR(g7577, g25895)
+g27063 = NOR(g26485, g26516)
+g27093 = NOR(g26712, g26749)
+g27102 = NOR(g26750, g26779)
+g27337 = NOR(g8334, g26616)
+g27338 = NOR(g9291, g26616)
+g27343 = NOR(g8005, g26616)
+g27344 = NOR(g8390, g26636)
+g27345 = NOR(g9360, g26636)
+g27352 = NOR(g7975, g26616)
+g27353 = NOR(g8097, g26616)
+g27354 = NOR(g8064, g26636)
+g27355 = NOR(g8443, g26657)
+g27356 = NOR(g9429, g26657)
+g27364 = NOR(g8426, g26616)
+g27366 = NOR(g8016, g26636)
+g27367 = NOR(g8155, g26636)
+g27368 = NOR(g8119, g26657)
+g27379 = NOR(g8492, g26636)
+g27381 = NOR(g8075, g26657)
+g27382 = NOR(g8219, g26657)
+g27400 = NOR(g8553, g26657)
+g27479 = NOR(g9056, g26616)
+g27499 = NOR(g9095, g26636)
+g27511 = NOR(g22137, g26866, g20277)
+g27516 = NOR(g9180, g26657)
+g27528 = NOR(g8770, g26352, g11083)
+g27629 = NOR(g8891, g26382, g12259)
+g27647 = NOR(g3004, g26616)
+g27652 = NOR(g3355, g26636)
+g27659 = NOR(g3706, g26657)
+g27703 = NOR(g9607, g25791)
+g27704 = NOR(g7239, g25791)
+g27717 = NOR(g9492, g26745)
+g27720 = NOR(g9253, g25791)
+g27721 = NOR(g9672, g25805)
+g27722 = NOR(g7247, g25805)
+g27731 = NOR(g9229, g25791)
+g27732 = NOR(g9364, g25791)
+g27733 = NOR(g9305, g25805)
+g27734 = NOR(g9733, g25821)
+g27735 = NOR(g7262, g25821)
+g27766 = NOR(g9716, g25791)
+g27768 = NOR(g9264, g25805)
+g27769 = NOR(g9434, g25805)
+g27770 = NOR(g9386, g25821)
+g27771 = NOR(g9809, g25839)
+g27772 = NOR(g7297, g25839)
+g27823 = NOR(g9792, g25805)
+g27825 = NOR(g9316, g25821)
+g27826 = NOR(g9501, g25821)
+g27827 = NOR(g9456, g25839)
+g27828 = NOR(g9892, g25856)
+g27829 = NOR(g7345, g25856)
+g27875 = NOR(g9875, g25821)
+g27877 = NOR(g9397, g25839)
+g27878 = NOR(g9559, g25839)
+g27879 = NOR(g9523, g25856)
+g27924 = NOR(g9946, g25839)
+g27926 = NOR(g9467, g25856)
+g27927 = NOR(g9621, g25856)
+g27954 = NOR(g10014, g25856)
+g27960 = NOR(g7134, g25791)
+g27966 = NOR(g7153, g25805)
+g27969 = NOR(g7170, g25821)
+g27973 = NOR(g7187, g25839)
+g27982 = NOR(g7212, g25856)
+g28031 = NOR(g21209, I26522, I26523)
+g28106 = NOR(g7812, g26994)
+g28149 = NOR(g27598, g27612)
+g28340 = NOR(g27439, g26339)
+g28353 = NOR(g9073, g27654, g24732)
+g28414 = NOR(g27467, g26347)
+g28425 = NOR(g27493, g26351)
+g28444 = NOR(g8575, g27463, g24825)
+g28452 = NOR(g3161, g27602)
+g28457 = NOR(g7980, g27602)
+g28462 = NOR(g3512, g27617)
+g28468 = NOR(g3155, g10295, g27602)
+g28469 = NOR(g3171, g27602)
+g28470 = NOR(g8021, g27617)
+g28475 = NOR(g3863, g27635)
+g28476 = NOR(g27627, g26547)
+g28480 = NOR(g8059, g27602)
+g28481 = NOR(g3506, g10323, g27617)
+g28482 = NOR(g3522, g27617)
+g28483 = NOR(g8080, g27635)
+g28491 = NOR(g8114, g27617)
+g28492 = NOR(g3857, g7121, g27635)
+g28493 = NOR(g3873, g27635)
+g28496 = NOR(g3179, g27602)
+g28498 = NOR(g8172, g27635)
+g28509 = NOR(g8107, g27602)
+g28510 = NOR(g3530, g27617)
+g28514 = NOR(g8165, g27617)
+g28515 = NOR(g3881, g27635)
+g28519 = NOR(g8011, g27602, g10295)
+g28520 = NOR(g8229, g27635)
+g28521 = NOR(g27649, g26604)
+g28529 = NOR(g8070, g27617, g10323)
+g28540 = NOR(g8125, g27635, g7121)
+g28552 = NOR(g10295, g27602)
+g28568 = NOR(g10323, g27617)
+g28584 = NOR(g7121, g27635)
+g28803 = NOR(g27730, g22763)
+g28953 = NOR(g5170, g27999)
+g28981 = NOR(g9234, g27999)
+g28986 = NOR(g5517, g28010)
+g29005 = NOR(g5164, g7704, g27999)
+g29006 = NOR(g5180, g27999)
+g29007 = NOR(g9269, g28010)
+g29012 = NOR(g5863, g28020)
+g29032 = NOR(g9300, g27999)
+g29033 = NOR(g5511, g7738, g28010)
+g29034 = NOR(g5527, g28010)
+g29035 = NOR(g9321, g28020)
+g29040 = NOR(g6209, g26977)
+g29069 = NOR(g9381, g28010)
+g29070 = NOR(g5857, g7766, g28020)
+g29071 = NOR(g5873, g28020)
+g29072 = NOR(g9402, g26977)
+g29077 = NOR(g6555, g26994)
+g29104 = NOR(g5188, g27999)
+g29106 = NOR(g9451, g28020)
+g29107 = NOR(g6203, g7791, g26977)
+g29108 = NOR(g6219, g26977)
+g29109 = NOR(g9472, g26994)
+g29141 = NOR(g9374, g27999)
+g29142 = NOR(g5535, g28010)
+g29144 = NOR(g9518, g26977)
+g29145 = NOR(g6549, g7812, g26994)
+g29146 = NOR(g6565, g26994)
+g29164 = NOR(g9444, g28010)
+g29165 = NOR(g5881, g28020)
+g29167 = NOR(g9576, g26994)
+g29173 = NOR(g9259, g27999, g7704)
+g29174 = NOR(g9511, g28020)
+g29175 = NOR(g6227, g26977)
+g29179 = NOR(g9311, g28010, g7738)
+g29180 = NOR(g9569, g26977)
+g29181 = NOR(g6573, g26994)
+g29183 = NOR(g9392, g28020, g7766)
+g29184 = NOR(g9631, g26994)
+g29187 = NOR(g7704, g27999)
+g29189 = NOR(g9462, g26977, g7791)
+g29191 = NOR(g7738, g28010)
+g29193 = NOR(g9529, g26994, g7812)
+g29198 = NOR(g7766, g28020)
+g29200 = NOR(g7791, g26977)
+g29359 = NOR(g7528, g28167)
+g29361 = NOR(g7553, g28174)
+g29370 = NOR(g28585, g28599)
+g29497 = NOR(g22763, g28241)
+g29503 = NOR(g22763, g28250)
+g29675 = NOR(g28380, g8236, g8354)
+g29705 = NOR(g28399, g8284, g8404)
+g29873 = NOR(g6875, g28458)
+g29886 = NOR(g3288, g28458)
+g29889 = NOR(g6905, g28471)
+g29898 = NOR(g6895, g28458)
+g29900 = NOR(g3639, g28471)
+g29903 = NOR(g6928, g28484)
+g29908 = NOR(g6918, g28471)
+g29910 = NOR(g3990, g28484)
+g29915 = NOR(g6941, g28484)
+g29916 = NOR(g8681, g28504, g11083)
+g29933 = NOR(g8808, g28500, g12259)
+g30106 = NOR(g28739, g7268)
+g30117 = NOR(g28739, g7252)
+g30119 = NOR(g28761, g7315)
+g30123 = NOR(g28768, g7328)
+g30129 = NOR(g28739, g14537)
+g30130 = NOR(g28761, g7275)
+g30132 = NOR(g28789, g7362)
+g30134 = NOR(g28768, g7280)
+g30136 = NOR(g28799, g7380)
+g30143 = NOR(g28761, g14566)
+g30144 = NOR(g28789, g7322)
+g30146 = NOR(g28833, g7411)
+g30147 = NOR(g28768, g14567)
+g30148 = NOR(g28799, g7335)
+g30150 = NOR(g28846, g7424)
+g30156 = NOR(g28789, g14587)
+g30157 = NOR(g28833, g7369)
+g30159 = NOR(g28799, g14589)
+g30160 = NOR(g28846, g7387)
+g30162 = NOR(g28880, g7462)
+g30169 = NOR(g28833, g14613)
+g30170 = NOR(g28846, g14615)
+g30171 = NOR(g28880, g7431)
+g30183 = NOR(g28880, g14644)
+g30240 = NOR(g7004, g28982)
+g30249 = NOR(g5297, g28982)
+g30252 = NOR(g7028, g29008)
+g30260 = NOR(g7018, g28982)
+g30262 = NOR(g5644, g29008)
+g30265 = NOR(g7051, g29036)
+g30271 = NOR(g7041, g29008)
+g30273 = NOR(g5990, g29036)
+g30276 = NOR(g7074, g29073)
+g30280 = NOR(g7064, g29036)
+g30282 = NOR(g6336, g29073)
+g30285 = NOR(g7097, g29110)
+g30288 = NOR(g7087, g29073)
+g30290 = NOR(g6682, g29110)
+g30294 = NOR(g7110, g29110)
+g30601 = NOR(g16279, g29718)
+g30613 = NOR(g4507, g29365)
+g30922 = NOR(g16662, g29810)
+g30929 = NOR(g29803, g29835)
+g30934 = NOR(g29836, g29850)
+g31008 = NOR(g30004, g30026)
+g31068 = NOR(g4801, g29540)
+g31116 = NOR(g7892, g29540)
+g31117 = NOR(g4991, g29556)
+g31119 = NOR(g7898, g29556)
+g31121 = NOR(g4776, g29540)
+g31126 = NOR(g7928, g29540)
+g31127 = NOR(g4966, g29556)
+g31133 = NOR(g7953, g29556)
+g31134 = NOR(g8033, g29679, g24732)
+g31233 = NOR(g8522, g29778, g24825)
+g31294 = NOR(g11326, g29660)
+g31318 = NOR(g4785, g29697)
+g31372 = NOR(g8796, g29697)
+g31373 = NOR(g4975, g29725)
+g31469 = NOR(g8822, g29725)
+g31476 = NOR(g4709, g29697)
+g31482 = NOR(g8883, g29697)
+g31483 = NOR(g4899, g29725)
+g31491 = NOR(g8938, g29725)
+g31498 = NOR(g9030, g29540)
+g31506 = NOR(g4793, g29540)
+g31507 = NOR(g9064, g29556)
+g31515 = NOR(g4983, g29556)
+g31935 = NOR(g30583, g4349)
+g31942 = NOR(g8977, g30583)
+g31965 = NOR(g30583, g4358)
+g31970 = NOR(g9024, g30583)
+g32017 = NOR(g31504, g23475)
+g32212 = NOR(g8859, g31262, g11083)
+g32296 = NOR(g9044, g31509, g12259)
+g32424 = NOR(g8721, g31294)
+g32455 = NOR(g31566, I29985, I29986)
+g32520 = NOR(g31554, I30054, I30055)
+g32585 = NOR(g31542, I30123, I30124)
+g32650 = NOR(g31579, I30192, I30193)
+g32715 = NOR(g31327, I30261, I30262)
+g32780 = NOR(g31327, I30330, I30331)
+g32845 = NOR(g30673, I30399, I30400)
+g32910 = NOR(g31327, I30468, I30469)
+g33075 = NOR(g31997, g7163)
+g33084 = NOR(g31978, g7655)
+g33085 = NOR(g31978, g4311)
+g33088 = NOR(g31997, g7224)
+g33089 = NOR(g31978, g4322)
+g33090 = NOR(g31997, g4593)
+g33092 = NOR(g31978, g4332)
+g33093 = NOR(g31997, g4601)
+g33094 = NOR(g31950, g4639)
+g33095 = NOR(g31997, g7236)
+g33096 = NOR(g31997, g4608)
+g33097 = NOR(g31950, g4628)
+g33098 = NOR(g31997, g4616)
+g33100 = NOR(g32172, g31188)
+g33103 = NOR(g32176, g31212)
+g33107 = NOR(g32180, g31223)
+g33108 = NOR(g32183, g31228)
+g33109 = NOR(g31997, g4584)
+g33112 = NOR(g31240, g32194)
+g33117 = NOR(g31261, g32205)
+g33125 = NOR(g8606, g32057)
+g33128 = NOR(g4653, g32057)
+g33129 = NOR(g8630, g32072)
+g33130 = NOR(g32265, g31497)
+g33131 = NOR(g4659, g32057)
+g33132 = NOR(g4843, g32072)
+g33133 = NOR(g32278, g31503)
+g33134 = NOR(g7686, g32057)
+g33135 = NOR(g32090, g8350)
+g33137 = NOR(g4849, g32072)
+g33138 = NOR(g32287, g31514)
+g33139 = NOR(g8650, g32057)
+g33140 = NOR(g7693, g32072)
+g33141 = NOR(g32099, g8400)
+g33143 = NOR(g32293, g31518)
+g33144 = NOR(g4664, g32057)
+g33145 = NOR(g8677, g32072)
+g33146 = NOR(g4669, g32057)
+g33147 = NOR(g32090, g7788)
+g33148 = NOR(g4854, g32072)
+g33160 = NOR(g8672, g32057)
+g33161 = NOR(g32090, g7806)
+g33162 = NOR(g4859, g32072)
+g33163 = NOR(g32099, g7809)
+g33174 = NOR(g8714, g32072)
+g33175 = NOR(g32099, g7828)
+g33419 = NOR(g31978, g7627)
+g33427 = NOR(g10278, g31950)
+g33432 = NOR(g31997, g6978)
+g33437 = NOR(g31997, g10275)
+g33438 = NOR(g31950, g4621)
+g33439 = NOR(g31950, g4633)
+g33447 = NOR(g31978, g7643)
+g33448 = NOR(g7785, g31950)
+g33449 = NOR(g10311, g31950)
+g33823 = NOR(g8774, g33306, g11083)
+g33851 = NOR(g8854, g33299, g12259)
+g34067 = NOR(g33859, g11772)
+g34354 = NOR(g9003, g34162, g11083)
+g34359 = NOR(g9162, g34174, g12259)
+g34496 = NOR(g34370, g27648)
+g34703 = NOR(g8899, g34545, g11083)
+g34737 = NOR(g34706, g30003)
+g34912 = NOR(g34883, g20277, g20242, g21370)
diff --git a/abc70930/examples/s444.blif b/abc70930/examples/s444.blif
new file mode 100644
index 00000000..e89e8aa1
--- /dev/null
+++ b/abc70930/examples/s444.blif
@@ -0,0 +1,353 @@
+.model s444
+.inputs G0 G1 G2
+.outputs G118 G167 G107 G119 G168 G108
+
+.latch G11_in G11 0
+.latch G12_in G12 0
+.latch G13_in G13 0
+.latch G14_in G14 0
+.latch G15_in G15 0
+.latch G16_in G16 0
+.latch G17_in G17 0
+.latch G18_in G18 0
+.latch G19_in G19 0
+.latch G20_in G20 0
+.latch G21_in G21 0
+.latch G22_in G22 0
+.latch G23_in G23 0
+.latch G24_in G24 0
+.latch G25_in G25 0
+.latch G26_in G26 0
+.latch G27_in G27 0
+.latch G28_in G28 0
+.latch G29_in G29 0
+.latch G30_in G30 0
+.latch G31_in G31 0
+
+.names G12 G13 [25]
+00 1
+.names G11 [25] [26]
+01 1
+.names G14 [26] [27]
+10 1
+.names G0 G11 [28]
+00 1
+.names [27] [28] G11_in
+01 1
+.names G11 G12 [30]
+11 1
+.names G12 [30] [31]
+10 1
+.names G11 [30] [32]
+10 1
+.names [31] [32] [33]
+00 1
+.names G0 [33] [34]
+00 1
+.names [27] [34] G12_in
+01 1
+.names G13 [30] [36]
+11 1
+.names G13 [36] [37]
+10 1
+.names [30] [36] [38]
+10 1
+.names [37] [38] [39]
+00 1
+.names G0 [39] [40]
+00 1
+.names [27] [40] G13_in
+01 1
+.names G12 G13 [42]
+11 1
+.names G11 [42] [43]
+11 1
+.names G14 [43] [44]
+11 1
+.names G14 [44] [45]
+10 1
+.names [43] [44] [46]
+10 1
+.names [45] [46] [47]
+00 1
+.names G0 [47] [48]
+00 1
+.names [27] [48] G14_in
+01 1
+.names G31 [27] [50]
+00 1
+.names G16 G17 [51]
+00 1
+.names G15 [51] [52]
+01 1
+.names [50] [52] [53]
+00 1
+.names G18 [53] [54]
+11 1
+.names G15 [50] [55]
+10 1
+.names G15 [55] [56]
+10 1
+.names [50] [55] [57]
+00 1
+.names [56] [57] [58]
+00 1
+.names G0 [58] [59]
+00 1
+.names [54] [59] G15_in
+01 1
+.names G16 [55] [61]
+11 1
+.names G16 [61] [62]
+10 1
+.names [55] [61] [63]
+10 1
+.names [62] [63] [64]
+00 1
+.names G0 [64] [65]
+00 1
+.names [54] [65] G16_in
+01 1
+.names G16 [50] [67]
+10 1
+.names G15 [67] [68]
+11 1
+.names G17 [68] [69]
+11 1
+.names G17 [69] [70]
+10 1
+.names [68] [69] [71]
+10 1
+.names [70] [71] [72]
+00 1
+.names G0 [72] [73]
+00 1
+.names [54] [73] G17_in
+01 1
+.names G15 G16 [75]
+11 1
+.names G17 [50] [76]
+10 1
+.names [75] [76] [77]
+11 1
+.names G18 [77] [78]
+11 1
+.names G18 [78] [79]
+10 1
+.names [77] [78] [80]
+10 1
+.names [79] [80] [81]
+00 1
+.names G0 [81] [82]
+00 1
+.names [54] [82] G18_in
+01 1
+.names G20 G21 [84]
+00 1
+.names G19 [84] [85]
+01 1
+.names [54] [85] [86]
+10 1
+.names G22 [86] [87]
+11 1
+.names G19 [54] [88]
+11 1
+.names G19 [88] [89]
+10 1
+.names [54] [88] [90]
+10 1
+.names [89] [90] [91]
+00 1
+.names G0 [91] [92]
+00 1
+.names [87] [92] G19_in
+01 1
+.names G20 [88] [94]
+11 1
+.names G20 [94] [95]
+10 1
+.names [88] [94] [96]
+10 1
+.names [95] [96] [97]
+00 1
+.names G0 [97] [98]
+00 1
+.names [87] [98] G20_in
+01 1
+.names G20 [54] [100]
+11 1
+.names G19 [100] [101]
+11 1
+.names G21 [101] [102]
+11 1
+.names G21 [102] [103]
+10 1
+.names [101] [102] [104]
+10 1
+.names [103] [104] [105]
+00 1
+.names G0 [105] [106]
+00 1
+.names [87] [106] G21_in
+01 1
+.names G19 G20 [108]
+11 1
+.names G21 [54] [109]
+11 1
+.names [108] [109] [110]
+11 1
+.names G22 [110] [111]
+11 1
+.names G22 [111] [112]
+10 1
+.names [110] [111] [113]
+10 1
+.names [112] [113] [114]
+00 1
+.names G0 [114] [115]
+00 1
+.names [87] [115] G22_in
+01 1
+.names G2 G23 [117]
+00 1
+.names G2 G23 [118]
+11 1
+.names [117] [118] [119]
+00 1
+.names G0 [119] G23_in
+01 1
+.names G20 G21 [121]
+01 1
+.names G0 G23 [122]
+01 1
+.names [121] [122] [123]
+11 1
+.names G19 [123] [124]
+01 1
+.names G21 G22 [126]
+10 1
+.names G19 G20 [125]
+10 1
+.names G23 [125] [127]
+01 1
+.names [126] [127] [128]
+11 1
+.names G0 G24 [129]
+01 1
+.names [128] [129] [130]
+01 1
+.names [124] [130] [131]
+00 1
+.names G22 G23 [132]
+00 1
+.names [125] [132] [133]
+11 1
+.names G24 [133] [134]
+10 1
+.names G19 G20 [135]
+00 1
+.names G23 [135] [136]
+11 1
+.names G22 G23 [137]
+11 1
+.names [136] [137] [138]
+00 1
+.names G0 G21 [139]
+01 1
+.names [138] [139] [140]
+11 1
+.names [134] [140] G25_in
+01 1
+.names G19 G22 [142]
+01 1
+.names G0 [142] [143]
+01 1
+.names G0 [108] [144]
+01 1
+.names [143] [144] [145]
+00 1
+.names [129] [139] [146]
+00 1
+.names [145] [146] G26_in
+11 1
+.names G21 G24 [148]
+00 1
+.names [125] [148] [149]
+11 1
+.names G21 G22 [150]
+00 1
+.names G24 [150] [151]
+01 1
+.names G0 [151] [152]
+00 1
+.names [149] [152] [153]
+01 1
+.names G0 G22 [154]
+01 1
+.names [135] [154] [155]
+11 1
+.names [146] [155] [156]
+10 1
+.names [131] [156] [157]
+00 1
+.names G17 [157] [158]
+01 1
+.names [131] [156] [159]
+10 1
+.names [158] [159] G28_in
+00 1
+.names [122] [126] [161]
+11 1
+.names G21 G22 [162]
+01 1
+.names G0 [162] [163]
+01 1
+.names [161] [163] [164]
+00 1
+.names G20 [164] [165]
+00 1
+.names G19 [165] [166]
+01 1
+.names [130] [166] [167]
+00 1
+.names [131] [167] [168]
+00 1
+.names G17 [168] [169]
+01 1
+.names [131] [167] [170]
+10 1
+.names [169] [170] G29_in
+00 1
+.names G20 G21 [172]
+10 1
+.names G0 G24 [173]
+00 1
+.names [172] [173] [174]
+11 1
+.names G19 [174] G30_in
+11 1
+.names G1 G31 [176]
+00 1
+.names G1 G31 [177]
+11 1
+.names [176] [177] [178]
+00 1
+.names G0 [178] G31_in
+01 1
+.names [131] G24_in
+0 1
+.names [153] G27_in
+0 1
+.names G27 G118
+1 1
+.names G29 G167
+0 1
+.names G25 G107
+1 1
+.names G28 G119
+0 1
+.names G30 G168
+1 1
+.names G26 G108
+1 1
+.end
diff --git a/abc70930/examples/s5378.blif b/abc70930/examples/s5378.blif
new file mode 100644
index 00000000..c6f442a3
--- /dev/null
+++ b/abc70930/examples/s5378.blif
@@ -0,0 +1,6138 @@
+.model s5378
+.inputs n3065gat n3066gat n3067gat n3068gat n3069gat n3070gat n3071gat \
+n3072gat n3073gat n3074gat n3075gat n3076gat n3077gat n3078gat n3079gat \
+n3080gat n3081gat n3082gat n3083gat n3084gat n3085gat n3086gat n3087gat \
+n3088gat n3089gat n3090gat n3091gat n3092gat n3093gat n3094gat n3095gat \
+n3097gat n3098gat n3099gat n3100gat
+.outputs n3104gat n3105gat n3106gat n3107gat n3108gat n3109gat n3110gat \
+n3111gat n3112gat n3113gat n3114gat n3115gat n3116gat n3117gat n3118gat \
+n3119gat n3120gat n3121gat n3122gat n3123gat n3124gat n3125gat n3126gat \
+n3127gat n3128gat n3129gat n3130gat n3131gat n3132gat n3133gat n3134gat \
+n3135gat n3136gat n3137gat n3138gat n3139gat n3140gat n3141gat n3142gat \
+n3143gat n3144gat n3145gat n3146gat n3147gat n3148gat n3149gat n3150gat \
+n3151gat n3152gat
+.latch n2897gat n673gat 1
+.latch n2782gat n398gat 1
+.latch n2790gat n402gat 1
+.latch n2670gat n919gat 1
+.latch n2793gat n846gat 1
+.latch n748gat n2510gat 1
+.latch n2732gat n271gat 1
+.latch n2776gat n160gat 1
+.latch n2735gat n337gat 1
+.latch n2673gat n842gat 1
+.latch n2779gat n341gat 1
+.latch n43gat n2522gat 1
+.latch n1620gat n2472gat 1
+.latch n2470gat n2319gat 1
+.latch n1827gat n1821gat 1
+.latch n1816gat n2029gat 1
+.latch n2027gat n1829gat 1
+.latch n55gat n2476gat 1
+.latch n2914gat n1068gat 1
+.latch n2928gat n957gat 1
+.latch n2927gat n861gat 1
+.latch n2896gat n1294gat 1
+.latch n2922gat n1241gat 1
+.latch n2894gat n865gat 1
+.latch n2921gat n1080gat 1
+.latch n2895gat n1148gat 1
+.latch n933gat n2468gat 1
+.latch n3064gat n834gat 1
+.latch n3055gat n707gat 1
+.latch n3063gat n838gat 1
+.latch n3062gat n830gat 1
+.latch n3056gat n614gat 1
+.latch n504gat n2526gat 1
+.latch n2913gat n680gat 1
+.latch n2920gat n816gat 1
+.latch n2905gat n580gat 1
+.latch n3057gat n824gat 1
+.latch n3059gat n820gat 1
+.latch n3058gat n883gat 1
+.latch n2898gat n584gat 1
+.latch n3060gat n684gat 1
+.latch n3061gat n699gat 1
+.latch n567gat n2464gat 1
+.latch n3048gat n2399gat 1
+.latch n3049gat n2343gat 1
+.latch n3051gat n2203gat 1
+.latch n3047gat n2562gat 1
+.latch n3050gat n2207gat 1
+.latch n3040gat n2626gat 1
+.latch n3044gat n2490gat 1
+.latch n3042gat n2622gat 1
+.latch n3037gat n2630gat 1
+.latch n3041gat n2543gat 1
+.latch n1606gat n2102gat 1
+.latch n3052gat n1880gat 1
+.latch n1610gat n1763gat 1
+.latch n1858gat n2155gat 1
+.latch n2918gat n1035gat 1
+.latch n2952gat n1121gat 1
+.latch n2919gat n1072gat 1
+.latch n2910gat n1282gat 1
+.latch n2907gat n1226gat 1
+.latch n2911gat n931gat 1
+.latch n2912gat n1135gat 1
+.latch n2909gat n1045gat 1
+.latch n2908gat n1197gat 1
+.latch n2971gat n2518gat 1
+.latch n2904gat n667gat 1
+.latch n2891gat n659gat 1
+.latch n2903gat n553gat 1
+.latch n2915gat n777gat 1
+.latch n2901gat n561gat 1
+.latch n2890gat n366gat 1
+.latch n2888gat n322gat 1
+.latch n2887gat n318gat 1
+.latch n2886gat n314gat 1
+.latch n3010gat n2599gat 1
+.latch n3016gat n2588gat 1
+.latch n3054gat n2640gat 1
+.latch n2579gat n2658gat 1
+.latch n3036gat n2495gat 1
+.latch n3034gat n2390gat 1
+.latch n3031gat n2270gat 1
+.latch n3035gat n2339gat 1
+.latch n2646gat n2502gat 1
+.latch n3053gat n2634gat 1
+.latch n2613gat n2506gat 1
+.latch n1625gat n1834gat 1
+.latch n1626gat n1767gat 1
+.latch n1603gat n2084gat 1
+.latch n2541gat n2143gat 1
+.latch n2557gat n2061gat 1
+.latch n2487gat n2139gat 1
+.latch n2532gat n1899gat 1
+.latch n2628gat n1850gat 1
+.latch n2397gat n2403gat 1
+.latch n2341gat n2394gat 1
+.latch n2560gat n2440gat 1
+.latch n2205gat n2407gat 1
+.latch n2201gat n2347gat 1
+.latch n1793gat n1389gat 1
+.latch n1781gat n2021gat 1
+.latch n1516gat n1394gat 1
+.latch n1392gat n1496gat 1
+.latch n1685gat n2091gat 1
+.latch n1565gat n1332gat 1
+.latch n1330gat n1740gat 1
+.latch n1945gat n2179gat 1
+.latch n2268gat n2190gat 1
+.latch n2337gat n2135gat 1
+.latch n2388gat n2262gat 1
+.latch n1836gat n2182gat 1
+.latch n2983gat n1433gat 1
+.latch n1431gat n1316gat 1
+.latch n1314gat n1363gat 1
+.latch n1361gat n1312gat 1
+.latch n1696gat n1775gat 1
+.latch n2009gat n1871gat 1
+.latch n1773gat n2592gat 1
+.latch n1636gat n1508gat 1
+.latch n1712gat n1678gat 1
+.latch n3000gat n2309gat 1
+.latch n2307gat n2450gat 1
+.latch n2661gat n2446gat 1
+.latch n827gat n2095gat 1
+.latch n2093gat n2176gat 1
+.latch n2174gat n2169gat 1
+.latch n2163gat n2454gat 1
+.latch n1777gat n2040gat 1
+.latch n2015gat n2044gat 1
+.latch n2042gat n2037gat 1
+.latch n2017gat n2025gat 1
+.latch n2023gat n2099gat 1
+.latch n2493gat n2266gat 1
+.latch n2035gat n2033gat 1
+.latch n2031gat n2110gat 1
+.latch n2108gat n2125gat 1
+.latch n2123gat n2121gat 1
+.latch n2119gat n2117gat 1
+.latch n2632gat n1975gat 1
+.latch n2638gat n2644gat 1
+.latch n612gat n156gat 1
+.latch n705gat n152gat 1
+.latch n822gat n331gat 1
+.latch n881gat n388gat 1
+.latch n818gat n463gat 1
+.latch n682gat n327gat 1
+.latch n697gat n384gat 1
+.latch n836gat n256gat 1
+.latch n828gat n470gat 1
+.latch n832gat n148gat 1
+.latch n2590gat n2458gat 1
+.latch n2456gat n2514gat 1
+.latch n1613gat n1771gat 1
+.latch n1391gat n1336gat 1
+.latch n1927gat n1748gat 1
+.latch n1713gat n1675gat 1
+.latch n1717gat n1807gat 1
+.latch n1567gat n1340gat 1
+.latch n1564gat n1456gat 1
+.latch n1632gat n1525gat 1
+.latch n1915gat n1462gat 1
+.latch n1800gat n1596gat 1
+.latch n1593gat n1588gat 1
+.names II4654 n3104gat
+0 1
+.names II4657 n3105gat
+0 1
+.names II4660 n3106gat
+0 1
+.names II4663 n3107gat
+0 1
+.names II4666 n3108gat
+0 1
+.names II4669 n3109gat
+0 1
+.names II4672 n3110gat
+0 1
+.names II4675 n3111gat
+0 1
+.names II4678 n3112gat
+0 1
+.names II4681 n3113gat
+0 1
+.names II4684 n3114gat
+0 1
+.names II4687 n3115gat
+0 1
+.names II4690 n3116gat
+0 1
+.names II4693 n3117gat
+0 1
+.names II4696 n3118gat
+0 1
+.names II4699 n3119gat
+0 1
+.names II4702 n3120gat
+0 1
+.names II4705 n3121gat
+0 1
+.names II4708 n3122gat
+0 1
+.names II4711 n3123gat
+0 1
+.names II4714 n3124gat
+0 1
+.names II4717 n3125gat
+0 1
+.names II4720 n3126gat
+0 1
+.names II4723 n3127gat
+0 1
+.names II4726 n3128gat
+0 1
+.names II4729 n3129gat
+0 1
+.names II4732 n3130gat
+0 1
+.names II4735 n3131gat
+0 1
+.names II4738 n3132gat
+0 1
+.names II4741 n3133gat
+0 1
+.names II4744 n3134gat
+0 1
+.names II4747 n3135gat
+0 1
+.names II4750 n3136gat
+0 1
+.names II4753 n3137gat
+0 1
+.names II4756 n3138gat
+0 1
+.names II4759 n3139gat
+0 1
+.names II4762 n3140gat
+0 1
+.names II4765 n3141gat
+0 1
+.names II4768 n3142gat
+0 1
+.names II4771 n3143gat
+0 1
+.names II4774 n3144gat
+0 1
+.names II4777 n3145gat
+0 1
+.names II4780 n3146gat
+0 1
+.names II4783 n3147gat
+0 1
+.names II4786 n3148gat
+0 1
+.names II4789 n3149gat
+0 1
+.names II4792 n3150gat
+0 1
+.names II4795 n3151gat
+0 1
+.names II4798 n3152gat
+0 1
+.names n648gat n442gat n2897gat
+1- 1
+-1 1
+.names II50 n2782gat
+0 1
+.names II65 n2790gat
+0 1
+.names II81 n2670gat
+0 1
+.names II100 n2793gat
+0 1
+.names n749gat n750gat n751gat n752gat n748gat
+1--- 1
+-1-- 1
+--1- 1
+---1 1
+.names II300 n2732gat
+0 1
+.names II320 n2776gat
+0 1
+.names II340 n2735gat
+0 1
+.names II384 n2673gat
+0 1
+.names II426 n2779gat
+0 1
+.names n44gat n45gat n46gat n47gat n43gat
+1--- 1
+-1-- 1
+--1- 1
+---1 1
+.names n1448gat n1446gat n1620gat
+00 1
+.names n2472gat n2470gat
+0 1
+.names n2729gat n2317gat n1827gat
+00 1
+.names n1817gat n1816gat
+0 1
+.names n2029gat n2027gat
+0 1
+.names n56gat n57gat n58gat n59gat n55gat
+1--- 1
+-1-- 1
+--1- 1
+---1 1
+.names n768gat n655gat n2914gat
+1- 1
+-1 1
+.names n963gat n868gat n2928gat
+1- 1
+-1 1
+.names n962gat n959gat n2927gat
+1- 1
+-1 1
+.names n647gat n441gat n2896gat
+1- 1
+-1 1
+.names n967gat n792gat n2922gat
+1- 1
+-1 1
+.names n443gat n439gat n2894gat
+1- 1
+-1 1
+.names n966gat n790gat n2921gat
+1- 1
+-1 1
+.names n444gat n440gat n2895gat
+1- 1
+-1 1
+.names n934gat n935gat n936gat n937gat n933gat
+1--- 1
+-1-- 1
+--1- 1
+---1 1
+.names II3914 n3064gat
+0 1
+.names II3703 n3055gat
+0 1
+.names II3891 n3063gat
+0 1
+.names II3876 n3062gat
+0 1
+.names II3713 n3056gat
+0 1
+.names n505gat n506gat n507gat n508gat n504gat
+1--- 1
+-1-- 1
+--1- 1
+---1 1
+.names n767gat n653gat n2913gat
+1- 1
+-1 1
+.names n867gat n771gat n2920gat
+1- 1
+-1 1
+.names n964gat n961gat n2905gat
+1- 1
+-1 1
+.names II3754 n3057gat
+0 1
+.names II3801 n3059gat
+0 1
+.names II3765 n3058gat
+0 1
+.names n447gat n445gat n2898gat
+1- 1
+-1 1
+.names II3817 n3060gat
+0 1
+.names II3841 n3061gat
+0 1
+.names n568gat n569gat n570gat n571gat n567gat
+1--- 1
+-1-- 1
+--1- 1
+---1 1
+.names II3530 n3048gat
+0 1
+.names II3539 n3049gat
+0 1
+.names II3558 n3051gat
+0 1
+.names II3520 n3047gat
+0 1
+.names II3549 n3050gat
+0 1
+.names II3472 n3040gat
+0 1
+.names II3504 n3044gat
+0 1
+.names II3491 n3042gat
+0 1
+.names II3457 n3037gat
+0 1
+.names II3483 n3041gat
+0 1
+.names n3020gat n270gat n1606gat
+00 1
+.names II3610 n3052gat
+0 1
+.names n1698gat n1543gat n1610gat
+00 1
+.names n1673gat n1858gat
+0 1
+.names n769gat n759gat n2918gat
+1- 1
+-1 1
+.names n1076gat n1075gat n2952gat
+1- 1
+-1 1
+.names n766gat n760gat n2919gat
+1- 1
+-1 1
+.names n645gat n644gat n2910gat
+1- 1
+-1 1
+.names n646gat n641gat n2907gat
+1- 1
+-1 1
+.names n761gat n651gat n2911gat
+1- 1
+-1 1
+.names n762gat n652gat n2912gat
+1- 1
+-1 1
+.names n765gat n643gat n2909gat
+1- 1
+-1 1
+.names n763gat n642gat n2908gat
+1- 1
+-1 1
+.names n1287gat n1285gat n2971gat
+1- 1
+-1 1
+.names n793gat n664gat n556gat n2904gat
+1-- 1
+-1- 1
+--1 1
+.names n795gat n656gat n368gat n2891gat
+1-- 1
+-1- 1
+--1 1
+.names n794gat n773gat n662gat n2903gat
+1-- 1
+-1- 1
+--1 1
+.names n965gat n960gat n661gat n2915gat
+1-- 1
+-1- 1
+--1 1
+.names n558gat n555gat n450gat n2901gat
+1-- 1
+-1- 1
+--1 1
+.names n654gat n557gat n371gat n2890gat
+1-- 1
+-1- 1
+--1 1
+.names n663gat n649gat n449gat n2888gat
+1-- 1
+-1- 1
+--1 1
+.names n791gat n650gat n370gat n2887gat
+1-- 1
+-1- 1
+--1 1
+.names n774gat n764gat n369gat n2886gat
+1-- 1
+-1- 1
+--1 1
+.names n2460gat n2423gat n3010gat
+1- 1
+-1 1
+.names n2596gat n2595gat n3016gat
+1- 1
+-1 1
+.names II3660 n3054gat
+0 1
+.names n2580gat n2581gat n2579gat
+1- 1
+-1 1
+.names II3436 n3036gat
+0 1
+.names II3401 n3034gat
+0 1
+.names II3387 n3031gat
+0 1
+.names II3412 n3035gat
+0 1
+.names n2647gat n2648gat n2646gat
+1- 1
+-1 1
+.names II3635 n3053gat
+0 1
+.names n2614gat n2615gat n2613gat
+1- 1
+-1 1
+.names n3021gat n1628gat n1625gat
+00 1
+.names n1627gat n3022gat n1626gat
+00 1
+.names n1831gat n1603gat
+0 1
+.names n2543gat n2541gat
+0 1
+.names n2621gat n2557gat
+0 1
+.names n2489gat n2487gat
+0 1
+.names n2625gat n2532gat
+0 1
+.names n2630gat n2628gat
+0 1
+.names n2399gat n2397gat
+0 1
+.names n2343gat n2341gat
+0 1
+.names n2562gat n2560gat
+0 1
+.names n2207gat n2205gat
+0 1
+.names n2203gat n2201gat
+0 1
+.names n1792gat n1735gat n1793gat
+00 1
+.names n1780gat n1781gat
+0 1
+.names n1551gat n1517gat n1516gat
+00 1
+.names n1394gat n1392gat
+0 1
+.names n1604gat n1685gat
+0 1
+.names n1735gat n1552gat n1565gat
+00 1
+.names n1332gat n1330gat
+0 1
+.names n1690gat n1945gat
+0 1
+.names n2270gat n2268gat
+0 1
+.names n2339gat n2337gat
+0 1
+.names n2390gat n2388gat
+0 1
+.names n1695gat n1836gat
+0 1
+.names n2079gat n2073gat n2983gat
+1- 1
+-1 1
+.names n1433gat n1431gat
+0 1
+.names n1316gat n1314gat
+0 1
+.names n1363gat n1361gat
+0 1
+.names n1707gat n1698gat n1696gat
+00 1
+.names n2016gat n2664gat n2004gat n2009gat
+000 1
+.names n1775gat n1773gat
+0 1
+.names n1584gat n1718gat n1636gat
+00 1
+.names II3179 n1712gat
+0 1
+.names n2000gat n1999gat n3000gat
+1- 1
+-1 1
+.names n2309gat n2307gat
+0 1
+.names n2662gat n2661gat
+0 1
+.names n204gat n827gat
+0 1
+.names n2095gat n2093gat
+0 1
+.names n2176gat n2174gat
+0 1
+.names n1790gat n1310gat n2664gat n2168gat n2163gat
+0000 1
+.names n1694gat n1777gat
+0 1
+.names n2039gat n1774gat n1315gat n2015gat
+000 1
+.names n2044gat n2042gat
+0 1
+.names n1790gat n2016gat n2017gat
+00 1
+.names n2025gat n2023gat
+0 1
+.names n2495gat n2493gat
+0 1
+.names n2037gat n2035gat
+0 1
+.names n2033gat n2031gat
+0 1
+.names n2110gat n2108gat
+0 1
+.names n2125gat n2123gat
+0 1
+.names n2121gat n2119gat
+0 1
+.names n2634gat n2632gat
+0 1
+.names n2640gat n2638gat
+0 1
+.names n614gat n612gat
+0 1
+.names n707gat n705gat
+0 1
+.names n824gat n822gat
+0 1
+.names n883gat n881gat
+0 1
+.names n820gat n818gat
+0 1
+.names n684gat n682gat
+0 1
+.names n699gat n697gat
+0 1
+.names n838gat n836gat
+0 1
+.names n830gat n828gat
+0 1
+.names n834gat n832gat
+0 1
+.names n2592gat n2590gat
+0 1
+.names n2458gat n2456gat
+0 1
+.names n1544gat n1698gat n1613gat
+00 1
+.names n1513gat n2442gat n1391gat
+00 1
+.names n1790gat n1635gat n1927gat
+00 1
+.names II2935 n1713gat
+0 1
+.names II2926 n1717gat
+0 1
+.names n1634gat n1735gat n1567gat
+00 1
+.names n1584gat n1719gat n1790gat n1576gat n1564gat
+0000 1
+.names II4145 n1632gat
+0 1
+.names n1859gat n1919gat n1915gat
+00 1
+.names n1635gat n1919gat n1800gat
+00 1
+.names n1551gat n1310gat n1593gat
+00 1
+.names n3088gat II1
+0 1
+.names II1 n2717gat
+0 1
+.names n2717gat n2715gat
+0 1
+.names n3087gat II5
+0 1
+.names II5 n2725gat
+0 1
+.names n2725gat n2723gat
+0 1
+.names n2715gat n2723gat n421gat
+00 1
+.names n421gat n296gat
+0 1
+.names n3093gat II11
+0 1
+.names II11 n2768gat
+0 1
+.names n2768gat II14
+0 1
+.names II14 n2767gat
+0 1
+.names n2767gat n373gat
+0 1
+.names n3072gat II18
+0 1
+.names II18 n2671gat
+0 1
+.names n2671gat n2669gat
+0 1
+.names n3081gat II23
+0 1
+.names II23 n2845gat
+0 1
+.names n2845gat n2844gat
+0 1
+.names n3095gat II27
+0 1
+.names II27 n2668gat
+0 1
+.names n2668gat II30
+0 1
+.names II30 n2667gat
+0 1
+.names n2667gat n856gat
+0 1
+.names n673gat II44
+0 1
+.names II44 n672gat
+0 1
+.names n3069gat II47
+0 1
+.names II47 n2783gat
+0 1
+.names n2783gat II50
+0 1
+.names n398gat n396gat
+0 1
+.names n3070gat II62
+0 1
+.names II62 n2791gat
+0 1
+.names n2791gat II65
+0 1
+.names n402gat II76
+0 1
+.names II76 n401gat
+0 1
+.names n396gat n401gat n1499gat
+00 1
+.names n1499gat n1645gat
+0 1
+.names n2671gat II81
+0 1
+.names n919gat II92
+0 1
+.names II92 n918gat
+0 1
+.names n918gat n396gat n1616gat
+00 1
+.names n1616gat n1553gat
+0 1
+.names n3071gat II97
+0 1
+.names II97 n2794gat
+0 1
+.names n2794gat II100
+0 1
+.names n846gat II111
+0 1
+.names II111 n845gat
+0 1
+.names n396gat n845gat n1614gat
+00 1
+.names n1614gat n1559gat
+0 1
+.names n1645gat n1553gat n1559gat n1641gat
+000 1
+.names n1641gat n1643gat
+0 1
+.names n1559gat n1616gat n1645gat n1642gat
+000 1
+.names n1642gat n1651gat
+0 1
+.names n1614gat n1645gat n1616gat n1556gat
+000 1
+.names n1556gat n1562gat
+0 1
+.names n1553gat n1645gat n1614gat n1557gat
+000 1
+.names n1557gat n1560gat
+0 1
+.names n1499gat n1559gat n1553gat n1639gat
+000 1
+.names n1639gat n1640gat
+0 1
+.names n1614gat n1616gat n1499gat n396gat n1605gat
+0000 1
+.names n1605gat n1566gat
+0 1
+.names n1616gat n1559gat n1499gat n1555gat
+000 1
+.names n1555gat n1554gat
+0 1
+.names n1614gat n1553gat n1499gat n1558gat
+000 1
+.names n1558gat n1722gat
+0 1
+.names n398gat n392gat
+0 1
+.names n402gat II149
+0 1
+.names II149 n702gat
+0 1
+.names n392gat n702gat n1256gat
+00 1
+.names n1256gat n1319gat
+0 1
+.names n919gat n720gat
+0 1
+.names n846gat II171
+0 1
+.names II171 n725gat
+0 1
+.names n720gat n725gat n1117gat
+00 1
+.names n1117gat n1447gat
+0 1
+.names n1319gat n1447gat n1618gat
+00 1
+.names n1618gat n1627gat
+0 1
+.names n919gat II178
+0 1
+.names II178 n721gat
+0 1
+.names n725gat n721gat n1114gat
+00 1
+.names n1114gat n1380gat
+0 1
+.names n1319gat n1380gat n1621gat
+00 1
+.names n1621gat n1628gat
+0 1
+.names n402gat n701gat
+0 1
+.names n392gat n701gat n1318gat
+00 1
+.names n1318gat n1446gat
+0 1
+.names n1447gat n1446gat n1619gat
+00 1
+.names n1619gat n1705gat
+0 1
+.names n1380gat n1446gat n1622gat
+00 1
+.names n1622gat n1706gat
+0 1
+.names n3083gat II192
+0 1
+.names II192 n2856gat
+0 1
+.names n2856gat n2854gat
+0 1
+.names n2854gat II196
+0 1
+.names II196 n1218gat
+0 1
+.names n3085gat II199
+0 1
+.names II199 n2861gat
+0 1
+.names n2861gat n2859gat
+0 1
+.names n2859gat II203
+0 1
+.names II203 n1219gat
+0 1
+.names n3084gat II206
+0 1
+.names II206 n2864gat
+0 1
+.names n2864gat n2862gat
+0 1
+.names n2862gat II210
+0 1
+.names II210 n1220gat
+0 1
+.names n2861gat II214
+0 1
+.names II214 n2860gat
+0 1
+.names n2860gat II217
+0 1
+.names II217 n1221gat
+0 1
+.names n2864gat II220
+0 1
+.names II220 n2863gat
+0 1
+.names n2863gat II223
+0 1
+.names II223 n1222gat
+0 1
+.names n2856gat II227
+0 1
+.names II227 n2855gat
+0 1
+.names n2855gat II230
+0 1
+.names II230 n1223gat
+0 1
+.names n1214gat n1215gat n1216gat n1217gat n1213gat
+1--- 1
+-1-- 1
+--1- 1
+---1 1
+.names n1213gat n640gat
+0 1
+.names n640gat II237
+0 1
+.names II237 n753gat
+0 1
+.names n2717gat II240
+0 1
+.names II240 n2716gat
+0 1
+.names n3089gat II243
+0 1
+.names II243 n2869gat
+0 1
+.names n2869gat n2867gat
+0 1
+.names n2869gat II248
+0 1
+.names II248 n2868gat
+0 1
+.names n745gat n638gat n2906gat
+1- 1
+-1 1
+.names n2906gat II253
+0 1
+.names II253 n754gat
+0 1
+.names n2725gat II256
+0 1
+.names II256 n2724gat
+0 1
+.names n3086gat II259
+0 1
+.names II259 n2728gat
+0 1
+.names n2728gat n2726gat
+0 1
+.names n2728gat II264
+0 1
+.names II264 n2727gat
+0 1
+.names n423gat n362gat n2889gat
+1- 1
+-1 1
+.names n2889gat n422gat
+0 1
+.names n422gat II270
+0 1
+.names II270 n755gat
+0 1
+.names n2906gat n747gat
+0 1
+.names n747gat II275
+0 1
+.names II275 n756gat
+0 1
+.names n2889gat II278
+0 1
+.names II278 n757gat
+0 1
+.names n1213gat II282
+0 1
+.names II282 n758gat
+0 1
+.names n2510gat n2508gat
+0 1
+.names n3065gat II297
+0 1
+.names II297 n2733gat
+0 1
+.names n2733gat II300
+0 1
+.names n271gat II311
+0 1
+.names II311 n270gat
+0 1
+.names n270gat II314
+0 1
+.names II314 n263gat
+0 1
+.names n3067gat II317
+0 1
+.names II317 n2777gat
+0 1
+.names n2777gat II320
+0 1
+.names n160gat II331
+0 1
+.names II331 n159gat
+0 1
+.names n159gat II334
+0 1
+.names II334 n264gat
+0 1
+.names n3066gat II337
+0 1
+.names II337 n2736gat
+0 1
+.names n2736gat II340
+0 1
+.names n337gat II351
+0 1
+.names II351 n336gat
+0 1
+.names n336gat II354
+0 1
+.names II354 n265gat
+0 1
+.names n160gat n158gat
+0 1
+.names n158gat II359
+0 1
+.names II359 n266gat
+0 1
+.names n337gat n335gat
+0 1
+.names n335gat II363
+0 1
+.names II363 n267gat
+0 1
+.names n271gat n269gat
+0 1
+.names n269gat II368
+0 1
+.names II368 n268gat
+0 1
+.names n259gat n260gat n261gat n262gat n258gat
+1--- 1
+-1-- 1
+--1- 1
+---1 1
+.names n258gat n41gat
+0 1
+.names n41gat II375
+0 1
+.names II375 n48gat
+0 1
+.names n725gat II378
+0 1
+.names II378 n1018gat
+0 1
+.names n3073gat II381
+0 1
+.names II381 n2674gat
+0 1
+.names n2674gat II384
+0 1
+.names n842gat II395
+0 1
+.names II395 n841gat
+0 1
+.names n841gat II398
+0 1
+.names II398 n1019gat
+0 1
+.names n721gat II401
+0 1
+.names II401 n1020gat
+0 1
+.names n842gat n840gat
+0 1
+.names n840gat II406
+0 1
+.names II406 n1021gat
+0 1
+.names n720gat II409
+0 1
+.names II409 n1022gat
+0 1
+.names n846gat n724gat
+0 1
+.names n724gat II414
+0 1
+.names II414 n1023gat
+0 1
+.names n1014gat n1015gat n1016gat n1017gat n1013gat
+1--- 1
+-1-- 1
+--1- 1
+---1 1
+.names n1013gat II420
+0 1
+.names II420 n49gat
+0 1
+.names n3068gat II423
+0 1
+.names II423 n2780gat
+0 1
+.names n2780gat II426
+0 1
+.names n341gat II437
+0 1
+.names II437 n340gat
+0 1
+.names n340gat II440
+0 1
+.names II440 n480gat
+0 1
+.names n702gat II443
+0 1
+.names II443 n481gat
+0 1
+.names n398gat II446
+0 1
+.names II446 n393gat
+0 1
+.names n393gat II449
+0 1
+.names II449 n482gat
+0 1
+.names n701gat II453
+0 1
+.names II453 n483gat
+0 1
+.names n392gat II456
+0 1
+.names II456 n484gat
+0 1
+.names n341gat n339gat
+0 1
+.names n339gat II461
+0 1
+.names II461 n485gat
+0 1
+.names n476gat n477gat n478gat n479gat n475gat
+1--- 1
+-1-- 1
+--1- 1
+---1 1
+.names n475gat n42gat
+0 1
+.names n42gat II468
+0 1
+.names II468 n50gat
+0 1
+.names n1013gat n162gat
+0 1
+.names n162gat II473
+0 1
+.names II473 n51gat
+0 1
+.names n475gat II476
+0 1
+.names II476 n52gat
+0 1
+.names n258gat II480
+0 1
+.names II480 n53gat
+0 1
+.names n2522gat n2520gat
+0 1
+.names n724gat n720gat n1376gat
+00 1
+.names n1376gat n1448gat
+0 1
+.names n1319gat n1448gat n1617gat
+00 1
+.names n1617gat n1701gat
+0 1
+.names n724gat n721gat n1377gat
+00 1
+.names n1377gat n1379gat
+0 1
+.names n1319gat n1379gat n1624gat
+00 1
+.names n1624gat n1615gat
+0 1
+.names n393gat n701gat n1113gat
+00 1
+.names n1113gat n1500gat
+0 1
+.names n1448gat n1500gat n1501gat
+00 1
+.names n1501gat n1503gat
+0 1
+.names n1379gat n1446gat n1623gat
+00 1
+.names n1623gat n1779gat
+0 1
+.names n3099gat II509
+0 1
+.names II509 n2730gat
+0 1
+.names n2730gat II512
+0 1
+.names II512 n2729gat
+0 1
+.names n2319gat n2317gat
+0 1
+.names n1821gat n1819gat
+0 1
+.names n1821gat n1823gat
+0 1
+.names n1819gat n1823gat n1817gat
+00 1
+.names n1829gat II572
+0 1
+.names II572 n1828gat
+0 1
+.names n3100gat II576
+0 1
+.names II576 n2851gat
+0 1
+.names n2851gat II579
+0 1
+.names II579 n2850gat
+0 1
+.names n3091gat n3092gat n2786gat
+1- 1
+-1 1
+.names n2786gat II583
+0 1
+.names II583 n2785gat
+0 1
+.names n2785gat n92gat
+0 1
+.names n2724gat n2715gat n529gat
+00 1
+.names n529gat n637gat
+0 1
+.names n2859gat n2726gat n361gat
+00 1
+.names n361gat n293gat
+0 1
+.names n3094gat II591
+0 1
+.names II591 n2722gat
+0 1
+.names n2722gat II594
+0 1
+.names II594 n2721gat
+0 1
+.names n2721gat n297gat
+0 1
+.names n271gat II606
+0 1
+.names II606 n282gat
+0 1
+.names n282gat II609
+0 1
+.names II609 n172gat
+0 1
+.names n160gat II620
+0 1
+.names II620 n164gat
+0 1
+.names n164gat II623
+0 1
+.names II623 n173gat
+0 1
+.names n337gat II634
+0 1
+.names II634 n278gat
+0 1
+.names n278gat II637
+0 1
+.names II637 n174gat
+0 1
+.names n160gat n163gat
+0 1
+.names n163gat II642
+0 1
+.names II642 n175gat
+0 1
+.names n337gat n277gat
+0 1
+.names n277gat II646
+0 1
+.names II646 n176gat
+0 1
+.names n271gat n281gat
+0 1
+.names n281gat II651
+0 1
+.names II651 n177gat
+0 1
+.names n168gat n169gat n170gat n171gat n167gat
+1--- 1
+-1-- 1
+--1- 1
+---1 1
+.names n167gat n54gat
+0 1
+.names n54gat II658
+0 1
+.names II658 n60gat
+0 1
+.names n845gat II661
+0 1
+.names II661 n911gat
+0 1
+.names n842gat II672
+0 1
+.names II672 n1025gat
+0 1
+.names n1025gat II675
+0 1
+.names II675 n912gat
+0 1
+.names n918gat II678
+0 1
+.names II678 n913gat
+0 1
+.names n842gat n1024gat
+0 1
+.names n1024gat II683
+0 1
+.names II683 n914gat
+0 1
+.names n919gat n917gat
+0 1
+.names n917gat II687
+0 1
+.names II687 n915gat
+0 1
+.names n846gat n844gat
+0 1
+.names n844gat II692
+0 1
+.names II692 n916gat
+0 1
+.names n907gat n908gat n909gat n910gat n906gat
+1--- 1
+-1-- 1
+--1- 1
+---1 1
+.names n906gat II698
+0 1
+.names II698 n61gat
+0 1
+.names n341gat II709
+0 1
+.names II709 n274gat
+0 1
+.names n274gat II712
+0 1
+.names II712 n348gat
+0 1
+.names n401gat II715
+0 1
+.names II715 n349gat
+0 1
+.names n398gat II718
+0 1
+.names II718 n397gat
+0 1
+.names n397gat II721
+0 1
+.names II721 n350gat
+0 1
+.names n402gat n400gat
+0 1
+.names n400gat II726
+0 1
+.names II726 n351gat
+0 1
+.names n396gat II729
+0 1
+.names II729 n352gat
+0 1
+.names n341gat n273gat
+0 1
+.names n273gat II734
+0 1
+.names II734 n353gat
+0 1
+.names n344gat n345gat n346gat n347gat n343gat
+1--- 1
+-1-- 1
+--1- 1
+---1 1
+.names n343gat n178gat
+0 1
+.names n178gat II741
+0 1
+.names II741 n62gat
+0 1
+.names n906gat n66gat
+0 1
+.names n66gat II746
+0 1
+.names II746 n63gat
+0 1
+.names n343gat II749
+0 1
+.names II749 n64gat
+0 1
+.names n167gat II753
+0 1
+.names II753 n65gat
+0 1
+.names n2476gat n2474gat
+0 1
+.names n3090gat II768
+0 1
+.names II768 n2832gat
+0 1
+.names n2832gat II771
+0 1
+.names II771 n2831gat
+0 1
+.names n2733gat n2731gat
+0 1
+.names n3074gat II776
+0 1
+.names II776 n2719gat
+0 1
+.names n2719gat n2718gat
+0 1
+.names n1068gat II790
+0 1
+.names II790 n1067gat
+0 1
+.names n1067gat II793
+0 1
+.names II793 n949gat
+0 1
+.names n3076gat II796
+0 1
+.names II796 n2839gat
+0 1
+.names n2839gat n2838gat
+0 1
+.names n2777gat n2775gat
+0 1
+.names n957gat II812
+0 1
+.names II812 n956gat
+0 1
+.names n956gat II815
+0 1
+.names II815 n950gat
+0 1
+.names n3075gat II818
+0 1
+.names II818 n2712gat
+0 1
+.names n2712gat n2711gat
+0 1
+.names n2736gat n2734gat
+0 1
+.names n861gat II834
+0 1
+.names II834 n860gat
+0 1
+.names n860gat II837
+0 1
+.names II837 n951gat
+0 1
+.names n957gat n955gat
+0 1
+.names n955gat II842
+0 1
+.names II842 n952gat
+0 1
+.names n861gat n859gat
+0 1
+.names n859gat II846
+0 1
+.names II846 n953gat
+0 1
+.names n1068gat n1066gat
+0 1
+.names n1066gat II851
+0 1
+.names II851 n954gat
+0 1
+.names n945gat n946gat n947gat n948gat n944gat
+1--- 1
+-1-- 1
+--1- 1
+---1 1
+.names n944gat n857gat
+0 1
+.names n857gat II858
+0 1
+.names II858 n938gat
+0 1
+.names n2794gat n2792gat
+0 1
+.names n3080gat II863
+0 1
+.names II863 n2847gat
+0 1
+.names n2847gat n2846gat
+0 1
+.names n1294gat II877
+0 1
+.names II877 n1293gat
+0 1
+.names n1293gat II880
+0 1
+.names II880 n1233gat
+0 1
+.names n2674gat n2672gat
+0 1
+.names n3082gat II885
+0 1
+.names II885 n2853gat
+0 1
+.names n2853gat n2852gat
+0 1
+.names n1241gat II899
+0 1
+.names II899 n1240gat
+0 1
+.names n1240gat II902
+0 1
+.names II902 n1234gat
+0 1
+.names n673gat II913
+0 1
+.names II913 n1297gat
+0 1
+.names n1297gat II916
+0 1
+.names II916 n1235gat
+0 1
+.names n1241gat n1239gat
+0 1
+.names n1239gat II921
+0 1
+.names II921 n1236gat
+0 1
+.names n673gat n1296gat
+0 1
+.names n1296gat II925
+0 1
+.names II925 n1237gat
+0 1
+.names n1294gat n1292gat
+0 1
+.names n1292gat II930
+0 1
+.names II930 n1238gat
+0 1
+.names n1229gat n1230gat n1231gat n1232gat n1228gat
+1--- 1
+-1-- 1
+--1- 1
+---1 1
+.names n1228gat II936
+0 1
+.names II936 n939gat
+0 1
+.names n2780gat n2778gat
+0 1
+.names n3077gat II941
+0 1
+.names II941 n2837gat
+0 1
+.names n2837gat n2836gat
+0 1
+.names n865gat II955
+0 1
+.names II955 n864gat
+0 1
+.names n864gat II958
+0 1
+.names II958 n1055gat
+0 1
+.names n2791gat n2789gat
+0 1
+.names n3079gat II963
+0 1
+.names II963 n2841gat
+0 1
+.names n2841gat n2840gat
+0 1
+.names n1080gat II977
+0 1
+.names II977 n1079gat
+0 1
+.names n1079gat II980
+0 1
+.names II980 n1056gat
+0 1
+.names n2783gat n2781gat
+0 1
+.names n3078gat II985
+0 1
+.names II985 n2843gat
+0 1
+.names n2843gat n2842gat
+0 1
+.names n1148gat II999
+0 1
+.names II999 n1147gat
+0 1
+.names n1147gat II1002
+0 1
+.names II1002 n1057gat
+0 1
+.names n1080gat n1078gat
+0 1
+.names n1078gat II1007
+0 1
+.names II1007 n1058gat
+0 1
+.names n1148gat n1146gat
+0 1
+.names n1146gat II1011
+0 1
+.names II1011 n1059gat
+0 1
+.names n865gat n863gat
+0 1
+.names n863gat II1016
+0 1
+.names II1016 n1060gat
+0 1
+.names n1051gat n1052gat n1053gat n1054gat n1050gat
+1--- 1
+-1-- 1
+--1- 1
+---1 1
+.names n1050gat n928gat
+0 1
+.names n928gat II1023
+0 1
+.names II1023 n940gat
+0 1
+.names n1228gat n858gat
+0 1
+.names n858gat II1028
+0 1
+.names II1028 n941gat
+0 1
+.names n1050gat II1031
+0 1
+.names II1031 n942gat
+0 1
+.names n944gat II1035
+0 1
+.names II1035 n943gat
+0 1
+.names n2468gat n2466gat
+0 1
+.names n2722gat n2720gat
+0 1
+.names n2667gat n740gat
+0 1
+.names n2786gat n2784gat
+0 1
+.names n2716gat n2723gat n746gat
+00 1
+.names n746gat n743gat
+0 1
+.names n2859gat n2727gat n360gat
+00 1
+.names n360gat n294gat
+0 1
+.names n2767gat n374gat
+0 1
+.names n402gat n616gat
+0 1
+.names n616gat II1067
+0 1
+.names II1067 n501gat
+0 1
+.names n398gat n489gat
+0 1
+.names n489gat II1079
+0 1
+.names II1079 n502gat
+0 1
+.names n402gat II1082
+0 1
+.names II1082 n617gat
+0 1
+.names n617gat II1085
+0 1
+.names II1085 n499gat
+0 1
+.names n398gat II1088
+0 1
+.names II1088 n490gat
+0 1
+.names n490gat II1091
+0 1
+.names II1091 n500gat
+0 1
+.names n846gat n620gat
+0 1
+.names n620gat II1103
+0 1
+.names II1103 n738gat
+0 1
+.names n919gat n624gat
+0 1
+.names n624gat II1115
+0 1
+.names II1115 n737gat
+0 1
+.names n846gat II1118
+0 1
+.names II1118 n621gat
+0 1
+.names n621gat II1121
+0 1
+.names II1121 n733gat
+0 1
+.names n919gat II1124
+0 1
+.names II1124 n625gat
+0 1
+.names n625gat II1127
+0 1
+.names II1127 n735gat
+0 1
+.names n834gat II1138
+0 1
+.names II1138 n833gat
+0 1
+.names n833gat II1141
+0 1
+.names II1141 n714gat
+0 1
+.names n707gat II1152
+0 1
+.names II1152 n706gat
+0 1
+.names n706gat II1155
+0 1
+.names II1155 n715gat
+0 1
+.names n838gat II1166
+0 1
+.names II1166 n837gat
+0 1
+.names n837gat II1169
+0 1
+.names II1169 n716gat
+0 1
+.names n705gat II1174
+0 1
+.names II1174 n717gat
+0 1
+.names n836gat II1178
+0 1
+.names II1178 n718gat
+0 1
+.names n832gat II1183
+0 1
+.names II1183 n719gat
+0 1
+.names n710gat n711gat n712gat n713gat n709gat
+1--- 1
+-1-- 1
+--1- 1
+---1 1
+.names n709gat n515gat
+0 1
+.names n515gat II1190
+0 1
+.names II1190 n509gat
+0 1
+.names n830gat II1201
+0 1
+.names II1201 n829gat
+0 1
+.names n829gat II1204
+0 1
+.names II1204 n734gat
+0 1
+.names n828gat II1209
+0 1
+.names II1209 n736gat
+0 1
+.names n729gat n730gat n731gat n732gat n728gat
+1--- 1
+-1-- 1
+--1- 1
+---1 1
+.names n728gat II1216
+0 1
+.names II1216 n510gat
+0 1
+.names n614gat II1227
+0 1
+.names II1227 n613gat
+0 1
+.names n613gat II1230
+0 1
+.names II1230 n498gat
+0 1
+.names n612gat II1236
+0 1
+.names II1236 n503gat
+0 1
+.names n494gat n495gat n496gat n497gat n493gat
+1--- 1
+-1-- 1
+--1- 1
+---1 1
+.names n493gat n404gat
+0 1
+.names n404gat II1243
+0 1
+.names II1243 n511gat
+0 1
+.names n728gat n405gat
+0 1
+.names n405gat II1248
+0 1
+.names II1248 n512gat
+0 1
+.names n493gat II1251
+0 1
+.names II1251 n513gat
+0 1
+.names n709gat II1255
+0 1
+.names II1255 n514gat
+0 1
+.names n2526gat n2524gat
+0 1
+.names n3029gat n2863gat n2855gat n374gat n564gat
+0000 1
+.names n564gat n17gat
+0 1
+.names n743gat n294gat n17gat n86gat
+000 1
+.names n86gat n79gat
+0 1
+.names n2784gat n79gat n78gat
+00 1
+.names n78gat n219gat
+0 1
+.names n740gat n3030gat II1277 II1278
+1-- 1
+-1- 1
+--1 1
+.names II1278 n563gat
+0 1
+.names n563gat n289gat
+0 1
+.names n289gat n2715gat n287gat
+00 1
+.names n287gat n179gat
+0 1
+.names n289gat n2726gat n288gat
+00 1
+.names n288gat n188gat
+0 1
+.names n286gat n179gat n188gat n181gat
+000 1
+.names n181gat n72gat
+0 1
+.names n72gat n2720gat n182gat
+00 1
+.names n182gat n111gat
+0 1
+.names n680gat II1302
+0 1
+.names II1302 n679gat
+0 1
+.names n679gat II1305
+0 1
+.names II1305 n808gat
+0 1
+.names n816gat II1319
+0 1
+.names II1319 n815gat
+0 1
+.names n815gat II1322
+0 1
+.names II1322 n809gat
+0 1
+.names n580gat II1336
+0 1
+.names II1336 n579gat
+0 1
+.names n579gat II1339
+0 1
+.names II1339 n810gat
+0 1
+.names n816gat n814gat
+0 1
+.names n814gat II1344
+0 1
+.names II1344 n811gat
+0 1
+.names n580gat n578gat
+0 1
+.names n578gat II1348
+0 1
+.names II1348 n812gat
+0 1
+.names n680gat n678gat
+0 1
+.names n678gat II1353
+0 1
+.names II1353 n813gat
+0 1
+.names n804gat n805gat n806gat n807gat n803gat
+1--- 1
+-1-- 1
+--1- 1
+---1 1
+.names n803gat n677gat
+0 1
+.names n677gat II1360
+0 1
+.names II1360 n572gat
+0 1
+.names n824gat II1371
+0 1
+.names II1371 n823gat
+0 1
+.names n823gat II1374
+0 1
+.names II1374 n591gat
+0 1
+.names n820gat II1385
+0 1
+.names II1385 n819gat
+0 1
+.names n819gat II1388
+0 1
+.names II1388 n592gat
+0 1
+.names n883gat II1399
+0 1
+.names II1399 n882gat
+0 1
+.names n882gat II1402
+0 1
+.names II1402 n593gat
+0 1
+.names n818gat II1407
+0 1
+.names II1407 n594gat
+0 1
+.names n881gat II1411
+0 1
+.names II1411 n595gat
+0 1
+.names n822gat II1416
+0 1
+.names II1416 n596gat
+0 1
+.names n587gat n588gat n589gat n590gat n586gat
+1--- 1
+-1-- 1
+--1- 1
+---1 1
+.names n586gat II1422
+0 1
+.names II1422 n573gat
+0 1
+.names n584gat II1436
+0 1
+.names II1436 n583gat
+0 1
+.names n583gat II1439
+0 1
+.names II1439 n691gat
+0 1
+.names n684gat II1450
+0 1
+.names II1450 n683gat
+0 1
+.names n683gat II1453
+0 1
+.names II1453 n692gat
+0 1
+.names n699gat II1464
+0 1
+.names II1464 n698gat
+0 1
+.names n698gat II1467
+0 1
+.names II1467 n693gat
+0 1
+.names n682gat II1472
+0 1
+.names II1472 n694gat
+0 1
+.names n697gat II1476
+0 1
+.names II1476 n695gat
+0 1
+.names n584gat n582gat
+0 1
+.names n582gat II1481
+0 1
+.names II1481 n696gat
+0 1
+.names n687gat n688gat n689gat n690gat n686gat
+1--- 1
+-1-- 1
+--1- 1
+---1 1
+.names n686gat n456gat
+0 1
+.names n456gat II1488
+0 1
+.names II1488 n574gat
+0 1
+.names n586gat n565gat
+0 1
+.names n565gat II1493
+0 1
+.names II1493 n575gat
+0 1
+.names n686gat II1496
+0 1
+.names II1496 n576gat
+0 1
+.names n803gat II1500
+0 1
+.names II1500 n577gat
+0 1
+.names n2464gat n2462gat
+0 1
+.names n2466gat n2462gat II1515 II1516
+1-- 1
+-1- 1
+--1 1
+.names II1516 n2665gat
+0 1
+.names n2665gat n2596gat
+0 1
+.names n289gat n2723gat n286gat
+00 1
+.names n286gat n189gat
+0 1
+.names n189gat n287gat n188gat n187gat
+000 1
+.names n187gat n194gat
+0 1
+.names n637gat n17gat n293gat n15gat
+000 1
+.names n15gat n21gat
+0 1
+.names n2399gat II1538
+0 1
+.names II1538 n2398gat
+0 1
+.names n2398gat n2353gat
+0 1
+.names n2343gat II1550
+0 1
+.names II1550 n2342gat
+0 1
+.names n2342gat n2284gat
+0 1
+.names n2201gat n2354gat
+0 1
+.names n2560gat n2356gat
+0 1
+.names n2205gat n2214gat
+0 1
+.names n2356gat n2214gat II1584 II1585
+1-- 1
+-1- 1
+--1 1
+.names II1585 n2286gat
+0 1
+.names n2626gat n2624gat
+0 1
+.names n2490gat II1606
+0 1
+.names II1606 n2489gat
+0 1
+.names n2622gat II1617
+0 1
+.names II1617 n2621gat
+0 1
+.names n2624gat n2489gat n2621gat n2534gat
+000 1
+.names n2534gat n2533gat
+0 1
+.names n2630gat II1630
+0 1
+.names II1630 n2629gat
+0 1
+.names n2629gat n2486gat
+0 1
+.names n2541gat n2429gat
+0 1
+.names n2533gat n2486gat n2429gat n2430gat
+000 1
+.names n2430gat n2432gat
+0 1
+.names n2102gat II1655
+0 1
+.names II1655 n2101gat
+0 1
+.names n2101gat n1693gat
+0 1
+.names n1880gat II1667
+0 1
+.names II1667 n1879gat
+0 1
+.names n2470gat n1935gat n2239gat n1934gat
+000 1
+.names n1934gat n1698gat
+0 1
+.names n1606gat n1543gat
+0 1
+.names n1763gat II1683
+0 1
+.names II1683 n1762gat
+0 1
+.names n1693gat n1692gat n2989gat
+1- 1
+-1 1
+.names n2989gat n1673gat
+0 1
+.names n2155gat II1698
+0 1
+.names II1698 n2154gat
+0 1
+.names n2490gat n2488gat
+0 1
+.names n2626gat II1703
+0 1
+.names II1703 n2625gat
+0 1
+.names n2488gat n2625gat n2621gat n2531gat
+000 1
+.names n2531gat n2530gat
+0 1
+.names n2543gat II1708
+0 1
+.names II1708 n2542gat
+0 1
+.names n2542gat n2482gat
+0 1
+.names n2530gat n2482gat n2486gat n2480gat
+000 1
+.names n2480gat n2426gat
+0 1
+.names n2155gat n2153gat
+0 1
+.names n2341gat n2355gat
+0 1
+.names n2562gat II1719
+0 1
+.names II1719 n2561gat
+0 1
+.names n2561gat n2443gat
+0 1
+.names n2355gat n2443gat II1723 II1724
+1-- 1
+-1- 1
+--1 1
+.names II1724 n2289gat
+0 1
+.names n1604gat n2214gat II1733 II1734
+1-- 1
+-1- 1
+--1 1
+.names II1734 n2148gat
+0 1
+.names n2148gat n855gat
+0 1
+.names n855gat n759gat
+0 1
+.names n1035gat II1749
+0 1
+.names II1749 n1034gat
+0 1
+.names n1034gat II1752
+0 1
+.names II1752 n1189gat
+0 1
+.names n855gat n1075gat
+0 1
+.names n1121gat II1766
+0 1
+.names II1766 n1120gat
+0 1
+.names n1120gat II1769
+0 1
+.names II1769 n1190gat
+0 1
+.names n855gat n760gat
+0 1
+.names n1072gat II1783
+0 1
+.names II1783 n1071gat
+0 1
+.names n1071gat II1786
+0 1
+.names II1786 n1191gat
+0 1
+.names n1121gat n1119gat
+0 1
+.names n1119gat II1791
+0 1
+.names II1791 n1192gat
+0 1
+.names n1072gat n1070gat
+0 1
+.names n1070gat II1795
+0 1
+.names II1795 n1193gat
+0 1
+.names n1035gat n1033gat
+0 1
+.names n1033gat II1800
+0 1
+.names II1800 n1194gat
+0 1
+.names n1185gat n1186gat n1187gat n1188gat n1184gat
+1--- 1
+-1-- 1
+--1- 1
+---1 1
+.names n1184gat n1183gat
+0 1
+.names n1183gat II1807
+0 1
+.names II1807 n1274gat
+0 1
+.names n855gat n644gat
+0 1
+.names n1282gat n1280gat
+0 1
+.names n855gat n641gat
+0 1
+.names n1226gat II1833
+0 1
+.names II1833 n1225gat
+0 1
+.names n1282gat II1837
+0 1
+.names II1837 n1281gat
+0 1
+.names n1226gat n1224gat
+0 1
+.names n1383gat n1327gat n2970gat
+1- 1
+-1 1
+.names n2970gat II1843
+0 1
+.names II1843 n1275gat
+0 1
+.names n855gat n761gat
+0 1
+.names n931gat II1857
+0 1
+.names II1857 n930gat
+0 1
+.names n930gat II1860
+0 1
+.names II1860 n1206gat
+0 1
+.names n855gat n762gat
+0 1
+.names n1135gat II1874
+0 1
+.names II1874 n1134gat
+0 1
+.names n1134gat II1877
+0 1
+.names II1877 n1207gat
+0 1
+.names n855gat n643gat
+0 1
+.names n1045gat II1891
+0 1
+.names II1891 n1044gat
+0 1
+.names n1044gat II1894
+0 1
+.names II1894 n1208gat
+0 1
+.names n1135gat n1133gat
+0 1
+.names n1133gat II1899
+0 1
+.names II1899 n1209gat
+0 1
+.names n1045gat n1043gat
+0 1
+.names n1043gat II1903
+0 1
+.names II1903 n1210gat
+0 1
+.names n931gat n929gat
+0 1
+.names n929gat II1908
+0 1
+.names II1908 n1211gat
+0 1
+.names n1202gat n1203gat n1204gat n1205gat n1201gat
+1--- 1
+-1-- 1
+--1- 1
+---1 1
+.names n1201gat n1268gat
+0 1
+.names n1268gat II1915
+0 1
+.names II1915 n1276gat
+0 1
+.names n2970gat n1329gat
+0 1
+.names n1329gat II1920
+0 1
+.names II1920 n1277gat
+0 1
+.names n1201gat II1923
+0 1
+.names II1923 n1278gat
+0 1
+.names n1184gat II1927
+0 1
+.names II1927 n1279gat
+0 1
+.names n1270gat n1271gat n1272gat n1273gat n1269gat
+1--- 1
+-1-- 1
+--1- 1
+---1 1
+.names n1269gat n1284gat
+0 1
+.names n855gat n642gat
+0 1
+.names n1197gat n1195gat
+0 1
+.names n1197gat II1947
+0 1
+.names II1947 n1196gat
+0 1
+.names n2518gat n2516gat
+0 1
+.names n2516gat II1961
+0 1
+.names II1961 n3017gat
+0 1
+.names n740gat n2148gat n853gat
+00 1
+.names n853gat n851gat
+0 1
+.names n2148gat n1725gat
+0 1
+.names n1725gat n664gat
+0 1
+.names n2148gat n374gat n854gat
+00 1
+.names n854gat n852gat
+0 1
+.names n667gat II1981
+0 1
+.names II1981 n666gat
+0 1
+.names n1725gat n368gat
+0 1
+.names n659gat II1996
+0 1
+.names II1996 n658gat
+0 1
+.names n658gat II1999
+0 1
+.names II1999 n784gat
+0 1
+.names n1725gat n662gat
+0 1
+.names n553gat II2014
+0 1
+.names II2014 n552gat
+0 1
+.names n552gat II2017
+0 1
+.names II2017 n785gat
+0 1
+.names n1725gat n661gat
+0 1
+.names n777gat II2032
+0 1
+.names II2032 n776gat
+0 1
+.names n776gat II2035
+0 1
+.names II2035 n786gat
+0 1
+.names n553gat n551gat
+0 1
+.names n551gat II2040
+0 1
+.names II2040 n787gat
+0 1
+.names n777gat n775gat
+0 1
+.names n775gat II2044
+0 1
+.names II2044 n788gat
+0 1
+.names n659gat n657gat
+0 1
+.names n657gat II2049
+0 1
+.names II2049 n789gat
+0 1
+.names n780gat n781gat n782gat n783gat n779gat
+1--- 1
+-1-- 1
+--1- 1
+---1 1
+.names n779gat n35gat
+0 1
+.names n35gat II2056
+0 1
+.names II2056 n125gat
+0 1
+.names n1725gat n558gat
+0 1
+.names n561gat n559gat
+0 1
+.names n1725gat n371gat
+0 1
+.names n366gat II2084
+0 1
+.names II2084 n365gat
+0 1
+.names n561gat II2088
+0 1
+.names II2088 n560gat
+0 1
+.names n366gat n364gat
+0 1
+.names n874gat n132gat n2876gat
+1- 1
+-1 1
+.names n2876gat II2094
+0 1
+.names II2094 n126gat
+0 1
+.names n1725gat n663gat
+0 1
+.names n322gat II2109
+0 1
+.names II2109 n321gat
+0 1
+.names n321gat II2112
+0 1
+.names II2112 n226gat
+0 1
+.names n1725gat n370gat
+0 1
+.names n318gat II2127
+0 1
+.names II2127 n317gat
+0 1
+.names n317gat II2130
+0 1
+.names II2130 n227gat
+0 1
+.names n1725gat n369gat
+0 1
+.names n314gat II2145
+0 1
+.names II2145 n313gat
+0 1
+.names n313gat II2148
+0 1
+.names II2148 n228gat
+0 1
+.names n318gat n316gat
+0 1
+.names n316gat II2153
+0 1
+.names II2153 n229gat
+0 1
+.names n314gat n312gat
+0 1
+.names n312gat II2157
+0 1
+.names II2157 n230gat
+0 1
+.names n322gat n320gat
+0 1
+.names n320gat II2162
+0 1
+.names II2162 n231gat
+0 1
+.names n222gat n223gat n224gat n225gat n221gat
+1--- 1
+-1-- 1
+--1- 1
+---1 1
+.names n221gat n34gat
+0 1
+.names n34gat II2169
+0 1
+.names II2169 n127gat
+0 1
+.names n2876gat n133gat
+0 1
+.names n133gat II2174
+0 1
+.names II2174 n128gat
+0 1
+.names n221gat II2177
+0 1
+.names II2177 n129gat
+0 1
+.names n779gat II2181
+0 1
+.names II2181 n130gat
+0 1
+.names n667gat n665gat
+0 1
+.names n121gat n122gat n123gat n124gat n120gat
+1--- 1
+-1-- 1
+--1- 1
+---1 1
+.names n120gat n1601gat
+0 1
+.names n2599gat n2597gat
+0 1
+.names n3017gat n2520gat n2597gat n2594gat
+000 1
+.names n2594gat n2595gat
+0 1
+.names n2588gat n2586gat
+0 1
+.names n2342gat II2213
+0 1
+.names II2213 n2573gat
+0 1
+.names n2638gat II2225
+0 1
+.names II2225 n2574gat
+0 1
+.names n2561gat II2228
+0 1
+.names II2228 n2575gat
+0 1
+.names n2640gat II2232
+0 1
+.names II2232 n2639gat
+0 1
+.names n2639gat II2235
+0 1
+.names II2235 n2576gat
+0 1
+.names n2560gat II2238
+0 1
+.names II2238 n2577gat
+0 1
+.names n2341gat II2242
+0 1
+.names II2242 n2578gat
+0 1
+.names n2569gat n2570gat n2571gat n2572gat n2568gat
+1--- 1
+-1-- 1
+--1- 1
+---1 1
+.names n2568gat II2248
+0 1
+.names II2248 n2582gat
+0 1
+.names n2207gat II2251
+0 1
+.names II2251 n2206gat
+0 1
+.names n2206gat II2254
+0 1
+.names II2254 n2414gat
+0 1
+.names n2398gat II2257
+0 1
+.names II2257 n2415gat
+0 1
+.names n2203gat II2260
+0 1
+.names II2260 n2202gat
+0 1
+.names n2202gat II2263
+0 1
+.names II2263 n2416gat
+0 1
+.names n2397gat II2268
+0 1
+.names II2268 n2417gat
+0 1
+.names n2201gat II2271
+0 1
+.names II2271 n2418gat
+0 1
+.names n2205gat II2275
+0 1
+.names II2275 n2419gat
+0 1
+.names n2410gat n2411gat n2412gat n2413gat n2409gat
+1--- 1
+-1-- 1
+--1- 1
+---1 1
+.names n2409gat II2281
+0 1
+.names II2281 n2585gat
+0 1
+.names n2658gat n2656gat
+0 1
+.names n2390gat II2316
+0 1
+.names II2316 n2389gat
+0 1
+.names n2495gat II2319
+0 1
+.names II2319 n2494gat
+0 1
+.names n2567gat n2499gat n3014gat
+1- 1
+-1 1
+.names n3014gat II2324
+0 1
+.names II2324 n2649gat
+0 1
+.names n2339gat II2344
+0 1
+.names II2344 n2338gat
+0 1
+.names n2270gat II2349
+0 1
+.names II2349 n2269gat
+0 1
+.names n299gat n207gat n2880gat
+1- 1
+-1 1
+.names n2880gat II2354
+0 1
+.names II2354 n2652gat
+0 1
+.names n2502gat n2500gat
+0 1
+.names n2622gat n2620gat
+0 1
+.names n2620gat n2612gat
+0 1
+.names n2612gat II2372
+0 1
+.names II2372 n2606gat
+0 1
+.names n2532gat II2376
+0 1
+.names II2376 n2607gat
+0 1
+.names n2488gat n2540gat
+0 1
+.names n2540gat II2380
+0 1
+.names II2380 n2608gat
+0 1
+.names n2624gat n2536gat
+0 1
+.names n2536gat II2385
+0 1
+.names II2385 n2609gat
+0 1
+.names n2487gat II2389
+0 1
+.names II2389 n2610gat
+0 1
+.names n2557gat II2394
+0 1
+.names II2394 n2611gat
+0 1
+.names n2602gat n2603gat n2604gat n2605gat n2601gat
+1--- 1
+-1-- 1
+--1- 1
+---1 1
+.names n2601gat II2400
+0 1
+.names II2400 n2616gat
+0 1
+.names n2629gat II2403
+0 1
+.names II2403 n2550gat
+0 1
+.names n2634gat II2414
+0 1
+.names II2414 n2633gat
+0 1
+.names n2633gat II2417
+0 1
+.names II2417 n2551gat
+0 1
+.names n2542gat II2420
+0 1
+.names II2420 n2552gat
+0 1
+.names n2632gat II2425
+0 1
+.names II2425 n2553gat
+0 1
+.names n2541gat II2428
+0 1
+.names II2428 n2554gat
+0 1
+.names n2628gat II2433
+0 1
+.names II2433 n2555gat
+0 1
+.names n2546gat n2547gat n2548gat n2549gat n2545gat
+1--- 1
+-1-- 1
+--1- 1
+---1 1
+.names n2545gat II2439
+0 1
+.names II2439 n2619gat
+0 1
+.names n2506gat n2504gat
+0 1
+.names n2508gat n2656gat n2500gat n2504gat n2655gat
+0000 1
+.names n2655gat n2660gat
+0 1
+.names n2353gat n2284gat n2443gat n2293gat
+000 1
+.names n2293gat n1528gat
+0 1
+.names n2354gat n2214gat n2219gat
+00 1
+.names n2219gat n1523gat
+0 1
+.names n1528gat n1523gat n1529gat
+00 1
+.names n1529gat n1592gat
+0 1
+.names n3027gat n1706gat n1704gat
+00 1
+.names n1704gat n2666gat
+0 1
+.names n2461gat n2421gat n3013gat
+1- 1
+-1 1
+.names n3013gat n2422gat
+0 1
+.names n2202gat n2290gat
+0 1
+.names n2214gat n2290gat n2218gat
+00 1
+.names n2218gat n2081gat
+0 1
+.names n2397gat n2285gat
+0 1
+.names n2285gat n2356gat n2355gat n2358gat
+000 1
+.names n2358gat n2359gat
+0 1
+.names n2081gat n2359gat n1415gat
+00 1
+.names n1415gat n1414gat
+0 1
+.names n364gat n566gat
+0 1
+.names n2443gat n2284gat n2285gat n2292gat
+000 1
+.names n2292gat n1480gat
+0 1
+.names n2081gat n1480gat n1416gat
+00 1
+.names n1416gat n1301gat
+0 1
+.names n312gat n1150gat
+0 1
+.names n316gat n873gat
+0 1
+.names n2356gat n2284gat n2285gat n2306gat
+000 1
+.names n2306gat n2011gat
+0 1
+.names n2081gat n2011gat n1481gat
+00 1
+.names n1481gat n1478gat
+0 1
+.names n559gat n875gat
+0 1
+.names n2285gat n2355gat n2443gat n2357gat
+000 1
+.names n2357gat n1410gat
+0 1
+.names n2081gat n1410gat n1347gat
+00 1
+.names n1347gat n876gat
+0 1
+.names n2081gat n1528gat n1484gat
+00 1
+.names n1484gat n1160gat
+0 1
+.names n657gat n1084gat
+0 1
+.names n320gat n983gat
+0 1
+.names n2353gat n2356gat n2355gat n2363gat
+000 1
+.names n2363gat n1482gat
+0 1
+.names n2081gat n1482gat n1483gat
+00 1
+.names n1483gat n1157gat
+0 1
+.names n775gat n985gat
+0 1
+.names n2353gat n2284gat n2356gat n2364gat
+000 1
+.names n2364gat n1530gat
+0 1
+.names n2081gat n1530gat n1308gat
+00 1
+.names n1308gat n1307gat
+0 1
+.names n551gat n1085gat
+0 1
+.names n2353gat n2355gat n2443gat n2291gat
+000 1
+.names n2291gat n1479gat
+0 1
+.names n1479gat n2081gat n1349gat
+00 1
+.names n1349gat n1348gat
+0 1
+.names n2206gat n2217gat
+0 1
+.names n2354gat n2217gat n2223gat
+00 1
+.names n2223gat n1591gat
+0 1
+.names n1591gat n1480gat n1438gat
+00 1
+.names n1438gat n1437gat
+0 1
+.names n1834gat n1832gat
+0 1
+.names n1767gat n1765gat
+0 1
+.names n1880gat n1878gat
+0 1
+.names n1832gat n1765gat n1878gat n1831gat
+000 1
+.names n1831gat n1442gat
+0 1
+.names n1442gat n1444gat
+0 1
+.names n1443gat n1325gat n2975gat
+1- 1
+-1 1
+.names n2975gat n1378gat
+0 1
+.names n1321gat n1320gat n2974gat
+1- 1
+-1 1
+.names n2974gat n1322gat
+0 1
+.names n1482gat n1591gat n1486gat
+00 1
+.names n1486gat n1439gat
+0 1
+.names n2011gat n1591gat n1426gat
+00 1
+.names n1426gat n1370gat
+0 1
+.names n1368gat n1258gat n2966gat
+1- 1
+-1 1
+.names n2966gat n1369gat
+0 1
+.names n1479gat n1591gat n1365gat
+00 1
+.names n1365gat n1366gat
+0 1
+.names n1373gat n1372gat n2979gat
+1- 1
+-1 1
+.names n2979gat n1374gat
+0 1
+.names n2290gat n2217gat n2220gat
+00 1
+.names n2220gat n2162gat
+0 1
+.names n2162gat n1530gat n1423gat
+00 1
+.names n1423gat n1450gat
+0 1
+.names n1704gat n1703gat n1608gat
+00 1
+.names n1608gat n1427gat
+0 1
+.names n2084gat n2082gat
+0 1
+.names n1528gat n2162gat n1494gat
+00 1
+.names n1494gat n1449gat
+0 1
+.names n1603gat n1590gat
+0 1
+.names n1250gat n1103gat n2954gat
+1- 1
+-1 1
+.names n2954gat n1248gat
+0 1
+.names n2162gat n1480gat n1417gat
+00 1
+.names n1417gat n1418gat
+0 1
+.names n1304gat n1249gat n2964gat
+1- 1
+-1 1
+.names n2964gat n1306gat
+0 1
+.names n2162gat n1479gat n1419gat
+00 1
+.names n1419gat n1353gat
+0 1
+.names n1246gat n1161gat n2958gat
+1- 1
+-1 1
+.names n2958gat n1247gat
+0 1
+.names n2011gat n2162gat n1422gat
+00 1
+.names n1422gat n1355gat
+0 1
+.names n1291gat n1245gat n2963gat
+1- 1
+-1 1
+.names n2963gat n1300gat
+0 1
+.names n1482gat n2162gat n1485gat
+00 1
+.names n1485gat n1487gat
+0 1
+.names n1163gat n1102gat n2953gat
+1- 1
+-1 1
+.names n2953gat n1164gat
+0 1
+.names n1591gat n1530gat n1354gat
+00 1
+.names n1354gat n1356gat
+0 1
+.names n1591gat n1528gat n1435gat
+00 1
+.names n1435gat n1436gat
+0 1
+.names n1101gat n996gat n2949gat
+1- 1
+-1 1
+.names n2949gat n1106gat
+0 1
+.names n2162gat n2359gat n1421gat
+00 1
+.names n1421gat n1425gat
+0 1
+.names n1104gat n887gat n2934gat
+1- 1
+-1 1
+.names n2934gat n1105gat
+0 1
+.names n1410gat n2162gat n1420gat
+00 1
+.names n1420gat n1424gat
+0 1
+.names n1305gat n1162gat n2959gat
+1- 1
+-1 1
+.names n2959gat n1309gat
+0 1
+.names n2143gat II2672
+0 1
+.names II2672 n2142gat
+0 1
+.names n2142gat n1788gat
+0 1
+.names n2061gat II2684
+0 1
+.names II2684 n2060gat
+0 1
+.names n2060gat n1786gat
+0 1
+.names n2139gat II2696
+0 1
+.names II2696 n2138gat
+0 1
+.names n2138gat n1839gat
+0 1
+.names n1899gat n1897gat
+0 1
+.names n1897gat n1884gat
+0 1
+.names n1850gat n1848gat
+0 1
+.names n1848gat n1783gat
+0 1
+.names n1884gat n1783gat II2720 II2721
+1-- 1
+-1- 1
+--1 1
+.names II2721 n1548gat
+0 1
+.names n1548gat n1719gat
+0 1
+.names n2139gat n2137gat
+0 1
+.names n2137gat n1633gat
+0 1
+.names n2061gat n2059gat
+0 1
+.names n2059gat n1785gat
+0 1
+.names n1850gat II2731
+0 1
+.names II2731 n1849gat
+0 1
+.names n1849gat n1784gat
+0 1
+.names n1785gat n1784gat II2735 II2736
+1-- 1
+-1- 1
+--1 1
+.names II2736 n1716gat
+0 1
+.names n1716gat n1635gat
+0 1
+.names n2403gat n2401gat
+0 1
+.names n2401gat n1989gat
+0 1
+.names n2394gat n2392gat
+0 1
+.names n2392gat n1918gat
+0 1
+.names n2440gat II2771
+0 1
+.names II2771 n2439gat
+0 1
+.names n2439gat n1986gat
+0 1
+.names n1989gat n1918gat n1986gat n1865gat
+000 1
+.names n1865gat n1866gat
+0 1
+.names n2407gat II2785
+0 1
+.names II2785 n2406gat
+0 1
+.names n2406gat n2216gat
+0 1
+.names n2347gat n2345gat
+0 1
+.names n2345gat n1988gat
+0 1
+.names n1866gat n2216gat n1988gat n1861gat
+000 1
+.names n1861gat n1735gat
+0 1
+.names n1389gat n1387gat
+0 1
+.names n1609gat n1702gat n1700gat II2812 II2813
+1--- 1
+-1-- 1
+--1- 1
+---1 1
+.names II2813 n1694gat
+0 1
+.names n1777gat n1625gat n1626gat n1780gat
+000 1
+.names n2021gat n2019gat
+0 1
+.names n1884gat n1784gat II2831 II2832
+1-- 1
+-1- 1
+--1 1
+.names II2832 n1549gat
+0 1
+.names n1549gat n1551gat
+0 1
+.names n2347gat II2837
+0 1
+.names II2837 n2346gat
+0 1
+.names n2346gat n2152gat
+0 1
+.names n2407gat n2405gat
+0 1
+.names n2405gat n2351gat
+0 1
+.names n2403gat II2843
+0 1
+.names II2843 n2402gat
+0 1
+.names n2402gat n2212gat
+0 1
+.names n2394gat II2847
+0 1
+.names II2847 n2393gat
+0 1
+.names n2393gat n1991gat
+0 1
+.names n1986gat n2212gat n1991gat n1666gat
+000 1
+.names n1666gat n1665gat
+0 1
+.names n2152gat n2351gat n1665gat n1578gat
+000 1
+.names n1578gat n1517gat
+0 1
+.names n1496gat II2873
+0 1
+.names II2873 n1495gat
+0 1
+.names n1778gat n1609gat n1702gat n1700gat n1604gat
+0000 1
+.names n2091gat II2885
+0 1
+.names II2885 n2090gat
+0 1
+.names n1788gat n1786gat II2889 II2890
+1-- 1
+-1- 1
+--1 1
+.names II2890 n1550gat
+0 1
+.names n1550gat n1552gat
+0 1
+.names n1740gat n1738gat
+0 1
+.names n1740gat II2915
+0 1
+.names II2915 n1739gat
+0 1
+.names n1864gat n1921gat n1798gat n1920gat
+000 1
+.names n1920gat n1925gat
+0 1
+.names n1738gat n1673gat n1921gat
+00 1
+.names n1921gat n1917gat
+0 1
+.names n2143gat n2141gat
+0 1
+.names n2141gat n1787gat
+0 1
+.names n1884gat n1787gat II2925 II2926
+1-- 1
+-1- 1
+--1 1
+.names n1717gat n1859gat
+0 1
+.names n1739gat n1673gat n1798gat
+00 1
+.names n1798gat n1922gat
+0 1
+.names n1785gat n1884gat II2934 II2935
+1-- 1
+-1- 1
+--1 1
+.names n1713gat n1743gat
+0 1
+.names n1858gat n1495gat n2090gat n1864gat
+000 1
+.names n1864gat n1923gat
+0 1
+.names n1700gat n1702gat n1690gat
+00 1
+.names n2179gat II2953
+0 1
+.names II2953 n2178gat
+0 1
+.names n1918gat n1986gat n2212gat n1660gat
+000 1
+.names n1660gat n1661gat
+0 1
+.names n2351gat n1988gat n1661gat n1576gat
+000 1
+.names n1576gat n1572gat
+0 1
+.names n2440gat n2438gat
+0 1
+.names n2438gat n2283gat
+0 1
+.names n2283gat n1991gat n2212gat n1582gat
+000 1
+.names n1582gat n1520gat
+0 1
+.names n1520gat n2351gat n1988gat n1577gat
+000 1
+.names n1577gat n1580gat
+0 1
+.names n1733gat n1581gat n2988gat
+1- 1
+-1 1
+.names n2988gat n1990gat
+0 1
+.names n2190gat II2978
+0 1
+.names II2978 n2189gat
+0 1
+.names n2135gat II2989
+0 1
+.names II2989 n2134gat
+0 1
+.names n2262gat II3000
+0 1
+.names II3000 n2261gat
+0 1
+.names n2189gat n2134gat n2261gat n2129gat
+000 1
+.names n2129gat n2128gat
+0 1
+.names n1609gat n1778gat n1704gat n1703gat n1695gat
+0000 1
+.names n2182gat II3016
+0 1
+.names II3016 n2181gat
+0 1
+.names n1312gat II3056
+0 1
+.names II3056 n1311gat
+0 1
+.names n1626gat n1707gat
+0 1
+.names n1574gat n1573gat n2987gat
+1- 1
+-1 1
+.names n2987gat n1659gat
+0 1
+.names n2283gat n1991gat n1521gat
+00 1
+.names n1521gat n1515gat
+0 1
+.names n2212gat n2152gat n1737gat
+00 1
+.names n1737gat n1736gat
+0 1
+.names n2216gat n1658gat
+0 1
+.names n1515gat n1736gat n1658gat n1732gat
+000 1
+.names n1732gat n1724gat
+0 1
+.names n1986gat n1918gat n1663gat
+00 1
+.names n1663gat n1662gat
+0 1
+.names n1736gat n1662gat n1658gat n1655gat
+000 1
+.names n1655gat n1656gat
+0 1
+.names n1991gat n1986gat n1667gat
+00 1
+.names n1667gat n1670gat
+0 1
+.names n1736gat n1658gat n1670gat n1570gat
+000 1
+.names n1570gat n1569gat
+0 1
+.names n1918gat n2283gat n1575gat
+00 1
+.names n1575gat n1568gat
+0 1
+.names n1568gat n1736gat n1658gat n1728gat
+000 1
+.names n1728gat n1727gat
+0 1
+.names n2152gat n1989gat n1801gat
+00 1
+.names n1801gat n1797gat
+0 1
+.names n1658gat n1515gat n1797gat n1731gat
+000 1
+.names n1731gat n1730gat
+0 1
+.names n1670gat n1658gat n1797gat n1571gat
+000 1
+.names n1571gat n1561gat
+0 1
+.names n1988gat n2212gat n1734gat
+00 1
+.names n1734gat n1668gat
+0 1
+.names n2216gat n1742gat
+0 1
+.names n1668gat n1742gat n1670gat n1669gat
+000 1
+.names n1669gat n1671gat
+0 1
+.names n1662gat n1797gat n1658gat n1657gat
+000 1
+.names n1657gat n1652gat
+0 1
+.names n1658gat n1797gat n1568gat n1729gat
+000 1
+.names n1729gat n1648gat
+0 1
+.names n2992gat n2986gat n2991gat n1726gat
+000 1
+.names n1726gat n1790gat
+0 1
+.names n1758gat n1790gat n1929gat
+00 1
+.names n1929gat n2004gat
+0 1
+.names n1871gat n1869gat
+0 1
+.names n2592gat II3143
+0 1
+.names II3143 n2591gat
+0 1
+.names n2989gat n1584gat
+0 1
+.names n1786gat n1787gat II3148 II3149
+1-- 1
+-1- 1
+--1 1
+.names II3149 n1714gat
+0 1
+.names n1714gat n1718gat
+0 1
+.names n1508gat II3163
+0 1
+.names II3163 n1507gat
+0 1
+.names n1584gat n1590gat n1401gat
+00 1
+.names n1401gat n1396gat
+0 1
+.names n1394gat II3168
+0 1
+.names II3168 n1393gat
+0 1
+.names n1858gat n1590gat n1476gat
+00 1
+.names n1476gat n1409gat
+0 1
+.names n1899gat II3174
+0 1
+.names II3174 n1898gat
+0 1
+.names n1898gat n1838gat
+0 1
+.names n1839gat n1784gat II3178 II3179
+1-- 1
+-1- 1
+--1 1
+.names n1678gat II3191
+0 1
+.names II3191 n1677gat
+0 1
+.names n1411gat n1406gat n2981gat n1412gat
+000 1
+.names n1412gat n2000gat
+0 1
+.names n1412gat n2001gat
+0 1
+.names n2001gat n1999gat
+0 1
+.names n2586gat n2660gat n2307gat n2663gat
+000 1
+.names n2663gat II3211
+0 1
+.names II3211 n3018gat
+0 1
+.names n2450gat n2448gat
+0 1
+.names n2660gat n2586gat n2662gat
+00 1
+.names n2446gat n2444gat
+0 1
+.names n2448gat n2444gat n2238gat
+00 1
+.names n2238gat II3235
+0 1
+.names II3235 n3019gat
+0 1
+.names n1312gat n1310gat
+0 1
+.names n743gat n17gat n293gat n87gat
+000 1
+.names n87gat n199gat
+0 1
+.names n189gat n188gat n179gat n184gat
+000 1
+.names n184gat n195gat
+0 1
+.names n200gat n196gat n204gat
+00 1
+.names n2169gat II3273
+0 1
+.names II3273 n2168gat
+0 1
+.names n2454gat n2452gat
+0 1
+.names n2452gat n1691gat
+0 1
+.names n1691gat II3287
+0 1
+.names II3287 n3020gat
+0 1
+.names n1691gat II3290
+0 1
+.names II3290 n3021gat
+0 1
+.names n1691gat II3293
+0 1
+.names II3293 n3022gat
+0 1
+.names n2452gat n1699gat
+0 1
+.names n1699gat II3297
+0 1
+.names II3297 n3023gat
+0 1
+.names n1699gat II3300
+0 1
+.names II3300 n3024gat
+0 1
+.names n1691gat II3303
+0 1
+.names II3303 n3025gat
+0 1
+.names n1699gat II3306
+0 1
+.names II3306 n3026gat
+0 1
+.names n1699gat II3309
+0 1
+.names II3309 n3027gat
+0 1
+.names n1699gat II3312
+0 1
+.names II3312 n3028gat
+0 1
+.names n1869gat II3315
+0 1
+.names II3315 n3029gat
+0 1
+.names n1869gat II3318
+0 1
+.names II3318 n3030gat
+0 1
+.names n2262gat n2260gat
+0 1
+.names n2189gat n2257gat
+0 1
+.names n2190gat n2188gat
+0 1
+.names n2258gat n2257gat n2255gat n3004gat
+1-- 1
+-1- 1
+--1 1
+.names n3004gat n2187gat
+0 1
+.names n2040gat II3336
+0 1
+.names II3336 n2039gat
+0 1
+.names n1775gat II3339
+0 1
+.names II3339 n1774gat
+0 1
+.names n1316gat II3342
+0 1
+.names II3342 n1315gat
+0 1
+.names n2099gat n2097gat
+0 1
+.names n2035gat n2093gat n2018gat n2664gat n2014gat
+0000 1
+.names n2014gat n1855gat
+0 1
+.names n2187gat n1855gat n2194gat
+00 1
+.names n2194gat II3387
+0 1
+.names n2261gat II3390
+0 1
+.names II3390 n3032gat
+0 1
+.names n3032gat n2256gat
+0 1
+.names n2260gat II3394
+0 1
+.names II3394 n3033gat
+0 1
+.names n3033gat n2251gat
+0 1
+.names n2256gat n2251gat n3003gat
+1- 1
+-1 1
+.names n3003gat n2184gat
+0 1
+.names n2184gat n1855gat n2192gat
+00 1
+.names n2192gat II3401
+0 1
+.names n2135gat n2133gat
+0 1
+.names n2261gat n2189gat n2185gat
+00 1
+.names n2185gat n2131gat
+0 1
+.names n2132gat n2130gat n3001gat
+1- 1
+-1 1
+.names n3001gat n2049gat
+0 1
+.names n2049gat n1855gat n2057gat
+00 1
+.names n2057gat II3412
+0 1
+.names n2189gat n2253gat
+0 1
+.names n2260gat n2252gat
+0 1
+.names n2253gat n2252gat n3006gat
+1- 1
+-1 1
+.names n3006gat n2248gat
+0 1
+.names n2266gat n2264gat
+0 1
+.names n2266gat II3429
+0 1
+.names II3429 n2265gat
+0 1
+.names n1855gat n3007gat n2329gat
+00 1
+.names n2329gat n2492gat
+0 1
+.names n2492gat II3436
+0 1
+.names n1849gat n1709gat
+0 1
+.names n2141gat n1845gat
+0 1
+.names n2059gat n1891gat
+0 1
+.names n2137gat n1963gat
+0 1
+.names n1897gat n1886gat
+0 1
+.names n1963gat n1886gat n1958gat
+00 1
+.names n1958gat n1968gat
+0 1
+.names n1845gat n1891gat n1968gat n1895gat
+000 1
+.names n1895gat n1629gat
+0 1
+.names n1848gat n1631gat
+0 1
+.names n1710gat n1630gat n2990gat
+1- 1
+-1 1
+.names n2990gat n1711gat
+0 1
+.names n1926gat n1916gat n1994gat n1924gat n2078gat
+0000 1
+.names n2078gat n2200gat
+0 1
+.names n2200gat n1855gat n2195gat
+00 1
+.names n2195gat n2437gat
+0 1
+.names n1711gat n2437gat n2556gat
+00 1
+.names n2556gat II3457
+0 1
+.names n1898gat n1956gat
+0 1
+.names n1956gat II3461
+0 1
+.names II3461 n3038gat
+0 1
+.names n3038gat n1954gat
+0 1
+.names n1886gat II3465
+0 1
+.names II3465 n3039gat
+0 1
+.names n3039gat n1888gat
+0 1
+.names n1954gat n1888gat n2994gat
+1- 1
+-1 1
+.names n2994gat n2048gat
+0 1
+.names n2048gat n2437gat n2539gat
+00 1
+.names n2539gat II3472
+0 1
+.names n2142gat n1969gat
+0 1
+.names n2060gat n1893gat
+0 1
+.names n1894gat n1847gat n1846gat n2993gat
+1-- 1
+-1- 1
+--1 1
+.names n2993gat n1892gat
+0 1
+.names n2437gat n1892gat n2436gat
+00 1
+.names n2436gat II3483
+0 1
+.names n2055gat n1967gat n2998gat
+1- 1
+-1 1
+.names n2998gat n2056gat
+0 1
+.names n2056gat n2437gat n2387gat
+00 1
+.names n2387gat II3491
+0 1
+.names n1963gat II3494
+0 1
+.names II3494 n3043gat
+0 1
+.names n3043gat n1960gat
+0 1
+.names n2138gat n1887gat
+0 1
+.names n1960gat n1959gat n1957gat n2996gat
+1-- 1
+-1- 1
+--1 1
+.names n2996gat n1961gat
+0 1
+.names n2437gat n1961gat n2330gat
+00 1
+.names n2330gat II3504
+0 1
+.names n2988gat n1855gat n2147gat
+00 1
+.names n2147gat n2199gat
+0 1
+.names n2438gat II3509
+0 1
+.names II3509 n3045gat
+0 1
+.names n3045gat n2332gat
+0 1
+.names n2439gat II3513
+0 1
+.names II3513 n3046gat
+0 1
+.names n3046gat n2259gat
+0 1
+.names n2332gat n2259gat n3008gat
+1- 1
+-1 1
+.names n3008gat n2328gat
+0 1
+.names n2199gat n2328gat n2498gat
+00 1
+.names n2498gat II3520
+0 1
+.names n2393gat n2439gat n2193gat
+00 1
+.names n2193gat n2151gat
+0 1
+.names n2211gat n2210gat n3005gat
+1- 1
+-1 1
+.names n3005gat n2209gat
+0 1
+.names n2199gat n2209gat n2396gat
+00 1
+.names n2396gat II3530
+0 1
+.names n2393gat n2052gat
+0 1
+.names n2053gat n2052gat n1964gat n2997gat
+1-- 1
+-1- 1
+--1 1
+.names n2997gat n2058gat
+0 1
+.names n2199gat n2058gat n2198gat
+00 1
+.names n2198gat II3539
+0 1
+.names n2346gat n2151gat n2402gat n2215gat
+000 1
+.names n2215gat n2349gat
+0 1
+.names n2350gat n2282gat n3009gat
+1- 1
+-1 1
+.names n3009gat n2281gat
+0 1
+.names n2199gat n2281gat n2197gat
+00 1
+.names n2197gat II3549
+0 1
+.names n2213gat n2150gat n2149gat n3002gat
+1-- 1
+-1- 1
+--1 1
+.names n3002gat n2146gat
+0 1
+.names n2199gat n2146gat n2196gat
+00 1
+.names n2196gat II3558
+0 1
+.names n2125gat II3587
+0 1
+.names II3587 n2124gat
+0 1
+.names n2117gat n2115gat
+0 1
+.names n2124gat n2115gat n2239gat n1882gat
+000 1
+.names n1882gat II3610
+0 1
+.names n1975gat II3621
+0 1
+.names II3621 n1974gat
+0 1
+.names n1956gat n1955gat
+0 1
+.names n2995gat n1895gat n1896gat
+00 1
+.names n1896gat n1970gat
+0 1
+.names n1975gat n1973gat
+0 1
+.names n2999gat n2437gat n2559gat
+00 1
+.names n2559gat n2558gat
+0 1
+.names n2558gat II3635
+0 1
+.names n2644gat II3646
+0 1
+.names II3646 n2643gat
+0 1
+.names n2438gat n2333gat
+0 1
+.names n3011gat n2215gat n2352gat
+00 1
+.names n2352gat n2564gat
+0 1
+.names n2644gat n2642gat
+0 1
+.names n3015gat n2199gat n2637gat
+00 1
+.names n2637gat n2636gat
+0 1
+.names n2636gat II3660
+0 1
+.names n296gat n17gat n294gat n84gat
+000 1
+.names n84gat n88gat
+0 1
+.names n182gat n89gat n110gat
+00 1
+.names n110gat n375gat
+0 1
+.names n156gat II3677
+0 1
+.names II3677 n155gat
+0 1
+.names n3024gat n1615gat n1702gat
+00 1
+.names n1702gat n253gat
+0 1
+.names n152gat n150gat
+0 1
+.names n152gat II3691
+0 1
+.names II3691 n151gat
+0 1
+.names n1702gat n243gat
+0 1
+.names n243gat n233gat
+0 1
+.names n156gat n154gat
+0 1
+.names n141gat n38gat n37gat n2874gat
+1-- 1
+-1- 1
+--1 1
+.names n2874gat n800gat
+0 1
+.names n1074gat n872gat n2917gat
+1- 1
+-1 1
+.names n2917gat II3703
+0 1
+.names n234gat n137gat n2878gat
+1- 1
+-1 1
+.names n2878gat n235gat
+0 1
+.names n378gat n377gat n2892gat
+1- 1
+-1 1
+.names n2892gat II3713
+0 1
+.names n182gat n78gat n212gat
+00 1
+.names n212gat n372gat
+0 1
+.names n331gat n329gat
+0 1
+.names n388gat II3736
+0 1
+.names II3736 n387gat
+0 1
+.names n1701gat n3023gat n1700gat
+00 1
+.names n1700gat n334gat
+0 1
+.names n388gat n386gat
+0 1
+.names n331gat II3742
+0 1
+.names II3742 n330gat
+0 1
+.names n1700gat n1430gat
+0 1
+.names n1430gat n1490gat
+0 1
+.names n250gat n249gat n248gat n2885gat
+1-- 1
+-1- 1
+--1 1
+.names n2885gat n452gat
+0 1
+.names n869gat n453gat n448gat n2900gat
+1-- 1
+-1- 1
+--1 1
+.names n2900gat II3754
+0 1
+.names n251gat n244gat n2883gat
+1- 1
+-1 1
+.names n2883gat n333gat
+0 1
+.names n974gat n973gat n870gat n2929gat
+1-- 1
+-1- 1
+--1 1
+.names n2929gat II3765
+0 1
+.names n463gat II3777
+0 1
+.names II3777 n462gat
+0 1
+.names n327gat n325gat
+0 1
+.names n246gat n245gat n2884gat
+1- 1
+-1 1
+.names n2884gat n457gat
+0 1
+.names n463gat n461gat
+0 1
+.names n460gat n459gat n2902gat
+1- 1
+-1 1
+.names n2902gat n458gat
+0 1
+.names n975gat n972gat n969gat n2925gat
+1-- 1
+-1- 1
+--1 1
+.names n2925gat II3801
+0 1
+.names n334gat n387gat n330gat n247gat
+000 1
+.names n247gat n144gat
+0 1
+.names n327gat II3808
+0 1
+.names II3808 n326gat
+0 1
+.names n145gat n143gat n2879gat
+1- 1
+-1 1
+.names n2879gat n878gat
+0 1
+.names n971gat n970gat n968gat n2916gat
+1-- 1
+-1- 1
+--1 1
+.names n2916gat II3817
+0 1
+.names n384gat n382gat
+0 1
+.names n384gat II3831
+0 1
+.names II3831 n383gat
+0 1
+.names n142gat n40gat n39gat n2875gat
+1-- 1
+-1- 1
+--1 1
+.names n2875gat n134gat
+0 1
+.names n772gat n451gat n446gat n2899gat
+1-- 1
+-1- 1
+--1 1
+.names n2899gat II3841
+0 1
+.names n256gat n254gat
+0 1
+.names n139gat n136gat n2877gat
+1- 1
+-1 1
+.names n2877gat n252gat
+0 1
+.names n470gat n468gat
+0 1
+.names n470gat II3867
+0 1
+.names II3867 n469gat
+0 1
+.names n391gat n390gat n2893gat
+1- 1
+-1 1
+.names n2893gat n381gat
+0 1
+.names n1083gat n1077gat n2926gat
+1- 1
+-1 1
+.names n2926gat II3876
+0 1
+.names n151gat n253gat n155gat n140gat
+000 1
+.names n140gat n241gat
+0 1
+.names n256gat II3882
+0 1
+.names II3882 n255gat
+0 1
+.names n242gat n240gat n2882gat
+1- 1
+-1 1
+.names n2882gat n802gat
+0 1
+.names n871gat n797gat n2924gat
+1- 1
+-1 1
+.names n2924gat II3891
+0 1
+.names n148gat n146gat
+0 1
+.names n148gat II3904
+0 1
+.names II3904 n147gat
+0 1
+.names n324gat n238gat n237gat n2881gat
+1-- 1
+-1- 1
+--1 1
+.names n2881gat n380gat
+0 1
+.names n1082gat n796gat n2923gat
+1- 1
+-1 1
+.names n2923gat II3914
+0 1
+.names n85gat n180gat n68gat
+00 1
+.names n68gat n69gat
+0 1
+.names n2048gat n1885gat
+0 1
+.names n69gat n1885gat n2710gat
+1- 1
+-1 1
+.names n2710gat II3923
+0 1
+.names II3923 n2707gat
+0 1
+.names n564gat n16gat
+0 1
+.names n2726gat n2860gat n357gat
+00 1
+.names n357gat n295gat
+0 1
+.names n186gat n82gat n12gat
+00 1
+.names n12gat n11gat
+0 1
+.names n1961gat n1889gat
+0 1
+.names n11gat n1889gat n2704gat
+1- 1
+-1 1
+.names n2704gat II3935
+0 1
+.names II3935 n2700gat
+0 1
+.names n2056gat n2051gat
+0 1
+.names n1599gat n2051gat n2684gat
+1- 1
+-1 1
+.names n2684gat II3941
+0 1
+.names II3941 n2680gat
+0 1
+.names n1831gat n1350gat
+0 1
+.names n1350gat II3945
+0 1
+.names II3945 n2696gat
+0 1
+.names n2696gat II3948
+0 1
+.names II3948 n2692gat
+0 1
+.names n2448gat II3951
+0 1
+.names II3951 n2683gat
+0 1
+.names n2683gat II3954
+0 1
+.names II3954 n2679gat
+0 1
+.names n2450gat II3957
+0 1
+.names II3957 n2449gat
+0 1
+.names n2449gat n1754gat
+0 1
+.names n2444gat n1754gat n2830gat
+1- 1
+-1 1
+.names n2830gat II3962
+0 1
+.names II3962 n2827gat
+0 1
+.names n2514gat n2512gat
+0 1
+.names n1625gat n1544gat
+0 1
+.names n1771gat n1769gat
+0 1
+.names n2512gat n1769gat n1773gat n1756gat
+000 1
+.names n1756gat n1683gat
+0 1
+.names n2169gat n2167gat
+0 1
+.names n2108gat n2093gat n2035gat II3999 II4000
+1--- 1
+-1-- 1
+--1- 1
+---1 1
+.names II4000 n2013gat
+0 1
+.names n2013gat n1791gat
+0 1
+.names n1586gat n1791gat n2695gat
+1- 1
+-1 1
+.names n2695gat n2691gat
+0 1
+.names n1694gat n1518gat
+0 1
+.names n1755gat n1518gat n2703gat
+1- 1
+-1 1
+.names n2703gat n2699gat
+0 1
+.names n1412gat n2159gat
+0 1
+.names n2579gat n2478gat
+0 1
+.names n2159gat n2478gat n2744gat
+1- 1
+-1 1
+.names n2744gat II4014
+0 1
+.names II4014 n2740gat
+0 1
+.names n1412gat n2158gat
+0 1
+.names n2613gat n2186gat
+0 1
+.names n2158gat n2186gat n2800gat
+1- 1
+-1 1
+.names n2800gat II4020
+0 1
+.names II4020 n2797gat
+0 1
+.names n2353gat n2284gat II4023 II4024
+1-- 1
+-1- 1
+--1 1
+.names II4024 n2288gat
+0 1
+.names n2288gat n1513gat
+0 1
+.names n2620gat n2625gat n2488gat n2538gat
+000 1
+.names n2538gat n2537gat
+0 1
+.names n2537gat n2482gat n2486gat n2483gat
+000 1
+.names n2483gat n2442gat
+0 1
+.names n1336gat n1334gat
+0 1
+.names n1748gat II4055
+0 1
+.names II4055 n1747gat
+0 1
+.names n1675gat II4067
+0 1
+.names II4067 n1674gat
+0 1
+.names n1858gat n1393gat n1604gat n1402gat
+000 1
+.names n1402gat n1403gat
+0 1
+.names n1807gat II4081
+0 1
+.names II4081 n1806gat
+0 1
+.names n1712gat n1634gat
+0 1
+.names n1340gat n1338gat
+0 1
+.names n1456gat II4105
+0 1
+.names II4105 n1455gat
+0 1
+.names n1340gat II4108
+0 1
+.names II4108 n1339gat
+0 1
+.names n1470gat n1400gat n1399gat n1398gat n2980gat
+1--- 1
+-1-- 1
+--1- 1
+---1 1
+.names n2980gat n1505gat
+0 1
+.names n1505gat II4117
+0 1
+.names II4117 n2758gat
+0 1
+.names n2758gat n2755gat
+0 1
+.names n2980gat n1546gat
+0 1
+.names n1546gat II4122
+0 1
+.names II4122 n2752gat
+0 1
+.names n2752gat n2748gat
+0 1
+.names n2019gat n1878gat n2016gat
+00 1
+.names n2016gat n2012gat
+0 1
+.names n2012gat n1774gat n2008gat
+00 1
+.names n2008gat n2002gat
+0 1
+.names n3097gat II4129
+0 1
+.names II4129 n2858gat
+0 1
+.names n2858gat n2857gat
+0 1
+.names n3098gat II4135
+0 1
+.names II4135 n2766gat
+0 1
+.names n2766gat II4138
+0 1
+.names II4138 n2765gat
+0 1
+.names n1818gat n1935gat n2765gat n1759gat
+000 1
+.names n1759gat n1684gat
+0 1
+.names n1788gat n1784gat II4144 II4145
+1-- 1
+-1- 1
+--1 1
+.names n1525gat II4157
+0 1
+.names II4157 n1524gat
+0 1
+.names n1991gat n2283gat n1989gat n1863gat
+000 1
+.names n1863gat n1862gat
+0 1
+.names n1988gat n2216gat n1862gat n1860gat
+000 1
+.names n1860gat n1919gat
+0 1
+.names n1462gat n1460gat
+0 1
+.names n1596gat II4185
+0 1
+.names II4185 n1595gat
+0 1
+.names n1858gat n1608gat n1469gat
+00 1
+.names n1469gat n1454gat
+0 1
+.names n1584gat n1339gat n1600gat n1519gat
+000 1
+.names n1519gat n1468gat
+0 1
+.names n1462gat II4194
+0 1
+.names II4194 n1461gat
+0 1
+.names n1467gat n1466gat n2984gat
+1- 1
+-1 1
+.names n2984gat n1477gat
+0 1
+.names n1596gat n1594gat
+0 1
+.names n1588gat II4212
+0 1
+.names II4212 n1587gat
+0 1
+.names n1392gat n2989gat II4216 II4217
+1-- 1
+-1- 1
+--1 1
+.names II4217 n1681gat
+0 1
+.names n2985gat n1602gat n1681gat n1761gat
+000 1
+.names n1761gat II4222
+0 1
+.names II4222 n2751gat
+0 1
+.names n2751gat n2747gat
+0 1
+.names n1681gat n1602gat n2985gat n1760gat
+000 1
+.names n1760gat II4227
+0 1
+.names II4227 n2743gat
+0 1
+.names n2743gat n2739gat
+0 1
+.names n2286gat n1978gat
+0 1
+.names n2442gat n1690gat n1978gat n1721gat
+000 1
+.names n1721gat II4233
+0 1
+.names II4233 n2808gat
+0 1
+.names n2808gat II4236
+0 1
+.names II4236 n2804gat
+0 1
+.names n520gat n519gat n518gat
+00 1
+.names n518gat n517gat
+0 1
+.names n374gat n2723gat n418gat
+00 1
+.names n418gat n417gat
+0 1
+.names n374gat n2726gat n411gat
+00 1
+.names n411gat n413gat
+0 1
+.names n374gat n2859gat n522gat
+00 1
+.names n522gat n412gat
+0 1
+.names n374gat n2715gat n516gat
+00 1
+.names n516gat n406gat
+0 1
+.names n517gat n410gat n354gat n355gat
+000 1
+.names n355gat n407gat
+0 1
+.names n526gat n531gat n530gat n525gat
+000 1
+.names n525gat n290gat
+0 1
+.names n2726gat n740gat n356gat
+00 1
+.names n356gat n527gat
+0 1
+.names n2723gat n740gat n415gat
+00 1
+.names n415gat n416gat
+0 1
+.names n740gat n2715gat n521gat
+00 1
+.names n521gat n528gat
+0 1
+.names n527gat n416gat n528gat n532gat
+000 1
+.names n532gat n358gat
+0 1
+.names n522gat n356gat n523gat
+00 1
+.names n523gat n639gat
+0 1
+.names n639gat n634gat n414gat n635gat
+000 1
+.names n635gat n1111gat
+0 1
+.names n411gat n415gat n414gat
+00 1
+.names n414gat n524gat
+0 1
+.names n634gat n523gat n524gat n630gat
+000 1
+.names n630gat n1112gat
+0 1
+.names n414gat n634gat n523gat n629gat
+000 1
+.names n629gat n741gat
+0 1
+.names n418gat n521gat n634gat
+00 1
+.names n634gat n633gat
+0 1
+.names n414gat n523gat n633gat n632gat
+000 1
+.names n632gat n926gat
+0 1
+.names n414gat n633gat n639gat n636gat
+000 1
+.names n636gat n670gat
+0 1
+.names n632gat n1123gat
+0 1
+.names n635gat n1007gat
+0 1
+.names n630gat n1006gat
+0 1
+.names n1003gat n902gat n2941gat
+1- 1
+-1 1
+.names n2941gat II4309
+0 1
+.names II4309 n2814gat
+0 1
+.names n2814gat II4312
+0 1
+.names II4312 n2811gat
+0 1
+.names n1099gat n998gat n995gat n980gat n2946gat
+1--- 1
+-1-- 1
+--1- 1
+---1 1
+.names n2946gat n1002gat
+0 1
+.names n1001gat n999gat n2950gat
+1- 1
+-1 1
+.names n2950gat II4329
+0 1
+.names II4329 n2813gat
+0 1
+.names n2813gat II4332
+0 1
+.names II4332 n2810gat
+0 1
+.names n981gat n890gat n889gat n886gat n2933gat
+1--- 1
+-1-- 1
+--1- 1
+---1 1
+.names n2933gat n888gat
+0 1
+.names n892gat n891gat n2935gat
+1- 1
+-1 1
+.names n2935gat II4349
+0 1
+.names II4349 n2818gat
+0 1
+.names n2818gat II4352
+0 1
+.names II4352 n2816gat
+0 1
+.names n1152gat n1092gat n997gat n993gat n2940gat
+1--- 1
+-1-- 1
+--1- 1
+---1 1
+.names n2940gat n898gat
+0 1
+.names n900gat n895gat n2937gat
+1- 1
+-1 1
+.names n2937gat II4369
+0 1
+.names II4369 n2817gat
+0 1
+.names n2817gat II4372
+0 1
+.names II4372 n2815gat
+0 1
+.names n1094gat n1093gat n988gat n984gat n2947gat
+1--- 1
+-1-- 1
+--1- 1
+---1 1
+.names n2947gat n1179gat
+0 1
+.names n1178gat n1116gat n2956gat
+1- 1
+-1 1
+.names n2956gat II4389
+0 1
+.names II4389 n2824gat
+0 1
+.names n2824gat II4392
+0 1
+.names II4392 n2821gat
+0 1
+.names n1091gat n1088gat n992gat n987gat n2939gat
+1--- 1
+-1-- 1
+--1- 1
+---1 1
+.names n2939gat n897gat
+0 1
+.names n899gat n896gat n2938gat
+1- 1
+-1 1
+.names n2938gat II4409
+0 1
+.names II4409 n2823gat
+0 1
+.names n2823gat II4412
+0 1
+.names II4412 n2820gat
+0 1
+.names n1098gat n1090gat n986gat n885gat n2932gat
+1--- 1
+-1-- 1
+--1- 1
+---1 1
+.names n2932gat n894gat
+0 1
+.names n901gat n893gat n2936gat
+1- 1
+-1 1
+.names n2936gat II4429
+0 1
+.names II4429 n2829gat
+0 1
+.names n2829gat II4432
+0 1
+.names II4432 n2826gat
+0 1
+.names n1097gat n1089gat n1087gat n991gat n2948gat
+1--- 1
+-1-- 1
+--1- 1
+---1 1
+.names n2948gat n1180gat
+0 1
+.names n1177gat n1115gat n2955gat
+1- 1
+-1 1
+.names n2955gat II4449
+0 1
+.names II4449 n2828gat
+0 1
+.names n2828gat II4452
+0 1
+.names II4452 n2825gat
+0 1
+.names n673gat n671gat
+0 1
+.names n523gat n633gat n524gat n631gat
+000 1
+.names n631gat n628gat
+0 1
+.names n628gat n976gat
+0 1
+.names n1004gat n1000gat n2951gat
+1- 1
+-1 1
+.names n2951gat II4475
+0 1
+.names II4475 n2807gat
+0 1
+.names n2807gat II4478
+0 1
+.names II4478 n2803gat
+0 1
+.names n2389gat n2127gat
+0 1
+.names n2127gat II4482
+0 1
+.names II4482 n2682gat
+0 1
+.names n2682gat II4485
+0 1
+.names II4485 n2678gat
+0 1
+.names n2269gat n2046gat
+0 1
+.names n2046gat II4489
+0 1
+.names II4489 n2681gat
+0 1
+.names n2681gat II4492
+0 1
+.names II4492 n2677gat
+0 1
+.names n2338gat n1708gat
+0 1
+.names n1708gat II4496
+0 1
+.names II4496 n2688gat
+0 1
+.names n2688gat II4499
+0 1
+.names II4499 n2686gat
+0 1
+.names n290gat n292gat n291gat
+00 1
+.names n291gat n455gat
+0 1
+.names n2646gat n2237gat
+0 1
+.names n1029gat n2237gat n2764gat
+1- 1
+-1 1
+.names n2764gat II4506
+0 1
+.names II4506 n2763gat
+0 1
+.names n2971gat n1782gat
+0 1
+.names n1028gat n1782gat n2762gat
+1- 1
+-1 1
+.names n2762gat II4512
+0 1
+.names II4512 n2760gat
+0 1
+.names n3010gat n2325gat
+0 1
+.names n1031gat n2325gat n2761gat
+1- 1
+-1 1
+.names n2761gat II4518
+0 1
+.names II4518 n2759gat
+0 1
+.names n504gat n2245gat
+0 1
+.names n1030gat n2245gat n2757gat
+1- 1
+-1 1
+.names n2757gat II4524
+0 1
+.names II4524 n2754gat
+0 1
+.names n567gat n2244gat
+0 1
+.names n1011gat n2244gat n2756gat
+1- 1
+-1 1
+.names n2756gat II4530
+0 1
+.names II4530 n2753gat
+0 1
+.names n55gat n2243gat
+0 1
+.names n1181gat n2243gat n2750gat
+1- 1
+-1 1
+.names n2750gat II4536
+0 1
+.names II4536 n2746gat
+0 1
+.names n933gat n2246gat
+0 1
+.names n1010gat n2246gat n2749gat
+1- 1
+-1 1
+.names n2749gat II4542
+0 1
+.names II4542 n2745gat
+0 1
+.names n43gat n2384gat
+0 1
+.names n1005gat n2384gat n2742gat
+1- 1
+-1 1
+.names n2742gat II4548
+0 1
+.names II4548 n2738gat
+0 1
+.names n748gat n2385gat
+0 1
+.names n1182gat n2385gat n2741gat
+1- 1
+-1 1
+.names n2741gat II4554
+0 1
+.names II4554 n2737gat
+0 1
+.names n1269gat n1286gat
+0 1
+.names n1286gat II4558
+0 1
+.names II4558 n2687gat
+0 1
+.names n2687gat n2685gat
+0 1
+.names n1224gat n1328gat
+0 1
+.names n1328gat n1381gat
+0 1
+.names n2184gat n1384gat
+0 1
+.names n1381gat n1384gat n2694gat
+1- 1
+-1 1
+.names n2694gat II4566
+0 1
+.names II4566 n2690gat
+0 1
+.names n1280gat n1382gat
+0 1
+.names n1382gat n1451gat
+0 1
+.names n2187gat n1453gat
+0 1
+.names n1451gat n1453gat n2693gat
+1- 1
+-1 1
+.names n2693gat II4573
+0 1
+.names II4573 n2689gat
+0 1
+.names n1133gat n927gat
+0 1
+.names n927gat n925gat
+0 1
+.names n2049gat n1452gat
+0 1
+.names n925gat n1452gat n2702gat
+1- 1
+-1 1
+.names n2702gat II4580
+0 1
+.names II4580 n2698gat
+0 1
+.names n1043gat n923gat
+0 1
+.names n923gat n921gat
+0 1
+.names n2328gat n1890gat
+0 1
+.names n921gat n1890gat n2701gat
+1- 1
+-1 1
+.names n2701gat II4587
+0 1
+.names II4587 n2697gat
+0 1
+.names n929gat n850gat
+0 1
+.names n850gat n739gat
+0 1
+.names n2058gat n1841gat
+0 1
+.names n739gat n1841gat n2709gat
+1- 1
+-1 1
+.names n2709gat II4594
+0 1
+.names II4594 n2706gat
+0 1
+.names n1119gat n922gat
+0 1
+.names n922gat n848gat
+0 1
+.names n2209gat n2047gat
+0 1
+.names n848gat n2047gat n2708gat
+1- 1
+-1 1
+.names n2708gat II4601
+0 1
+.names II4601 n2705gat
+0 1
+.names n1070gat n924gat
+0 1
+.names n924gat n849gat
+0 1
+.names n2146gat n2050gat
+0 1
+.names n849gat n2050gat n2799gat
+1- 1
+-1 1
+.names n2799gat II4608
+0 1
+.names II4608 n2796gat
+0 1
+.names n1033gat n1118gat
+0 1
+.names n1118gat n1032gat
+0 1
+.names n2281gat n2054gat
+0 1
+.names n1032gat n2054gat n2798gat
+1- 1
+-1 1
+.names n2798gat II4615
+0 1
+.names II4615 n2795gat
+0 1
+.names n1869gat n1757gat n1745gat
+00 1
+.names n1745gat II4620
+0 1
+.names II4620 n2806gat
+0 1
+.names n2806gat II4623
+0 1
+.names II4623 n2802gat
+0 1
+.names n1871gat II4626
+0 1
+.names II4626 n1870gat
+0 1
+.names n1870gat n1086gat
+0 1
+.names n1086gat II4630
+0 1
+.names II4630 n2805gat
+0 1
+.names n2805gat II4633
+0 1
+.names II4633 n2801gat
+0 1
+.names n17gat n294gat n637gat n85gat
+000 1
+.names n85gat n67gat
+0 1
+.names n286gat n188gat n287gat n180gat
+000 1
+.names n180gat n71gat
+0 1
+.names n1892gat n1840gat
+0 1
+.names n73gat n70gat n1840gat n2812gat
+1-- 1
+-1- 1
+--1 1
+.names n2812gat II4642
+0 1
+.names II4642 n2809gat
+0 1
+.names n16gat n295gat n637gat n82gat
+000 1
+.names n82gat n76gat
+0 1
+.names n189gat n287gat n288gat n186gat
+000 1
+.names n186gat n14gat
+0 1
+.names n1711gat n1842gat
+0 1
+.names n77gat n13gat n1842gat n2822gat
+1-- 1
+-1- 1
+--1 1
+.names n2822gat II4651
+0 1
+.names II4651 n2819gat
+0 1
+.names n2819gat II4654
+0 1
+.names n2809gat II4657
+0 1
+.names n2801gat II4660
+0 1
+.names n2802gat II4663
+0 1
+.names n2795gat II4666
+0 1
+.names n2796gat II4669
+0 1
+.names n2705gat II4672
+0 1
+.names n2706gat II4675
+0 1
+.names n2697gat II4678
+0 1
+.names n2698gat II4681
+0 1
+.names n2689gat II4684
+0 1
+.names n2690gat II4687
+0 1
+.names n2685gat II4690
+0 1
+.names n2737gat II4693
+0 1
+.names n2738gat II4696
+0 1
+.names n2745gat II4699
+0 1
+.names n2746gat II4702
+0 1
+.names n2753gat II4705
+0 1
+.names n2754gat II4708
+0 1
+.names n2759gat II4711
+0 1
+.names n2760gat II4714
+0 1
+.names n2763gat II4717
+0 1
+.names n2686gat II4720
+0 1
+.names n2677gat II4723
+0 1
+.names n2678gat II4726
+0 1
+.names n2803gat II4729
+0 1
+.names n2825gat II4732
+0 1
+.names n2826gat II4735
+0 1
+.names n2820gat II4738
+0 1
+.names n2821gat II4741
+0 1
+.names n2815gat II4744
+0 1
+.names n2816gat II4747
+0 1
+.names n2810gat II4750
+0 1
+.names n2811gat II4753
+0 1
+.names n2804gat II4756
+0 1
+.names n2739gat II4759
+0 1
+.names n2747gat II4762
+0 1
+.names n2748gat II4765
+0 1
+.names n2755gat II4768
+0 1
+.names n2797gat II4771
+0 1
+.names n2740gat II4774
+0 1
+.names n2699gat II4777
+0 1
+.names n2691gat II4780
+0 1
+.names n2827gat II4783
+0 1
+.names n2679gat II4786
+0 1
+.names n2692gat II4789
+0 1
+.names n2680gat II4792
+0 1
+.names n2700gat II4795
+0 1
+.names n2707gat II4798
+0 1
+.names n373gat n2669gat n648gat
+00 1
+.names n2844gat n856gat n442gat
+00 1
+.names n1218gat n1219gat n1220gat n1214gat
+000 1
+.names n1218gat n1221gat n1222gat n1215gat
+000 1
+.names n1223gat n1219gat n1222gat n1216gat
+000 1
+.names n1223gat n1221gat n1220gat n1217gat
+000 1
+.names n2716gat n2867gat n745gat
+00 1
+.names n2715gat n2868gat n638gat
+00 1
+.names n2724gat n2726gat n423gat
+00 1
+.names n2723gat n2727gat n362gat
+00 1
+.names n753gat n754gat n755gat n749gat
+000 1
+.names n753gat n756gat n757gat n750gat
+000 1
+.names n758gat n754gat n757gat n751gat
+000 1
+.names n758gat n756gat n755gat n752gat
+000 1
+.names n263gat n264gat n265gat n259gat
+000 1
+.names n263gat n266gat n267gat n260gat
+000 1
+.names n268gat n264gat n267gat n261gat
+000 1
+.names n268gat n266gat n265gat n262gat
+000 1
+.names n1018gat n1019gat n1020gat n1014gat
+000 1
+.names n1018gat n1021gat n1022gat n1015gat
+000 1
+.names n1023gat n1019gat n1022gat n1016gat
+000 1
+.names n1023gat n1021gat n1020gat n1017gat
+000 1
+.names n480gat n481gat n482gat n476gat
+000 1
+.names n480gat n483gat n484gat n477gat
+000 1
+.names n485gat n481gat n484gat n478gat
+000 1
+.names n485gat n483gat n482gat n479gat
+000 1
+.names n48gat n49gat n50gat n44gat
+000 1
+.names n48gat n51gat n52gat n45gat
+000 1
+.names n53gat n49gat n52gat n46gat
+000 1
+.names n53gat n51gat n50gat n47gat
+000 1
+.names n172gat n173gat n174gat n168gat
+000 1
+.names n172gat n175gat n176gat n169gat
+000 1
+.names n177gat n173gat n176gat n170gat
+000 1
+.names n177gat n175gat n174gat n171gat
+000 1
+.names n911gat n912gat n913gat n907gat
+000 1
+.names n911gat n914gat n915gat n908gat
+000 1
+.names n916gat n912gat n915gat n909gat
+000 1
+.names n916gat n914gat n913gat n910gat
+000 1
+.names n348gat n349gat n350gat n344gat
+000 1
+.names n348gat n351gat n352gat n345gat
+000 1
+.names n353gat n349gat n352gat n346gat
+000 1
+.names n353gat n351gat n350gat n347gat
+000 1
+.names n60gat n61gat n62gat n56gat
+000 1
+.names n60gat n63gat n64gat n57gat
+000 1
+.names n65gat n61gat n64gat n58gat
+000 1
+.names n65gat n63gat n62gat n59gat
+000 1
+.names n373gat n2731gat n768gat
+00 1
+.names n856gat n2718gat n655gat
+00 1
+.names n856gat n2838gat n963gat
+00 1
+.names n2775gat n373gat n868gat
+00 1
+.names n856gat n2711gat n962gat
+00 1
+.names n373gat n2734gat n959gat
+00 1
+.names n949gat n950gat n951gat n945gat
+000 1
+.names n949gat n952gat n953gat n946gat
+000 1
+.names n954gat n950gat n953gat n947gat
+000 1
+.names n954gat n952gat n951gat n948gat
+000 1
+.names n2792gat n373gat n647gat
+00 1
+.names n856gat n2846gat n441gat
+00 1
+.names n373gat n2672gat n967gat
+00 1
+.names n2852gat n856gat n792gat
+00 1
+.names n1233gat n1234gat n1235gat n1229gat
+000 1
+.names n1233gat n1236gat n1237gat n1230gat
+000 1
+.names n1238gat n1234gat n1237gat n1231gat
+000 1
+.names n1238gat n1236gat n1235gat n1232gat
+000 1
+.names n2778gat n373gat n443gat
+00 1
+.names n856gat n2836gat n439gat
+00 1
+.names n2789gat n373gat n966gat
+00 1
+.names n856gat n2840gat n790gat
+00 1
+.names n373gat n2781gat n444gat
+00 1
+.names n856gat n2842gat n440gat
+00 1
+.names n1055gat n1056gat n1057gat n1051gat
+000 1
+.names n1055gat n1058gat n1059gat n1052gat
+000 1
+.names n1060gat n1056gat n1059gat n1053gat
+000 1
+.names n1060gat n1058gat n1057gat n1054gat
+000 1
+.names n938gat n939gat n940gat n934gat
+000 1
+.names n938gat n941gat n942gat n935gat
+000 1
+.names n943gat n939gat n942gat n936gat
+000 1
+.names n943gat n941gat n940gat n937gat
+000 1
+.names n714gat n715gat n716gat n710gat
+000 1
+.names n714gat n717gat n718gat n711gat
+000 1
+.names n719gat n715gat n718gat n712gat
+000 1
+.names n719gat n717gat n716gat n713gat
+000 1
+.names n733gat n734gat n735gat n729gat
+000 1
+.names n733gat n736gat n737gat n730gat
+000 1
+.names n738gat n734gat n737gat n731gat
+000 1
+.names n738gat n736gat n735gat n732gat
+000 1
+.names n498gat n499gat n500gat n494gat
+000 1
+.names n498gat n501gat n502gat n495gat
+000 1
+.names n503gat n499gat n502gat n496gat
+000 1
+.names n503gat n501gat n500gat n497gat
+000 1
+.names n509gat n510gat n511gat n505gat
+000 1
+.names n509gat n512gat n513gat n506gat
+000 1
+.names n514gat n510gat n513gat n507gat
+000 1
+.names n514gat n512gat n511gat n508gat
+000 1
+.names n2860gat n2855gat n2863gat II1277
+1-- 1
+-1- 1
+--1 1
+.names n219gat n2731gat n767gat
+00 1
+.names n2718gat n111gat n653gat
+00 1
+.names n219gat n2775gat n867gat
+00 1
+.names n2838gat n111gat n771gat
+00 1
+.names n111gat n2711gat n964gat
+00 1
+.names n219gat n2734gat n961gat
+00 1
+.names n808gat n809gat n810gat n804gat
+000 1
+.names n808gat n811gat n812gat n805gat
+000 1
+.names n813gat n809gat n812gat n806gat
+000 1
+.names n813gat n811gat n810gat n807gat
+000 1
+.names n591gat n592gat n593gat n587gat
+000 1
+.names n591gat n594gat n595gat n588gat
+000 1
+.names n596gat n592gat n595gat n589gat
+000 1
+.names n596gat n594gat n593gat n590gat
+000 1
+.names n2836gat n111gat n447gat
+00 1
+.names n2778gat n219gat n445gat
+00 1
+.names n691gat n692gat n693gat n687gat
+000 1
+.names n691gat n694gat n695gat n688gat
+000 1
+.names n696gat n692gat n695gat n689gat
+000 1
+.names n696gat n694gat n693gat n690gat
+000 1
+.names n572gat n573gat n574gat n568gat
+000 1
+.names n572gat n575gat n576gat n569gat
+000 1
+.names n577gat n573gat n576gat n570gat
+000 1
+.names n577gat n575gat n574gat n571gat
+000 1
+.names n2474gat n2524gat n2831gat II1515
+1-- 1
+-1- 1
+--1 1
+.names n2353gat n2284gat n2354gat II1584
+1-- 1
+-1- 1
+--1 1
+.names n1879gat n1762gat n1692gat
+00 1
+.names n2354gat n2353gat n2214gat II1723
+1-- 1
+-1- 1
+--1 1
+.names n2433gat n2427gat n2428gat
+00 1
+.names n2286gat n2428gat n2289gat II1733
+1-- 1
+-1- 1
+--1 1
+.names n93gat n2731gat n769gat
+00 1
+.names n93gat n2775gat n1076gat
+00 1
+.names n93gat n2734gat n766gat
+00 1
+.names n1189gat n1190gat n1191gat n1185gat
+000 1
+.names n1189gat n1192gat n1193gat n1186gat
+000 1
+.names n1194gat n1190gat n1193gat n1187gat
+000 1
+.names n1194gat n1192gat n1191gat n1188gat
+000 1
+.names n2792gat n93gat n645gat
+00 1
+.names n93gat n2669gat n646gat
+00 1
+.names n1280gat n1225gat n1383gat
+00 1
+.names n1281gat n1224gat n1327gat
+00 1
+.names n93gat n2778gat n651gat
+00 1
+.names n2789gat n93gat n652gat
+00 1
+.names n2781gat n93gat n765gat
+00 1
+.names n1206gat n1207gat n1208gat n1202gat
+000 1
+.names n1206gat n1209gat n1210gat n1203gat
+000 1
+.names n1211gat n1207gat n1210gat n1204gat
+000 1
+.names n1211gat n1209gat n1208gat n1205gat
+000 1
+.names n1274gat n1275gat n1276gat n1270gat
+000 1
+.names n1274gat n1277gat n1278gat n1271gat
+000 1
+.names n1279gat n1275gat n1278gat n1272gat
+000 1
+.names n1279gat n1277gat n1276gat n1273gat
+000 1
+.names n2672gat n93gat n763gat
+00 1
+.names n1284gat n1195gat n1287gat
+00 1
+.names n1196gat n1269gat n1285gat
+00 1
+.names n2852gat n851gat n793gat
+00 1
+.names n2672gat n852gat n556gat
+00 1
+.names n2731gat n852gat n795gat
+00 1
+.names n851gat n2718gat n656gat
+00 1
+.names n852gat n2775gat n794gat
+00 1
+.names n851gat n2838gat n773gat
+00 1
+.names n2711gat n851gat n965gat
+00 1
+.names n2734gat n852gat n960gat
+00 1
+.names n784gat n785gat n786gat n780gat
+000 1
+.names n784gat n787gat n788gat n781gat
+000 1
+.names n789gat n785gat n788gat n782gat
+000 1
+.names n789gat n787gat n786gat n783gat
+000 1
+.names n852gat n2792gat n555gat
+00 1
+.names n851gat n2846gat n450gat
+00 1
+.names n851gat n2844gat n654gat
+00 1
+.names n2669gat n852gat n557gat
+00 1
+.names n559gat n365gat n874gat
+00 1
+.names n560gat n364gat n132gat
+00 1
+.names n2778gat n852gat n649gat
+00 1
+.names n2836gat n851gat n449gat
+00 1
+.names n851gat n2840gat n791gat
+00 1
+.names n852gat n2789gat n650gat
+00 1
+.names n2842gat n851gat n774gat
+00 1
+.names n852gat n2781gat n764gat
+00 1
+.names n226gat n227gat n228gat n222gat
+000 1
+.names n226gat n229gat n230gat n223gat
+000 1
+.names n231gat n227gat n230gat n224gat
+000 1
+.names n231gat n229gat n228gat n225gat
+000 1
+.names n125gat n126gat n127gat n121gat
+000 1
+.names n125gat n128gat n129gat n122gat
+000 1
+.names n130gat n126gat n129gat n123gat
+000 1
+.names n130gat n128gat n127gat n124gat
+000 1
+.names n666gat n120gat n2460gat
+00 1
+.names n665gat n1601gat n2423gat
+00 1
+.names n2573gat n2574gat n2575gat n2569gat
+000 1
+.names n2573gat n2576gat n2577gat n2570gat
+000 1
+.names n2578gat n2574gat n2577gat n2571gat
+000 1
+.names n2578gat n2576gat n2575gat n2572gat
+000 1
+.names n2414gat n2415gat n2416gat n2410gat
+000 1
+.names n2414gat n2417gat n2418gat n2411gat
+000 1
+.names n2419gat n2415gat n2418gat n2412gat
+000 1
+.names n2419gat n2417gat n2416gat n2413gat
+000 1
+.names n2582gat n2583gat n2580gat
+00 1
+.names n2583gat n2585gat n2581gat
+00 1
+.names n2493gat n2388gat n2567gat
+00 1
+.names n2389gat n2494gat n2499gat
+00 1
+.names n2268gat n2338gat n299gat
+00 1
+.names n2337gat n2269gat n207gat
+00 1
+.names n2649gat n2650gat n2647gat
+00 1
+.names n2650gat n2652gat n2648gat
+00 1
+.names n2606gat n2607gat n2608gat n2602gat
+000 1
+.names n2606gat n2609gat n2610gat n2603gat
+000 1
+.names n2611gat n2607gat n2610gat n2604gat
+000 1
+.names n2611gat n2609gat n2608gat n2605gat
+000 1
+.names n2550gat n2551gat n2552gat n2546gat
+000 1
+.names n2550gat n2553gat n2554gat n2547gat
+000 1
+.names n2555gat n2551gat n2554gat n2548gat
+000 1
+.names n2555gat n2553gat n2552gat n2549gat
+000 1
+.names n2616gat n2617gat n2614gat
+00 1
+.names n2617gat n2619gat n2615gat
+00 1
+.names n120gat n2666gat n2461gat
+00 1
+.names n1601gat n1704gat n2421gat
+00 1
+.names n1414gat n566gat n1153gat
+00 1
+.names n1301gat n1150gat n1151gat
+00 1
+.names n873gat n1478gat n982gat
+00 1
+.names n875gat n876gat n877gat
+00 1
+.names n1153gat n1151gat n982gat n877gat n2930gat
+1--- 1
+-1-- 1
+--1- 1
+---1 1
+.names n1160gat n1084gat n1159gat
+00 1
+.names n983gat n1157gat n1158gat
+00 1
+.names n985gat n1307gat n1156gat
+00 1
+.names n1085gat n1348gat n1155gat
+00 1
+.names n1159gat n1158gat n1156gat n1155gat n2957gat
+1--- 1
+-1-- 1
+--1- 1
+---1 1
+.names n1442gat n706gat n1443gat
+00 1
+.names n1444gat n164gat n1325gat
+00 1
+.names n1442gat n837gat n1321gat
+00 1
+.names n1444gat n278gat n1320gat
+00 1
+.names n1442gat n613gat n1368gat
+00 1
+.names n274gat n1444gat n1258gat
+00 1
+.names n833gat n1442gat n1373gat
+00 1
+.names n282gat n1444gat n1372gat
+00 1
+.names n1437gat n1378gat n1441gat
+00 1
+.names n1322gat n1439gat n1440gat
+00 1
+.names n1370gat n1369gat n1371gat
+00 1
+.names n1366gat n1374gat n1367gat
+00 1
+.names n1441gat n1440gat n1371gat n1367gat n2978gat
+1--- 1
+-1-- 1
+--1- 1
+---1 1
+.names n1450gat n1498gat n1504gat
+00 1
+.names n1607gat n1449gat n1502gat
+00 1
+.names n1504gat n1502gat n2982gat
+1- 1
+-1 1
+.names n1603gat n815gat n1250gat
+00 1
+.names n956gat n1590gat n1103gat
+00 1
+.names n1590gat n1067gat n1304gat
+00 1
+.names n679gat n1603gat n1249gat
+00 1
+.names n864gat n1590gat n1246gat
+00 1
+.names n583gat n1603gat n1161gat
+00 1
+.names n1603gat n579gat n1291gat
+00 1
+.names n1590gat n860gat n1245gat
+00 1
+.names n1248gat n1418gat n1352gat
+00 1
+.names n1306gat n1353gat n1351gat
+00 1
+.names n1247gat n1355gat n1303gat
+00 1
+.names n1300gat n1487gat n1302gat
+00 1
+.names n1352gat n1351gat n1303gat n1302gat n2973gat
+1--- 1
+-1-- 1
+--1- 1
+---1 1
+.names n882gat n1603gat n1163gat
+00 1
+.names n1297gat n1590gat n1102gat
+00 1
+.names n1590gat n1293gat n1101gat
+00 1
+.names n1603gat n823gat n996gat
+00 1
+.names n1079gat n1590gat n1104gat
+00 1
+.names n1603gat n683gat n887gat
+00 1
+.names n1147gat n1590gat n1305gat
+00 1
+.names n698gat n1603gat n1162gat
+00 1
+.names n1164gat n1356gat n1360gat
+00 1
+.names n1436gat n1106gat n1359gat
+00 1
+.names n1425gat n1105gat n1358gat
+00 1
+.names n1424gat n1309gat n1357gat
+00 1
+.names n1360gat n1359gat n1358gat n1357gat n2977gat
+1--- 1
+-1-- 1
+--1- 1
+---1 1
+.names n1788gat n1786gat n1839gat II2720
+1-- 1
+-1- 1
+--1 1
+.names n1788gat n1884gat n1633gat II2735
+1-- 1
+-1- 1
+--1 1
+.names n1705gat n3028gat n1703gat
+00 1
+.names n3026gat n1779gat n1778gat
+00 1
+.names n1703gat n1704gat n1778gat II2812
+1-- 1
+-1- 1
+--1 1
+.names n1503gat n3025gat n1609gat
+00 1
+.names n1839gat n1786gat n1788gat II2831
+1-- 1
+-1- 1
+--1 1
+.names n1784gat n1633gat n1884gat II2889
+1-- 1
+-1- 1
+--1 1
+.names n1784gat n1785gat n1633gat II2925
+1-- 1
+-1- 1
+--1 1
+.names n1784gat n1839gat n1788gat II2934
+1-- 1
+-1- 1
+--1 1
+.names n1673gat n1572gat n1733gat
+00 1
+.names n1858gat n1580gat n1581gat
+00 1
+.names n2078gat n2178gat n1990gat n2128gat n2079gat
+0000 1
+.names n2078gat n1990gat n2181gat n2073gat
+000 1
+.names n1719gat n1673gat n1444gat n1574gat
+000 1
+.names n1444gat n1858gat n1635gat n1573gat
+000 1
+.names n1659gat n1722gat n1724gat n1723gat
+000 1
+.names n1656gat n1659gat n1554gat n1647gat
+000 1
+.names n1569gat n1659gat n1566gat n1646gat
+000 1
+.names n1723gat n1647gat n1646gat n2992gat
+1-- 1
+-1- 1
+--1 1
+.names n1727gat n1659gat n1640gat n1650gat
+000 1
+.names n1560gat n1659gat n1730gat n1649gat
+000 1
+.names n1561gat n1562gat n1659gat n1563gat
+000 1
+.names n1650gat n1649gat n1563gat n2986gat
+1-- 1
+-1- 1
+--1 1
+.names n1671gat n1659gat n1654gat
+00 1
+.names n1651gat n1652gat n1659gat n1653gat
+000 1
+.names n1643gat n1648gat n1659gat n1644gat
+000 1
+.names n1654gat n1653gat n1644gat n2991gat
+1-- 1
+-1- 1
+--1 1
+.names n1839gat n1884gat n1784gat II3148
+1-- 1
+-1- 1
+--1 1
+.names n1838gat n1785gat n1788gat II3178
+1-- 1
+-1- 1
+--1 1
+.names n1869gat n672gat n2591gat n1413gat
+000 1
+.names n1507gat n1396gat n1393gat n1408gat
+000 1
+.names n1393gat n1409gat n1677gat n1407gat
+000 1
+.names n1413gat n1408gat n1407gat n2981gat
+1-- 1
+-1- 1
+--1 1
+.names n2260gat n2189gat n2258gat
+00 1
+.names n2261gat n2188gat n2255gat
+00 1
+.names n2133gat n2131gat n2132gat
+00 1
+.names n2134gat n2185gat n2130gat
+00 1
+.names n2248gat n2264gat n2250gat
+00 1
+.names n2265gat n3006gat n2249gat
+00 1
+.names n2250gat n2249gat n3007gat
+1- 1
+-1 1
+.names n1709gat n1629gat n1710gat
+00 1
+.names n1895gat n1631gat n1630gat
+00 1
+.names n1968gat n1891gat n1969gat n1894gat
+000 1
+.names n1958gat n1845gat n1847gat
+00 1
+.names n1845gat n1893gat n1846gat
+00 1
+.names n1891gat n1958gat n2055gat
+00 1
+.names n1893gat n1968gat n1967gat
+00 1
+.names n1956gat n1963gat n1959gat
+00 1
+.names n1886gat n1887gat n1957gat
+00 1
+.names n2193gat n2402gat n2211gat
+00 1
+.names n2401gat n2151gat n2210gat
+00 1
+.names n2393gat n2438gat n2053gat
+00 1
+.names n2392gat n2439gat n1964gat
+00 1
+.names n2405gat n2349gat n2350gat
+00 1
+.names n2406gat n2215gat n2282gat
+00 1
+.names n2402gat n2151gat n2345gat n2213gat
+000 1
+.names n2401gat n2346gat n2150gat
+00 1
+.names n2193gat n2346gat n2149gat
+00 1
+.names n1963gat n1893gat n1962gat
+00 1
+.names n1962gat n1955gat n2995gat
+1- 1
+-1 1
+.names n1974gat n1970gat n1972gat
+00 1
+.names n1896gat n1973gat n1971gat
+00 1
+.names n1972gat n1971gat n2999gat
+1- 1
+-1 1
+.names n2393gat n2401gat n2331gat
+00 1
+.names n2333gat n2331gat n3011gat
+1- 1
+-1 1
+.names n2643gat n2564gat n2566gat
+00 1
+.names n2352gat n2642gat n2565gat
+00 1
+.names n2566gat n2565gat n3015gat
+1- 1
+-1 1
+.names n155gat n253gat n150gat n141gat
+000 1
+.names n151gat n233gat n38gat
+00 1
+.names n151gat n154gat n37gat
+00 1
+.names n2775gat n110gat n1074gat
+00 1
+.names n375gat n800gat n872gat
+00 1
+.names n155gat n233gat n234gat
+00 1
+.names n154gat n253gat n137gat
+00 1
+.names n375gat n235gat n378gat
+00 1
+.names n110gat n2778gat n377gat
+00 1
+.names n329gat n387gat n334gat n250gat
+000 1
+.names n386gat n330gat n249gat
+00 1
+.names n330gat n1490gat n248gat
+00 1
+.names n219gat n2792gat n869gat
+00 1
+.names n372gat n452gat n453gat
+00 1
+.names n111gat n2846gat n448gat
+00 1
+.names n1490gat n387gat n251gat
+00 1
+.names n334gat n386gat n244gat
+00 1
+.names n2844gat n111gat n974gat
+00 1
+.names n372gat n333gat n973gat
+00 1
+.names n2669gat n219gat n870gat
+00 1
+.names n330gat n325gat n334gat n246gat
+000 1
+.names n386gat n334gat n245gat
+00 1
+.names n462gat n2884gat n460gat
+00 1
+.names n457gat n461gat n459gat
+00 1
+.names n111gat n2852gat n975gat
+00 1
+.names n372gat n458gat n972gat
+00 1
+.names n219gat n2672gat n969gat
+00 1
+.names n144gat n325gat n145gat
+00 1
+.names n326gat n247gat n143gat
+00 1
+.names n111gat n2840gat n971gat
+00 1
+.names n372gat n878gat n970gat
+00 1
+.names n2789gat n219gat n968gat
+00 1
+.names n382gat n326gat n144gat n142gat
+000 1
+.names n325gat n383gat n40gat
+00 1
+.names n383gat n247gat n39gat
+00 1
+.names n111gat n2842gat n772gat
+00 1
+.names n134gat n372gat n451gat
+00 1
+.names n219gat n2781gat n446gat
+00 1
+.names n253gat n151gat n254gat n139gat
+000 1
+.names n253gat n154gat n136gat
+00 1
+.names n252gat n468gat n391gat
+00 1
+.names n469gat n2877gat n390gat
+00 1
+.names n381gat n375gat n1083gat
+00 1
+.names n110gat n2672gat n1077gat
+00 1
+.names n254gat n241gat n242gat
+00 1
+.names n255gat n140gat n240gat
+00 1
+.names n802gat n375gat n871gat
+00 1
+.names n110gat n2734gat n797gat
+00 1
+.names n255gat n146gat n241gat n324gat
+000 1
+.names n147gat n254gat n238gat
+00 1
+.names n140gat n147gat n237gat
+00 1
+.names n375gat n380gat n1082gat
+00 1
+.names n2731gat n110gat n796gat
+00 1
+.names n1691gat n336gat n1599gat
+00 1
+.names n2167gat n2031gat n2174gat II3999
+1-- 1
+-1- 1
+--1 1
+.names n1869gat n1683gat n1586gat
+00 1
+.names n1769gat n1773gat n2512gat n1755gat
+000 1
+.names n2443gat n2290gat n2214gat II4023
+1-- 1
+-1- 1
+--1 1
+.names n1472gat n1747gat n1470gat
+00 1
+.names n1674gat n1403gat n1400gat
+00 1
+.names n1806gat n1338gat n1584gat n1399gat
+000 1
+.names n1455gat n1397gat n1398gat
+00 1
+.names n1633gat n1838gat n1786gat II4144
+1-- 1
+-1- 1
+--1 1
+.names n2289gat n1468gat n1467gat
+00 1
+.names n1392gat n1461gat n1396gat n1466gat
+000 1
+.names n1774gat n1869gat n1684gat n1686gat
+000 1
+.names n1524gat n1403gat n1533gat
+00 1
+.names n1677gat n1458gat n1532gat
+00 1
+.names n1507gat n1477gat n1531gat
+00 1
+.names n1686gat n1533gat n1532gat n1531gat n2985gat
+1--- 1
+-1-- 1
+--1- 1
+---1 1
+.names n1427gat n1595gat n1677gat II4216
+1-- 1
+-1- 1
+--1 1
+.names n1297gat n1111gat n1100gat
+00 1
+.names n1112gat n882gat n994gat
+00 1
+.names n721gat n741gat n989gat
+00 1
+.names n926gat n566gat n880gat
+00 1
+.names n1100gat n994gat n989gat n880gat n2931gat
+1--- 1
+-1-- 1
+--1- 1
+---1 1
+.names n1007gat n918gat n1012gat
+00 1
+.names n625gat n1006gat n905gat
+00 1
+.names n1012gat n905gat n2943gat
+1- 1
+-1 1
+.names n420gat n879gat n1003gat
+00 1
+.names n1009gat n419gat n902gat
+00 1
+.names n1111gat n1293gat n1099gat
+00 1
+.names n725gat n741gat n998gat
+00 1
+.names n823gat n1112gat n995gat
+00 1
+.names n875gat n926gat n980gat
+00 1
+.names n621gat n1006gat n1175gat
+00 1
+.names n845gat n1007gat n1174gat
+00 1
+.names n1175gat n1174gat n2960gat
+1- 1
+-1 1
+.names n420gat n1002gat n1001gat
+00 1
+.names n419gat n1171gat n999gat
+00 1
+.names n1007gat n401gat n1323gat
+00 1
+.names n1006gat n617gat n1264gat
+00 1
+.names n1323gat n1264gat n2969gat
+1- 1
+-1 1
+.names n926gat n873gat n981gat
+00 1
+.names n741gat n702gat n890gat
+00 1
+.names n1111gat n1079gat n889gat
+00 1
+.names n683gat n1112gat n886gat
+00 1
+.names n419gat n1265gat n892gat
+00 1
+.names n420gat n888gat n891gat
+00 1
+.names n1006gat n490gat n904gat
+00 1
+.names n1007gat n397gat n903gat
+00 1
+.names n904gat n903gat n2942gat
+1- 1
+-1 1
+.names n926gat n1150gat n1152gat
+00 1
+.names n1147gat n1111gat n1092gat
+00 1
+.names n741gat n393gat n997gat
+00 1
+.names n1112gat n698gat n993gat
+00 1
+.names n419gat n1008gat n900gat
+00 1
+.names n420gat n898gat n895gat
+00 1
+.names n1112gat n583gat n1094gat
+00 1
+.names n1111gat n864gat n1093gat
+00 1
+.names n340gat n741gat n988gat
+00 1
+.names n926gat n983gat n984gat
+00 1
+.names n613gat n1006gat n1267gat
+00 1
+.names n1007gat n274gat n1257gat
+00 1
+.names n1267gat n1257gat n2965gat
+1- 1
+-1 1
+.names n420gat n1179gat n1178gat
+00 1
+.names n419gat n1266gat n1116gat
+00 1
+.names n1006gat n706gat n1375gat
+00 1
+.names n164gat n1007gat n1324gat
+00 1
+.names n1375gat n1324gat n2961gat
+1- 1
+-1 1
+.names n1111gat n956gat n1091gat
+00 1
+.names n1085gat n926gat n1088gat
+00 1
+.names n815gat n1112gat n992gat
+00 1
+.names n741gat n159gat n987gat
+00 1
+.names n419gat n1172gat n899gat
+00 1
+.names n897gat n420gat n896gat
+00 1
+.names n837gat n1006gat n1262gat
+00 1
+.names n1007gat n278gat n1260gat
+00 1
+.names n1262gat n1260gat n2967gat
+1- 1
+-1 1
+.names n336gat n741gat n1098gat
+00 1
+.names n1111gat n860gat n1090gat
+00 1
+.names n985gat n926gat n986gat
+00 1
+.names n579gat n1112gat n885gat
+00 1
+.names n419gat n1259gat n901gat
+00 1
+.names n894gat n420gat n893gat
+00 1
+.names n270gat n741gat n1097gat
+00 1
+.names n1067gat n1111gat n1089gat
+00 1
+.names n926gat n1084gat n1087gat
+00 1
+.names n1112gat n679gat n991gat
+00 1
+.names n1007gat n282gat n1326gat
+00 1
+.names n833gat n1006gat n1261gat
+00 1
+.names n1326gat n1261gat n2968gat
+1- 1
+-1 1
+.names n1180gat n420gat n1177gat
+00 1
+.names n1263gat n419gat n1115gat
+00 1
+.names n670gat n671gat n977gat
+00 1
+.names n977gat n976gat n2944gat
+1- 1
+-1 1
+.names n819gat n1112gat n1096gat
+00 1
+.names n1240gat n1111gat n1095gat
+00 1
+.names n841gat n741gat n990gat
+00 1
+.names n1601gat n926gat n979gat
+00 1
+.names n1096gat n1095gat n990gat n979gat n2945gat
+1--- 1
+-1-- 1
+--1- 1
+---1 1
+.names n829gat n1006gat n1176gat
+00 1
+.names n1007gat n1025gat n1173gat
+00 1
+.names n1176gat n1173gat n2962gat
+1- 1
+-1 1
+.names n978gat n420gat n1004gat
+00 1
+.names n419gat n1252gat n1000gat
+00 1
+.names n978gat n455gat n1029gat
+00 1
+.names n455gat n879gat n1028gat
+00 1
+.names n1002gat n455gat n1031gat
+00 1
+.names n455gat n888gat n1030gat
+00 1
+.names n455gat n898gat n1011gat
+00 1
+.names n455gat n1179gat n1181gat
+00 1
+.names n897gat n455gat n1010gat
+00 1
+.names n894gat n455gat n1005gat
+00 1
+.names n1180gat n455gat n1182gat
+00 1
+.names n67gat n2784gat n73gat
+00 1
+.names n71gat n2720gat n70gat
+00 1
+.names n76gat n2784gat n77gat
+00 1
+.names n2720gat n14gat n13gat
+00 1
+.names n1816gat n1828gat n1935gat
+00 1
+.names n194gat n297gat n197gat
+00 1
+.names n92gat n21gat n22gat
+00 1
+.names n197gat n22gat n93gat
+00 1
+.names n2850gat n3019gat n2239gat
+00 1
+.names n2432gat n2154gat n2433gat
+00 1
+.names n2426gat n2153gat n2427gat
+00 1
+.names n2582gat n2585gat n2583gat
+00 1
+.names n2649gat n2652gat n2650gat
+00 1
+.names n2616gat n2619gat n2617gat
+00 1
+.names n1592gat n2422gat n1598gat
+00 1
+.names n1598gat n2930gat n2957gat n1154gat
+000 1
+.names n1154gat n1608gat n1411gat
+00 1
+.names n1609gat n1427gat n1498gat
+00 1
+.names n2082gat n1609gat n1607gat
+00 1
+.names n2978gat n2982gat n2973gat n2977gat n1428gat
+0000 1
+.names n1673gat n1719gat n1794gat
+00 1
+.names n1858gat n1635gat n1796gat
+00 1
+.names n1794gat n1796gat n1792gat
+00 1
+.names n1428gat n1387gat n1406gat
+00 1
+.names n2850gat n3018gat n2664gat
+00 1
+.names n1925gat n1635gat n1926gat
+00 1
+.names n1917gat n1859gat n1916gat
+00 1
+.names n1719gat n1922gat n1994gat
+00 1
+.names n1743gat n1923gat n1924gat
+00 1
+.names n1311gat n1773gat n1758gat
+00 1
+.names n199gat n92gat n200gat
+00 1
+.names n297gat n195gat n196gat
+00 1
+.names n2016gat n2097gat n2018gat
+00 1
+.names n88gat n2784gat n89gat
+00 1
+.names n1334gat n1858gat n1604gat n1471gat
+000 1
+.names n1476gat n1471gat n1469gat n1472gat
+000 1
+.names n1685gat n1427gat n1600gat
+00 1
+.names n1519gat n1401gat n1397gat
+00 1
+.names n2002gat n2857gat n2005gat
+00 1
+.names n1823gat n2005gat n1818gat
+00 1
+.names n1584gat n1460gat n1510gat
+00 1
+.names n1595gat n1454gat n1459gat
+00 1
+.names n1510gat n1459gat n1458gat
+00 1
+.names n1594gat n1587gat n2989gat n1602gat
+000 1
+.names n374gat n2862gat n520gat
+00 1
+.names n2854gat n374gat n519gat
+00 1
+.names n417gat n413gat n412gat n406gat n410gat
+0000 1
+.names n411gat n522gat n354gat
+00 1
+.names n516gat n407gat n408gat
+00 1
+.names n2859gat n740gat n526gat
+00 1
+.names n740gat n2854gat n531gat
+00 1
+.names n2862gat n740gat n530gat
+00 1
+.names n290gat n358gat n359gat
+00 1
+.names n408gat n359gat n420gat
+00 1
+.names n672gat n670gat n801gat
+00 1
+.names n2931gat n801gat n879gat
+00 1
+.names n1123gat n1225gat n1255gat
+00 1
+.names n1255gat n2943gat n1009gat
+00 1
+.names n406gat n407gat n409gat
+00 1
+.names n415gat n356gat n292gat
+00 1
+.names n409gat n291gat n419gat
+00 1
+.names n1281gat n1123gat n1243gat
+00 1
+.names n2960gat n1243gat n1171gat
+00 1
+.names n1123gat n1134gat n1244gat
+00 1
+.names n1244gat n2969gat n1265gat
+00 1
+.names n1123gat n1044gat n1254gat
+00 1
+.names n2942gat n1254gat n1008gat
+00 1
+.names n930gat n1123gat n1253gat
+00 1
+.names n2965gat n1253gat n1266gat
+00 1
+.names n1120gat n1123gat n1200gat
+00 1
+.names n2961gat n1200gat n1172gat
+00 1
+.names n1123gat n1071gat n1251gat
+00 1
+.names n2967gat n1251gat n1259gat
+00 1
+.names n1123gat n1034gat n1212gat
+00 1
+.names n1212gat n2968gat n1263gat
+00 1
+.names n2944gat n2945gat n978gat
+00 1
+.names n1123gat n1284gat n1199gat
+00 1
+.names n1199gat n2962gat n1252gat
+00 1
+.names n1773gat n1769gat n1757gat
+00 1
+.end
diff --git a/abc70930/examples/s6669.blif b/abc70930/examples/s6669.blif
new file mode 100644
index 00000000..dca3a58a
--- /dev/null
+++ b/abc70930/examples/s6669.blif
@@ -0,0 +1,6413 @@
+# Benchmark "s6669" written by ABC on Sun Aug 14 10:43:19 2005
+.model s6669
+.inputs I2 I3 I4 I5 I6 I7 I8 I9 I10 I11 I12 I13 I14 I15 I16 I17 I18 I19 I20 \
+ I21 I22 I23 I24 I25 I26 I27 I28 I29 I30 I31 I32 I33 I34 I35 I36 I37 I38 \
+ I39 I40 I41 I42 I43 I44 I45 I46 I47 I48 I49 I50 I51 I52 I53 I54 I55 I56 \
+ I57 I58 I59 I60 I61 I62 I63 I64 I65 I66 I67 I68 I69 I70 I71 I72 I73 I74 \
+ I75 I76 I77 I78 I79 I80 I81 I82 I83 I84
+.outputs I829 I821 I823 I820 I824 I826 I822 I827 I819 I825 I831 I833 I830 \
+ I834 I818 I832 I828 I93 I88 I96 I94 I98 I90 I91 I87 I89 I92 I97 I99 I100 \
+ I95 I85 I86 I837 I839 I835 I840 I838 I851 I847 I846 I849 I842 I844 I850 \
+ I836 I848 I845 I843 I841 I914 I913 I967 I966 I968
+
+.latch I55 NODE1 0
+.latch I68 NODE3 0
+.latch I14 NODE5 0
+.latch I116 NODE7 0
+.latch I829 NODE9 0
+.latch I1397 NODE11 0
+.latch I1258 NODE13 0
+.latch I10 NODE15 0
+.latch I983 NODE17 0
+.latch I191 NODE19 0
+.latch I1660 NODE21 0
+.latch I1264 NODE23 0
+.latch I109 NODE25 0
+.latch I1007 NODE27 0
+.latch I821 NODE29 0
+.latch I867 NODE31 0
+.latch I1676 NODE33 0
+.latch I1073 NODE35 0
+.latch I823 NODE37 0
+.latch I1657 NODE39 0
+.latch I933 NODE41 0
+.latch I857 NODE43 0
+.latch I53 NODE45 0
+.latch I820 NODE47 0
+.latch I1375 NODE49 0
+.latch I1350 NODE51 0
+.latch I1033 NODE53 0
+.latch I101 NODE55 0
+.latch I972 NODE57 0
+.latch I63 NODE59 0
+.latch I3 NODE61 0
+.latch I976 NODE63 0
+.latch I335 NODE65 0
+.latch I1638 NODE67 0
+.latch I863 NODE69 0
+.latch I11 NODE71 0
+.latch I113 NODE73 0
+.latch I1124 NODE75 0
+.latch I1366 NODE77 0
+.latch I824 NODE79 0
+.latch I5 NODE81 0
+.latch I978 NODE83 0
+.latch I853 NODE85 0
+.latch I2 NODE87 0
+.latch I975 NODE89 0
+.latch I1549 NODE91 0
+.latch I64 NODE93 0
+.latch I1621 NODE95 0
+.latch I76 NODE97 0
+.latch I17 NODE99 0
+.latch I970 NODE101 0
+.latch I6 NODE103 0
+.latch I979 NODE105 0
+.latch I187 NODE107 0
+.latch I1252 NODE109 0
+.latch I1442 NODE111 0
+.latch I868 NODE113 0
+.latch I189 NODE115 0
+.latch I1372 NODE117 0
+.latch I959 NODE119 0
+.latch I1472 NODE121 0
+.latch I1329 NODE123 0
+.latch I186 NODE125 0
+.latch I1164 NODE127 0
+.latch I860 NODE129 0
+.latch I858 NODE131 0
+.latch I243 NODE133 0
+.latch I72 NODE135 0
+.latch I1387 NODE137 0
+.latch I984 NODE139 0
+.latch I1635 NODE141 0
+.latch I190 NODE143 0
+.latch I1449 NODE145 0
+.latch I866 NODE147 0
+.latch I246 NODE149 0
+.latch I1585 NODE151 0
+.latch I1024 NODE153 0
+.latch I856 NODE155 0
+.latch I1367 NODE157 0
+.latch I1514 NODE159 0
+.latch I248 NODE161 0
+.latch I1100 NODE163 0
+.latch I365 NODE165 0
+.latch I245 NODE167 0
+.latch I826 NODE169 0
+.latch I77 NODE171 0
+.latch I1606 NODE173 0
+.latch I960 NODE175 0
+.latch I190 NODE177 0
+.latch I69 NODE179 0
+.latch I1453 NODE181 0
+.latch I1443 NODE183 0
+.latch I1505 NODE185 0
+.latch I66 NODE187 0
+.latch I249 NODE189 0
+.latch I1519 NODE191 0
+.latch I1155 NODE193 0
+.latch I1040 NODE195 0
+.latch I822 NODE197 0
+.latch I8 NODE199 0
+.latch I1642 NODE201 0
+.latch I981 NODE203 0
+.latch I75 NODE205 0
+.latch I59 NODE207 0
+.latch I62 NODE209 0
+.latch I1368 NODE211 0
+.latch I61 NODE213 0
+.latch I4 NODE215 0
+.latch I977 NODE217 0
+.latch I58 NODE219 0
+.latch I1601 NODE221 0
+.latch I192 NODE223 0
+.latch I1576 NODE225 0
+.latch I749 NODE227 0
+.latch I827 NODE229 0
+.latch I1328 NODE231 0
+.latch I112 NODE233 0
+.latch I748 NODE235 0
+.latch I1571 NODE237 0
+.latch I819 NODE239 0
+.latch I186 NODE241 0
+.latch I67 NODE243 0
+.latch I56 NODE245 0
+.latch I188 NODE247 0
+.latch I1336 NODE249 0
+.latch I1346 NODE251 0
+.latch I9 NODE253 0
+.latch I982 NODE255 0
+.latch I1425 NODE257 0
+.latch I1342 NODE259 0
+.latch I1175 NODE261 0
+.latch I1671 NODE263 0
+.latch I974 NODE265 0
+.latch I825 NODE267 0
+.latch I1099 NODE269 0
+.latch I105 NODE271 0
+.latch I494 NODE273 0
+.latch I1199 NODE275 0
+.latch I1247 NODE277 0
+.latch I107 NODE279 0
+.latch I1675 NODE281 0
+.latch I65 NODE283 0
+.latch I1056 NODE285 0
+.latch I104 NODE287 0
+.latch I831 NODE289 0
+.latch I7 NODE291 0
+.latch I980 NODE293 0
+.latch I193 NODE295 0
+.latch I1604 NODE297 0
+.latch I193 NODE299 0
+.latch I1672 NODE301 0
+.latch I833 NODE303 0
+.latch I102 NODE305 0
+.latch I973 NODE307 0
+.latch I247 NODE309 0
+.latch I1456 NODE311 0
+.latch I1029 NODE313 0
+.latch I1290 NODE315 0
+.latch I1246 NODE317 0
+.latch I1057 NODE319 0
+.latch I108 NODE321 0
+.latch I830 NODE323 0
+.latch I1268 NODE325 0
+.latch I764 NODE327 0
+.latch I1582 NODE329 0
+.latch I1248 NODE331 0
+.latch I192 NODE333 0
+.latch I1673 NODE335 0
+.latch I834 NODE337 0
+.latch I191 NODE339 0
+.latch I1508 NODE341 0
+.latch I1306 NODE343 0
+.latch I996 NODE345 0
+.latch I188 NODE347 0
+.latch I13 NODE349 0
+.latch I115 NODE351 0
+.latch I780 NODE353 0
+.latch I15 NODE355 0
+.latch I117 NODE357 0
+.latch I1289 NODE359 0
+.latch I818 NODE361 0
+.latch I244 NODE363 0
+.latch I1615 NODE365 0
+.latch I12 NODE367 0
+.latch I114 NODE369 0
+.latch I1674 NODE371 0
+.latch I901 NODE373 0
+.latch I859 NODE375 0
+.latch I1663 NODE377 0
+.latch I1374 NODE379 0
+.latch I60 NODE381 0
+.latch I16 NODE383 0
+.latch I969 NODE385 0
+.latch I1645 NODE387 0
+.latch I1411 NODE389 0
+.latch I1539 NODE391 0
+.latch I250 NODE393 0
+.latch I1200 NODE395 0
+.latch I862 NODE397 0
+.latch I1607 NODE399 0
+.latch I1662 NODE401 0
+.latch I852 NODE403 0
+.latch I864 NODE405 0
+.latch I1288 NODE407 0
+.latch I110 NODE409 0
+.latch I861 NODE411 0
+.latch I189 NODE413 0
+.latch I854 NODE415 0
+.latch I78 NODE417 0
+.latch I57 NODE419 0
+.latch I985 NODE421 0
+.latch I54 NODE423 0
+.latch I865 NODE425 0
+.latch I1410 NODE427 0
+.latch I1154 NODE429 0
+.latch I106 NODE431 0
+.latch I1330 NODE433 0
+.latch I1379 NODE435 0
+.latch I1170 NODE437 0
+.latch I855 NODE439 0
+.latch I1471 NODE441 0
+.latch I187 NODE443 0
+.latch I71 NODE445 0
+.latch I1386 NODE447 0
+.latch I832 NODE449 0
+.latch I73 NODE451 0
+.latch I1220 NODE453 0
+.latch I429 NODE455 0
+.latch I70 NODE457 0
+.latch I1504 NODE459 0
+.latch I18 NODE461 0
+.latch I971 NODE463 0
+.latch I1302 NODE465 0
+.latch I111 NODE467 0
+.latch I988 NODE469 0
+.latch I828 NODE471 0
+.latch I1023 NODE473 0
+.latch I103 NODE475 0
+.latch I74 NODE477 0
+
+.names NODE99 I116
+0 1
+.names I684 I685 I829
+00 0
+.names ZI361_563 ZI1389_563 I1397
+00 0
+.names ZI463_471 ZI1235_471 I1258
+00 0
+.names I744 I929 I983
+00 0
+.names NODE219 I191
+0 1
+.names ZI427_737 ZI1650_737 I1660
+00 0
+.names ZI236_477 ZI1245_477 I1264
+00 0
+.names NODE15 I109
+0 1
+.names ZI341_305 ZI986_305 I1007
+00 0
+.names I668 I669 I821
+00 0
+.names I654 I655 I657 I656 I867
+0000 0
+.names I1671 I511 I1676
+11 1
+.names ZI345_349 ZI1060_349 I1073
+00 0
+.names I672 I673 I823
+00 0
+.names ZI556_735 ZI1646_735 I1657
+00 0
+.names ZI339_263 ZI869_263 I933
+00 0
+.names I614 I615 I617 I616 I857
+0000 0
+.names I666 I667 I820
+00 0
+.names ZI242_549 ZI1365_549 I1375
+00 0
+.names ZI357_535 ZI1332_535 I1350
+00 0
+.names ZI439_323 ZI1017_323 I1033
+00 0
+.names NODE87 I101
+0 1
+.names I733 I918 I972
+00 0
+.names I737 I922 I976
+00 0
+.names ZI169_6 ZI135_6 I335
+00 0
+.names ZI425_723 ZI1625_723 I1638
+00 0
+.names I638 I639 I641 I640 I863
+0000 0
+.names NODE5 I113
+0 1
+.names ZI347_387 ZI1103_387 I1124
+00 0
+.names ZI241_541 ZI1345_541 I1366
+00 0
+.names I674 I675 I824
+00 0
+.names I739 I924 I978
+00 0
+.names I598 I599 I601 I600 I853
+0000 0
+.names I736 I921 I975
+00 0
+.names I1539 I511 I1549
+11 1
+.names I1615 I511 I1621
+11 1
+.names I731 I916 I970
+00 0
+.names I740 I925 I979
+00 0
+.names NODE423 I187
+0 1
+.names ZI399_465 ZI1223_465 I1252
+00 0
+.names I796 I1422 I1442
+00 0
+.names I658 I659 I661 I660 I868
+0000 0
+.names NODE245 I189
+0 1
+.names ZI407_545 ZI1354_545 I1372
+00 0
+.names ZI498_281 ZI900_281 I959
+00 0
+.names ZI542_613 ZI1455_613 I1472
+00 0
+.names I792 I1303 I1329
+00 0
+.names NODE45 I186
+0 1
+.names ZI397_409 ZI1137_409 I1164
+00 0
+.names I626 I627 I628 I629 I860
+0000 0
+.names I618 I619 I620 I621 I858
+0000 0
+.names NODE455 I243
+0 1
+.names ZI536_557 ZI1377_557 I1387
+00 0
+.names I745 I930 I984
+00 0
+.names ZI554_721 ZI1622_721 I1635
+00 0
+.names NODE419 I190
+0 1
+.names ZI413_597 ZI1433_597 I1449
+00 0
+.names I650 I651 I652 I653 I866
+0000 0
+.names NODE53 I246
+0 1
+.names I1571 I511 I1585
+11 1
+.names I785 I1004 I1024
+00 0
+.names I610 I611 I612 I613 I856
+0000 0
+.names I793 I1347 I1367
+00 0
+.names ZI479_643 ZI1496_643 I1514
+00 0
+.names NODE13 I248
+0 1
+.names I787 I1070 I1100
+00 0
+.names I203 I195 I365
+11 1
+.names NODE345 I245
+0 1
+.names I678 I679 I826
+00 0
+.names ZI487_701 ZI1597_701 I1606
+00 0
+.names I783 I902 I960
+00 0
+.names ZI477_601 ZI1441_601 I1453
+00 0
+.names ZI540_593 ZI1423_593 I1443
+00 0
+.names ZI544_635 ZI1483_635 I1505
+00 0
+.names NODE259 I249
+0 1
+.names I1505 I511 I1519
+11 1
+.names I788 I1121 I1155
+00 0
+.names ZI343_327 ZI1027_327 I1040
+00 0
+.names I670 I671 I822
+00 0
+.names ZI489_727 ZI1631_727 I1642
+00 0
+.names I742 I927 I981
+00 0
+.names ZI534_543 ZI1348_543 I1368
+00 0
+.names I738 I923 I977
+00 0
+.names ZI550_697 ZI1586_697 I1601
+00 0
+.names NODE207 I192
+0 1
+.names ZI421_683 ZI1556_683 I1576
+00 0
+.names ZI367_177 ZI366_177 I749
+00 0
+.names I680 I681 I827
+00 0
+.names ZI239_517 ZI1301_517 I1328
+00 0
+.names NODE349 I112
+0 1
+.names ZI334_175 ZI337_175 I748
+00 0
+.names ZI548_679 ZI1550_679 I1571
+00 0
+.names I664 I665 I819
+00 0
+.names NODE1 I188
+0 1
+.names ZI405_523 ZI1312_523 I1336
+00 0
+.names ZI240_533 ZI1327_533 I1346
+00 0
+.names I743 I928 I982
+00 0
+.names ZI363_583 ZI1413_583 I1425
+00 0
+.names ZI469_529 ZI1322_529 I1342
+00 0
+.names ZI349_417 ZI1158_417 I1175
+00 0
+.names ZI557_745 ZI1664_745 I1671
+00 0
+.names I735 I920 I974
+00 0
+.names I676 I677 I825
+00 0
+.names ZI504_367 ZI1069_367 I1099
+00 0
+.names NODE103 I105
+0 1
+.names ZI251_38 ZI227_38 I494
+00 0
+.names ZI508_431 ZI1171_431 I1199
+00 0
+.names I790 I1217 I1247
+00 0
+.names NODE199 I107
+0 1
+.names ZI492_749 ZI1670_749 I1675
+00 0
+.names ZI502_337 ZI1036_337 I1056
+00 0
+.names NODE81 I104
+0 1
+.names I688 I689 I831
+00 0
+.names I741 I926 I980
+00 0
+.names NODE381 I193
+0 1
+.names ZI423_699 ZI1590_699 I1604
+00 0
+.names I1651 I1666 I1672
+00 0
+.names I692 I693 I833
+00 0
+.names NODE61 I102
+0 1
+.names I734 I919 I973
+00 0
+.names NODE437 I247
+0 1
+.names ZI364_603 ZI1445_603 I1456
+00 0
+.names ZI375_319 ZI1010_319 I1029
+00 0
+.names ZI530_491 ZI1266_491 I1290
+00 0
+.names ZI235_461 ZI1216_461 I1246
+00 0
+.names I786 I1037 I1057
+00 0
+.names NODE253 I108
+0 1
+.names I686 I687 I830
+00 0
+.names ZI353_479 ZI1250_479 I1268
+00 0
+.names ZI431_185 ZI430_185 I764
+00 0
+.names ZI485_689 ZI1566_689 I1582
+00 0
+.names ZI528_463 ZI1218_463 I1248
+00 0
+.names ZI428_747 ZI1667_747 I1673
+00 0
+.names I694 I695 I834
+00 0
+.names ZI415_637 ZI1486_637 I1508
+00 0
+.names ZI355_503 ZI1292_503 I1306
+00 0
+.names ZI437_301 ZI948_301 I996
+00 0
+.names NODE383 I115
+0 1
+.names ZI493_193 ZI496_193 I780
+00 0
+.names NODE461 I117
+0 1
+.names I791 I1265 I1289
+00 0
+.names I662 I663 I818
+00 0
+.names NODE327 I244
+0 1
+.names ZI552_707 ZI1608_707 I1615
+00 0
+.names NODE355 I114
+0 1
+.names I1656 I1669 I1674
+00 0
+.names I781 I782 I901
+00 0
+.names I622 I623 I625 I624 I859
+0000 0
+.names I1657 I511 I1663
+11 1
+.names ZI471_547 ZI1361_547 I1374
+00 0
+.names I730 I915 I969
+00 0
+.names I1635 I511 I1645
+11 1
+.names ZI538_573 ZI1395_573 I1411
+00 0
+.names ZI546_657 ZI1520_657 I1539
+00 0
+.names NODE379 I250
+0 1
+.names I789 I1172 I1200
+00 0
+.names I634 I635 I637 I636 I862
+0000 0
+.names I1601 I511 I1607
+11 1
+.names ZI491_739 ZI1655_739 I1662
+00 0
+.names I594 I595 I596 I597 I852
+0000 0
+.names I642 I643 I644 I645 I864
+0000 0
+.names ZI237_489 ZI1263_489 I1288
+00 0
+.names NODE71 I110
+0 1
+.names I630 I631 I632 I633 I861
+0000 0
+.names I602 I603 I604 I605 I854
+0000 0
+.names I746 I931 I985
+00 0
+.names I646 I647 I649 I648 I865
+0000 0
+.names I795 I1394 I1410
+00 0
+.names ZI506_401 ZI1120_401 I1154
+00 0
+.names NODE291 I106
+0 1
+.names ZI532_519 ZI1304_519 I1330
+00 0
+.names ZI359_551 ZI1370_551 I1379
+00 0
+.names ZI461_415 ZI1151_415 I1170
+00 0
+.names I606 I607 I609 I608 I855
+0000 0
+.names I797 I1454 I1471
+00 0
+.names I794 I1376 I1386
+00 0
+.names I690 I691 I832
+00 0
+.names ZI351_447 ZI1203_447 I1220
+00 0
+.names I211 I219 I429
+11 1
+.names I798 I1482 I1504
+00 0
+.names I732 I917 I971
+00 0
+.names ZI238_501 ZI1287_501 I1302
+00 0
+.names NODE367 I111
+0 1
+.names ZI373_297 ZI936_297 I988
+00 0
+.names I682 I683 I828
+00 0
+.names ZI500_315 ZI1003_315 I1023
+00 0
+.names NODE215 I103
+0 1
+.names NODE313 I230
+0 1
+.names NODE353 I268
+0 1
+.names NODE409 I127
+0 1
+.names NODE407 I277
+0 1
+.names NODE447 I311
+0 1
+.names NODE95 I320
+0 1
+.names NODE133 I259
+0 1
+.names NODE181 I251
+0 1
+.names NODE41 I221
+0 1
+.names NODE289 I182
+0 1
+.names NODE275 I274
+0 1
+.names NODE117 I234
+0 1
+.names NODE317 I275
+0 1
+.names NODE457 I291
+0 1
+.names NODE319 I303
+0 1
+.names NODE63 I142
+0 1
+.names NODE155 I156
+0 1
+.names NODE185 I93
+0 1
+.names NODE267 I176
+0 1
+.names NODE301 I242
+0 1
+.names NODE329 I253
+0 1
+.names NODE69 I163
+0 1
+.names NODE361 I169
+0 1
+.names I81 ZI81_4
+0 1
+.names I81 I332
+0 1
+.names NODE115 I214
+0 1
+.names NODE477 I295
+0 1
+.names NODE25 I126
+0 1
+.names NODE211 I88
+0 1
+.names NODE261 I226
+0 1
+.names NODE17 I149
+0 1
+.names NODE445 I292
+0 1
+.names NODE67 I239
+0 1
+.names NODE221 I96
+0 1
+.names NODE391 I94
+0 1
+.names NODE467 I128
+0 1
+.names NODE209 I283
+0 1
+.names NODE153 I302
+0 1
+.names NODE343 I205
+0 1
+.names NODE59 I284
+0 1
+.names NODE55 I118
+0 1
+.names NODE109 I232
+0 1
+.names NODE425 I165
+0 1
+.names NODE357 I134
+0 1
+.names NODE169 I177
+0 1
+.names NODE217 I143
+0 1
+.names NODE393 I266
+0 1
+.names NODE403 I152
+0 1
+.names NODE187 I287
+0 1
+.names NODE151 I318
+0 1
+.names NODE157 I310
+0 1
+.names NODE149 I262
+0 1
+.names NODE269 I272
+0 1
+.names NODE431 I123
+0 1
+.names NODE247 I213
+0 1
+.names NODE89 I141
+0 1
+.names NODE385 I135
+0 1
+.names NODE265 I140
+0 1
+.names NODE141 I98
+0 1
+.names NODE119 I269
+0 1
+.names NODE27 I222
+0 1
+.names NODE107 I212
+0 1
+.names NODE293 I146
+0 1
+.names NODE435 I207
+0 1
+.names NODE287 I121
+0 1
+.names NODE101 I136
+0 1
+.names NODE47 I171
+0 1
+.names NODE229 I178
+0 1
+.names NODE205 I296
+0 1
+.names NODE333 I201
+0 1
+.names NODE405 I164
+0 1
+.names NODE57 I138
+0 1
+.names NODE299 I202
+0 1
+.names NODE129 I160
+0 1
+.names NODE279 I124
+0 1
+.names NODE281 I257
+0 1
+.names NODE21 I240
+0 1
+.names NODE23 I276
+0 1
+.names NODE375 I159
+0 1
+.names I82 I509
+0 1
+.names I82 ZI82_55
+0 1
+.names NODE83 I144
+0 1
+.names NODE395 I306
+0 1
+.names NODE173 I254
+0 1
+.names NODE325 I204
+0 1
+.names NODE167 I261
+0 1
+.names NODE193 I305
+0 1
+.names NODE305 I119
+0 1
+.names NODE371 I258
+0 1
+.names NODE125 I211
+0 1
+.names NODE135 I293
+0 1
+.names NODE35 I224
+0 1
+.names NODE159 I252
+0 1
+.names NODE347 I197
+0 1
+.names NODE213 I194
+0 1
+.names NODE427 I312
+0 1
+.names NODE463 I137
+0 1
+.names NODE389 I90
+0 1
+.names NODE131 I158
+0 1
+.names NODE323 I181
+0 1
+.names NODE111 I313
+0 1
+.names NODE363 I260
+0 1
+.names NODE7 I133
+0 1
+.names NODE453 I203
+0 1
+.names NODE29 I172
+0 1
+.names NODE443 I196
+0 1
+.names NODE183 I91
+0 1
+.names NODE257 I209
+0 1
+.names NODE201 I255
+0 1
+.names NODE139 I150
+0 1
+.names NODE73 I130
+0 1
+.names NODE307 I139
+0 1
+.names NODE113 I168
+0 1
+.names NODE123 I309
+0 1
+.names NODE429 I273
+0 1
+.names NODE33 I323
+0 1
+.names NODE433 I87
+0 1
+.names NODE283 I286
+0 1
+.names NODE65 I219
+0 1
+.names NODE251 I280
+0 1
+.names NODE195 I223
+0 1
+.names NODE225 I237
+0 1
+.names NODE339 I216
+0 1
+.names NODE145 I235
+0 1
+.names NODE75 I225
+0 1
+.names NODE189 I265
+0 1
+.names NODE49 I282
+0 1
+.names NODE165 I227
+0 1
+.names NODE223 I217
+0 1
+.names NODE417 I299
+0 1
+.names NODE191 I316
+0 1
+.names NODE321 I125
+0 1
+.names NODE469 I229
+0 1
+.names NODE163 I304
+0 1
+.names NODE277 I307
+0 1
+.names NODE441 I314
+0 1
+.names NODE411 I161
+0 1
+.names NODE137 I89
+0 1
+.names NODE271 I122
+0 1
+.names NODE121 I92
+0 1
+.names I79 I324
+0 1
+.names I79 ZI79_
+0 1
+.names NODE369 I131
+0 1
+.names NODE335 I241
+0 1
+.names NODE179 I290
+0 1
+.names NODE377 I322
+0 1
+.names NODE415 I154
+0 1
+.names NODE451 I294
+0 1
+.names NODE399 I319
+0 1
+.names NODE401 I256
+0 1
+.names NODE471 I179
+0 1
+.names NODE273 I267
+0 1
+.names NODE147 I166
+0 1
+.names NODE93 I285
+0 1
+.names NODE365 I97
+0 1
+.names NODE19 I200
+0 1
+.names NODE79 I175
+0 1
+.names NODE239 I170
+0 1
+.names NODE387 I321
+0 1
+.names NODE97 I297
+0 1
+.names NODE373 I300
+0 1
+.names NODE309 I263
+0 1
+.names NODE359 I308
+0 1
+.names NODE465 I278
+0 1
+.names NODE11 I208
+0 1
+.names NODE197 I173
+0 1
+.names NODE241 I195
+0 1
+.names I83 I328
+0 1
+.names I83 ZI83_2
+0 1
+.names NODE421 I151
+0 1
+.names NODE9 I180
+0 1
+.names NODE51 I206
+0 1
+.names NODE475 I120
+0 1
+.names NODE255 I148
+0 1
+.names NODE39 I99
+0 1
+.names NODE37 I174
+0 1
+.names NODE227 I228
+0 1
+.names NODE311 I210
+0 1
+.names NODE105 I145
+0 1
+.names NODE161 I264
+0 1
+.names NODE337 I185
+0 1
+.names NODE439 I155
+0 1
+.names NODE449 I183
+0 1
+.names NODE175 I301
+0 1
+.names NODE263 I100
+0 1
+.names NODE351 I132
+0 1
+.names NODE413 I198
+0 1
+.names NODE235 I220
+0 1
+.names NODE233 I129
+0 1
+.names NODE397 I162
+0 1
+.names NODE231 I279
+0 1
+.names I80 ZI80_1
+0 1
+.names I80 I325
+0 1
+.names NODE243 I288
+0 1
+.names NODE85 I153
+0 1
+.names NODE285 I271
+0 1
+.names NODE297 I238
+0 1
+.names NODE341 I236
+0 1
+.names NODE91 I317
+0 1
+.names NODE127 I231
+0 1
+.names NODE237 I95
+0 1
+.names NODE473 I270
+0 1
+.names NODE171 I298
+0 1
+.names NODE31 I167
+0 1
+.names NODE77 I281
+0 1
+.names NODE249 I233
+0 1
+.names NODE303 I184
+0 1
+.names NODE459 I315
+0 1
+.names NODE143 I215
+0 1
+.names NODE177 I199
+0 1
+.names NODE3 I289
+0 1
+.names I84 I330
+0 1
+.names I84 ZI84_3
+0 1
+.names NODE203 I147
+0 1
+.names NODE295 I218
+0 1
+.names NODE43 I157
+0 1
+.names NODE331 I85
+0 1
+.names NODE315 I86
+0 1
+.names I230 ZI230_43
+0 1
+.names I268 ZI268_72
+0 1
+.names I277 ZI277_90
+0 1
+.names I311 ZI311_76
+0 1
+.names I320 ZI320_94
+0 1
+.names I259 ZI259_53
+0 1
+.names I251 ZI251_37
+0 1
+.names I182 ZI182_31
+0 1
+.names I182 ZI182_112
+0 1
+.names I274 ZI274_84
+0 1
+.names I234 ZI234_51
+0 1
+.names I275 ZI275_86
+0 1
+.names I291 ZI291_142
+0 1
+.names I303 ZI303_60
+0 1
+.names I142 ZI142_19
+0 1
+.names I142 ZI142_132
+0 1
+.names I93 ZI93_158
+0 1
+.names I176 ZI176_19
+0 1
+.names I176 ZI176_116
+0 1
+.names I242 ZI242_548
+0 1
+.names I253 ZI253_41
+0 1
+.names I169 ZI169_5
+0 1
+.names I169 ZI169_102
+0 1
+.names ZI81_4 I333
+0 1
+.names I295 ZI295_150
+0 1
+.names I88 ZI88_164
+0 1
+.names I149 ZI149_33
+0 1
+.names I149 ZI149_130
+0 1
+.names I292 ZI292_144
+0 1
+.names I239 ZI239_516
+0 1
+.names I96 ZI96_164
+0 1
+.names I94 ZI94_160
+0 1
+.names I283 ZI283_142
+0 1
+.names I302 ZI302_58
+0 1
+.names I284 ZI284_144
+0 1
+.names I232 ZI232_47
+0 1
+.names I177 ZI177_102
+0 1
+.names I177 ZI177_21
+0 1
+.names I143 ZI143_118
+0 1
+.names I143 ZI143_21
+0 1
+.names I266 ZI266_68
+0 1
+.names I287 ZI287_150
+0 1
+.names I318 ZI318_90
+0 1
+.names I310 ZI310_74
+0 1
+.names I262 ZI262_60
+0 1
+.names I272 ZI272_80
+0 1
+.names I141 ZI141_130
+0 1
+.names I141 ZI141_17
+0 1
+.names I135 ZI135_5
+0 1
+.names I135 ZI135_118
+0 1
+.names I140 ZI140_128
+0 1
+.names I140 ZI140_15
+0 1
+.names I98 ZI98_168
+0 1
+.names I269 ZI269_74
+0 1
+.names I188 ZI188_138
+0 1
+.names I146 ZI146_124
+0 1
+.names I146 ZI146_27
+0 1
+.names I136 ZI136_7
+0 1
+.names I136 ZI136_120
+0 1
+.names I171 ZI171_106
+0 1
+.names I171 ZI171_9
+0 1
+.names I178 ZI178_104
+0 1
+.names I178 ZI178_23
+0 1
+.names I296 ZI296_152
+0 1
+.names I138 ZI138_124
+0 1
+.names I138 ZI138_11
+0 1
+.names I257 ZI257_49
+0 1
+.names I240 ZI240_532
+0 1
+.names I276 ZI276_88
+0 1
+.names ZI82_55 I511
+0 1
+.names I144 ZI144_120
+0 1
+.names I144 ZI144_23
+0 1
+.names I306 ZI306_66
+0 1
+.names I193 ZI193_140
+0 1
+.names I254 ZI254_43
+0 1
+.names I261 ZI261_58
+0 1
+.names I305 ZI305_64
+0 1
+.names I192 ZI192_138
+0 1
+.names I258 ZI258_51
+0 1
+.names I293 ZI293_146
+0 1
+.names I252 ZI252_39
+0 1
+.names I194 ZI194_260
+0 1
+.names I312 ZI312_78
+0 1
+.names I137 ZI137_122
+0 1
+.names I137 ZI137_9
+0 1
+.names I90 ZI90_168
+0 1
+.names I181 ZI181_110
+0 1
+.names I181 ZI181_29
+0 1
+.names I313 ZI313_80
+0 1
+.names I260 ZI260_56
+0 1
+.names I172 ZI172_108
+0 1
+.names I172 ZI172_11
+0 1
+.names I91 ZI91_170
+0 1
+.names I255 ZI255_45
+0 1
+.names I150 ZI150_132
+0 1
+.names I150 ZI150_35
+0 1
+.names I190 ZI190_134
+0 1
+.names I139 ZI139_126
+0 1
+.names I139 ZI139_13
+0 1
+.names I189 ZI189_140
+0 1
+.names I309 ZI309_72
+0 1
+.names I273 ZI273_82
+0 1
+.names I323 ZI323_100
+0 1
+.names I87 ZI87_162
+0 1
+.names I286 ZI286_148
+0 1
+.names I280 ZI280_96
+0 1
+.names I237 ZI237_488
+0 1
+.names I235 ZI235_460
+0 1
+.names I265 ZI265_66
+0 1
+.names I282 ZI282_100
+0 1
+.names I227 ZI227_37
+0 1
+.names I299 ZI299_290
+0 1
+.names I316 ZI316_86
+0 1
+.names I229 ZI229_41
+0 1
+.names I304 ZI304_62
+0 1
+.names I307 ZI307_68
+0 1
+.names I314 ZI314_82
+0 1
+.names I89 ZI89_166
+0 1
+.names I92 ZI92_172
+0 1
+.names ZI79_ I326
+0 1
+.names I241 ZI241_540
+0 1
+.names I290 ZI290_156
+0 1
+.names I322 ZI322_98
+0 1
+.names I294 ZI294_148
+0 1
+.names I319 ZI319_92
+0 1
+.names I256 ZI256_47
+0 1
+.names I179 ZI179_106
+0 1
+.names I179 ZI179_25
+0 1
+.names I267 ZI267_70
+0 1
+.names I285 ZI285_146
+0 1
+.names I97 ZI97_166
+0 1
+.names I175 ZI175_114
+0 1
+.names I175 ZI175_17
+0 1
+.names I170 ZI170_7
+0 1
+.names I170 ZI170_104
+0 1
+.names I321 ZI321_96
+0 1
+.names I297 ZI297_154
+0 1
+.names I300 ZI300_53
+0 1
+.names I263 ZI263_62
+0 1
+.names I308 ZI308_70
+0 1
+.names I278 ZI278_92
+0 1
+.names I173 ZI173_110
+0 1
+.names I173 ZI173_13
+0 1
+.names ZI83_2 I329
+0 1
+.names I151 ZI151_294
+0 1
+.names I180 ZI180_108
+0 1
+.names I180 ZI180_27
+0 1
+.names I148 ZI148_31
+0 1
+.names I148 ZI148_128
+0 1
+.names I99 ZI99_170
+0 1
+.names I174 ZI174_15
+0 1
+.names I174 ZI174_112
+0 1
+.names I228 ZI228_39
+0 1
+.names I187 ZI187_136
+0 1
+.names I145 ZI145_122
+0 1
+.names I145 ZI145_25
+0 1
+.names I186 ZI186_134
+0 1
+.names I264 ZI264_64
+0 1
+.names I185 ZI185_292
+0 1
+.names I183 ZI183_114
+0 1
+.names I183 ZI183_33
+0 1
+.names I301 ZI301_56
+0 1
+.names I100 ZI100_172
+0 1
+.names I191 ZI191_136
+0 1
+.names I279 ZI279_94
+0 1
+.names ZI80_1 I327
+0 1
+.names I288 ZI288_152
+0 1
+.names I271 ZI271_78
+0 1
+.names I238 ZI238_500
+0 1
+.names I236 ZI236_476
+0 1
+.names I317 ZI317_88
+0 1
+.names I231 ZI231_45
+0 1
+.names I95 ZI95_162
+0 1
+.names I270 ZI270_76
+0 1
+.names I298 ZI298_156
+0 1
+.names I281 ZI281_98
+0 1
+.names I233 ZI233_49
+0 1
+.names I184 ZI184_116
+0 1
+.names I184 ZI184_35
+0 1
+.names I315 ZI315_84
+0 1
+.names I289 ZI289_154
+0 1
+.names ZI84_3 I331
+0 1
+.names I147 ZI147_126
+0 1
+.names I147 ZI147_29
+0 1
+.names I85 ZI85_158
+0 1
+.names I86 ZI86_160
+0 1
+.names I442 ZI442_380
+0 1
+.names I221 I214 I442
+11 1
+.names I476 ZI476_618
+0 1
+.names I214 I226 I476
+11 1
+.names I475 ZI475_598
+0 1
+.names I226 I213 I475
+11 1
+.names I441 ZI441_378
+0 1
+.names I221 I213 I441
+11 1
+.names I334 ZI334_174
+0 1
+.names I169 I135 I334
+11 1
+.names I444 ZI444_440
+0 1
+.names I214 I222 I444
+11 1
+.names I443 ZI443_410
+0 1
+.names I213 I222 I443
+11 1
+.names I472 ZI472_560
+0 1
+.names I226 I212 I472
+11 1
+.names I438 ZI438_274
+0 1
+.names I221 I212 I438
+11 1
+.names I440 ZI440_344
+0 1
+.names I222 I212 I440
+11 1
+.names I417 ZI417_638
+0 1
+.names I207 I201 I417
+11 1
+.names I393 ZI393_374
+0 1
+.names I205 I201 I393
+11 1
+.names I418 ZI418_640
+0 1
+.names I207 I202 I418
+11 1
+.names I394 ZI394_376
+0 1
+.names I205 I202 I394
+11 1
+.names I386 ZI386_182
+0 1
+.names I201 I204 I386
+11 1
+.names I437 ZI437_300
+0 1
+.names I221 I211 I437
+11 1
+.names I471 ZI471_546
+0 1
+.names I226 I211 I471
+11 1
+.names I439 ZI439_322
+0 1
+.names I222 I211 I439
+11 1
+.names I467 ZI467_498
+0 1
+.names I213 I224 I467
+11 1
+.names I463 ZI463_470
+0 1
+.names I211 I224 I463
+11 1
+.names I468 ZI468_526
+0 1
+.names I214 I224 I468
+11 1
+.names I464 ZI464_496
+0 1
+.names I212 I224 I464
+11 1
+.names I401 ZI401_466
+0 1
+.names I207 I197 I401
+11 1
+.names I377 ZI377_370
+0 1
+.names I205 I197 I377
+11 1
+.names I370 ZI370_178
+0 1
+.names I204 I197 I370
+11 1
+.names I387 ZI387_182
+0 1
+.names I202 I203 I387
+11 1
+.names I385 I760
+0 1
+.names I201 I203 I385
+11 1
+.names I385 ZI385_268
+0 1
+.names I369 I753
+0 1
+.names I197 I203 I369
+11 1
+.names I369 ZI369_264
+0 1
+.names I398 ZI398_438
+0 1
+.names I207 I196 I398
+11 1
+.names I367 ZI367_176
+0 1
+.names I203 I196 I367
+11 1
+.names I374 ZI374_266
+0 1
+.names I205 I196 I374
+11 1
+.names I409 ZI409_574
+0 1
+.names I197 I209 I409
+11 1
+.names I426 ZI426_724
+0 1
+.names I202 I209 I426
+11 1
+.names I406 ZI406_524
+0 1
+.names I196 I209 I406
+11 1
+.names I425 ZI425_722
+0 1
+.names I201 I209 I425
+11 1
+.names I433 I768
+0 1
+.names I213 I219 I433
+11 1
+.names I433 ZI433_272
+0 1
+.names I429 I765
+0 1
+.names I431 ZI431_184
+0 1
+.names I212 I219 I431
+11 1
+.names I435 ZI435_186
+0 1
+.names I214 I219 I435
+11 1
+.names I466 ZI466_474
+0 1
+.names I214 I223 I466
+11 1
+.names I462 ZI462_444
+0 1
+.names I212 I223 I462
+11 1
+.names I461 ZI461_414
+0 1
+.names I211 I223 I461
+11 1
+.names I465 ZI465_472
+0 1
+.names I213 I223 I465
+11 1
+.names I488 ZI488_710
+0 1
+.names I226 I216 I488
+11 1
+.names I480 ZI480_662
+0 1
+.names I224 I216 I480
+11 1
+.names I456 ZI456_346
+0 1
+.names I222 I216 I456
+11 1
+.names I447 ZI447_188
+0 1
+.names I219 I216 I447
+11 1
+.names I478 ZI478_620
+0 1
+.names I223 I216 I478
+11 1
+.names I454 ZI454_278
+0 1
+.names I221 I216 I454
+11 1
+.names I474 ZI474_580
+0 1
+.names I214 I225 I474
+11 1
+.names I486 ZI486_690
+0 1
+.names I216 I225 I486
+11 1
+.names I473 ZI473_578
+0 1
+.names I213 I225 I473
+11 1
+.names I470 ZI470_530
+0 1
+.names I212 I225 I470
+11 1
+.names I469 ZI469_528
+0 1
+.names I211 I225 I469
+11 1
+.names I493 ZI493_192
+0 1
+.names I251 I227 I493
+11 1
+.names I449 ZI449_276
+0 1
+.names I219 I217 I449
+11 1
+.names I449 I775
+0 1
+.names I457 ZI457_382
+0 1
+.names I221 I217 I457
+11 1
+.names I491 ZI491_738
+0 1
+.names I226 I217 I491
+11 1
+.names I489 ZI489_726
+0 1
+.names I225 I217 I489
+11 1
+.names I481 ZI481_644
+0 1
+.names I223 I217 I481
+11 1
+.names I459 ZI459_412
+0 1
+.names I222 I217 I459
+11 1
+.names I483 ZI483_664
+0 1
+.names I224 I217 I483
+11 1
+.names I390 ZI390_270
+0 1
+.names I205 I200 I390
+11 1
+.names I383 ZI383_180
+0 1
+.names I203 I200 I383
+11 1
+.names I422 ZI422_684
+0 1
+.names I209 I200 I422
+11 1
+.names I414 ZI414_616
+0 1
+.names I207 I200 I414
+11 1
+.names I512 ZI512_194
+0 1
+.names I259 I300 I512
+11 1
+.names I420 ZI420_680
+0 1
+.names I202 I208 I420
+11 1
+.names I416 ZI416_658
+0 1
+.names I200 I208 I416
+11 1
+.names I403 ZI403_494
+0 1
+.names I197 I208 I403
+11 1
+.names I400 ZI400_492
+0 1
+.names I196 I208 I400
+11 1
+.names I419 ZI419_660
+0 1
+.names I201 I208 I419
+11 1
+.names I365 I750
+0 1
+.names I405 ZI405_522
+0 1
+.names I209 I195 I405
+11 1
+.names I366 ZI366_176
+0 1
+.names I204 I195 I366
+11 1
+.names I399 ZI399_464
+0 1
+.names I208 I195 I399
+11 1
+.names I373 ZI373_296
+0 1
+.names I205 I195 I373
+11 1
+.names I397 ZI397_408
+0 1
+.names I207 I195 I397
+11 1
+.names I396 ZI396_436
+0 1
+.names I202 I206 I396
+11 1
+.names I379 ZI379_404
+0 1
+.names I197 I206 I379
+11 1
+.names I375 ZI375_318
+0 1
+.names I195 I206 I375
+11 1
+.names I392 ZI392_342
+0 1
+.names I200 I206 I392
+11 1
+.names I395 ZI395_406
+0 1
+.names I201 I206 I395
+11 1
+.names I376 ZI376_340
+0 1
+.names I196 I206 I376
+11 1
+.names I428 ZI428_746
+0 1
+.names I202 I210 I428
+11 1
+.names I407 ZI407_544
+0 1
+.names I195 I210 I407
+11 1
+.names I408 ZI408_558
+0 1
+.names I196 I210 I408
+11 1
+.names I424 ZI424_708
+0 1
+.names I200 I210 I424
+11 1
+.names I411 ZI411_594
+0 1
+.names I197 I210 I411
+11 1
+.names I427 ZI427_736
+0 1
+.names I201 I210 I427
+11 1
+.names I402 ZI402_468
+0 1
+.names I207 I198 I402
+11 1
+.names I410 ZI410_576
+0 1
+.names I209 I198 I410
+11 1
+.names I404 ZI404_520
+0 1
+.names I208 I198 I404
+11 1
+.names I380 ZI380_434
+0 1
+.names I206 I198 I380
+11 1
+.names I371 ZI371_178
+0 1
+.names I203 I198 I371
+11 1
+.names I378 ZI378_372
+0 1
+.names I205 I198 I378
+11 1
+.names I412 ZI412_614
+0 1
+.names I210 I198 I412
+11 1
+.names I430 ZI430_184
+0 1
+.names I211 I220 I430
+11 1
+.names I450 ZI450_190
+0 1
+.names I217 I220 I450
+11 1
+.names I434 ZI434_186
+0 1
+.names I213 I220 I434
+11 1
+.names I479 ZI479_642
+0 1
+.names I224 I215 I479
+11 1
+.names I453 ZI453_302
+0 1
+.names I221 I215 I453
+11 1
+.names I445 ZI445_398
+0 1
+.names I219 I215 I445
+11 1
+.names I445 I772
+0 1
+.names I477 ZI477_600
+0 1
+.names I223 I215 I477
+11 1
+.names I446 ZI446_188
+0 1
+.names I220 I215 I446
+11 1
+.names I485 ZI485_688
+0 1
+.names I225 I215 I485
+11 1
+.names I487 ZI487_700
+0 1
+.names I226 I215 I487
+11 1
+.names I455 ZI455_324
+0 1
+.names I222 I215 I455
+11 1
+.names I413 ZI413_596
+0 1
+.names I207 I199 I413
+11 1
+.names I391 ZI391_320
+0 1
+.names I206 I199 I391
+11 1
+.names I421 ZI421_682
+0 1
+.names I209 I199 I421
+11 1
+.names I389 ZI389_298
+0 1
+.names I205 I199 I389
+11 1
+.names I382 ZI382_180
+0 1
+.names I204 I199 I382
+11 1
+.names I381 ZI381_392
+0 1
+.names I203 I199 I381
+11 1
+.names I381 I757
+0 1
+.names I415 ZI415_636
+0 1
+.names I208 I199 I415
+11 1
+.names I423 ZI423_698
+0 1
+.names I210 I199 I423
+11 1
+.names I460 ZI460_442
+0 1
+.names I222 I218 I460
+11 1
+.names I458 ZI458_384
+0 1
+.names I221 I218 I458
+11 1
+.names I492 ZI492_748
+0 1
+.names I226 I218 I492
+11 1
+.names I482 ZI482_646
+0 1
+.names I223 I218 I482
+11 1
+.names I484 ZI484_686
+0 1
+.names I224 I218 I484
+11 1
+.names I490 ZI490_728
+0 1
+.names I225 I218 I490
+11 1
+.names I451 ZI451_190
+0 1
+.names I219 I218 I451
+11 1
+.names I761 ZI761_356
+0 1
+.names I386 I387 I761
+11 1
+.names I751 ZI751_232
+0 1
+.names I367 I366 I751
+11 1
+.names I754 ZI754_352
+0 1
+.names I370 I371 I754
+11 1
+.names I766 ZI766_236
+0 1
+.names I431 I430 I766
+11 1
+.names I769 ZI769_360
+0 1
+.names I435 I434 I769
+11 1
+.names I773 ZI773_238
+0 1
+.names I447 I446 I773
+11 1
+.names I758 ZI758_234
+0 1
+.names I383 I382 I758
+11 1
+.names I776 ZI776_364
+0 1
+.names I450 I451 I776
+11 1
+.names I349 ZI349_416
+0 1
+.names ZI142_20 ZI176_20 I349
+00 0
+.names I589 ZI589_230
+0 1
+.names ZI88_165 ZI96_165 I589
+00 0
+.names I578 ZI578_216
+0 1
+.names ZI291_143 ZI283_143 I578
+00 0
+.names I579 ZI579_218
+0 1
+.names ZI292_145 ZI284_145 I579
+00 0
+.names I558 ZI558_196
+0 1
+.names ZI169_103 ZI177_103 I558
+00 0
+.names I351 ZI351_446
+0 1
+.names ZI177_22 ZI143_22 I351
+00 0
+.names I582 ZI582_216
+0 1
+.names ZI295_151 ZI287_151 I582
+00 0
+.names I548 ZI548_678
+0 1
+.names ZI277_91 ZI318_91 I548
+00 0
+.names I518 ZI518_316
+0 1
+.names ZI303_61 ZI262_61 I518
+00 0
+.names I572 ZI572_208
+0 1
+.names ZI149_131 ZI141_131 I572
+00 0
+.names I566 ZI566_204
+0 1
+.names ZI143_119 ZI135_119 I566
+00 0
+.names I532 ZI532_518
+0 1
+.names ZI310_75 ZI269_75 I532
+00 0
+.names I569 ZI569_210
+0 1
+.names ZI146_125 ZI138_125 I569
+00 0
+.names I567 ZI567_206
+0 1
+.names ZI136_121 ZI144_121 I567
+00 0
+.names I353 ZI353_478
+0 1
+.names ZI178_24 ZI144_24 I353
+00 0
+.names I500 ZI500_314
+0 1
+.names ZI230_44 ZI254_44 I500
+00 0
+.names I516 ZI516_282
+0 1
+.names ZI302_59 ZI261_59 I516
+00 0
+.names I576 ZI576_212
+0 1
+.names ZI188_139 ZI192_139 I576
+00 0
+.names I508 ZI508_430
+0 1
+.names ZI234_52 ZI258_52 I508
+00 0
+.names I339 ZI339_262
+0 1
+.names ZI171_10 ZI137_10 I339
+00 0
+.names I591 ZI591_226
+0 1
+.names ZI98_169 ZI90_169 I591
+00 0
+.names I538 ZI538_572
+0 1
+.names ZI272_81 ZI313_81 I538
+00 0
+.names I878 ZI878_354
+0 1
+.names I760 I388 I878
+11 1
+.names I871 ZI871_350
+0 1
+.names I753 I372 I871
+11 1
+.names I341 ZI341_304
+0 1
+.names ZI138_12 ZI172_12 I341
+00 0
+.names I573 ZI573_210
+0 1
+.names ZI142_133 ZI150_133 I573
+00 0
+.names I577 ZI577_214
+0 1
+.names ZI193_141 ZI189_141 I577
+00 0
+.names I530 ZI530_490
+0 1
+.names ZI268_73 ZI309_73 I530
+00 0
+.names I886 ZI886_358
+0 1
+.names I768 I436 I886
+11 1
+.names I885 ZI885_272
+0 1
+.names I765 I432 I885
+11 1
+.names I524 ZI524_402
+0 1
+.names ZI306_67 ZI265_67 I524
+00 0
+.names I557 ZI557_744
+0 1
+.names ZI323_101 ZI282_101 I557
+00 0
+.names I893 ZI893_362
+0 1
+.names I775 I452 I893
+11 1
+.names I544 ZI544_634
+0 1
+.names ZI275_87 ZI316_87 I544
+00 0
+.names I498 ZI498_280
+0 1
+.names ZI253_42 ZI229_42 I498
+00 0
+.names I526 ZI526_432
+0 1
+.names ZI266_69 ZI307_69 I526
+00 0
+.names I540 ZI540_592
+0 1
+.names ZI273_83 ZI314_83 I540
+00 0
+.names I581 ZI581_222
+0 1
+.names ZI286_149 ZI294_149 I581
+00 0
+.names I504 ZI504_366
+0 1
+.names ZI232_48 ZI256_48 I504
+00 0
+.names I560 ZI560_200
+0 1
+.names ZI171_107 ZI179_107 I560
+00 0
+.names I580 ZI580_220
+0 1
+.names ZI293_147 ZI285_147 I580
+00 0
+.names I590 ZI590_224
+0 1
+.names ZI89_167 ZI97_167 I590
+00 0
+.names I347 ZI347_386
+0 1
+.names ZI141_18 ZI175_18 I347
+00 0
+.names I337 ZI337_174
+0 1
+.names ZI136_8 ZI170_8 I337
+00 0
+.names I559 ZI559_198
+0 1
+.names ZI178_105 ZI170_105 I559
+00 0
+.names I554 ZI554_720
+0 1
+.names ZI280_97 ZI321_97 I554
+00 0
+.names I520 ZI520_338
+0 1
+.names ZI304_63 ZI263_63 I520
+00 0
+.names I528 ZI528_462
+0 1
+.names ZI267_71 ZI308_71 I528
+00 0
+.names I550 ZI550_696
+0 1
+.names ZI319_93 ZI278_93 I550
+00 0
+.names I562 ZI562_196
+0 1
+.names ZI181_111 ZI173_111 I562
+00 0
+.names I343 ZI343_326
+0 1
+.names ZI139_14 ZI173_14 I343
+00 0
+.names I870 ZI870_264
+0 1
+.names I750 I368 I870
+11 1
+.names I561 ZI561_202
+0 1
+.names ZI172_109 ZI180_109 I561
+00 0
+.names I357 ZI357_534
+0 1
+.names ZI146_28 ZI180_28 I357
+00 0
+.names I361 ZI361_562
+0 1
+.names ZI182_32 ZI148_32 I361
+00 0
+.names I571 ZI571_206
+0 1
+.names ZI140_129 ZI148_129 I571
+00 0
+.names I592 ZI592_228
+0 1
+.names ZI91_171 ZI99_171 I592
+00 0
+.names I345 ZI345_348
+0 1
+.names ZI140_16 ZI174_16 I345
+00 0
+.names I563 ZI563_198
+0 1
+.names ZI182_113 ZI174_113 I563
+00 0
+.names I496 ZI496_192
+0 1
+.names ZI252_40 ZI228_40 I496
+00 0
+.names I568 ZI568_208
+0 1
+.names ZI137_123 ZI145_123 I568
+00 0
+.names I355 ZI355_502
+0 1
+.names ZI179_26 ZI145_26 I355
+00 0
+.names I574 ZI574_212
+0 1
+.names ZI190_135 ZI186_135 I574
+00 0
+.names I522 ZI522_368
+0 1
+.names ZI305_65 ZI264_65 I522
+00 0
+.names I564 ZI564_200
+0 1
+.names ZI175_115 ZI183_115 I564
+00 0
+.names I363 ZI363_582
+0 1
+.names ZI149_34 ZI183_34 I363
+00 0
+.names I514 ZI514_194
+0 1
+.names ZI260_57 ZI301_57 I514
+00 0
+.names I593 ZI593_230
+0 1
+.names ZI92_173 ZI100_173 I593
+00 0
+.names I575 ZI575_214
+0 1
+.names ZI187_137 ZI191_137 I575
+00 0
+.names I552 ZI552_706
+0 1
+.names ZI320_95 ZI279_95 I552
+00 0
+.names I583 ZI583_218
+0 1
+.names ZI296_153 ZI288_153 I583
+00 0
+.names I536 ZI536_556
+0 1
+.names ZI312_79 ZI271_79 I536
+00 0
+.names I546 ZI546_656
+0 1
+.names ZI276_89 ZI317_89 I546
+00 0
+.names I502 ZI502_336
+0 1
+.names ZI255_46 ZI231_46 I502
+00 0
+.names I588 ZI588_228
+0 1
+.names ZI87_163 ZI95_163 I588
+00 0
+.names I534 ZI534_542
+0 1
+.names ZI311_77 ZI270_77 I534
+00 0
+.names I585 ZI585_222
+0 1
+.names ZI290_157 ZI298_157 I585
+00 0
+.names I556 ZI556_734
+0 1
+.names ZI322_99 ZI281_99 I556
+00 0
+.names I506 ZI506_400
+0 1
+.names ZI257_50 ZI233_50 I506
+00 0
+.names I565 ZI565_202
+0 1
+.names ZI176_117 ZI184_117 I565
+00 0
+.names I364 ZI364_602
+0 1
+.names ZI150_36 ZI184_36 I364
+00 0
+.names I542 ZI542_612
+0 1
+.names ZI274_85 ZI315_85 I542
+00 0
+.names I892 ZI892_276
+0 1
+.names I772 I448 I892
+11 1
+.names I877 ZI877_268
+0 1
+.names I757 I384 I877
+11 1
+.names I584 ZI584_220
+0 1
+.names ZI297_155 ZI289_155 I584
+00 0
+.names I570 ZI570_204
+0 1
+.names ZI139_127 ZI147_127 I570
+00 0
+.names I359 ZI359_550
+0 1
+.names ZI181_30 ZI147_30 I359
+00 0
+.names I586 ZI586_224
+0 1
+.names ZI93_159 ZI85_159 I586
+00 0
+.names I587 ZI587_226
+0 1
+.names ZI94_161 ZI86_161 I587
+00 0
+.names I759 ZI759_234
+0 1
+.names ZI386_183 ZI387_183 I759
+00 0
+.names I752 ZI752_232
+0 1
+.names ZI370_179 ZI371_179 I752
+00 0
+.names I767 ZI767_236
+0 1
+.names ZI435_187 ZI434_187 I767
+00 0
+.names I771 ZI771_428
+0 1
+.names ZI447_189 ZI446_189 I771
+00 0
+.names I756 ZI756_422
+0 1
+.names ZI383_181 ZI382_181 I756
+00 0
+.names I774 ZI774_238
+0 1
+.names ZI450_191 ZI451_191 I774
+00 0
+.names I995 ZI995_310
+0 1
+.names I770 I947 I946 I995
+000 0
+.names I869 ZI869_262
+0 1
+.names I336 I747 I869
+00 0
+.names I987 ZI987_306
+0 1
+.names I755 I934 I935 I987
+000 0
+.names I900 ZI900_280
+0 1
+.names I495 I779 I900
+00 0
+.names I903 ZI903_282
+0 1
+.names I513 I799 I903
+00 0
+.names I999 ZI999_312
+0 1
+.names I777 I952 I953 I999
+000 0
+.names I991 ZI991_308
+0 1
+.names I762 I941 I940 I991
+000 0
+.names I810 ZI810_250
+0 1
+.names ZI578_217 ZI582_217 I810
+00 0
+.names I943 ZI943_330
+0 1
+.names I881 I879 I880 I943
+000 0
+.names I807 ZI807_246
+0 1
+.names ZI569_211 ZI573_211 I807
+00 0
+.names I948 ZI948_300
+0 1
+.names ZI433_273 ZI885_273 I948
+00 0
+.names I800 ZI800_240
+0 1
+.names ZI558_197 ZI562_197 I800
+00 0
+.names I936 ZI936_296
+0 1
+.names ZI369_265 ZI870_265 I936
+00 0
+.names I805 ZI805_246
+0 1
+.names ZI567_207 ZI571_207 I805
+00 0
+.names I801 ZI801_242
+0 1
+.names ZI559_199 ZI563_199 I801
+00 0
+.names I806 ZI806_244
+0 1
+.names ZI572_209 ZI568_209 I806
+00 0
+.names I808 ZI808_248
+0 1
+.names ZI576_213 ZI574_213 I808
+00 0
+.names I802 ZI802_240
+0 1
+.names ZI560_201 ZI564_201 I802
+00 0
+.names I817 ZI817_256
+0 1
+.names ZI589_231 ZI593_231 I817
+00 0
+.names I937 ZI937_328
+0 1
+.names I874 I872 I873 I937
+000 0
+.names I949 ZI949_332
+0 1
+.names I889 I887 I888 I949
+000 0
+.names I809 ZI809_248
+0 1
+.names ZI577_215 ZI575_215 I809
+00 0
+.names I811 ZI811_252
+0 1
+.names ZI579_219 ZI583_219 I811
+00 0
+.names I816 ZI816_254
+0 1
+.names ZI592_229 ZI588_229 I816
+00 0
+.names I813 ZI813_252
+0 1
+.names ZI581_223 ZI585_223 I813
+00 0
+.names I803 ZI803_242
+0 1
+.names ZI561_203 ZI565_203 I803
+00 0
+.names I954 ZI954_302
+0 1
+.names ZI449_277 ZI892_277 I954
+00 0
+.names I942 ZI942_298
+0 1
+.names ZI385_269 ZI877_269 I942
+00 0
+.names I812 ZI812_250
+0 1
+.names ZI580_221 ZI584_221 I812
+00 0
+.names I804 ZI804_244
+0 1
+.names ZI566_205 ZI570_205 I804
+00 0
+.names I955 ZI955_334
+0 1
+.names I896 I895 I894 I955
+000 0
+.names I814 ZI814_254
+0 1
+.names ZI590_225 ZI586_225 I814
+00 0
+.names I815 ZI815_256
+0 1
+.names ZI591_227 ZI587_227 I815
+00 0
+.names I882 ZI882_270
+0 1
+.names ZI758_235 ZI759_235 I882
+00 0
+.names I986 ZI986_304
+0 1
+.names I338 I932 I986
+00 0
+.names I1003 ZI1003_314
+0 1
+.names I497 I958 I1003
+00 0
+.names I1005 ZI1005_316
+0 1
+.names I515 I962 I1005
+00 0
+.names I875 ZI875_266
+0 1
+.names ZI751_233 ZI752_233 I875
+00 0
+.names I890 ZI890_274
+0 1
+.names ZI766_237 ZI767_237 I890
+00 0
+.names I897 ZI897_278
+0 1
+.names ZI773_239 ZI774_239 I897
+00 0
+.names I1027 ZI1027_326
+0 1
+.names I340 I1006 I1027
+00 0
+.names I907 ZI907_286
+0 1
+.names ZI807_247 ZI805_247 I907
+00 0
+.names I1036 ZI1036_336
+0 1
+.names I499 I1022 I1036
+00 0
+.names I904 ZI904_284
+0 1
+.names ZI800_241 ZI802_241 I904
+00 0
+.names I1038 ZI1038_338
+0 1
+.names I517 I1026 I1038
+00 0
+.names I908 ZI908_260
+0 1
+.names ZI808_249 ZI809_249 I908
+00 0
+.names I910 ZI910_288
+0 1
+.names ZI811_253 ZI813_253 I910
+00 0
+.names I905 ZI905_284
+0 1
+.names ZI801_243 ZI803_243 I905
+00 0
+.names I1000 ZI1000_456
+0 1
+.names ZI453_303 ZI954_303 I1000
+00 0
+.names I992 ZI992_450
+0 1
+.names ZI389_299 ZI942_299 I992
+00 0
+.names I909 ZI909_288
+0 1
+.names ZI810_251 ZI812_251 I909
+00 0
+.names I906 ZI906_286
+0 1
+.names ZI806_245 ZI804_245 I906
+00 0
+.names I911 ZI911_258
+0 1
+.names ZI816_255 ZI814_255 I911
+00 0
+.names I912 ZI912_258
+0 1
+.names ZI817_257 ZI815_257 I912
+00 0
+.names I944 ZI944_308
+0 1
+.names ZI390_271 ZI882_271 I944
+00 0
+.names I938 ZI938_306
+0 1
+.names ZI374_267 ZI875_267 I938
+00 0
+.names I950 ZI950_310
+0 1
+.names ZI438_275 ZI890_275 I950
+00 0
+.names I956 ZI956_312
+0 1
+.names ZI454_279 ZI897_279 I956
+00 0
+.names I1060 ZI1060_348
+0 1
+.names I342 I1039 I1060
+00 0
+.names I1069 ZI1069_366
+0 1
+.names I501 I1055 I1069
+00 0
+.names I1071 ZI1071_368
+0 1
+.names I519 I1059 I1071
+00 0
+.names I1030 ZI1030_330
+0 1
+.names I1012 I993 I1011 I1030
+000 0
+.names I1028 ZI1028_328
+0 1
+.names I1009 I1008 I989 I1028
+000 0
+.names I1032 ZI1032_332
+0 1
+.names I1016 I997 I1015 I1032
+000 0
+.names I963 ZI963_292
+0 1
+.names ZI904_285 ZI905_285 I963
+00 0
+.names I965 ZI965_290
+0 1
+.names ZI910_289 ZI909_289 I965
+00 0
+.names I964 ZI964_294
+0 1
+.names ZI907_287 ZI906_287 I964
+00 0
+.names I1034 ZI1034_334
+0 1
+.names I1019 I1018 I1001 I1034
+000 0
+.names I1013 ZI1013_320
+0 1
+.names ZI991_309 ZI944_309 I1013
+00 0
+.names I1103 ZI1103_386
+0 1
+.names I344 I1072 I1103
+00 0
+.names I1120 ZI1120_400
+0 1
+.names I503 I1098 I1120
+00 0
+.names I1122 ZI1122_402
+0 1
+.names I521 I1102 I1122
+00 0
+.names I1010 ZI1010_318
+0 1
+.names ZI987_307 ZI938_307 I1010
+00 0
+.names I1017 ZI1017_322
+0 1
+.names ZI995_311 ZI950_311 I1017
+00 0
+.names I1020 ZI1020_324
+0 1
+.names ZI999_313 ZI956_313 I1020
+00 0
+.names I1063 ZI1063_356
+0 1
+.names I994 I1045 I1044 I1063
+000 0
+.names I1061 ZI1061_352
+0 1
+.names I990 I1042 I1041 I1061
+000 0
+.names I1065 ZI1065_360
+0 1
+.names I998 I1049 I1048 I1065
+000 0
+.names I1067 ZI1067_364
+0 1
+.names I1002 I1052 I1051 I1067
+000 0
+.names I1046 ZI1046_342
+0 1
+.names ZI943_331 ZI1030_331 I1046
+00 0
+.names I1158 ZI1158_416
+0 1
+.names I346 I1123 I1158
+00 0
+.names I1171 ZI1171_430
+0 1
+.names I505 I1153 I1171
+00 0
+.names I1173 ZI1173_432
+0 1
+.names I523 I1157 I1173
+00 0
+.names I1043 ZI1043_340
+0 1
+.names ZI937_329 ZI1028_329 I1043
+00 0
+.names I1050 ZI1050_344
+0 1
+.names ZI949_333 ZI1032_333 I1050
+00 0
+.names I1053 ZI1053_346
+0 1
+.names ZI955_335 ZI1034_335 I1053
+00 0
+.names I1110 ZI1110_420
+0 1
+.names I883 I1084 I1083 I1110
+000 0
+.names I1031 ZI1031_452
+0 1
+.names ZI391_321 ZI1013_321 I1031
+00 0
+.names I1106 ZI1106_418
+0 1
+.names I876 I1078 I1077 I1106
+000 0
+.names I1114 ZI1114_424
+0 1
+.names I891 I1089 I1090 I1114
+000 0
+.names I1118 ZI1118_426
+0 1
+.names I898 I1096 I1095 I1118
+000 0
+.names I1035 ZI1035_458
+0 1
+.names ZI455_325 ZI1020_325 I1035
+00 0
+.names I1085 ZI1085_376
+0 1
+.names ZI761_357 ZI1063_357 I1085
+00 0
+.names I1203 ZI1203_446
+0 1
+.names I348 I1174 I1203
+00 0
+.names I1216 ZI1216_460
+0 1
+.names I507 I1198 I1216
+00 0
+.names I1218 ZI1218_462
+0 1
+.names I525 I1202 I1218
+00 0
+.names I1079 ZI1079_372
+0 1
+.names ZI754_353 ZI1061_353 I1079
+00 0
+.names I1091 ZI1091_380
+0 1
+.names ZI769_361 ZI1065_361 I1091
+00 0
+.names I1097 ZI1097_384
+0 1
+.names ZI776_365 ZI1067_365 I1097
+00 0
+.names I1064 ZI1064_354
+0 1
+.names ZI392_343 ZI1046_343 I1064
+00 0
+.names I1245 ZI1245_476
+0 1
+.names I235 I1216 I1245
+11 1
+.names I1062 ZI1062_350
+0 1
+.names ZI376_341 ZI1043_341 I1062
+00 0
+.names I1066 ZI1066_358
+0 1
+.names ZI440_345 ZI1050_345 I1066
+00 0
+.names I1068 ZI1068_362
+0 1
+.names ZI456_347 ZI1053_347 I1068
+00 0
+.names I1250 ZI1250_478
+0 1
+.names I350 I1219 I1250
+00 0
+.names I1263 ZI1263_488
+0 1
+.names I236 I1245 I1263
+11 1
+.names I1266 ZI1266_490
+0 1
+.names I527 I1249 I1266
+00 0
+.names I1111 ZI1111_390
+0 1
+.names ZI394_377 ZI1085_377 I1111
+00 0
+.names I1108 ZI1108_390
+0 1
+.names I945 I1080 I1081 I1108
+000 0
+.names I1287 ZI1287_500
+0 1
+.names I237 I1263 I1287
+11 1
+.names I1107 ZI1107_388
+0 1
+.names ZI378_373 ZI1079_373 I1107
+00 0
+.names I1104 ZI1104_388
+0 1
+.names I939 I1074 I1075 I1104
+000 0
+.names I1115 ZI1115_394
+0 1
+.names ZI442_381 ZI1091_381 I1115
+00 0
+.names I1112 ZI1112_394
+0 1
+.names I951 I1086 I1087 I1112
+000 0
+.names I1119 ZI1119_396
+0 1
+.names ZI458_385 ZI1097_385 I1119
+00 0
+.names I1116 ZI1116_396
+0 1
+.names I957 I1093 I1092 I1116
+000 0
+.names I1082 ZI1082_374
+0 1
+.names ZI878_355 ZI1064_355 I1082
+00 0
+.names I1292 ZI1292_502
+0 1
+.names I352 I1267 I1292
+00 0
+.names I1301 ZI1301_516
+0 1
+.names I238 I1287 I1301
+11 1
+.names I1304 ZI1304_518
+0 1
+.names I529 I1291 I1304
+00 0
+.names I1076 ZI1076_370
+0 1
+.names ZI871_351 ZI1062_351 I1076
+00 0
+.names I1088 ZI1088_378
+0 1
+.names ZI886_359 ZI1066_359 I1088
+00 0
+.names I1094 ZI1094_382
+0 1
+.names ZI893_363 ZI1068_363 I1094
+00 0
+.names I1161 ZI1161_420
+0 1
+.names I1132 I1131 I1130 I1161
+000 0
+.names I1327 ZI1327_532
+0 1
+.names I239 I1301 I1327
+11 1
+.names I1159 ZI1159_418
+0 1
+.names I1127 I1126 I1125 I1159
+000 0
+.names I1165 ZI1165_424
+0 1
+.names I1141 I1140 I1139 I1165
+000 0
+.names I1167 ZI1167_426
+0 1
+.names I1146 I1144 I1145 I1167
+000 0
+.names I1133 ZI1133_406
+0 1
+.names ZI1111_391 ZI1108_391 I1133
+00 0
+.names I1332 ZI1332_534
+0 1
+.names I354 I1305 I1332
+00 0
+.names I1345 ZI1345_540
+0 1
+.names I240 I1327 I1345
+11 1
+.names I1348 ZI1348_542
+0 1
+.names I531 I1331 I1348
+00 0
+.names I1128 ZI1128_404
+0 1
+.names ZI1107_389 ZI1104_389 I1128
+00 0
+.names I1142 ZI1142_410
+0 1
+.names ZI1115_395 ZI1112_395 I1142
+00 0
+.names I1147 ZI1147_412
+0 1
+.names ZI1119_397 ZI1116_397 I1147
+00 0
+.names I1109 ZI1109_586
+0 1
+.names ZI393_375 ZI1082_375 I1109
+00 0
+.names I1206 ZI1206_626
+0 1
+.names I1134 I1180 I1206
+00 0
+.names I1365 ZI1365_548
+0 1
+.names I241 I1345 I1365
+11 1
+.names I1204 ZI1204_452
+0 1
+.names I1129 I1177 I1204
+00 0
+.names I1105 ZI1105_392
+0 1
+.names ZI377_371 ZI1076_371 I1105
+00 0
+.names I1210 ZI1210_458
+0 1
+.names I1143 I1188 I1210
+00 0
+.names I1113 ZI1113_398
+0 1
+.names ZI441_379 ZI1088_379 I1113
+00 0
+.names I1117 ZI1117_590
+0 1
+.names ZI457_383 ZI1094_383 I1117
+00 0
+.names I1212 ZI1212_632
+0 1
+.names I1148 I1191 I1212
+00 0
+.names I1181 ZI1181_436
+0 1
+.names ZI1110_421 ZI1161_421 I1181
+00 0
+.names I1370 ZI1370_550
+0 1
+.names I356 I1349 I1370
+00 0
+.names I1377 ZI1377_556
+0 1
+.names I533 I1369 I1377
+00 0
+.names I1178 ZI1178_434
+0 1
+.names ZI1106_419 ZI1159_419 I1178
+00 0
+.names I1189 ZI1189_440
+0 1
+.names ZI1114_425 ZI1165_425 I1189
+00 0
+.names I1192 ZI1192_442
+0 1
+.names ZI1118_427 ZI1167_427 I1192
+00 0
+.names I1162 ZI1162_606
+0 1
+.names ZI395_407 ZI1133_407 I1162
+00 0
+.names I1160 ZI1160_422
+0 1
+.names ZI379_405 ZI1128_405 I1160
+00 0
+.names I1255 ZI1255_504
+0 1
+.names I1047 I1229 I1228 I1255
+000 0
+.names I1163 ZI1163_448
+0 1
+.names I763 I1136 I1135 I1163
+000 0
+.names I1166 ZI1166_428
+0 1
+.names ZI443_411 ZI1142_411 I1166
+00 0
+.names I1261 ZI1261_510
+0 1
+.names I1054 I1240 I1241 I1261
+000 0
+.names I1169 ZI1169_454
+0 1
+.names I778 I1150 I1149 I1169
+000 0
+.names I1168 ZI1168_610
+0 1
+.names ZI459_413 ZI1147_413 I1168
+00 0
+.names I1389 ZI1389_562
+0 1
+.names I358 I1378 I1389
+00 0
+.names I1395 ZI1395_572
+0 1
+.names I535 I1388 I1395
+00 0
+.names I1230 ZI1230_468
+0 1
+.names ZI1031_453 ZI1204_453 I1230
+00 0
+.names I1137 ZI1137_408
+0 1
+.names ZI381_393 ZI1105_393 I1137
+00 0
+.names I1242 ZI1242_474
+0 1
+.names ZI1035_459 ZI1210_459 I1242
+00 0
+.names I1151 ZI1151_414
+0 1
+.names ZI445_399 ZI1113_399 I1151
+00 0
+.names I1207 ZI1207_624
+0 1
+.names ZI396_437 ZI1181_437 I1207
+00 0
+.names I1208 ZI1208_480
+0 1
+.names I884 I1183 I1182 I1208
+000 0
+.names I1205 ZI1205_450
+0 1
+.names ZI380_435 ZI1178_435 I1205
+00 0
+.names I1214 ZI1214_484
+0 1
+.names I899 I1194 I1193 I1214
+000 0
+.names I1211 ZI1211_456
+0 1
+.names ZI444_441 ZI1189_441 I1211
+00 0
+.names I1213 ZI1213_630
+0 1
+.names ZI460_443 ZI1192_443 I1213
+00 0
+.names I1413 ZI1413_582
+0 1
+.names I360 I1396 I1413
+00 0
+.names I1423 ZI1423_592
+0 1
+.names I537 I1412 I1423
+00 0
+.names I1184 ZI1184_438
+0 1
+.names ZI756_423 ZI1160_423 I1184
+00 0
+.names I1195 ZI1195_444
+0 1
+.names ZI771_429 ZI1166_429 I1195
+00 0
+.names I1256 ZI1256_482
+0 1
+.names ZI402_469 ZI1230_469 I1256
+00 0
+.names I1253 ZI1253_482
+0 1
+.names I1014 I1226 I1225 I1253
+000 0
+.names I1259 ZI1259_486
+0 1
+.names I1021 I1237 I1238 I1259
+000 0
+.names I1262 ZI1262_486
+0 1
+.names ZI466_475 ZI1242_475 I1262
+00 0
+.names I1445 ZI1445_602
+0 1
+.names I362 I1424 I1445
+00 0
+.names I1455 ZI1455_612
+0 1
+.names I539 I1444 I1455
+00 0
+.names I1227 ZI1227_466
+0 1
+.names ZI992_451 ZI1205_451 I1227
+00 0
+.names I1239 ZI1239_472
+0 1
+.names ZI1000_457 ZI1211_457 I1239
+00 0
+.names I1209 ZI1209_448
+0 1
+.names ZI398_439 ZI1184_439 I1209
+00 0
+.names I1295 ZI1295_504
+0 1
+.names I1274 I1272 I1273 I1295
+000 0
+.names I1215 ZI1215_454
+0 1
+.names ZI462_445 ZI1195_445 I1215
+00 0
+.names I1299 ZI1299_510
+0 1
+.names I1282 I1281 I1283 I1299
+000 0
+.names I1483 ZI1483_634
+0 1
+.names I541 I1473 I1483
+00 0
+.names I1275 ZI1275_494
+0 1
+.names ZI1256_483 ZI1253_483 I1275
+00 0
+.names I1284 ZI1284_498
+0 1
+.names ZI1259_487 ZI1262_487 I1284
+00 0
+.names I1251 ZI1251_480
+0 1
+.names I1185 I1222 I1221 I1251
+000 0
+.names I1333 ZI1333_566
+0 1
+.names I1276 I1308 I1307 I1333
+000 0
+.names I1254 ZI1254_506
+0 1
+.names ZI401_467 ZI1227_467 I1254
+00 0
+.names I1257 ZI1257_484
+0 1
+.names I1196 I1233 I1234 I1257
+000 0
+.names I1260 ZI1260_512
+0 1
+.names ZI465_473 ZI1239_473 I1260
+00 0
+.names I1339 ZI1339_570
+0 1
+.names I1285 I1317 I1318 I1339
+000 0
+.names I1520 ZI1520_656
+0 1
+.names I543 I1506 I1520
+00 0
+.names I1223 ZI1223_464
+0 1
+.names ZI1163_449 ZI1209_449 I1223
+00 0
+.names I1309 ZI1309_520
+0 1
+.names ZI1255_505 ZI1295_505 I1309
+00 0
+.names I1235 ZI1235_470
+0 1
+.names ZI1169_455 ZI1215_455 I1235
+00 0
+.names I1319 ZI1319_526
+0 1
+.names ZI1261_511 ZI1299_511 I1319
+00 0
+.names I1293 ZI1293_508
+0 1
+.names I1224 I1270 I1269 I1293
+000 0
+.names I1296 ZI1296_508
+0 1
+.names ZI403_495 ZI1275_495 I1296
+00 0
+.names I1297 ZI1297_514
+0 1
+.names I1236 I1279 I1278 I1297
+000 0
+.names I1300 ZI1300_514
+0 1
+.names ZI467_499 ZI1284_499 I1300
+00 0
+.names I1550 ZI1550_678
+0 1
+.names I545 I1540 I1550
+00 0
+.names I1271 ZI1271_492
+0 1
+.names ZI1208_481 ZI1251_481 I1271
+00 0
+.names I1280 ZI1280_496
+0 1
+.names ZI1214_485 ZI1257_485 I1280
+00 0
+.names I1337 ZI1337_552
+0 1
+.names I1315 I1314 I1313 I1337
+000 0
+.names I1334 ZI1334_564
+0 1
+.names ZI404_521 ZI1309_521 I1334
+00 0
+.names I1340 ZI1340_568
+0 1
+.names ZI468_527 ZI1319_527 I1340
+00 0
+.names I1343 ZI1343_554
+0 1
+.names I1325 I1324 I1323 I1343
+000 0
+.names I1586 ZI1586_696
+0 1
+.names I547 I1572 I1586
+00 0
+.names I1316 ZI1316_524
+0 1
+.names ZI1293_509 ZI1296_509 I1316
+00 0
+.names I1326 ZI1326_530
+0 1
+.names ZI1297_515 ZI1300_515 I1326
+00 0
+.names I1294 ZI1294_506
+0 1
+.names ZI400_493 ZI1271_493 I1294
+00 0
+.names I1298 ZI1298_512
+0 1
+.names ZI464_497 ZI1280_497 I1298
+00 0
+.names I1608 ZI1608_706
+0 1
+.names I549 I1602 I1608
+00 0
+.names I1335 ZI1335_536
+0 1
+.names I1277 I1310 I1311 I1335
+000 0
+.names I1338 ZI1338_536
+0 1
+.names ZI406_525 ZI1316_525 I1338
+00 0
+.names I1341 ZI1341_538
+0 1
+.names I1286 I1320 I1321 I1341
+000 0
+.names I1344 ZI1344_538
+0 1
+.names ZI470_531 ZI1326_531 I1344
+00 0
+.names I1622 ZI1622_720
+0 1
+.names I551 I1616 I1622
+00 0
+.names I1312 ZI1312_522
+0 1
+.names ZI1254_507 ZI1294_507 I1312
+00 0
+.names I1322 ZI1322_528
+0 1
+.names ZI1260_513 ZI1298_513 I1322
+00 0
+.names I1371 ZI1371_552
+0 1
+.names I1352 I1351 I1353 I1371
+000 0
+.names I1373 ZI1373_554
+0 1
+.names I1359 I1358 I1360 I1373
+000 0
+.names I1646 ZI1646_734
+0 1
+.names I553 I1636 I1646
+00 0
+.names I1354 ZI1354_544
+0 1
+.names ZI1335_537 ZI1338_537 I1354
+00 0
+.names I1361 ZI1361_546
+0 1
+.names ZI1341_539 ZI1344_539 I1361
+00 0
+.names I1390 ZI1390_566
+0 1
+.names I1355 I1381 I1380 I1390
+000 0
+.names I1392 ZI1392_570
+0 1
+.names I1362 I1383 I1384 I1392
+000 0
+.names I1664 ZI1664_744
+0 1
+.names I555 I1658 I1664
+00 0
+.names I1382 ZI1382_558
+0 1
+.names ZI1337_553 ZI1371_553 I1382
+00 0
+.names I1385 ZI1385_560
+0 1
+.names ZI1343_555 ZI1373_555 I1385
+00 0
+.names I1416 ZI1416_604
+0 1
+.names I1357 I1402 I1401 I1416
+000 0
+.names I1420 ZI1420_608
+0 1
+.names I1364 I1407 I1408 I1420
+000 0
+.names I1403 ZI1403_576
+0 1
+.names ZI1333_567 ZI1390_567 I1403
+00 0
+.names I1409 ZI1409_580
+0 1
+.names ZI1339_571 ZI1392_571 I1409
+00 0
+.names I1391 ZI1391_564
+0 1
+.names ZI408_559 ZI1382_559 I1391
+00 0
+.names I1393 ZI1393_568
+0 1
+.names ZI472_561 ZI1385_561 I1393
+00 0
+.names I1414 ZI1414_584
+0 1
+.names I1356 I1399 I1398 I1414
+000 0
+.names I1417 ZI1417_584
+0 1
+.names ZI410_577 ZI1403_577 I1417
+00 0
+.names I1418 ZI1418_588
+0 1
+.names I1363 I1404 I1405 I1418
+000 0
+.names I1421 ZI1421_588
+0 1
+.names ZI474_581 ZI1409_581 I1421
+00 0
+.names I1400 ZI1400_574
+0 1
+.names ZI1334_565 ZI1391_565 I1400
+00 0
+.names I1406 ZI1406_578
+0 1
+.names ZI1340_569 ZI1393_569 I1406
+00 0
+.names I1446 ZI1446_604
+0 1
+.names I1427 I1428 I1426 I1446
+000 0
+.names I1450 ZI1450_608
+0 1
+.names I1435 I1434 I1436 I1450
+000 0
+.names I1429 ZI1429_594
+0 1
+.names ZI1414_585 ZI1417_585 I1429
+00 0
+.names I1437 ZI1437_598
+0 1
+.names ZI1418_589 ZI1421_589 I1437
+00 0
+.names I1415 ZI1415_586
+0 1
+.names ZI409_575 ZI1400_575 I1415
+00 0
+.names I1474 ZI1474_626
+0 1
+.names I1430 I1458 I1457 I1474
+000 0
+.names I1419 ZI1419_590
+0 1
+.names ZI473_579 ZI1406_579 I1419
+00 0
+.names I1478 ZI1478_632
+0 1
+.names I1438 I1464 I1465 I1478
+000 0
+.names I1459 ZI1459_614
+0 1
+.names ZI1416_605 ZI1446_605 I1459
+00 0
+.names I1466 ZI1466_618
+0 1
+.names ZI1420_609 ZI1450_609 I1466
+00 0
+.names I1448 ZI1448_622
+0 1
+.names I1138 I1432 I1431 I1448
+000 0
+.names I1511 ZI1511_666
+0 1
+.names I1232 I1491 I1492 I1511
+000 0
+.names I1447 ZI1447_606
+0 1
+.names ZI411_595 ZI1429_595 I1447
+00 0
+.names I1452 ZI1452_628
+0 1
+.names I1152 I1439 I1440 I1452
+000 0
+.names I1451 ZI1451_610
+0 1
+.names ZI475_599 ZI1437_599 I1451
+00 0
+.names I1517 ZI1517_672
+0 1
+.names I1244 I1502 I1501 I1517
+000 0
+.names I1433 ZI1433_596
+0 1
+.names ZI1109_587 ZI1415_587 I1433
+00 0
+.names I1493 ZI1493_640
+0 1
+.names ZI1206_627 ZI1474_627 I1493
+00 0
+.names I1441 ZI1441_600
+0 1
+.names ZI1117_591 ZI1419_591 I1441
+00 0
+.names I1503 ZI1503_646
+0 1
+.names ZI1212_633 ZI1478_633 I1503
+00 0
+.names I1475 ZI1475_624
+0 1
+.names ZI412_615 ZI1459_615 I1475
+00 0
+.names I1476 ZI1476_648
+0 1
+.names I1186 I1460 I1461 I1476
+000 0
+.names I1480 ZI1480_652
+0 1
+.names I1197 I1467 I1468 I1480
+000 0
+.names I1479 ZI1479_630
+0 1
+.names ZI476_619 ZI1466_619 I1479
+00 0
+.names I1462 ZI1462_616
+0 1
+.names ZI1162_607 ZI1447_607 I1462
+00 0
+.names I1469 ZI1469_620
+0 1
+.names ZI1168_611 ZI1451_611 I1469
+00 0
+.names I1512 ZI1512_650
+0 1
+.names ZI418_641 ZI1493_641 I1512
+00 0
+.names I1509 ZI1509_650
+0 1
+.names I1231 I1489 I1488 I1509
+000 0
+.names I1515 ZI1515_654
+0 1
+.names I1243 I1498 I1499 I1515
+000 0
+.names I1518 ZI1518_654
+0 1
+.names ZI482_647 ZI1503_647 I1518
+00 0
+.names I1490 ZI1490_638
+0 1
+.names ZI1207_625 ZI1475_625 I1490
+00 0
+.names I1500 ZI1500_644
+0 1
+.names ZI1213_631 ZI1479_631 I1500
+00 0
+.names I1543 ZI1543_666
+0 1
+.names I1526 I1524 I1525 I1543
+000 0
+.names I1477 ZI1477_622
+0 1
+.names ZI414_617 ZI1462_617 I1477
+00 0
+.names I1481 ZI1481_628
+0 1
+.names ZI478_621 ZI1469_621 I1481
+00 0
+.names I1547 ZI1547_672
+0 1
+.names I1534 I1535 I1533 I1547
+000 0
+.names I1527 ZI1527_660
+0 1
+.names ZI1512_651 ZI1509_651 I1527
+00 0
+.names I1536 ZI1536_664
+0 1
+.names ZI1515_655 ZI1518_655 I1536
+00 0
+.names I1573 ZI1573_714
+0 1
+.names I1528 I1552 I1551 I1573
+000 0
+.names I1510 ZI1510_668
+0 1
+.names ZI417_639 ZI1490_639 I1510
+00 0
+.names I1507 ZI1507_648
+0 1
+.names I1463 I1484 I1485 I1507
+000 0
+.names I1513 ZI1513_652
+0 1
+.names I1470 I1494 I1495 I1513
+000 0
+.names I1516 ZI1516_674
+0 1
+.names ZI481_645 ZI1500_645 I1516
+00 0
+.names I1579 ZI1579_718
+0 1
+.names I1537 I1562 I1561 I1579
+000 0
+.names I1553 ZI1553_680
+0 1
+.names ZI1511_667 ZI1543_667 I1553
+00 0
+.names I1486 ZI1486_636
+0 1
+.names ZI1448_623 ZI1477_623 I1486
+00 0
+.names I1496 ZI1496_642
+0 1
+.names ZI1452_629 ZI1481_629 I1496
+00 0
+.names I1563 ZI1563_686
+0 1
+.names ZI1517_673 ZI1547_673 I1563
+00 0
+.names I1544 ZI1544_670
+0 1
+.names ZI419_661 ZI1527_661 I1544
+00 0
+.names I1541 ZI1541_670
+0 1
+.names I1487 I1522 I1521 I1541
+000 0
+.names I1545 ZI1545_676
+0 1
+.names I1497 I1531 I1530 I1545
+000 0
+.names I1548 ZI1548_676
+0 1
+.names ZI483_665 ZI1536_665 I1548
+00 0
+.names I1523 ZI1523_658
+0 1
+.names ZI1476_649 ZI1507_649 I1523
+00 0
+.names I1532 ZI1532_662
+0 1
+.names ZI1480_653 ZI1513_653 I1532
+00 0
+.names I1574 ZI1574_712
+0 1
+.names ZI420_681 ZI1553_681 I1574
+00 0
+.names I1577 ZI1577_702
+0 1
+.names I1558 I1557 I1559 I1577
+000 0
+.names I1580 ZI1580_716
+0 1
+.names ZI484_687 ZI1563_687 I1580
+00 0
+.names I1583 ZI1583_704
+0 1
+.names I1569 I1568 I1567 I1583
+000 0
+.names I1560 ZI1560_684
+0 1
+.names ZI1544_671 ZI1541_671 I1560
+00 0
+.names I1570 ZI1570_690
+0 1
+.names ZI1545_677 ZI1548_677 I1570
+00 0
+.names I1542 ZI1542_668
+0 1
+.names ZI416_659 ZI1523_659 I1542
+00 0
+.names I1546 ZI1546_674
+0 1
+.names ZI480_663 ZI1532_663 I1546
+00 0
+.names I1575 ZI1575_692
+0 1
+.names I1529 I1554 I1555 I1575
+000 0
+.names I1578 ZI1578_692
+0 1
+.names ZI422_685 ZI1560_685 I1578
+00 0
+.names I1581 ZI1581_694
+0 1
+.names I1538 I1564 I1565 I1581
+000 0
+.names I1584 ZI1584_694
+0 1
+.names ZI486_691 ZI1570_691 I1584
+00 0
+.names I1556 ZI1556_682
+0 1
+.names ZI1510_669 ZI1542_669 I1556
+00 0
+.names I1566 ZI1566_688
+0 1
+.names ZI1516_675 ZI1546_675 I1566
+00 0
+.names I1603 ZI1603_702
+0 1
+.names I1588 I1589 I1587 I1603
+000 0
+.names I1605 ZI1605_704
+0 1
+.names I1595 I1596 I1594 I1605
+000 0
+.names I1590 ZI1590_698
+0 1
+.names ZI1575_693 ZI1578_693 I1590
+00 0
+.names I1597 ZI1597_700
+0 1
+.names ZI1581_695 ZI1584_695 I1597
+00 0
+.names I1617 ZI1617_714
+0 1
+.names I1591 I1609 I1610 I1617
+000 0
+.names I1619 ZI1619_718
+0 1
+.names I1598 I1612 I1613 I1619
+000 0
+.names I1611 ZI1611_708
+0 1
+.names ZI1577_703 ZI1603_703 I1611
+00 0
+.names I1614 ZI1614_710
+0 1
+.names ZI1583_705 ZI1605_705 I1614
+00 0
+.names I1639 ZI1639_740
+0 1
+.names I1593 I1626 I1627 I1639
+000 0
+.names I1643 ZI1643_742
+0 1
+.names I1600 I1633 I1632 I1643
+000 0
+.names I1628 ZI1628_724
+0 1
+.names ZI1573_715 ZI1617_715 I1628
+00 0
+.names I1634 ZI1634_728
+0 1
+.names ZI1579_719 ZI1619_719 I1634
+00 0
+.names I1618 ZI1618_712
+0 1
+.names ZI424_709 ZI1611_709 I1618
+00 0
+.names I1620 ZI1620_716
+0 1
+.names ZI488_711 ZI1614_711 I1620
+00 0
+.names I1640 ZI1640_730
+0 1
+.names ZI426_725 ZI1628_725 I1640
+00 0
+.names I1637 ZI1637_730
+0 1
+.names I1592 I1624 I1623 I1637
+000 0
+.names I1641 ZI1641_732
+0 1
+.names I1599 I1629 I1630 I1641
+000 0
+.names I1644 ZI1644_732
+0 1
+.names ZI490_729 ZI1634_729 I1644
+00 0
+.names I1625 ZI1625_722
+0 1
+.names ZI1574_713 ZI1618_713 I1625
+00 0
+.names I1631 ZI1631_726
+0 1
+.names ZI1580_717 ZI1620_717 I1631
+00 0
+.names I1659 ZI1659_740
+0 1
+.names I1649 I1647 I1648 I1659
+000 0
+.names I1661 ZI1661_742
+0 1
+.names I1653 I1654 I1652 I1661
+000 0
+.names I1650 ZI1650_736
+0 1
+.names ZI1640_731 ZI1637_731 I1650
+00 0
+.names I1655 ZI1655_738
+0 1
+.names ZI1641_733 ZI1644_733 I1655
+00 0
+.names I1667 ZI1667_746
+0 1
+.names ZI1639_741 ZI1659_741 I1667
+00 0
+.names I1670 ZI1670_748
+0 1
+.names ZI1643_743 ZI1661_743 I1670
+00 0
+.names I142 I176 I348
+11 1
+.names I127 I332 I739
+11 1
+.names I332 I126 I738
+11 1
+.names I332 I128 I740
+11 1
+.names I332 I118 I730
+11 1
+.names I332 I134 I746
+11 1
+.names I177 I143 I350
+11 1
+.names I277 I318 I547
+11 1
+.names I303 I262 I517
+11 1
+.names I332 I123 I735
+11 1
+.names I310 I269 I531
+11 1
+.names I332 I121 I733
+11 1
+.names I332 I124 I736
+11 1
+.names I71 I509 I792
+11 1
+.names I73 I509 I794
+11 1
+.names I70 I509 I791
+11 1
+.names I74 I509 I795
+11 1
+.names I68 I509 I789
+11 1
+.names I62 I509 I781
+11 1
+.names I64 I509 I785
+11 1
+.names I76 I509 I797
+11 1
+.names I72 I509 I793
+11 1
+.names I77 I509 I798
+11 1
+.names I69 I509 I790
+11 1
+.names I66 I509 I787
+11 1
+.names I75 I509 I796
+11 1
+.names I63 I509 I783
+11 1
+.names I67 I509 I788
+11 1
+.names I65 I509 I786
+11 1
+.names I178 I144 I352
+11 1
+.names I230 I254 I499
+11 1
+.names I202 I204 I388
+11 1
+.names I302 I261 I515
+11 1
+.names I332 I119 I731
+11 1
+.names I234 I258 I507
+11 1
+.names I171 I137 I338
+11 1
+.names I272 I313 I537
+11 1
+.names I332 I133 I745
+11 1
+.names I138 I172 I340
+11 1
+.names I204 I196 I368
+11 1
+.names I332 I130 I742
+11 1
+.names I268 I309 I529
+11 1
+.names I306 I265 I523
+11 1
+.names I275 I316 I543
+11 1
+.names I332 I125 I737
+11 1
+.names I253 I229 I497
+11 1
+.names I266 I307 I525
+11 1
+.names I273 I314 I539
+11 1
+.names I332 I122 I734
+11 1
+.names I332 I131 I743
+11 1
+.names I232 I256 I503
+11 1
+.names I204 I200 I384
+11 1
+.names I141 I175 I346
+11 1
+.names I136 I170 I336
+11 1
+.names I280 I321 I553
+11 1
+.names I304 I263 I519
+11 1
+.names I267 I308 I527
+11 1
+.names I319 I278 I549
+11 1
+.names I139 I173 I342
+11 1
+.names I29 I328 I682
+11 1
+.names I30 I328 I684
+11 1
+.names I19 I328 I662
+11 1
+.names I20 I328 I664
+11 1
+.names I33 I328 I690
+11 1
+.names I32 I328 I688
+11 1
+.names I28 I328 I680
+11 1
+.names I24 I328 I672
+11 1
+.names I25 I328 I674
+11 1
+.names I31 I328 I686
+11 1
+.names I22 I328 I668
+11 1
+.names I21 I328 I666
+11 1
+.names I34 I328 I692
+11 1
+.names I35 I328 I694
+11 1
+.names I27 I328 I678
+11 1
+.names I23 I328 I670
+11 1
+.names I26 I328 I676
+11 1
+.names I146 I180 I356
+11 1
+.names I332 I120 I732
+11 1
+.names I182 I148 I360
+11 1
+.names I140 I174 I344
+11 1
+.names I252 I228 I495
+11 1
+.names I179 I145 I354
+11 1
+.names I305 I264 I521
+11 1
+.names I149 I183 I362
+11 1
+.names I260 I301 I513
+11 1
+.names I332 I132 I744
+11 1
+.names I204 I198 I372
+11 1
+.names I214 I220 I436
+11 1
+.names I216 I220 I448
+11 1
+.names I212 I220 I432
+11 1
+.names I332 I129 I741
+11 1
+.names I320 I279 I551
+11 1
+.names I5 I324 I325 I606
+111 1
+.names I15 I324 I325 I646
+111 1
+.names I7 I324 I325 I614
+111 1
+.names I12 I324 I325 I634
+111 1
+.names I17 I324 I325 I654
+111 1
+.names I10 I324 I325 I626
+111 1
+.names I8 I324 I325 I618
+111 1
+.names I13 I324 I325 I638
+111 1
+.names I4 I324 I325 I602
+111 1
+.names I14 I324 I325 I642
+111 1
+.names I6 I324 I325 I610
+111 1
+.names I11 I324 I325 I630
+111 1
+.names I2 I324 I325 I594
+111 1
+.names I18 I324 I325 I658
+111 1
+.names I16 I324 I325 I650
+111 1
+.names I9 I324 I325 I622
+111 1
+.names I3 I324 I325 I598
+111 1
+.names I312 I271 I535
+11 1
+.names I276 I317 I545
+11 1
+.names I255 I231 I501
+11 1
+.names I311 I270 I533
+11 1
+.names I322 I281 I555
+11 1
+.names I257 I233 I505
+11 1
+.names I274 I315 I541
+11 1
+.names I40 I330 I704
+11 1
+.names I46 I330 I716
+11 1
+.names I37 I330 I698
+11 1
+.names I36 I330 I696
+11 1
+.names I42 I330 I708
+11 1
+.names I38 I330 I700
+11 1
+.names I49 I330 I722
+11 1
+.names I50 I330 I724
+11 1
+.names I41 I330 I706
+11 1
+.names I44 I330 I712
+11 1
+.names I45 I330 I714
+11 1
+.names I52 I330 I728
+11 1
+.names I48 I330 I720
+11 1
+.names I51 I330 I726
+11 1
+.names I47 I330 I718
+11 1
+.names I39 I330 I702
+11 1
+.names I43 I330 I710
+11 1
+.names I181 I147 I358
+11 1
+.names I220 I218 I452
+11 1
+.names I254 ZI230_43 ZI230_44
+11 1
+.names I309 ZI268_72 ZI268_73
+11 1
+.names I318 ZI277_90 ZI277_91
+11 1
+.names I270 ZI311_76 ZI311_77
+11 1
+.names I279 ZI320_94 ZI320_95
+11 1
+.names I300 ZI259_53 ZI259_54
+11 1
+.names I227 ZI251_37 ZI251_38
+11 1
+.names I148 ZI182_31 ZI182_32
+11 1
+.names I174 ZI182_112 ZI182_113
+11 1
+.names I315 ZI274_84 ZI274_85
+11 1
+.names I258 ZI234_51 ZI234_52
+11 1
+.names I316 ZI275_86 ZI275_87
+11 1
+.names I283 ZI291_142 ZI291_143
+11 1
+.names I262 ZI303_60 ZI303_61
+11 1
+.names I176 ZI142_19 ZI142_20
+11 1
+.names I150 ZI142_132 ZI142_133
+11 1
+.names I85 ZI93_158 ZI93_159
+11 1
+.names I142 ZI176_19 ZI176_20
+11 1
+.names I184 ZI176_116 ZI176_117
+11 1
+.names I229 ZI253_41 ZI253_42
+11 1
+.names I135 ZI169_5 ZI169_6
+11 1
+.names I177 ZI169_102 ZI169_103
+11 1
+.names I287 ZI295_150 ZI295_151
+11 1
+.names I96 ZI88_164 ZI88_165
+11 1
+.names I183 ZI149_33 ZI149_34
+11 1
+.names I141 ZI149_130 ZI149_131
+11 1
+.names I284 ZI292_144 ZI292_145
+11 1
+.names I88 ZI96_164 ZI96_165
+11 1
+.names I86 ZI94_160 ZI94_161
+11 1
+.names I291 ZI283_142 ZI283_143
+11 1
+.names I261 ZI302_58 ZI302_59
+11 1
+.names I292 ZI284_144 ZI284_145
+11 1
+.names I256 ZI232_47 ZI232_48
+11 1
+.names I169 ZI177_102 ZI177_103
+11 1
+.names I143 ZI177_21 ZI177_22
+11 1
+.names I135 ZI143_118 ZI143_119
+11 1
+.names I177 ZI143_21 ZI143_22
+11 1
+.names I307 ZI266_68 ZI266_69
+11 1
+.names I295 ZI287_150 ZI287_151
+11 1
+.names I277 ZI318_90 ZI318_91
+11 1
+.names I269 ZI310_74 ZI310_75
+11 1
+.names I303 ZI262_60 ZI262_61
+11 1
+.names I313 ZI272_80 ZI272_81
+11 1
+.names I149 ZI141_130 ZI141_131
+11 1
+.names I175 ZI141_17 ZI141_18
+11 1
+.names I169 ZI135_5 ZI135_6
+11 1
+.names I143 ZI135_118 ZI135_119
+11 1
+.names I148 ZI140_128 ZI140_129
+11 1
+.names I174 ZI140_15 ZI140_16
+11 1
+.names I90 ZI98_168 ZI98_169
+11 1
+.names I310 ZI269_74 ZI269_75
+11 1
+.names I192 ZI188_138 ZI188_139
+11 1
+.names I138 ZI146_124 ZI146_125
+11 1
+.names I180 ZI146_27 ZI146_28
+11 1
+.names I170 ZI136_7 ZI136_8
+11 1
+.names I144 ZI136_120 ZI136_121
+11 1
+.names I179 ZI171_106 ZI171_107
+11 1
+.names I137 ZI171_9 ZI171_10
+11 1
+.names I170 ZI178_104 ZI178_105
+11 1
+.names I144 ZI178_23 ZI178_24
+11 1
+.names I288 ZI296_152 ZI296_153
+11 1
+.names I146 ZI138_124 ZI138_125
+11 1
+.names I172 ZI138_11 ZI138_12
+11 1
+.names I233 ZI257_49 ZI257_50
+11 1
+.names I317 ZI276_88 ZI276_89
+11 1
+.names I136 ZI144_120 ZI144_121
+11 1
+.names I178 ZI144_23 ZI144_24
+11 1
+.names I265 ZI306_66 ZI306_67
+11 1
+.names I189 ZI193_140 ZI193_141
+11 1
+.names I230 ZI254_43 ZI254_44
+11 1
+.names I302 ZI261_58 ZI261_59
+11 1
+.names I264 ZI305_64 ZI305_65
+11 1
+.names I188 ZI192_138 ZI192_139
+11 1
+.names I234 ZI258_51 ZI258_52
+11 1
+.names I285 ZI293_146 ZI293_147
+11 1
+.names I228 ZI252_39 ZI252_40
+11 1
+.names I271 ZI312_78 ZI312_79
+11 1
+.names I145 ZI137_122 ZI137_123
+11 1
+.names I171 ZI137_9 ZI137_10
+11 1
+.names I98 ZI90_168 ZI90_169
+11 1
+.names I173 ZI181_110 ZI181_111
+11 1
+.names I147 ZI181_29 ZI181_30
+11 1
+.names I272 ZI313_80 ZI313_81
+11 1
+.names I301 ZI260_56 ZI260_57
+11 1
+.names I180 ZI172_108 ZI172_109
+11 1
+.names I138 ZI172_11 ZI172_12
+11 1
+.names I99 ZI91_170 ZI91_171
+11 1
+.names I231 ZI255_45 ZI255_46
+11 1
+.names I142 ZI150_132 ZI150_133
+11 1
+.names I184 ZI150_35 ZI150_36
+11 1
+.names I186 ZI190_134 ZI190_135
+11 1
+.names I147 ZI139_126 ZI139_127
+11 1
+.names I173 ZI139_13 ZI139_14
+11 1
+.names I193 ZI189_140 ZI189_141
+11 1
+.names I268 ZI309_72 ZI309_73
+11 1
+.names I314 ZI273_82 ZI273_83
+11 1
+.names I282 ZI323_100 ZI323_101
+11 1
+.names I95 ZI87_162 ZI87_163
+11 1
+.names I294 ZI286_148 ZI286_149
+11 1
+.names I437 I433 I770
+11 1
+.names I321 ZI280_96 ZI280_97
+11 1
+.names I306 ZI265_66 ZI265_67
+11 1
+.names I323 ZI282_100 ZI282_101
+11 1
+.names I251 ZI227_37 ZI227_38
+11 1
+.names I275 ZI316_86 ZI316_87
+11 1
+.names I253 ZI229_41 ZI229_42
+11 1
+.names I263 ZI304_62 ZI304_63
+11 1
+.names I266 ZI307_68 ZI307_69
+11 1
+.names I273 ZI314_82 ZI314_83
+11 1
+.names I97 ZI89_166 ZI89_167
+11 1
+.names I100 ZI92_172 ZI92_173
+11 1
+.names I107 I325 I326 I619
+111 1
+.names I112 I325 I326 I639
+111 1
+.names I105 I325 I326 I611
+111 1
+.names I110 I325 I326 I631
+111 1
+.names I117 I325 I326 I659
+111 1
+.names I106 I325 I326 I615
+111 1
+.names I111 I325 I326 I635
+111 1
+.names I109 I325 I326 I627
+111 1
+.names I115 I325 I326 I651
+111 1
+.names I108 I325 I326 I623
+111 1
+.names I116 I325 I326 I655
+111 1
+.names I102 I325 I326 I599
+111 1
+.names I101 I325 I326 I595
+111 1
+.names I104 I325 I326 I607
+111 1
+.names I114 I325 I326 I647
+111 1
+.names I103 I325 I326 I603
+111 1
+.names I113 I325 I326 I643
+111 1
+.names I298 ZI290_156 ZI290_157
+11 1
+.names I281 ZI322_98 ZI322_99
+11 1
+.names I286 ZI294_148 ZI294_149
+11 1
+.names I278 ZI319_92 ZI319_93
+11 1
+.names I232 ZI256_47 ZI256_48
+11 1
+.names I171 ZI179_106 ZI179_107
+11 1
+.names I145 ZI179_25 ZI179_26
+11 1
+.names I308 ZI267_70 ZI267_71
+11 1
+.names I293 ZI285_146 ZI285_147
+11 1
+.names I89 ZI97_166 ZI97_167
+11 1
+.names I183 ZI175_114 ZI175_115
+11 1
+.names I141 ZI175_17 ZI175_18
+11 1
+.names I136 ZI170_7 ZI170_8
+11 1
+.names I178 ZI170_104 ZI170_105
+11 1
+.names I280 ZI321_96 ZI321_97
+11 1
+.names I289 ZI297_154 ZI297_155
+11 1
+.names I259 ZI300_53 ZI300_54
+11 1
+.names I304 ZI263_62 ZI263_63
+11 1
+.names I267 ZI308_70 ZI308_71
+11 1
+.names I319 ZI278_92 ZI278_93
+11 1
+.names I181 ZI173_110 ZI173_111
+11 1
+.names I139 ZI173_13 ZI173_14
+11 1
+.names I369 I373 I755
+11 1
+.names I110 I329 I681
+11 1
+.names I112 I329 I685
+11 1
+.names I104 I329 I669
+11 1
+.names I116 I329 I693
+11 1
+.names I113 I329 I687
+11 1
+.names I102 I329 I665
+11 1
+.names I111 I329 I683
+11 1
+.names I109 I329 I679
+11 1
+.names I103 I329 I667
+11 1
+.names I105 I329 I671
+11 1
+.names I101 I329 I663
+11 1
+.names I107 I329 I675
+11 1
+.names I108 I329 I677
+11 1
+.names I106 I329 I673
+11 1
+.names I115 I329 I691
+11 1
+.names I117 I329 I695
+11 1
+.names I114 I329 I689
+11 1
+.names I172 ZI180_108 ZI180_109
+11 1
+.names I146 ZI180_27 ZI180_28
+11 1
+.names I182 ZI148_31 ZI148_32
+11 1
+.names I140 ZI148_128 ZI148_129
+11 1
+.names I91 ZI99_170 ZI99_171
+11 1
+.names I140 ZI174_15 ZI174_16
+11 1
+.names I182 ZI174_112 ZI174_113
+11 1
+.names I252 ZI228_39 ZI228_40
+11 1
+.names I191 ZI187_136 ZI187_137
+11 1
+.names I137 ZI145_122 ZI145_123
+11 1
+.names I179 ZI145_25 ZI145_26
+11 1
+.names I190 ZI186_134 ZI186_135
+11 1
+.names I305 ZI264_64 ZI264_65
+11 1
+.names I175 ZI183_114 ZI183_115
+11 1
+.names I149 ZI183_33 ZI183_34
+11 1
+.names I260 ZI301_56 ZI301_57
+11 1
+.names I92 ZI100_172 ZI100_173
+11 1
+.names I187 ZI191_136 ZI191_137
+11 1
+.names I320 ZI279_94 ZI279_95
+11 1
+.names I142 I326 I327 I625
+111 1
+.names I118 I324 I327 I596
+111 1
+.names I122 I324 I327 I612
+111 1
+.names I127 I324 I327 I632
+111 1
+.names I324 I132 I327 I652
+111 1
+.names I151 I326 I327 I661
+111 1
+.names I140 I326 I327 I617
+111 1
+.names I145 I326 I327 I637
+111 1
+.names I126 I324 I327 I628
+111 1
+.names I124 I324 I327 I620
+111 1
+.names I139 I326 I327 I613
+111 1
+.names I144 I326 I327 I633
+111 1
+.names I138 I326 I327 I609
+111 1
+.names I148 I326 I327 I649
+111 1
+.names I150 I326 I327 I657
+111 1
+.names I324 I120 I327 I604
+111 1
+.names I130 I324 I327 I644
+111 1
+.names I149 I326 I327 I653
+111 1
+.names I136 I326 I327 I601
+111 1
+.names I146 I326 I327 I641
+111 1
+.names I123 I324 I327 I616
+111 1
+.names I128 I324 I327 I636
+111 1
+.names I137 I326 I327 I605
+111 1
+.names I147 I326 I327 I645
+111 1
+.names I134 I324 I327 I660
+111 1
+.names I133 I324 I327 I656
+111 1
+.names I135 I326 I327 I597
+111 1
+.names I143 I326 I327 I629
+111 1
+.names I121 I324 I327 I608
+111 1
+.names I324 I131 I327 I648
+111 1
+.names I119 I324 I327 I600
+111 1
+.names I324 I129 I327 I640
+111 1
+.names I125 I324 I327 I624
+111 1
+.names I141 I326 I327 I621
+111 1
+.names I296 ZI288_152 ZI288_153
+11 1
+.names I312 ZI271_78 ZI271_79
+11 1
+.names I276 ZI317_88 ZI317_89
+11 1
+.names I255 ZI231_45 ZI231_46
+11 1
+.names I87 ZI95_162 ZI95_163
+11 1
+.names I311 ZI270_76 ZI270_77
+11 1
+.names I290 ZI298_156 ZI298_157
+11 1
+.names I322 ZI281_98 ZI281_99
+11 1
+.names I257 ZI233_49 ZI233_50
+11 1
+.names I176 ZI184_116 ZI184_117
+11 1
+.names I150 ZI184_35 ZI184_36
+11 1
+.names I274 ZI315_84 ZI315_85
+11 1
+.names I449 I453 I777
+11 1
+.names I461 I445 I778
+11 1
+.names I385 I389 I762
+11 1
+.names I397 I381 I763
+11 1
+.names I297 ZI289_154 ZI289_155
+11 1
+.names I154 I331 I701
+11 1
+.names I156 I331 I705
+11 1
+.names I152 I331 I697
+11 1
+.names I157 I331 I707
+11 1
+.names I155 I331 I703
+11 1
+.names I168 I331 I729
+11 1
+.names I164 I331 I721
+11 1
+.names I163 I331 I719
+11 1
+.names I166 I331 I725
+11 1
+.names I159 I331 I711
+11 1
+.names I161 I331 I715
+11 1
+.names I167 I331 I727
+11 1
+.names I153 I331 I699
+11 1
+.names I165 I331 I723
+11 1
+.names I162 I331 I717
+11 1
+.names I160 I331 I713
+11 1
+.names I158 I331 I709
+11 1
+.names I139 ZI147_126 ZI147_127
+11 1
+.names I181 ZI147_29 ZI147_30
+11 1
+.names I93 ZI85_158 ZI85_159
+11 1
+.names I94 ZI86_160 ZI86_161
+11 1
+.names ZI386_182 I387 ZI386_183
+11 1
+.names ZI370_178 I371 ZI370_179
+11 1
+.names I394 I761 I883
+11 1
+.names I386 ZI387_182 ZI387_183
+11 1
+.names ZI367_176 I366 ZI367_177
+11 1
+.names ZI431_184 I430 ZI431_185
+11 1
+.names ZI435_186 I434 ZI435_187
+11 1
+.names ZI447_188 I446 ZI447_189
+11 1
+.names ZI383_180 I382 ZI383_181
+11 1
+.names I367 ZI366_176 ZI366_177
+11 1
+.names I374 I751 I874
+11 1
+.names I378 I754 I876
+11 1
+.names I370 ZI371_178 ZI371_179
+11 1
+.names I438 I766 I889
+11 1
+.names I431 ZI430_184 ZI430_185
+11 1
+.names ZI450_190 I451 ZI450_191
+11 1
+.names I442 I769 I891
+11 1
+.names I435 ZI434_186 ZI434_187
+11 1
+.names I454 I773 I896
+11 1
+.names I447 ZI446_188 ZI446_189
+11 1
+.names I390 I758 I881
+11 1
+.names I383 ZI382_180 ZI382_181
+11 1
+.names I450 ZI451_190 ZI451_191
+11 1
+.names I458 I776 I898
+11 1
+.names I393 I878 I945
+11 1
+.names I377 I871 I939
+11 1
+.names I441 I886 I951
+11 1
+.names ZI433_272 I885 ZI433_273
+11 1
+.names I437 I885 I947
+11 1
+.names I433 I885 I946
+11 1
+.names I457 I893 I957
+11 1
+.names I334 I337 I747
+11 1
+.names ZI334_174 I337 ZI334_175
+11 1
+.names I511 I510 I782
+11 1
+.names ZI259_54 ZI300_54 I510
+00 0
+.names I369 I870 I934
+11 1
+.names I373 I870 I935
+11 1
+.names ZI369_264 I870 ZI369_265
+11 1
+.names I493 I496 I779
+11 1
+.names ZI493_192 I496 ZI493_193
+11 1
+.names I512 I514 I799
+11 1
+.names ZI512_194 I514 ZI512_195
+11 1
+.names I449 I892 I952
+11 1
+.names I453 I892 I953
+11 1
+.names ZI449_276 I892 ZI449_277
+11 1
+.names ZI385_268 I877 ZI385_269
+11 1
+.names I389 I877 I941
+11 1
+.names I385 I877 I940
+11 1
+.names I333 I837 I917
+11 1
+.names I700 I701 I837
+00 0
+.names I333 I839 I919
+11 1
+.names I704 I705 I839
+00 0
+.names I333 I835 I915
+11 1
+.names I696 I697 I835
+00 0
+.names I333 I840 I920
+11 1
+.names I706 I707 I840
+00 0
+.names I333 I838 I918
+11 1
+.names I702 I703 I838
+00 0
+.names I333 I851 I931
+11 1
+.names I728 I729 I851
+00 0
+.names I333 I847 I927
+11 1
+.names I720 I721 I847
+00 0
+.names I333 I846 I926
+11 1
+.names I718 I719 I846
+00 0
+.names I333 I849 I929
+11 1
+.names I724 I725 I849
+00 0
+.names I333 I842 I922
+11 1
+.names I710 I711 I842
+00 0
+.names I333 I844 I924
+11 1
+.names I714 I715 I844
+00 0
+.names I333 I850 I930
+11 1
+.names I726 I727 I850
+00 0
+.names I333 I836 I916
+11 1
+.names I698 I699 I836
+00 0
+.names I333 I848 I928
+11 1
+.names I722 I723 I848
+00 0
+.names I333 I845 I925
+11 1
+.names I716 I717 I845
+00 0
+.names I333 I843 I923
+11 1
+.names I712 I713 I843
+00 0
+.names I333 I841 I921
+11 1
+.names I708 I709 I841
+00 0
+.names ZI589_230 I593 ZI589_231
+11 1
+.names ZI578_216 I582 ZI578_217
+11 1
+.names ZI579_218 I583 ZI579_219
+11 1
+.names ZI558_196 I562 ZI558_197
+11 1
+.names I578 ZI582_216 ZI582_217
+11 1
+.names ZI572_208 I568 ZI572_209
+11 1
+.names ZI566_204 I570 ZI566_205
+11 1
+.names ZI569_210 I573 ZI569_211
+11 1
+.names ZI567_206 I571 ZI567_207
+11 1
+.names ZI576_212 I574 ZI576_213
+11 1
+.names ZI591_226 I587 ZI591_227
+11 1
+.names ZI758_234 I759 ZI758_235
+11 1
+.names I758 I759 I879
+11 1
+.names I390 I759 I880
+11 1
+.names I569 ZI573_210 ZI573_211
+11 1
+.names ZI577_214 I575 ZI577_215
+11 1
+.names I433 ZI885_272 ZI885_273
+11 1
+.names ZI581_222 I585 ZI581_223
+11 1
+.names ZI560_200 I564 ZI560_201
+11 1
+.names ZI580_220 I584 ZI580_221
+11 1
+.names ZI590_224 I586 ZI590_225
+11 1
+.names I334 ZI337_174 ZI337_175
+11 1
+.names ZI559_198 I563 ZI559_199
+11 1
+.names I558 ZI562_196 ZI562_197
+11 1
+.names I369 ZI870_264 ZI870_265
+11 1
+.names ZI561_202 I565 ZI561_203
+11 1
+.names I567 ZI571_206 ZI571_207
+11 1
+.names ZI592_228 I588 ZI592_229
+11 1
+.names I559 ZI563_198 ZI563_199
+11 1
+.names I493 ZI496_192 ZI496_193
+11 1
+.names I572 ZI568_208 ZI568_209
+11 1
+.names I576 ZI574_212 ZI574_213
+11 1
+.names I560 ZI564_200 ZI564_201
+11 1
+.names I512 ZI514_194 ZI514_195
+11 1
+.names I589 ZI593_230 ZI593_231
+11 1
+.names ZI751_232 I752 ZI751_233
+11 1
+.names I751 I752 I872
+11 1
+.names I374 I752 I873
+11 1
+.names I766 I767 I887
+11 1
+.names I438 I767 I888
+11 1
+.names ZI766_236 I767 ZI766_237
+11 1
+.names I577 ZI575_214 ZI575_215
+11 1
+.names I579 ZI583_218 ZI583_219
+11 1
+.names I592 ZI588_228 ZI588_229
+11 1
+.names I581 ZI585_222 ZI585_223
+11 1
+.names I561 ZI565_202 ZI565_203
+11 1
+.names I449 ZI892_276 ZI892_277
+11 1
+.names I462 I771 I899
+11 1
+.names I398 I756 I884
+11 1
+.names I385 ZI877_268 ZI877_269
+11 1
+.names I580 ZI584_220 ZI584_221
+11 1
+.names I566 ZI570_204 ZI570_205
+11 1
+.names I454 I774 I895
+11 1
+.names ZI773_238 I774 ZI773_239
+11 1
+.names I773 I774 I894
+11 1
+.names I590 ZI586_224 ZI586_225
+11 1
+.names I591 ZI587_226 ZI587_227
+11 1
+.names I758 ZI759_234 ZI759_235
+11 1
+.names I439 I995 I1016
+11 1
+.names I339 I869 I932
+11 1
+.names ZI339_262 I869 ZI339_263
+11 1
+.names I375 I987 I1009
+11 1
+.names ZI498_280 I900 ZI498_281
+11 1
+.names I498 I900 I958
+11 1
+.names I516 I903 I962
+11 1
+.names ZI516_282 I903 ZI516_283
+11 1
+.names I751 ZI752_232 ZI752_233
+11 1
+.names I766 ZI767_236 ZI767_237
+11 1
+.names I455 I999 I1019
+11 1
+.names I391 I991 I1012
+11 1
+.names I773 ZI774_238 ZI774_239
+11 1
+.names I392 I943 I994
+11 1
+.names ZI437_300 I948 ZI437_301
+11 1
+.names I339 ZI869_262 ZI869_263
+11 1
+.names ZI373_296 I936 ZI373_297
+11 1
+.names I498 ZI900_280 ZI900_281
+11 1
+.names I516 ZI903_282 ZI903_283
+11 1
+.names I511 I784 I902
+11 1
+.names ZI512_195 ZI514_195 I784
+00 0
+.names I376 I937 I990
+11 1
+.names I440 I949 I998
+11 1
+.names ZI453_302 I954 ZI453_303
+11 1
+.names ZI389_298 I942 ZI389_299
+11 1
+.names I456 I955 I1002
+11 1
+.names ZI810_250 I812 ZI810_251
+11 1
+.names ZI390_270 I882 ZI390_271
+11 1
+.names ZI807_246 I805 ZI807_247
+11 1
+.names I437 ZI948_300 ZI948_301
+11 1
+.names I341 I986 I1006
+11 1
+.names ZI341_304 I986 ZI341_305
+11 1
+.names ZI800_240 I802 ZI800_241
+11 1
+.names I373 ZI936_296 ZI936_297
+11 1
+.names I807 ZI805_246 ZI805_247
+11 1
+.names ZI801_242 I803 ZI801_243
+11 1
+.names I500 I1003 I1022
+11 1
+.names ZI500_314 I1003 ZI500_315
+11 1
+.names ZI806_244 I804 ZI806_245
+11 1
+.names ZI808_248 I809 ZI808_249
+11 1
+.names I800 ZI802_240 ZI802_241
+11 1
+.names ZI518_316 I1005 ZI518_317
+11 1
+.names I518 I1005 I1026
+11 1
+.names ZI817_256 I815 ZI817_257
+11 1
+.names ZI374_266 I875 ZI374_267
+11 1
+.names ZI438_274 I890 ZI438_275
+11 1
+.names I808 ZI809_248 ZI809_249
+11 1
+.names ZI811_252 I813 ZI811_253
+11 1
+.names ZI816_254 I814 ZI816_255
+11 1
+.names I811 ZI813_252 ZI813_253
+11 1
+.names I801 ZI803_242 ZI803_243
+11 1
+.names I453 ZI954_302 ZI954_303
+11 1
+.names I389 ZI942_298 ZI942_299
+11 1
+.names I810 ZI812_250 ZI812_251
+11 1
+.names I806 ZI804_244 ZI804_245
+11 1
+.names ZI454_278 I897 ZI454_279
+11 1
+.names I816 ZI814_254 ZI814_255
+11 1
+.names I817 ZI815_256 ZI815_257
+11 1
+.names I390 ZI882_270 ZI882_271
+11 1
+.names I341 ZI986_304 ZI986_305
+11 1
+.names I500 ZI1003_314 ZI1003_315
+11 1
+.names I518 ZI1005_316 ZI1005_317
+11 1
+.names I511 I961 I1004
+11 1
+.names ZI516_283 ZI903_283 I961
+00 0
+.names I374 ZI875_266 ZI875_267
+11 1
+.names I438 ZI890_274 ZI890_275
+11 1
+.names I454 ZI897_278 ZI897_279
+11 1
+.names ZI343_326 I1027 ZI343_327
+11 1
+.names I343 I1027 I1039
+11 1
+.names ZI502_336 I1036 ZI502_337
+11 1
+.names I502 I1036 I1055
+11 1
+.names I520 I1038 I1059
+11 1
+.names ZI520_338 I1038 ZI520_339
+11 1
+.names ZI194_260 I908 ZI194_261
+11 1
+.names I465 I1000 I1021
+11 1
+.names I401 I992 I1014
+11 1
+.names I391 I944 I993
+11 1
+.names I991 I944 I1011
+11 1
+.names ZI991_308 I944 ZI991_309
+11 1
+.names I343 ZI1027_326 ZI1027_327
+11 1
+.names ZI907_286 I906 ZI907_287
+11 1
+.names I502 ZI1036_336 ZI1036_337
+11 1
+.names ZI904_284 I905 ZI904_285
+11 1
+.names I511 I1025 I1037
+11 1
+.names ZI518_317 ZI1005_317 I1025
+00 0
+.names I520 ZI1038_338 ZI1038_339
+11 1
+.names I987 I938 I1008
+11 1
+.names ZI987_306 I938 ZI987_307
+11 1
+.names I375 I938 I989
+11 1
+.names I439 I950 I997
+11 1
+.names I995 I950 I1015
+11 1
+.names ZI995_310 I950 ZI995_311
+11 1
+.names I194 ZI908_260 ZI908_261
+11 1
+.names ZI910_288 I909 ZI910_289
+11 1
+.names I904 ZI905_284 ZI905_285
+11 1
+.names I910 ZI909_288 ZI909_289
+11 1
+.names I907 ZI906_286 ZI906_287
+11 1
+.names I999 I956 I1018
+11 1
+.names ZI999_312 I956 ZI999_313
+11 1
+.names I455 I956 I1001
+11 1
+.names ZI911_258 I912 ZI911_259
+11 1
+.names I911 ZI912_258 ZI912_259
+11 1
+.names I991 ZI944_308 ZI944_309
+11 1
+.names ZI345_348 I1060 ZI345_349
+11 1
+.names I345 I1060 I1072
+11 1
+.names I504 I1069 I1098
+11 1
+.names ZI504_366 I1069 ZI504_367
+11 1
+.names ZI522_368 I1071 ZI522_369
+11 1
+.names I522 I1071 I1102
+11 1
+.names I987 ZI938_306 ZI938_307
+11 1
+.names I995 ZI950_310 ZI950_311
+11 1
+.names I999 ZI956_312 ZI956_313
+11 1
+.names I392 I1030 I1045
+11 1
+.names ZI943_330 I1030 ZI943_331
+11 1
+.names I943 I1030 I1044
+11 1
+.names I345 ZI1060_348 ZI1060_349
+11 1
+.names I504 ZI1069_366 ZI1069_367
+11 1
+.names I511 I1058 I1070
+11 1
+.names ZI520_339 ZI1038_339 I1058
+00 0
+.names I522 ZI1071_368 ZI1071_369
+11 1
+.names I376 I1028 I1042
+11 1
+.names ZI937_328 I1028 ZI937_329
+11 1
+.names I937 I1028 I1041
+11 1
+.names I440 I1032 I1049
+11 1
+.names I949 I1032 I1048
+11 1
+.names ZI949_332 I1032 ZI949_333
+11 1
+.names ZI185_292 I963 ZI185_293
+11 1
+.names ZI299_290 I965 ZI299_291
+11 1
+.names ZI151_294 I964 ZI151_295
+11 1
+.names I456 I1034 I1052
+11 1
+.names I955 I1034 I1051
+11 1
+.names ZI955_334 I1034 ZI955_335
+11 1
+.names I943 ZI1030_330 ZI1030_331
+11 1
+.names ZI391_320 I1013 ZI391_321
+11 1
+.names ZI347_386 I1103 ZI347_387
+11 1
+.names I347 I1103 I1123
+11 1
+.names ZI506_400 I1120 ZI506_401
+11 1
+.names I506 I1120 I1153
+11 1
+.names I524 I1122 I1157
+11 1
+.names ZI524_402 I1122 ZI524_403
+11 1
+.names ZI375_318 I1010 ZI375_319
+11 1
+.names I937 ZI1028_328 ZI1028_329
+11 1
+.names I949 ZI1032_332 ZI1032_333
+11 1
+.names ZI439_322 I1017 ZI439_323
+11 1
+.names I185 ZI963_292 ZI963_293
+11 1
+.names I299 ZI965_290 ZI965_291
+11 1
+.names I151 ZI964_294 ZI964_295
+11 1
+.names I955 ZI1034_334 ZI1034_335
+11 1
+.names ZI455_324 I1020 ZI455_325
+11 1
+.names I394 I1063 I1084
+11 1
+.names ZI761_356 I1063 ZI761_357
+11 1
+.names I761 I1063 I1083
+11 1
+.names I391 ZI1013_320 ZI1013_321
+11 1
+.names I347 ZI1103_386 ZI1103_387
+11 1
+.names I506 ZI1120_400 ZI1120_401
+11 1
+.names I511 I1101 I1121
+11 1
+.names ZI522_369 ZI1071_369 I1101
+00 0
+.names I524 ZI1122_402 ZI1122_403
+11 1
+.names I375 ZI1010_318 ZI1010_319
+11 1
+.names ZI754_352 I1061 ZI754_353
+11 1
+.names I378 I1061 I1078
+11 1
+.names I754 I1061 I1077
+11 1
+.names ZI769_360 I1065 ZI769_361
+11 1
+.names I769 I1065 I1089
+11 1
+.names I442 I1065 I1090
+11 1
+.names I439 ZI1017_322 ZI1017_323
+11 1
+.names ZI776_364 I1067 ZI776_365
+11 1
+.names I458 I1067 I1096
+11 1
+.names I776 I1067 I1095
+11 1
+.names I455 ZI1020_324 ZI1020_325
+11 1
+.names I761 ZI1063_356 ZI1063_357
+11 1
+.names ZI392_342 I1046 ZI392_343
+11 1
+.names ZI349_416 I1158 ZI349_417
+11 1
+.names I349 I1158 I1174
+11 1
+.names I508 I1171 I1198
+11 1
+.names ZI508_430 I1171 ZI508_431
+11 1
+.names ZI526_432 I1173 ZI526_433
+11 1
+.names I526 I1173 I1202
+11 1
+.names I754 ZI1061_352 ZI1061_353
+11 1
+.names ZI376_340 I1043 ZI376_341
+11 1
+.names I769 ZI1065_360 ZI1065_361
+11 1
+.names ZI440_344 I1050 ZI440_345
+11 1
+.names I776 ZI1067_364 ZI1067_365
+11 1
+.names ZI456_346 I1053 ZI456_347
+11 1
+.names I396 I1110 I1134
+11 1
+.names I392 ZI1046_342 ZI1046_343
+11 1
+.names I402 I1031 I1047
+11 1
+.names I349 ZI1158_416 ZI1158_417
+11 1
+.names I508 ZI1171_430 ZI1171_431
+11 1
+.names I526 ZI1173_432 ZI1173_433
+11 1
+.names I511 I1156 I1172
+11 1
+.names ZI524_403 ZI1122_403 I1156
+00 0
+.names I380 I1106 I1129
+11 1
+.names I376 ZI1043_340 ZI1043_341
+11 1
+.names I444 I1114 I1143
+11 1
+.names I440 ZI1050_344 ZI1050_345
+11 1
+.names I460 I1118 I1148
+11 1
+.names I456 ZI1053_346 ZI1053_347
+11 1
+.names I466 I1035 I1054
+11 1
+.names ZI394_376 I1085 ZI394_377
+11 1
+.names I351 I1203 I1219
+11 1
+.names ZI351_446 I1203 ZI351_447
+11 1
+.names ZI235_460 I1216 ZI235_461
+11 1
+.names I528 I1218 I1249
+11 1
+.names ZI528_462 I1218 ZI528_463
+11 1
+.names ZI378_372 I1079 ZI378_373
+11 1
+.names ZI442_380 I1091 ZI442_381
+11 1
+.names ZI458_384 I1097 ZI458_385
+11 1
+.names I394 ZI1085_376 ZI1085_377
+11 1
+.names ZI878_354 I1064 ZI878_355
+11 1
+.names I878 I1064 I1080
+11 1
+.names I393 I1064 I1081
+11 1
+.names I351 ZI1203_446 ZI1203_447
+11 1
+.names ZI236_476 I1245 ZI236_477
+11 1
+.names I235 ZI1216_460 ZI1216_461
+11 1
+.names I528 ZI1218_462 ZI1218_463
+11 1
+.names I511 I1201 I1217
+11 1
+.names ZI526_433 ZI1173_433 I1201
+00 0
+.names I378 ZI1079_372 ZI1079_373
+11 1
+.names I871 I1062 I1074
+11 1
+.names I377 I1062 I1075
+11 1
+.names ZI871_350 I1062 ZI871_351
+11 1
+.names I442 ZI1091_380 ZI1091_381
+11 1
+.names I886 I1066 I1086
+11 1
+.names I441 I1066 I1087
+11 1
+.names ZI886_358 I1066 ZI886_359
+11 1
+.names I458 ZI1097_384 ZI1097_385
+11 1
+.names I457 I1068 I1093
+11 1
+.names ZI893_362 I1068 ZI893_363
+11 1
+.names I893 I1068 I1092
+11 1
+.names I878 ZI1064_354 ZI1064_355
+11 1
+.names ZI353_478 I1250 ZI353_479
+11 1
+.names I353 I1250 I1267
+11 1
+.names ZI237_488 I1263 ZI237_489
+11 1
+.names I236 ZI1245_476 ZI1245_477
+11 1
+.names I530 I1266 I1291
+11 1
+.names ZI530_490 I1266 ZI530_491
+11 1
+.names I871 ZI1062_350 ZI1062_351
+11 1
+.names I886 ZI1066_358 ZI1066_359
+11 1
+.names I893 ZI1068_362 ZI1068_363
+11 1
+.names I395 I1111 I1132
+11 1
+.names I395 I1108 I1131
+11 1
+.names I1111 I1108 I1130
+11 1
+.names I353 ZI1250_478 ZI1250_479
+11 1
+.names I237 ZI1263_488 ZI1263_489
+11 1
+.names ZI238_500 I1287 ZI238_501
+11 1
+.names I530 ZI1266_490 ZI1266_491
+11 1
+.names I1248 I511 I1265
+11 1
+.names I379 I1107 I1127
+11 1
+.names I379 I1104 I1126
+11 1
+.names I1107 I1104 I1125
+11 1
+.names I443 I1115 I1141
+11 1
+.names I443 I1112 I1140
+11 1
+.names I1115 I1112 I1139
+11 1
+.names I459 I1119 I1146
+11 1
+.names I1119 I1116 I1144
+11 1
+.names I459 I1116 I1145
+11 1
+.names ZI1111_390 I1108 ZI1111_391
+11 1
+.names ZI393_374 I1082 ZI393_375
+11 1
+.names I1111 ZI1108_390 ZI1108_391
+11 1
+.names I355 I1292 I1305
+11 1
+.names ZI355_502 I1292 ZI355_503
+11 1
+.names ZI239_516 I1301 ZI239_517
+11 1
+.names I238 ZI1287_500 ZI1287_501
+11 1
+.names I532 I1304 I1331
+11 1
+.names ZI532_518 I1304 ZI532_519
+11 1
+.names ZI1107_388 I1104 ZI1107_389
+11 1
+.names I1107 ZI1104_388 ZI1104_389
+11 1
+.names ZI377_370 I1076 ZI377_371
+11 1
+.names ZI1115_394 I1112 ZI1115_395
+11 1
+.names I1115 ZI1112_394 ZI1112_395
+11 1
+.names ZI441_378 I1088 ZI441_379
+11 1
+.names ZI1119_396 I1116 ZI1119_397
+11 1
+.names ZI457_382 I1094 ZI457_383
+11 1
+.names I1119 ZI1116_396 ZI1116_397
+11 1
+.names I393 ZI1082_374 ZI1082_375
+11 1
+.names ZI1110_420 I1161 ZI1110_421
+11 1
+.names I396 I1161 I1180
+11 1
+.names I355 ZI1292_502 ZI1292_503
+11 1
+.names I239 ZI1301_516 ZI1301_517
+11 1
+.names ZI240_532 I1327 ZI240_533
+11 1
+.names I532 ZI1304_518 ZI1304_519
+11 1
+.names I1290 I511 I1303
+11 1
+.names ZI1106_418 I1159 ZI1106_419
+11 1
+.names I380 I1159 I1177
+11 1
+.names I377 ZI1076_370 ZI1076_371
+11 1
+.names I444 I1165 I1188
+11 1
+.names ZI1114_424 I1165 ZI1114_425
+11 1
+.names I441 ZI1088_378 ZI1088_379
+11 1
+.names I457 ZI1094_382 ZI1094_383
+11 1
+.names I460 I1167 I1191
+11 1
+.names ZI1118_426 I1167 ZI1118_427
+11 1
+.names ZI395_406 I1133 ZI395_407
+11 1
+.names I1110 ZI1161_420 ZI1161_421
+11 1
+.names ZI357_534 I1332 ZI357_535
+11 1
+.names I357 I1332 I1349
+11 1
+.names I240 ZI1327_532 ZI1327_533
+11 1
+.names ZI241_540 I1345 ZI241_541
+11 1
+.names ZI534_542 I1348 ZI534_543
+11 1
+.names I534 I1348 I1369
+11 1
+.names ZI379_404 I1128 ZI379_405
+11 1
+.names I1106 ZI1159_418 ZI1159_419
+11 1
+.names ZI443_410 I1142 ZI443_411
+11 1
+.names I1114 ZI1165_424 ZI1165_425
+11 1
+.names ZI459_412 I1147 ZI459_413
+11 1
+.names I1118 ZI1167_426 ZI1167_427
+11 1
+.names I413 I1109 I1138
+11 1
+.names I395 ZI1133_406 ZI1133_407
+11 1
+.names I418 I1206 I1232
+11 1
+.names I357 ZI1332_534 ZI1332_535
+11 1
+.names I241 ZI1345_540 ZI1345_541
+11 1
+.names ZI242_548 I1365 ZI242_549
+11 1
+.names I534 ZI1348_542 ZI1348_543
+11 1
+.names I1330 I511 I1347
+11 1
+.names I379 ZI1128_404 ZI1128_405
+11 1
+.names ZI1031_452 I1204 ZI1031_453
+11 1
+.names I402 I1204 I1229
+11 1
+.names I1031 I1204 I1228
+11 1
+.names I397 I1105 I1136
+11 1
+.names I381 I1105 I1135
+11 1
+.names ZI381_392 I1105 ZI381_393
+11 1
+.names I443 ZI1142_410 ZI1142_411
+11 1
+.names I1035 I1210 I1240
+11 1
+.names ZI1035_458 I1210 ZI1035_459
+11 1
+.names I466 I1210 I1241
+11 1
+.names I461 I1113 I1150
+11 1
+.names ZI445_398 I1113 ZI445_399
+11 1
+.names I445 I1113 I1149
+11 1
+.names I477 I1117 I1152
+11 1
+.names I459 ZI1147_412 ZI1147_413
+11 1
+.names I482 I1212 I1244
+11 1
+.names ZI396_436 I1181 ZI396_437
+11 1
+.names ZI359_550 I1370 ZI359_551
+11 1
+.names I359 I1370 I1378
+11 1
+.names I242 ZI1365_548 ZI1365_549
+11 1
+.names I536 I1377 I1388
+11 1
+.names ZI536_556 I1377 ZI536_557
+11 1
+.names I1031 ZI1204_452 ZI1204_453
+11 1
+.names ZI380_434 I1178 ZI380_435
+11 1
+.names I381 ZI1105_392 ZI1105_393
+11 1
+.names ZI444_440 I1189 ZI444_441
+11 1
+.names I1035 ZI1210_458 ZI1210_459
+11 1
+.names I445 ZI1113_398 ZI1113_399
+11 1
+.names ZI460_442 I1192 ZI460_443
+11 1
+.names I414 I1162 I1186
+11 1
+.names I396 ZI1181_436 ZI1181_437
+11 1
+.names I359 ZI1370_550 ZI1370_551
+11 1
+.names I536 ZI1377_556 ZI1377_557
+11 1
+.names I1368 I511 I1376
+11 1
+.names I398 I1160 I1183
+11 1
+.names ZI756_422 I1160 ZI756_423
+11 1
+.names I756 I1160 I1182
+11 1
+.names I404 I1255 I1276
+11 1
+.names I380 ZI1178_434 ZI1178_435
+11 1
+.names I399 I1163 I1185
+11 1
+.names ZI771_428 I1166 ZI771_429
+11 1
+.names I462 I1166 I1194
+11 1
+.names I771 I1166 I1193
+11 1
+.names I444 ZI1189_440 ZI1189_441
+11 1
+.names I468 I1261 I1285
+11 1
+.names I463 I1169 I1196
+11 1
+.names I478 I1168 I1197
+11 1
+.names I460 ZI1192_442 ZI1192_443
+11 1
+.names I361 I1389 I1396
+11 1
+.names ZI361_562 I1389 ZI361_563
+11 1
+.names I538 I1395 I1412
+11 1
+.names ZI538_572 I1395 ZI538_573
+11 1
+.names I756 ZI1160_422 ZI1160_423
+11 1
+.names ZI402_468 I1230 ZI402_469
+11 1
+.names ZI397_408 I1137 ZI397_409
+11 1
+.names I771 ZI1166_428 ZI1166_429
+11 1
+.names ZI466_474 I1242 ZI466_475
+11 1
+.names ZI461_414 I1151 ZI461_415
+11 1
+.names I417 I1207 I1231
+11 1
+.names I361 ZI1389_562 ZI1389_563
+11 1
+.names I538 ZI1395_572 ZI1395_573
+11 1
+.names I1387 I511 I1394
+11 1
+.names I400 I1208 I1224
+11 1
+.names I402 ZI1230_468 ZI1230_469
+11 1
+.names I401 I1205 I1226
+11 1
+.names I992 I1205 I1225
+11 1
+.names ZI992_450 I1205 ZI992_451
+11 1
+.names I397 ZI1137_408 ZI1137_409
+11 1
+.names I464 I1214 I1236
+11 1
+.names ZI1000_456 I1211 ZI1000_457
+11 1
+.names I1000 I1211 I1237
+11 1
+.names I465 I1211 I1238
+11 1
+.names I466 ZI1242_474 ZI1242_475
+11 1
+.names I461 ZI1151_414 ZI1151_415
+11 1
+.names I481 I1213 I1243
+11 1
+.names ZI363_582 I1413 ZI363_583
+11 1
+.names I363 I1413 I1424
+11 1
+.names ZI540_592 I1423 ZI540_593
+11 1
+.names I540 I1423 I1444
+11 1
+.names ZI398_438 I1184 ZI398_439
+11 1
+.names I992 ZI1205_450 ZI1205_451
+11 1
+.names ZI462_444 I1195 ZI462_445
+11 1
+.names I1000 ZI1211_456 ZI1211_457
+11 1
+.names I363 ZI1413_582 ZI1413_583
+11 1
+.names I540 ZI1423_592 ZI1423_593
+11 1
+.names I1411 I511 I1422
+11 1
+.names I398 ZI1184_438 ZI1184_439
+11 1
+.names I403 I1256 I1274
+11 1
+.names I1256 I1253 I1272
+11 1
+.names I403 I1253 I1273
+11 1
+.names I462 ZI1195_444 ZI1195_445
+11 1
+.names I467 I1259 I1282
+11 1
+.names I1259 I1262 I1281
+11 1
+.names I467 I1262 I1283
+11 1
+.names ZI364_602 I1445 ZI364_603
+11 1
+.names ZI542_612 I1455 ZI542_613
+11 1
+.names I542 I1455 I1473
+11 1
+.names ZI1256_482 I1253 ZI1256_483
+11 1
+.names I1256 ZI1253_482 ZI1253_483
+11 1
+.names ZI401_466 I1227 ZI401_467
+11 1
+.names ZI465_472 I1239 ZI465_473
+11 1
+.names ZI1259_486 I1262 ZI1259_487
+11 1
+.names I1259 ZI1262_486 ZI1262_487
+11 1
+.names I364 ZI1445_602 ZI1445_603
+11 1
+.names I1443 I511 I1454
+11 1
+.names I542 ZI1455_612 ZI1455_613
+11 1
+.names ZI1163_448 I1209 ZI1163_449
+11 1
+.names I399 I1209 I1222
+11 1
+.names I1163 I1209 I1221
+11 1
+.names I404 I1295 I1308
+11 1
+.names ZI1255_504 I1295 ZI1255_505
+11 1
+.names I1255 I1295 I1307
+11 1
+.names I401 ZI1227_466 ZI1227_467
+11 1
+.names I1169 I1215 I1233
+11 1
+.names ZI1169_454 I1215 ZI1169_455
+11 1
+.names I463 I1215 I1234
+11 1
+.names I465 ZI1239_472 ZI1239_473
+11 1
+.names ZI1261_510 I1299 ZI1261_511
+11 1
+.names I1261 I1299 I1317
+11 1
+.names I468 I1299 I1318
+11 1
+.names ZI544_634 I1483 ZI544_635
+11 1
+.names I544 I1483 I1506
+11 1
+.names I1163 ZI1209_448 ZI1209_449
+11 1
+.names ZI403_494 I1275 ZI403_495
+11 1
+.names I1255 ZI1295_504 ZI1295_505
+11 1
+.names I1169 ZI1215_454 ZI1215_455
+11 1
+.names I1261 ZI1299_510 ZI1299_511
+11 1
+.names ZI467_498 I1284 ZI467_499
+11 1
+.names I544 ZI1483_634 ZI1483_635
+11 1
+.names I1472 I511 I1482
+11 1
+.names ZI1208_480 I1251 ZI1208_481
+11 1
+.names I400 I1251 I1270
+11 1
+.names I1208 I1251 I1269
+11 1
+.names I403 ZI1275_494 ZI1275_495
+11 1
+.names I410 I1333 I1357
+11 1
+.names I405 I1254 I1277
+11 1
+.names ZI1214_484 I1257 ZI1214_485
+11 1
+.names I464 I1257 I1279
+11 1
+.names I1214 I1257 I1278
+11 1
+.names I469 I1260 I1286
+11 1
+.names I474 I1339 I1364
+11 1
+.names I467 ZI1284_498 ZI1284_499
+11 1
+.names I546 I1520 I1540
+11 1
+.names ZI546_656 I1520 ZI546_657
+11 1
+.names ZI399_464 I1223 ZI399_465
+11 1
+.names I1208 ZI1251_480 ZI1251_481
+11 1
+.names ZI404_520 I1309 ZI404_521
+11 1
+.names ZI463_470 I1235 ZI463_471
+11 1
+.names I1214 ZI1257_484 ZI1257_485
+11 1
+.names ZI468_526 I1319 ZI468_527
+11 1
+.names I546 ZI1520_656 ZI1520_657
+11 1
+.names I399 ZI1223_464 ZI1223_465
+11 1
+.names I406 I1293 I1315
+11 1
+.names I406 I1296 I1314
+11 1
+.names I1293 I1296 I1313
+11 1
+.names I404 ZI1309_520 ZI1309_521
+11 1
+.names I463 ZI1235_470 ZI1235_471
+11 1
+.names I470 I1297 I1325
+11 1
+.names I468 ZI1319_526 ZI1319_527
+11 1
+.names I470 I1300 I1324
+11 1
+.names I1297 I1300 I1323
+11 1
+.names ZI548_678 I1550 ZI548_679
+11 1
+.names I548 I1550 I1572
+11 1
+.names ZI400_492 I1271 ZI400_493
+11 1
+.names ZI1293_508 I1296 ZI1293_509
+11 1
+.names I1293 ZI1296_508 ZI1296_509
+11 1
+.names ZI1297_514 I1300 ZI1297_515
+11 1
+.names ZI464_496 I1280 ZI464_497
+11 1
+.names I1297 ZI1300_514 ZI1300_515
+11 1
+.names I548 ZI1550_678 ZI1550_679
+11 1
+.names I400 ZI1271_492 ZI1271_493
+11 1
+.names I408 I1337 I1355
+11 1
+.names I409 I1334 I1356
+11 1
+.names I464 ZI1280_496 ZI1280_497
+11 1
+.names I473 I1340 I1363
+11 1
+.names I472 I1343 I1362
+11 1
+.names I550 I1586 I1602
+11 1
+.names ZI550_696 I1586 ZI550_697
+11 1
+.names ZI406_524 I1316 ZI406_525
+11 1
+.names ZI470_530 I1326 ZI470_531
+11 1
+.names I550 ZI1586_696 ZI1586_697
+11 1
+.names I1254 I1294 I1310
+11 1
+.names I405 I1294 I1311
+11 1
+.names ZI1254_506 I1294 ZI1254_507
+11 1
+.names I406 ZI1316_524 ZI1316_525
+11 1
+.names I1260 I1298 I1320
+11 1
+.names ZI1260_512 I1298 ZI1260_513
+11 1
+.names I469 I1298 I1321
+11 1
+.names I470 ZI1326_530 ZI1326_531
+11 1
+.names ZI552_706 I1608 ZI552_707
+11 1
+.names I552 I1608 I1616
+11 1
+.names I1254 ZI1294_506 ZI1294_507
+11 1
+.names I1260 ZI1298_512 ZI1298_513
+11 1
+.names I552 ZI1608_706 ZI1608_707
+11 1
+.names I407 I1335 I1352
+11 1
+.names I1335 I1338 I1351
+11 1
+.names I407 I1338 I1353
+11 1
+.names I471 I1341 I1359
+11 1
+.names I1341 I1344 I1358
+11 1
+.names I471 I1344 I1360
+11 1
+.names I554 I1622 I1636
+11 1
+.names ZI554_720 I1622 ZI554_721
+11 1
+.names ZI1335_536 I1338 ZI1335_537
+11 1
+.names ZI405_522 I1312 ZI405_523
+11 1
+.names I1335 ZI1338_536 ZI1338_537
+11 1
+.names ZI469_528 I1322 ZI469_529
+11 1
+.names ZI1341_538 I1344 ZI1341_539
+11 1
+.names I1341 ZI1344_538 ZI1344_539
+11 1
+.names I554 ZI1622_720 ZI1622_721
+11 1
+.names I405 ZI1312_522 ZI1312_523
+11 1
+.names ZI1337_552 I1371 ZI1337_553
+11 1
+.names I408 I1371 I1381
+11 1
+.names I1337 I1371 I1380
+11 1
+.names I469 ZI1322_528 ZI1322_529
+11 1
+.names ZI1343_554 I1373 ZI1343_555
+11 1
+.names I1343 I1373 I1383
+11 1
+.names I472 I1373 I1384
+11 1
+.names ZI556_734 I1646 ZI556_735
+11 1
+.names I556 I1646 I1658
+11 1
+.names ZI407_544 I1354 ZI407_545
+11 1
+.names I1337 ZI1371_552 ZI1371_553
+11 1
+.names ZI471_546 I1361 ZI471_547
+11 1
+.names I1343 ZI1373_554 ZI1373_555
+11 1
+.names I556 ZI1646_734 ZI1646_735
+11 1
+.names I407 ZI1354_544 ZI1354_545
+11 1
+.names ZI1333_566 I1390 ZI1333_567
+11 1
+.names I410 I1390 I1402
+11 1
+.names I1333 I1390 I1401
+11 1
+.names I471 ZI1361_546 ZI1361_547
+11 1
+.names I1339 I1392 I1407
+11 1
+.names I474 I1392 I1408
+11 1
+.names ZI1339_570 I1392 ZI1339_571
+11 1
+.names ZI557_744 I1664 ZI557_745
+11 1
+.names ZI408_558 I1382 ZI408_559
+11 1
+.names I1333 ZI1390_566 ZI1390_567
+11 1
+.names ZI472_560 I1385 ZI472_561
+11 1
+.names I1339 ZI1392_570 ZI1392_571
+11 1
+.names I557 ZI1664_744 ZI1664_745
+11 1
+.names I408 ZI1382_558 ZI1382_559
+11 1
+.names I412 I1416 I1430
+11 1
+.names I472 ZI1385_560 ZI1385_561
+11 1
+.names I476 I1420 I1438
+11 1
+.names ZI410_576 I1403 ZI410_577
+11 1
+.names ZI474_580 I1409 ZI474_581
+11 1
+.names ZI1334_564 I1391 ZI1334_565
+11 1
+.names I409 I1391 I1399
+11 1
+.names I1334 I1391 I1398
+11 1
+.names I410 ZI1403_576 ZI1403_577
+11 1
+.names I1340 I1393 I1404
+11 1
+.names I473 I1393 I1405
+11 1
+.names ZI1340_568 I1393 ZI1340_569
+11 1
+.names I474 ZI1409_580 ZI1409_581
+11 1
+.names I1334 ZI1391_564 ZI1391_565
+11 1
+.names I1340 ZI1393_568 ZI1393_569
+11 1
+.names I411 I1414 I1427
+11 1
+.names I411 I1417 I1428
+11 1
+.names I1414 I1417 I1426
+11 1
+.names I475 I1418 I1435
+11 1
+.names I1418 I1421 I1434
+11 1
+.names I475 I1421 I1436
+11 1
+.names ZI1414_584 I1417 ZI1414_585
+11 1
+.names ZI409_574 I1400 ZI409_575
+11 1
+.names I1414 ZI1417_584 ZI1417_585
+11 1
+.names ZI1418_588 I1421 ZI1418_589
+11 1
+.names ZI473_578 I1406 ZI473_579
+11 1
+.names I1418 ZI1421_588 ZI1421_589
+11 1
+.names I409 ZI1400_574 ZI1400_575
+11 1
+.names I412 I1446 I1458
+11 1
+.names ZI1416_604 I1446 ZI1416_605
+11 1
+.names I1416 I1446 I1457
+11 1
+.names I473 ZI1406_578 ZI1406_579
+11 1
+.names I1420 I1450 I1464
+11 1
+.names I476 I1450 I1465
+11 1
+.names ZI1420_608 I1450 ZI1420_609
+11 1
+.names I1416 ZI1446_604 ZI1446_605
+11 1
+.names ZI411_594 I1429 ZI411_595
+11 1
+.names ZI475_598 I1437 ZI475_599
+11 1
+.names I1420 ZI1450_608 ZI1450_609
+11 1
+.names I413 I1415 I1432
+11 1
+.names I1109 I1415 I1431
+11 1
+.names ZI1109_586 I1415 ZI1109_587
+11 1
+.names I1206 I1474 I1491
+11 1
+.names I418 I1474 I1492
+11 1
+.names ZI1206_626 I1474 ZI1206_627
+11 1
+.names I411 ZI1429_594 ZI1429_595
+11 1
+.names I1117 I1419 I1439
+11 1
+.names ZI1117_590 I1419 ZI1117_591
+11 1
+.names I477 I1419 I1440
+11 1
+.names I475 ZI1437_598 ZI1437_599
+11 1
+.names I482 I1478 I1502
+11 1
+.names I1212 I1478 I1501
+11 1
+.names ZI1212_632 I1478 ZI1212_633
+11 1
+.names I1109 ZI1415_586 ZI1415_587
+11 1
+.names I1206 ZI1474_626 ZI1474_627
+11 1
+.names ZI412_614 I1459 ZI412_615
+11 1
+.names I1117 ZI1419_590 ZI1419_591
+11 1
+.names ZI476_618 I1466 ZI476_619
+11 1
+.names I1212 ZI1478_632 ZI1478_633
+11 1
+.names I415 I1448 I1463
+11 1
+.names I420 I1511 I1528
+11 1
+.names I412 ZI1459_614 ZI1459_615
+11 1
+.names I1162 I1447 I1460
+11 1
+.names ZI1162_606 I1447 ZI1162_607
+11 1
+.names I414 I1447 I1461
+11 1
+.names I479 I1452 I1470
+11 1
+.names I1168 I1451 I1467
+11 1
+.names ZI1168_610 I1451 ZI1168_611
+11 1
+.names I478 I1451 I1468
+11 1
+.names I476 ZI1466_618 ZI1466_619
+11 1
+.names I484 I1517 I1537
+11 1
+.names ZI413_596 I1433 ZI413_597
+11 1
+.names ZI418_640 I1493 ZI418_641
+11 1
+.names I1162 ZI1447_606 ZI1447_607
+11 1
+.names ZI477_600 I1441 ZI477_601
+11 1
+.names I1168 ZI1451_610 ZI1451_611
+11 1
+.names ZI482_646 I1503 ZI482_647
+11 1
+.names I413 ZI1433_596 ZI1433_597
+11 1
+.names I418 ZI1493_640 ZI1493_641
+11 1
+.names I417 I1475 I1489
+11 1
+.names I1207 I1475 I1488
+11 1
+.names ZI1207_624 I1475 ZI1207_625
+11 1
+.names I416 I1476 I1487
+11 1
+.names I477 ZI1441_600 ZI1441_601
+11 1
+.names I480 I1480 I1497
+11 1
+.names I1213 I1479 I1498
+11 1
+.names ZI1213_630 I1479 ZI1213_631
+11 1
+.names I481 I1479 I1499
+11 1
+.names I482 ZI1503_646 ZI1503_647
+11 1
+.names I1207 ZI1475_624 ZI1475_625
+11 1
+.names ZI414_616 I1462 ZI414_617
+11 1
+.names ZI478_620 I1469 ZI478_621
+11 1
+.names I1213 ZI1479_630 ZI1479_631
+11 1
+.names I419 I1512 I1526
+11 1
+.names I1512 I1509 I1524
+11 1
+.names I419 I1509 I1525
+11 1
+.names I414 ZI1462_616 ZI1462_617
+11 1
+.names I478 ZI1469_620 ZI1469_621
+11 1
+.names I483 I1515 I1534
+11 1
+.names I483 I1518 I1535
+11 1
+.names I1515 I1518 I1533
+11 1
+.names ZI1512_650 I1509 ZI1512_651
+11 1
+.names I1512 ZI1509_650 ZI1509_651
+11 1
+.names ZI417_638 I1490 ZI417_639
+11 1
+.names ZI481_644 I1500 ZI481_645
+11 1
+.names ZI1515_654 I1518 ZI1515_655
+11 1
+.names I1515 ZI1518_654 ZI1518_655
+11 1
+.names I420 I1543 I1552
+11 1
+.names ZI1511_666 I1543 ZI1511_667
+11 1
+.names I1511 I1543 I1551
+11 1
+.names I417 ZI1490_638 ZI1490_639
+11 1
+.names ZI1448_622 I1477 ZI1448_623
+11 1
+.names I1448 I1477 I1484
+11 1
+.names I415 I1477 I1485
+11 1
+.names ZI1452_628 I1481 ZI1452_629
+11 1
+.names I1452 I1481 I1494
+11 1
+.names I479 I1481 I1495
+11 1
+.names I481 ZI1500_644 ZI1500_645
+11 1
+.names I484 I1547 I1562
+11 1
+.names I1517 I1547 I1561
+11 1
+.names ZI1517_672 I1547 ZI1517_673
+11 1
+.names ZI419_660 I1527 ZI419_661
+11 1
+.names I1511 ZI1543_666 ZI1543_667
+11 1
+.names I1448 ZI1477_622 ZI1477_623
+11 1
+.names I1452 ZI1481_628 ZI1481_629
+11 1
+.names I1517 ZI1547_672 ZI1547_673
+11 1
+.names ZI483_664 I1536 ZI483_665
+11 1
+.names I419 ZI1527_660 ZI1527_661
+11 1
+.names I426 I1573 I1593
+11 1
+.names I421 I1510 I1529
+11 1
+.names ZI1476_648 I1507 ZI1476_649
+11 1
+.names I416 I1507 I1522
+11 1
+.names I1476 I1507 I1521
+11 1
+.names ZI1480_652 I1513 ZI1480_653
+11 1
+.names I480 I1513 I1531
+11 1
+.names I1480 I1513 I1530
+11 1
+.names I485 I1516 I1538
+11 1
+.names I490 I1579 I1600
+11 1
+.names I483 ZI1536_664 ZI1536_665
+11 1
+.names ZI420_680 I1553 ZI420_681
+11 1
+.names I1476 ZI1507_648 ZI1507_649
+11 1
+.names ZI415_636 I1486 ZI415_637
+11 1
+.names ZI479_642 I1496 ZI479_643
+11 1
+.names I1480 ZI1513_652 ZI1513_653
+11 1
+.names ZI484_686 I1563 ZI484_687
+11 1
+.names I422 I1544 I1558
+11 1
+.names I420 ZI1553_680 ZI1553_681
+11 1
+.names I1544 I1541 I1557
+11 1
+.names I422 I1541 I1559
+11 1
+.names I415 ZI1486_636 ZI1486_637
+11 1
+.names I479 ZI1496_642 ZI1496_643
+11 1
+.names I486 I1545 I1569
+11 1
+.names I484 ZI1563_686 ZI1563_687
+11 1
+.names I486 I1548 I1568
+11 1
+.names I1545 I1548 I1567
+11 1
+.names ZI1544_670 I1541 ZI1544_671
+11 1
+.names ZI416_658 I1523 ZI416_659
+11 1
+.names I1544 ZI1541_670 ZI1541_671
+11 1
+.names ZI480_662 I1532 ZI480_663
+11 1
+.names ZI1545_676 I1548 ZI1545_677
+11 1
+.names I1545 ZI1548_676 ZI1548_677
+11 1
+.names I425 I1574 I1592
+11 1
+.names I416 ZI1523_658 ZI1523_659
+11 1
+.names I424 I1577 I1591
+11 1
+.names I480 ZI1532_662 ZI1532_663
+11 1
+.names I489 I1580 I1599
+11 1
+.names I488 I1583 I1598
+11 1
+.names ZI422_684 I1560 ZI422_685
+11 1
+.names ZI486_690 I1570 ZI486_691
+11 1
+.names ZI1510_668 I1542 ZI1510_669
+11 1
+.names I1510 I1542 I1554
+11 1
+.names I421 I1542 I1555
+11 1
+.names I422 ZI1560_684 ZI1560_685
+11 1
+.names I1516 I1546 I1564
+11 1
+.names I485 I1546 I1565
+11 1
+.names ZI1516_674 I1546 ZI1516_675
+11 1
+.names I486 ZI1570_690 ZI1570_691
+11 1
+.names I1510 ZI1542_668 ZI1542_669
+11 1
+.names I1516 ZI1546_674 ZI1546_675
+11 1
+.names I423 I1575 I1588
+11 1
+.names I423 I1578 I1589
+11 1
+.names I1575 I1578 I1587
+11 1
+.names I487 I1581 I1595
+11 1
+.names I487 I1584 I1596
+11 1
+.names I1581 I1584 I1594
+11 1
+.names ZI421_682 I1556 ZI421_683
+11 1
+.names ZI1575_692 I1578 ZI1575_693
+11 1
+.names I1575 ZI1578_692 ZI1578_693
+11 1
+.names ZI485_688 I1566 ZI485_689
+11 1
+.names ZI1581_694 I1584 ZI1581_695
+11 1
+.names I1581 ZI1584_694 ZI1584_695
+11 1
+.names I421 ZI1556_682 ZI1556_683
+11 1
+.names ZI1577_702 I1603 ZI1577_703
+11 1
+.names I1577 I1603 I1609
+11 1
+.names I424 I1603 I1610
+11 1
+.names I485 ZI1566_688 ZI1566_689
+11 1
+.names I1583 I1605 I1612
+11 1
+.names ZI1583_704 I1605 ZI1583_705
+11 1
+.names I488 I1605 I1613
+11 1
+.names ZI423_698 I1590 ZI423_699
+11 1
+.names I1577 ZI1603_702 ZI1603_703
+11 1
+.names ZI487_700 I1597 ZI487_701
+11 1
+.names I1583 ZI1605_704 ZI1605_705
+11 1
+.names I423 ZI1590_698 ZI1590_699
+11 1
+.names ZI1573_714 I1617 ZI1573_715
+11 1
+.names I1573 I1617 I1626
+11 1
+.names I426 I1617 I1627
+11 1
+.names I487 ZI1597_700 ZI1597_701
+11 1
+.names I490 I1619 I1633
+11 1
+.names ZI1579_718 I1619 ZI1579_719
+11 1
+.names I1579 I1619 I1632
+11 1
+.names I1573 ZI1617_714 ZI1617_715
+11 1
+.names ZI424_708 I1611 ZI424_709
+11 1
+.names ZI488_710 I1614 ZI488_711
+11 1
+.names I1579 ZI1619_718 ZI1619_719
+11 1
+.names I428 I1639 I1651
+11 1
+.names I424 ZI1611_708 ZI1611_709
+11 1
+.names I488 ZI1614_710 ZI1614_711
+11 1
+.names I492 I1643 I1656
+11 1
+.names ZI426_724 I1628 ZI426_725
+11 1
+.names ZI490_728 I1634 ZI490_729
+11 1
+.names I426 ZI1628_724 ZI1628_725
+11 1
+.names ZI1574_712 I1618 ZI1574_713
+11 1
+.names I425 I1618 I1624
+11 1
+.names I1574 I1618 I1623
+11 1
+.names ZI1580_716 I1620 ZI1580_717
+11 1
+.names I1580 I1620 I1629
+11 1
+.names I489 I1620 I1630
+11 1
+.names I490 ZI1634_728 ZI1634_729
+11 1
+.names I1574 ZI1618_712 ZI1618_713
+11 1
+.names I1580 ZI1620_716 ZI1620_717
+11 1
+.names I427 I1640 I1649
+11 1
+.names I1640 I1637 I1647
+11 1
+.names I427 I1637 I1648
+11 1
+.names I491 I1641 I1653
+11 1
+.names I491 I1644 I1654
+11 1
+.names I1641 I1644 I1652
+11 1
+.names ZI1640_730 I1637 ZI1640_731
+11 1
+.names ZI425_722 I1625 ZI425_723
+11 1
+.names I1640 ZI1637_730 ZI1637_731
+11 1
+.names ZI489_726 I1631 ZI489_727
+11 1
+.names ZI1641_732 I1644 ZI1641_733
+11 1
+.names I1641 ZI1644_732 ZI1644_733
+11 1
+.names I425 ZI1625_722 ZI1625_723
+11 1
+.names ZI1639_740 I1659 ZI1639_741
+11 1
+.names I428 I1659 I1666
+11 1
+.names I489 ZI1631_726 ZI1631_727
+11 1
+.names I492 I1661 I1669
+11 1
+.names ZI1643_742 I1661 ZI1643_743
+11 1
+.names ZI427_736 I1650 ZI427_737
+11 1
+.names I1639 ZI1659_740 ZI1659_741
+11 1
+.names ZI491_738 I1655 ZI491_739
+11 1
+.names I1643 ZI1661_742 ZI1661_743
+11 1
+.names I427 ZI1650_736 ZI1650_737
+11 1
+.names I491 ZI1655_738 ZI1655_739
+11 1
+.names ZI428_746 I1667 ZI428_747
+11 1
+.names ZI492_748 I1670 ZI492_749
+11 1
+.names I428 ZI1667_746 ZI1667_747
+11 1
+.names I492 ZI1670_748 ZI1670_749
+11 1
+.names ZI194_261 ZI908_261 I914
+00 0
+.names ZI911_259 ZI912_259 I913
+00 0
+.names ZI185_293 ZI963_293 I967
+00 0
+.names ZI299_291 ZI965_291 I966
+00 0
+.names ZI151_295 ZI964_295 I968
+00 0
+.end
diff --git a/readme b/abc70930/readme
index 5c06d4b5..19a7f9d8 100644
--- a/readme
+++ b/abc70930/readme
@@ -17,7 +17,7 @@ Several things to try if it does not compile on your platform:
(c) Comment calls to Libs_Init() and Libs_End() in "src\base\main\mainInit.c"
- Try linking with gcc (rather than g++)
For this replace "LD := g++" with "LD := gcc -lm" in Makefile
-- If your Linux distributin does not have "readline", you may have problems
+- If your Linux distribution does not have "readline", you may have problems
compiling ABC with gcc. Please try installing this library from
http://tiswww.case.edu/php/chet/readline/rltop.html
diff --git a/regtest.script b/abc70930/regtest.script
index c4a23a89..c4a23a89 100644
--- a/regtest.script
+++ b/abc70930/regtest.script
diff --git a/regtest_output.txt b/abc70930/regtest_output.txt
index 6e0ffec3..6e0ffec3 100644
--- a/regtest_output.txt
+++ b/abc70930/regtest_output.txt
diff --git a/abc70930/src/.DS_Store b/abc70930/src/.DS_Store
new file mode 100644
index 00000000..75c7d84f
--- /dev/null
+++ b/abc70930/src/.DS_Store
Binary files differ
diff --git a/abc70930/src/abc.1 b/abc70930/src/abc.1
new file mode 100644
index 00000000..b34c44ad
--- /dev/null
+++ b/abc70930/src/abc.1
@@ -0,0 +1,79 @@
+.\"Modified from man(1) of FreeBSD, the NetBSD mdoc.template, and mdoc.samples.
+.\"See Also:
+.\"man mdoc.samples for a complete listing of options
+.\"man mdoc for the short list of editing options
+.\"/usr/share/misc/mdoc.template
+.Dd 7/21/08 \" DATE
+.Dt abc 1 \" Program name and manual section number
+.Os Darwin
+.Sh NAME \" Section Header - required - don't modify
+.Nm abc,
+.\" The following lines are read in generating the apropos(man -k) database. Use only key
+.\" words here as the database is built based on the words here and in the .ND line.
+.Nm Other_name_for_same_program(),
+.Nm Yet another name for the same program.
+.\" Use .Nm macro to designate other names for the documented program.
+.Nd This line parsed for whatis database.
+.Sh SYNOPSIS \" Section Header - required - don't modify
+.Nm
+.Op Fl abcd \" [-abcd]
+.Op Fl a Ar path \" [-a path]
+.Op Ar file \" [file]
+.Op Ar \" [file ...]
+.Ar arg0 \" Underlined argument - use .Ar anywhere to underline
+arg2 ... \" Arguments
+.Sh DESCRIPTION \" Section Header - required - don't modify
+Use the .Nm macro to refer to your program throughout the man page like such:
+.Nm
+Underlining is accomplished with the .Ar macro like this:
+.Ar underlined text .
+.Pp \" Inserts a space
+A list of items with descriptions:
+.Bl -tag -width -indent \" Begins a tagged list
+.It item a \" Each item preceded by .It macro
+Description of item a
+.It item b
+Description of item b
+.El \" Ends the list
+.Pp
+A list of flags and their descriptions:
+.Bl -tag -width -indent \" Differs from above in tag removed
+.It Fl a \"-a flag as a list item
+Description of -a flag
+.It Fl b
+Description of -b flag
+.El \" Ends the list
+.Pp
+.\" .Sh ENVIRONMENT \" May not be needed
+.\" .Bl -tag -width "ENV_VAR_1" -indent \" ENV_VAR_1 is width of the string ENV_VAR_1
+.\" .It Ev ENV_VAR_1
+.\" Description of ENV_VAR_1
+.\" .It Ev ENV_VAR_2
+.\" Description of ENV_VAR_2
+.\" .El
+.Sh FILES \" File used or created by the topic of the man page
+.Bl -tag -width "/Users/joeuser/Library/really_long_file_name" -compact
+.It Pa /usr/share/file_name
+FILE_1 description
+.It Pa /Users/joeuser/Library/really_long_file_name
+FILE_2 description
+.El \" Ends the list
+.\" .Sh DIAGNOSTICS \" May not be needed
+.\" .Bl -diag
+.\" .It Diagnostic Tag
+.\" Diagnostic informtion here.
+.\" .It Diagnostic Tag
+.\" Diagnostic informtion here.
+.\" .El
+.Sh SEE ALSO
+.\" List links in ascending order by section, alphabetically within a section.
+.\" Please do not reference files that do not exist without filing a bug report
+.Xr a 1 ,
+.Xr b 1 ,
+.Xr c 1 ,
+.Xr a 2 ,
+.Xr b 2 ,
+.Xr a 3 ,
+.Xr b 3
+.\" .Sh BUGS \" Document known, unremedied bugs
+.\" .Sh HISTORY \" Document history if command behaves in a unique manner \ No newline at end of file
diff --git a/abc70930/src/abc.xcodeproj/project.pbxproj b/abc70930/src/abc.xcodeproj/project.pbxproj
new file mode 100644
index 00000000..9f987fef
--- /dev/null
+++ b/abc70930/src/abc.xcodeproj/project.pbxproj
@@ -0,0 +1,3251 @@
+// !$*UTF8*$!
+{
+ archiveVersion = 1;
+ classes = {
+ };
+ objectVersion = 45;
+ objects = {
+
+/* Begin PBXBuildFile section */
+ 2717FCAD0E3852830038296D /* unate.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92E330E349282006E7CF6 /* unate.c */; };
+ 274040F00E38BEDA00DC6F18 /* libreadline.dylib in Frameworks */ = {isa = PBXBuildFile; fileRef = 274040EF0E38BED900DC6F18 /* libreadline.dylib */; };
+ 27A92E7C0E349283006E7CF6 /* cutApi.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92B700E349280006E7CF6 /* cutApi.c */; };
+ 27A92E7D0E349283006E7CF6 /* cutCut.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92B710E349280006E7CF6 /* cutCut.c */; };
+ 27A92E7E0E349283006E7CF6 /* cutExpand.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92B720E349280006E7CF6 /* cutExpand.c */; };
+ 27A92E7F0E349283006E7CF6 /* cutMan.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92B750E349280006E7CF6 /* cutMan.c */; };
+ 27A92E800E349283006E7CF6 /* cutMerge.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92B760E349280006E7CF6 /* cutMerge.c */; };
+ 27A92E810E349283006E7CF6 /* cutNode.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92B770E349280006E7CF6 /* cutNode.c */; };
+ 27A92E820E349283006E7CF6 /* cutOracle.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92B780E349280006E7CF6 /* cutOracle.c */; };
+ 27A92E830E349283006E7CF6 /* cutPre22.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92B790E349280006E7CF6 /* cutPre22.c */; };
+ 27A92E840E349283006E7CF6 /* cutSeq.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92B7A0E349280006E7CF6 /* cutSeq.c */; };
+ 27A92E850E349283006E7CF6 /* cutTruth.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92B7B0E349280006E7CF6 /* cutTruth.c */; };
+ 27A92E860E349283006E7CF6 /* decAbc.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92B7F0E349280006E7CF6 /* decAbc.c */; };
+ 27A92E870E349283006E7CF6 /* decFactor.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92B800E349280006E7CF6 /* decFactor.c */; };
+ 27A92E880E349283006E7CF6 /* decMan.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92B810E349280006E7CF6 /* decMan.c */; };
+ 27A92E890E349283006E7CF6 /* decPrint.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92B820E349280006E7CF6 /* decPrint.c */; };
+ 27A92E8A0E349283006E7CF6 /* decUtil.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92B830E349280006E7CF6 /* decUtil.c */; };
+ 27A92E8B0E349283006E7CF6 /* fxu.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92B860E349280006E7CF6 /* fxu.c */; };
+ 27A92E8C0E349283006E7CF6 /* fxuCreate.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92B880E349280006E7CF6 /* fxuCreate.c */; };
+ 27A92E8D0E349283006E7CF6 /* fxuHeapD.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92B890E349280006E7CF6 /* fxuHeapD.c */; };
+ 27A92E8E0E349283006E7CF6 /* fxuHeapS.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92B8A0E349280006E7CF6 /* fxuHeapS.c */; };
+ 27A92E8F0E349283006E7CF6 /* fxuList.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92B8C0E349280006E7CF6 /* fxuList.c */; };
+ 27A92E900E349283006E7CF6 /* fxuMatrix.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92B8D0E349280006E7CF6 /* fxuMatrix.c */; };
+ 27A92E910E349283006E7CF6 /* fxuPair.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92B8E0E349280006E7CF6 /* fxuPair.c */; };
+ 27A92E920E349283006E7CF6 /* fxuPrint.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92B8F0E349280006E7CF6 /* fxuPrint.c */; };
+ 27A92E930E349283006E7CF6 /* fxuReduce.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92B900E349280006E7CF6 /* fxuReduce.c */; };
+ 27A92E940E349283006E7CF6 /* fxuSelect.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92B910E349280006E7CF6 /* fxuSelect.c */; };
+ 27A92E950E349283006E7CF6 /* fxuSingle.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92B920E349280006E7CF6 /* fxuSingle.c */; };
+ 27A92E960E349283006E7CF6 /* fxuUpdate.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92B930E349280006E7CF6 /* fxuUpdate.c */; };
+ 27A92E970E349283006E7CF6 /* lpk_.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92B970E349280006E7CF6 /* lpk_.c */; };
+ 27A92E980E349283006E7CF6 /* lpkAbcDec.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92B980E349280006E7CF6 /* lpkAbcDec.c */; };
+ 27A92E990E349283006E7CF6 /* lpkAbcDsd.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92B990E349280006E7CF6 /* lpkAbcDsd.c */; };
+ 27A92E9A0E349283006E7CF6 /* lpkAbcMux.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92B9A0E349280006E7CF6 /* lpkAbcMux.c */; };
+ 27A92E9B0E349283006E7CF6 /* lpkAbcUtil.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92B9B0E349280006E7CF6 /* lpkAbcUtil.c */; };
+ 27A92E9C0E349283006E7CF6 /* lpkCore.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92B9C0E349280006E7CF6 /* lpkCore.c */; };
+ 27A92E9D0E349283006E7CF6 /* lpkCut.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92B9D0E349280006E7CF6 /* lpkCut.c */; };
+ 27A92E9E0E349283006E7CF6 /* lpkMan.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92B9F0E349280006E7CF6 /* lpkMan.c */; };
+ 27A92E9F0E349283006E7CF6 /* lpkMap.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92BA00E349280006E7CF6 /* lpkMap.c */; };
+ 27A92EA00E349283006E7CF6 /* lpkMulti.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92BA10E349280006E7CF6 /* lpkMulti.c */; };
+ 27A92EA10E349283006E7CF6 /* lpkMux.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92BA20E349280006E7CF6 /* lpkMux.c */; };
+ 27A92EA20E349283006E7CF6 /* lpkSets.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92BA30E349280006E7CF6 /* lpkSets.c */; };
+ 27A92EA30E349283006E7CF6 /* res_.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92BA80E349280006E7CF6 /* res_.c */; };
+ 27A92EA40E349283006E7CF6 /* resCore.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92BA90E349280006E7CF6 /* resCore.c */; };
+ 27A92EA50E349283006E7CF6 /* resDivs.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92BAA0E349280006E7CF6 /* resDivs.c */; };
+ 27A92EA60E349283006E7CF6 /* resFilter.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92BAB0E349280006E7CF6 /* resFilter.c */; };
+ 27A92EA70E349283006E7CF6 /* resSat.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92BAD0E349280006E7CF6 /* resSat.c */; };
+ 27A92EA80E349283006E7CF6 /* resSim.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92BAE0E349280006E7CF6 /* resSim.c */; };
+ 27A92EAA0E349283006E7CF6 /* resStrash.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92BB00E349280006E7CF6 /* resStrash.c */; };
+ 27A92EAB0E349283006E7CF6 /* resWin.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92BB10E349280006E7CF6 /* resWin.c */; };
+ 27A92EAC0E349283006E7CF6 /* ret_.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92BB40E349280006E7CF6 /* ret_.c */; };
+ 27A92EAD0E349283006E7CF6 /* retArea.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92BB50E349280006E7CF6 /* retArea.c */; };
+ 27A92EAE0E349283006E7CF6 /* retCore.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92BB60E349280006E7CF6 /* retCore.c */; };
+ 27A92EAF0E349283006E7CF6 /* retDelay.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92BB70E349280006E7CF6 /* retDelay.c */; };
+ 27A92EB00E349283006E7CF6 /* retFlow.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92BB80E349280006E7CF6 /* retFlow.c */; };
+ 27A92EB10E349283006E7CF6 /* retIncrem.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92BB90E349280006E7CF6 /* retIncrem.c */; };
+ 27A92EB20E349283006E7CF6 /* retInit.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92BBA0E349280006E7CF6 /* retInit.c */; };
+ 27A92EB30E349283006E7CF6 /* retLvalue.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92BBC0E349280006E7CF6 /* retLvalue.c */; };
+ 27A92EB40E349283006E7CF6 /* rwrDec.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92BC00E349280006E7CF6 /* rwrDec.c */; };
+ 27A92EB50E349283006E7CF6 /* rwrEva.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92BC10E349280006E7CF6 /* rwrEva.c */; };
+ 27A92EB60E349283006E7CF6 /* rwrExp.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92BC20E349280006E7CF6 /* rwrExp.c */; };
+ 27A92EB70E349283006E7CF6 /* rwrLib.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92BC30E349280006E7CF6 /* rwrLib.c */; };
+ 27A92EB80E349283006E7CF6 /* rwrMan.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92BC40E349280006E7CF6 /* rwrMan.c */; };
+ 27A92EB90E349283006E7CF6 /* rwrPrint.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92BC50E349280006E7CF6 /* rwrPrint.c */; };
+ 27A92EBA0E349283006E7CF6 /* rwrTemp.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92BC60E349280006E7CF6 /* rwrTemp.c */; };
+ 27A92EBB0E349283006E7CF6 /* rwrUtil.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92BC70E349280006E7CF6 /* rwrUtil.c */; };
+ 27A92EBC0E349283006E7CF6 /* simMan.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92BCB0E349280006E7CF6 /* simMan.c */; };
+ 27A92EBD0E349283006E7CF6 /* simSat.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92BCC0E349280006E7CF6 /* simSat.c */; };
+ 27A92EBE0E349283006E7CF6 /* simSeq.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92BCD0E349280006E7CF6 /* simSeq.c */; };
+ 27A92EBF0E349283006E7CF6 /* simSupp.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92BCE0E349280006E7CF6 /* simSupp.c */; };
+ 27A92EC00E349283006E7CF6 /* simSwitch.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92BCF0E349280006E7CF6 /* simSwitch.c */; };
+ 27A92EC10E349283006E7CF6 /* simSym.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92BD00E349280006E7CF6 /* simSym.c */; };
+ 27A92EC20E349283006E7CF6 /* simSymSat.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92BD10E349280006E7CF6 /* simSymSat.c */; };
+ 27A92EC30E349283006E7CF6 /* simSymSim.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92BD20E349280006E7CF6 /* simSymSim.c */; };
+ 27A92EC40E349283006E7CF6 /* simSymStr.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92BD30E349280006E7CF6 /* simSymStr.c */; };
+ 27A92EC50E349283006E7CF6 /* simUtils.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92BD40E349280006E7CF6 /* simUtils.c */; };
+ 27A92EC60E349283006E7CF6 /* satInter.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92BD90E349280006E7CF6 /* satInter.c */; };
+ 27A92EC70E349283006E7CF6 /* satMem.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92BDA0E349280006E7CF6 /* satMem.c */; };
+ 27A92EC80E349283006E7CF6 /* satSolver.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92BDC0E349280006E7CF6 /* satSolver.c */; };
+ 27A92EC90E349283006E7CF6 /* satStore.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92BDE0E349280006E7CF6 /* satStore.c */; };
+ 27A92ECA0E349283006E7CF6 /* satTrace.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92BE00E349280006E7CF6 /* satTrace.c */; };
+ 27A92ECB0E349283006E7CF6 /* satUtil.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92BE10E349280006E7CF6 /* satUtil.c */; };
+ 27A92ECC0E349283006E7CF6 /* csat_apis.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92BE40E349280006E7CF6 /* csat_apis.c */; };
+ 27A92ECD0E349283006E7CF6 /* fraigApi.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92BE90E349280006E7CF6 /* fraigApi.c */; };
+ 27A92ECE0E349283006E7CF6 /* fraigCanon.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92BEA0E349280006E7CF6 /* fraigCanon.c */; };
+ 27A92ECF0E349283006E7CF6 /* fraigChoice.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92BEB0E349280006E7CF6 /* fraigChoice.c */; };
+ 27A92ED00E349283006E7CF6 /* fraigFanout.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92BEC0E349280006E7CF6 /* fraigFanout.c */; };
+ 27A92ED10E349283006E7CF6 /* fraigFeed.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92BED0E349280006E7CF6 /* fraigFeed.c */; };
+ 27A92ED20E349283006E7CF6 /* fraigMan.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92BEF0E349280006E7CF6 /* fraigMan.c */; };
+ 27A92ED30E349283006E7CF6 /* fraigMem.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92BF00E349280006E7CF6 /* fraigMem.c */; };
+ 27A92ED40E349283006E7CF6 /* fraigNode.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92BF10E349280006E7CF6 /* fraigNode.c */; };
+ 27A92ED50E349283006E7CF6 /* fraigPrime.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92BF20E349280006E7CF6 /* fraigPrime.c */; };
+ 27A92ED60E349283006E7CF6 /* fraigSat.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92BF30E349280006E7CF6 /* fraigSat.c */; };
+ 27A92ED70E349283006E7CF6 /* fraigTable.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92BF40E349280006E7CF6 /* fraigTable.c */; };
+ 27A92ED80E349283006E7CF6 /* fraigUtil.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92BF50E349280006E7CF6 /* fraigUtil.c */; };
+ 27A92ED90E349283006E7CF6 /* fraigVec.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92BF60E349280006E7CF6 /* fraigVec.c */; };
+ 27A92EDA0E349283006E7CF6 /* msatActivity.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92BFB0E349280006E7CF6 /* msatActivity.c */; };
+ 27A92EDB0E349283006E7CF6 /* msatClause.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92BFC0E349280006E7CF6 /* msatClause.c */; };
+ 27A92EDC0E349283006E7CF6 /* msatClauseVec.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92BFD0E349280006E7CF6 /* msatClauseVec.c */; };
+ 27A92EDD0E349283006E7CF6 /* msatMem.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92BFF0E349280006E7CF6 /* msatMem.c */; };
+ 27A92EDF0E349283006E7CF6 /* msatOrderJ.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92C010E349280006E7CF6 /* msatOrderJ.c */; };
+ 27A92EE00E349283006E7CF6 /* msatQueue.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92C020E349280006E7CF6 /* msatQueue.c */; };
+ 27A92EE10E349283006E7CF6 /* msatRead.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92C030E349280006E7CF6 /* msatRead.c */; };
+ 27A92EE20E349283006E7CF6 /* msatSolverApi.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92C040E349280006E7CF6 /* msatSolverApi.c */; };
+ 27A92EE30E349283006E7CF6 /* msatSolverCore.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92C050E349280006E7CF6 /* msatSolverCore.c */; };
+ 27A92EE40E349283006E7CF6 /* msatSolverIo.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92C060E349280006E7CF6 /* msatSolverIo.c */; };
+ 27A92EE50E349283006E7CF6 /* msatSolverSearch.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92C070E349280006E7CF6 /* msatSolverSearch.c */; };
+ 27A92EE60E349283006E7CF6 /* msatSort.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92C080E349280006E7CF6 /* msatSort.c */; };
+ 27A92EE70E349283006E7CF6 /* msatVec.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92C090E349280006E7CF6 /* msatVec.c */; };
+ 27A92EE80E349283006E7CF6 /* pr.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92C0B0E349280006E7CF6 /* pr.c */; };
+ 27A92EE90E349283006E7CF6 /* casCore.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92C110E349280006E7CF6 /* casCore.c */; };
+ 27A92EEA0E349283006E7CF6 /* casDec.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92C120E349280006E7CF6 /* casDec.c */; };
+ 27A92EEB0E349283006E7CF6 /* cuddAddAbs.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92C180E349280006E7CF6 /* cuddAddAbs.c */; };
+ 27A92EEC0E349283006E7CF6 /* cuddAddApply.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92C190E349280006E7CF6 /* cuddAddApply.c */; };
+ 27A92EED0E349283006E7CF6 /* cuddAddFind.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92C1A0E349280006E7CF6 /* cuddAddFind.c */; };
+ 27A92EEE0E349283006E7CF6 /* cuddAddInv.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92C1B0E349280006E7CF6 /* cuddAddInv.c */; };
+ 27A92EEF0E349283006E7CF6 /* cuddAddIte.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92C1C0E349280006E7CF6 /* cuddAddIte.c */; };
+ 27A92EF00E349283006E7CF6 /* cuddAddNeg.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92C1D0E349280006E7CF6 /* cuddAddNeg.c */; };
+ 27A92EF10E349283006E7CF6 /* cuddAddWalsh.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92C1E0E349280006E7CF6 /* cuddAddWalsh.c */; };
+ 27A92EF20E349283006E7CF6 /* cuddAndAbs.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92C1F0E349280006E7CF6 /* cuddAndAbs.c */; };
+ 27A92EF30E349283006E7CF6 /* cuddAnneal.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92C200E349280006E7CF6 /* cuddAnneal.c */; };
+ 27A92EF40E349283006E7CF6 /* cuddApa.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92C210E349280006E7CF6 /* cuddApa.c */; };
+ 27A92EF50E349283006E7CF6 /* cuddAPI.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92C220E349280006E7CF6 /* cuddAPI.c */; };
+ 27A92EF60E349283006E7CF6 /* cuddApprox.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92C230E349280006E7CF6 /* cuddApprox.c */; };
+ 27A92EF70E349283006E7CF6 /* cuddBddAbs.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92C240E349280006E7CF6 /* cuddBddAbs.c */; };
+ 27A92EF80E349283006E7CF6 /* cuddBddCorr.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92C250E349280006E7CF6 /* cuddBddCorr.c */; };
+ 27A92EF90E349283006E7CF6 /* cuddBddIte.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92C260E349280006E7CF6 /* cuddBddIte.c */; };
+ 27A92EFA0E349283006E7CF6 /* cuddBridge.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92C270E349280006E7CF6 /* cuddBridge.c */; };
+ 27A92EFB0E349283006E7CF6 /* cuddCache.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92C280E349280006E7CF6 /* cuddCache.c */; };
+ 27A92EFC0E349283006E7CF6 /* cuddCheck.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92C290E349280006E7CF6 /* cuddCheck.c */; };
+ 27A92EFD0E349283006E7CF6 /* cuddClip.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92C2A0E349280006E7CF6 /* cuddClip.c */; };
+ 27A92EFE0E349283006E7CF6 /* cuddCof.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92C2B0E349280006E7CF6 /* cuddCof.c */; };
+ 27A92EFF0E349283006E7CF6 /* cuddCompose.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92C2C0E349280006E7CF6 /* cuddCompose.c */; };
+ 27A92F000E349283006E7CF6 /* cuddDecomp.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92C2D0E349280006E7CF6 /* cuddDecomp.c */; };
+ 27A92F010E349283006E7CF6 /* cuddEssent.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92C2E0E349280006E7CF6 /* cuddEssent.c */; };
+ 27A92F020E349283006E7CF6 /* cuddExact.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92C2F0E349280006E7CF6 /* cuddExact.c */; };
+ 27A92F030E349283006E7CF6 /* cuddExport.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92C300E349280006E7CF6 /* cuddExport.c */; };
+ 27A92F040E349283006E7CF6 /* cuddGenCof.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92C310E349280006E7CF6 /* cuddGenCof.c */; };
+ 27A92F050E349283006E7CF6 /* cuddGenetic.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92C320E349280006E7CF6 /* cuddGenetic.c */; };
+ 27A92F060E349283006E7CF6 /* cuddGroup.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92C330E349280006E7CF6 /* cuddGroup.c */; };
+ 27A92F070E349283006E7CF6 /* cuddHarwell.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92C340E349280006E7CF6 /* cuddHarwell.c */; };
+ 27A92F080E349283006E7CF6 /* cuddInit.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92C350E349280006E7CF6 /* cuddInit.c */; };
+ 27A92F090E349283006E7CF6 /* cuddInteract.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92C370E349280006E7CF6 /* cuddInteract.c */; };
+ 27A92F0A0E349283006E7CF6 /* cuddLCache.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92C380E349280006E7CF6 /* cuddLCache.c */; };
+ 27A92F0B0E349283006E7CF6 /* cuddLevelQ.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92C390E349280006E7CF6 /* cuddLevelQ.c */; };
+ 27A92F0C0E349283006E7CF6 /* cuddLinear.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92C3A0E349280006E7CF6 /* cuddLinear.c */; };
+ 27A92F0D0E349283006E7CF6 /* cuddLiteral.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92C3B0E349280006E7CF6 /* cuddLiteral.c */; };
+ 27A92F0E0E349283006E7CF6 /* cuddMatMult.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92C3C0E349280006E7CF6 /* cuddMatMult.c */; };
+ 27A92F0F0E349283006E7CF6 /* cuddPriority.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92C3D0E349280006E7CF6 /* cuddPriority.c */; };
+ 27A92F100E349283006E7CF6 /* cuddRead.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92C3E0E349280006E7CF6 /* cuddRead.c */; };
+ 27A92F110E349283006E7CF6 /* cuddRef.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92C3F0E349280006E7CF6 /* cuddRef.c */; };
+ 27A92F120E349283006E7CF6 /* cuddReorder.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92C400E349280006E7CF6 /* cuddReorder.c */; };
+ 27A92F130E349283006E7CF6 /* cuddSat.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92C410E349280006E7CF6 /* cuddSat.c */; };
+ 27A92F140E349283006E7CF6 /* cuddSign.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92C420E349280006E7CF6 /* cuddSign.c */; };
+ 27A92F150E349283006E7CF6 /* cuddSolve.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92C430E349280006E7CF6 /* cuddSolve.c */; };
+ 27A92F160E349283006E7CF6 /* cuddSplit.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92C440E349280006E7CF6 /* cuddSplit.c */; };
+ 27A92F170E349283006E7CF6 /* cuddSubsetHB.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92C450E349280006E7CF6 /* cuddSubsetHB.c */; };
+ 27A92F180E349283006E7CF6 /* cuddSubsetSP.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92C460E349280006E7CF6 /* cuddSubsetSP.c */; };
+ 27A92F190E349283006E7CF6 /* cuddSymmetry.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92C470E349280006E7CF6 /* cuddSymmetry.c */; };
+ 27A92F1A0E349283006E7CF6 /* cuddTable.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92C480E349280006E7CF6 /* cuddTable.c */; };
+ 27A92F1B0E349283006E7CF6 /* cuddUtil.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92C490E349280006E7CF6 /* cuddUtil.c */; };
+ 27A92F1C0E349283006E7CF6 /* cuddWindow.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92C4A0E349280006E7CF6 /* cuddWindow.c */; };
+ 27A92F1D0E349283006E7CF6 /* cuddZddCount.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92C4B0E349280006E7CF6 /* cuddZddCount.c */; };
+ 27A92F1E0E349283006E7CF6 /* cuddZddFuncs.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92C4C0E349280006E7CF6 /* cuddZddFuncs.c */; };
+ 27A92F1F0E349283006E7CF6 /* cuddZddGroup.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92C4D0E349280006E7CF6 /* cuddZddGroup.c */; };
+ 27A92F200E349283006E7CF6 /* cuddZddIsop.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92C4E0E349280006E7CF6 /* cuddZddIsop.c */; };
+ 27A92F210E349283006E7CF6 /* cuddZddLin.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92C4F0E349280006E7CF6 /* cuddZddLin.c */; };
+ 27A92F220E349283006E7CF6 /* cuddZddMisc.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92C500E349280006E7CF6 /* cuddZddMisc.c */; };
+ 27A92F230E349283006E7CF6 /* cuddZddPort.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92C510E349280006E7CF6 /* cuddZddPort.c */; };
+ 27A92F240E349283006E7CF6 /* cuddZddReord.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92C520E349280006E7CF6 /* cuddZddReord.c */; };
+ 27A92F250E349283006E7CF6 /* cuddZddSetop.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92C530E349281006E7CF6 /* cuddZddSetop.c */; };
+ 27A92F260E349283006E7CF6 /* cuddZddSymm.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92C540E349281006E7CF6 /* cuddZddSymm.c */; };
+ 27A92F270E349283006E7CF6 /* cuddZddUtil.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92C550E349281006E7CF6 /* cuddZddUtil.c */; };
+ 27A92F290E349283006E7CF6 /* dsdApi.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92C5B0E349281006E7CF6 /* dsdApi.c */; };
+ 27A92F2A0E349283006E7CF6 /* dsdCheck.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92C5C0E349281006E7CF6 /* dsdCheck.c */; };
+ 27A92F2B0E349283006E7CF6 /* dsdLocal.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92C5E0E349281006E7CF6 /* dsdLocal.c */; };
+ 27A92F2C0E349283006E7CF6 /* dsdMan.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92C5F0E349281006E7CF6 /* dsdMan.c */; };
+ 27A92F2D0E349283006E7CF6 /* dsdProc.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92C600E349281006E7CF6 /* dsdProc.c */; };
+ 27A92F2E0E349283006E7CF6 /* dsdTree.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92C610E349281006E7CF6 /* dsdTree.c */; };
+ 27A92F2F0E349283006E7CF6 /* epd.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92C640E349281006E7CF6 /* epd.c */; };
+ 27A92F300E349283006E7CF6 /* mtrBasic.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92C6A0E349281006E7CF6 /* mtrBasic.c */; };
+ 27A92F310E349283006E7CF6 /* mtrGroup.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92C6B0E349281006E7CF6 /* mtrGroup.c */; };
+ 27A92F320E349283006E7CF6 /* parseCore.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92C700E349281006E7CF6 /* parseCore.c */; };
+ 27A92F330E349283006E7CF6 /* parseEqn.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92C710E349281006E7CF6 /* parseEqn.c */; };
+ 27A92F340E349283006E7CF6 /* parseStack.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92C730E349281006E7CF6 /* parseStack.c */; };
+ 27A92F350E349283006E7CF6 /* reoApi.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92C770E349281006E7CF6 /* reoApi.c */; };
+ 27A92F360E349283006E7CF6 /* reoCore.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92C780E349281006E7CF6 /* reoCore.c */; };
+ 27A92F370E349283006E7CF6 /* reoProfile.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92C790E349281006E7CF6 /* reoProfile.c */; };
+ 27A92F380E349283006E7CF6 /* reoSift.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92C7A0E349281006E7CF6 /* reoSift.c */; };
+ 27A92F390E349283006E7CF6 /* reoSwap.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92C7B0E349281006E7CF6 /* reoSwap.c */; };
+ 27A92F3A0E349283006E7CF6 /* reoTest.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92C7C0E349281006E7CF6 /* reoTest.c */; };
+ 27A92F3B0E349283006E7CF6 /* reoTransfer.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92C7D0E349281006E7CF6 /* reoTransfer.c */; };
+ 27A92F3C0E349283006E7CF6 /* reoUnits.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92C7E0E349281006E7CF6 /* reoUnits.c */; };
+ 27A92F3E0E349283006E7CF6 /* aig_.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92C830E349281006E7CF6 /* aig_.c */; };
+ 27A92F3F0E349283006E7CF6 /* aigCheck.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92C840E349281006E7CF6 /* aigCheck.c */; };
+ 27A92F400E349283006E7CF6 /* aigDfs.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92C850E349281006E7CF6 /* aigDfs.c */; };
+ 27A92F410E349283006E7CF6 /* aigFanout.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92C860E349281006E7CF6 /* aigFanout.c */; };
+ 27A92F420E349283006E7CF6 /* aigMan.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92C870E349281006E7CF6 /* aigMan.c */; };
+ 27A92F430E349283006E7CF6 /* aigMem.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92C880E349281006E7CF6 /* aigMem.c */; };
+ 27A92F440E349283006E7CF6 /* aigMffc.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92C890E349281006E7CF6 /* aigMffc.c */; };
+ 27A92F450E349283006E7CF6 /* aigObj.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92C8A0E349281006E7CF6 /* aigObj.c */; };
+ 27A92F460E349283006E7CF6 /* aigOper.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92C8B0E349281006E7CF6 /* aigOper.c */; };
+ 27A92F470E349283006E7CF6 /* aigOrder.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92C8C0E349281006E7CF6 /* aigOrder.c */; };
+ 27A92F480E349283006E7CF6 /* aigPart.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92C8D0E349281006E7CF6 /* aigPart.c */; };
+ 27A92F490E349283006E7CF6 /* aigRepr.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92C8E0E349281006E7CF6 /* aigRepr.c */; };
+ 27A92F4A0E349283006E7CF6 /* aigRet.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92C8F0E349281006E7CF6 /* aigRet.c */; };
+ 27A92F4B0E349283006E7CF6 /* aigScl.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92C900E349281006E7CF6 /* aigScl.c */; };
+ 27A92F4C0E349283006E7CF6 /* aigSeq.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92C910E349281006E7CF6 /* aigSeq.c */; };
+ 27A92F4D0E349283006E7CF6 /* aigShow.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92C920E349281006E7CF6 /* aigShow.c */; };
+ 27A92F4E0E349283006E7CF6 /* aigTable.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92C930E349281006E7CF6 /* aigTable.c */; };
+ 27A92F4F0E349283006E7CF6 /* aigTime.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92C940E349281006E7CF6 /* aigTime.c */; };
+ 27A92F500E349283006E7CF6 /* aigTiming.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92C950E349281006E7CF6 /* aigTiming.c */; };
+ 27A92F510E349283006E7CF6 /* aigTruth.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92C960E349281006E7CF6 /* aigTruth.c */; };
+ 27A92F520E349283006E7CF6 /* aigTsim.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92C970E349281006E7CF6 /* aigTsim.c */; };
+ 27A92F530E349283006E7CF6 /* aigUtil.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92C980E349281006E7CF6 /* aigUtil.c */; };
+ 27A92F540E349283006E7CF6 /* aigWin.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92C990E349281006E7CF6 /* aigWin.c */; };
+ 27A92F550E349283006E7CF6 /* bar.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92C9C0E349281006E7CF6 /* bar.c */; };
+ 27A92F560E349283006E7CF6 /* bdc_.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92CA10E349281006E7CF6 /* bdc_.c */; };
+ 27A92F570E349283006E7CF6 /* bdcCore.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92CA20E349281006E7CF6 /* bdcCore.c */; };
+ 27A92F580E349283006E7CF6 /* bdcDec.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92CA30E349281006E7CF6 /* bdcDec.c */; };
+ 27A92F590E349283006E7CF6 /* bdcTable.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92CA50E349281006E7CF6 /* bdcTable.c */; };
+ 27A92F5A0E349283006E7CF6 /* cnf_.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92CA90E349281006E7CF6 /* cnf_.c */; };
+ 27A92F5B0E349283006E7CF6 /* cnfCore.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92CAA0E349281006E7CF6 /* cnfCore.c */; };
+ 27A92F5C0E349283006E7CF6 /* cnfCut.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92CAB0E349281006E7CF6 /* cnfCut.c */; };
+ 27A92F5D0E349283006E7CF6 /* cnfData.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92CAC0E349281006E7CF6 /* cnfData.c */; };
+ 27A92F5E0E349283006E7CF6 /* cnfMan.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92CAD0E349281006E7CF6 /* cnfMan.c */; };
+ 27A92F5F0E349283006E7CF6 /* cnfMap.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92CAE0E349281006E7CF6 /* cnfMap.c */; };
+ 27A92F600E349283006E7CF6 /* cnfPost.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92CAF0E349281006E7CF6 /* cnfPost.c */; };
+ 27A92F610E349283006E7CF6 /* cnfUtil.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92CB00E349281006E7CF6 /* cnfUtil.c */; };
+ 27A92F620E349283006E7CF6 /* cnfWrite.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92CB10E349281006E7CF6 /* cnfWrite.c */; };
+ 27A92F630E349283006E7CF6 /* csw_.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92CB50E349281006E7CF6 /* csw_.c */; };
+ 27A92F640E349283006E7CF6 /* cswCore.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92CB60E349281006E7CF6 /* cswCore.c */; };
+ 27A92F650E349283006E7CF6 /* cswCut.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92CB70E349281006E7CF6 /* cswCut.c */; };
+ 27A92F660E349283006E7CF6 /* cswMan.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92CB90E349281006E7CF6 /* cswMan.c */; };
+ 27A92F670E349283006E7CF6 /* cswTable.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92CBA0E349281006E7CF6 /* cswTable.c */; };
+ 27A92F680E349283006E7CF6 /* dar_.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92CBE0E349281006E7CF6 /* dar_.c */; };
+ 27A92F690E349283006E7CF6 /* darBalance.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92CBF0E349281006E7CF6 /* darBalance.c */; };
+ 27A92F6A0E349283006E7CF6 /* darCore.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92CC00E349281006E7CF6 /* darCore.c */; };
+ 27A92F6B0E349283006E7CF6 /* darCut.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92CC10E349281006E7CF6 /* darCut.c */; };
+ 27A92F6C0E349283006E7CF6 /* darData.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92CC20E349281006E7CF6 /* darData.c */; };
+ 27A92F6D0E349283006E7CF6 /* darLib.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92CC40E349281006E7CF6 /* darLib.c */; };
+ 27A92F6E0E349283006E7CF6 /* darMan.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92CC50E349281006E7CF6 /* darMan.c */; };
+ 27A92F6F0E349283006E7CF6 /* darPrec.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92CC60E349281006E7CF6 /* darPrec.c */; };
+ 27A92F700E349283006E7CF6 /* darRefact.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92CC70E349281006E7CF6 /* darRefact.c */; };
+ 27A92F710E349283006E7CF6 /* darResub.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92CC80E349281006E7CF6 /* darResub.c */; };
+ 27A92F720E349283006E7CF6 /* darScript.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92CC90E349281006E7CF6 /* darScript.c */; };
+ 27A92F730E349283006E7CF6 /* fra_.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92CD00E349281006E7CF6 /* fra_.c */; };
+ 27A92F740E349283006E7CF6 /* fraBmc.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92CD10E349281006E7CF6 /* fraBmc.c */; };
+ 27A92F750E349283006E7CF6 /* fraCec.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92CD20E349281006E7CF6 /* fraCec.c */; };
+ 27A92F760E349283006E7CF6 /* fraClass.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92CD30E349281006E7CF6 /* fraClass.c */; };
+ 27A92F770E349283006E7CF6 /* fraCnf.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92CD40E349281006E7CF6 /* fraCnf.c */; };
+ 27A92F780E349283006E7CF6 /* fraCore.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92CD50E349281006E7CF6 /* fraCore.c */; };
+ 27A92F790E349283006E7CF6 /* fraImp.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92CD60E349281006E7CF6 /* fraImp.c */; };
+ 27A92F7A0E349283006E7CF6 /* fraInd.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92CD70E349281006E7CF6 /* fraInd.c */; };
+ 27A92F7B0E349283006E7CF6 /* fraLcr.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92CD80E349281006E7CF6 /* fraLcr.c */; };
+ 27A92F7C0E349283006E7CF6 /* fraMan.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92CD90E349281006E7CF6 /* fraMan.c */; };
+ 27A92F7D0E349283006E7CF6 /* fraPart.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92CDA0E349281006E7CF6 /* fraPart.c */; };
+ 27A92F7E0E349283006E7CF6 /* fraSat.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92CDB0E349281006E7CF6 /* fraSat.c */; };
+ 27A92F7F0E349283006E7CF6 /* fraSec.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92CDC0E349281006E7CF6 /* fraSec.c */; };
+ 27A92F800E349283006E7CF6 /* fraSim.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92CDD0E349281006E7CF6 /* fraSim.c */; };
+ 27A92F820E349283006E7CF6 /* hop_.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92CE30E349281006E7CF6 /* hop_.c */; };
+ 27A92F830E349283006E7CF6 /* hopBalance.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92CE40E349281006E7CF6 /* hopBalance.c */; };
+ 27A92F840E349283006E7CF6 /* hopCheck.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92CE50E349281006E7CF6 /* hopCheck.c */; };
+ 27A92F850E349283006E7CF6 /* hopDfs.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92CE60E349281006E7CF6 /* hopDfs.c */; };
+ 27A92F860E349283006E7CF6 /* hopMan.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92CE70E349281006E7CF6 /* hopMan.c */; };
+ 27A92F870E349283006E7CF6 /* hopMem.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92CE80E349281006E7CF6 /* hopMem.c */; };
+ 27A92F880E349283006E7CF6 /* hopObj.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92CE90E349281006E7CF6 /* hopObj.c */; };
+ 27A92F890E349283006E7CF6 /* hopOper.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92CEA0E349281006E7CF6 /* hopOper.c */; };
+ 27A92F8A0E349283006E7CF6 /* hopTable.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92CEB0E349281006E7CF6 /* hopTable.c */; };
+ 27A92F8B0E349283006E7CF6 /* hopUtil.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92CEC0E349281006E7CF6 /* hopUtil.c */; };
+ 27A92F8C0E349283006E7CF6 /* ioaReadAig.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92CF00E349281006E7CF6 /* ioaReadAig.c */; };
+ 27A92F8D0E349283006E7CF6 /* ioaUtil.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92CF10E349281006E7CF6 /* ioaUtil.c */; };
+ 27A92F8E0E349283006E7CF6 /* ioaWriteAig.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92CF20E349281006E7CF6 /* ioaWriteAig.c */; };
+ 27A92F8F0E349283006E7CF6 /* ivy_.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92CF70E349281006E7CF6 /* ivy_.c */; };
+ 27A92F900E349283006E7CF6 /* ivyBalance.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92CF80E349281006E7CF6 /* ivyBalance.c */; };
+ 27A92F910E349283006E7CF6 /* ivyCanon.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92CF90E349281006E7CF6 /* ivyCanon.c */; };
+ 27A92F920E349283006E7CF6 /* ivyCheck.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92CFA0E349281006E7CF6 /* ivyCheck.c */; };
+ 27A92F930E349283006E7CF6 /* ivyCut.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92CFB0E349281006E7CF6 /* ivyCut.c */; };
+ 27A92F940E349283006E7CF6 /* ivyCutTrav.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92CFC0E349281006E7CF6 /* ivyCutTrav.c */; };
+ 27A92F950E349283006E7CF6 /* ivyDfs.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92CFD0E349281006E7CF6 /* ivyDfs.c */; };
+ 27A92F960E349283006E7CF6 /* ivyDsd.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92CFE0E349281006E7CF6 /* ivyDsd.c */; };
+ 27A92F970E349283006E7CF6 /* ivyFanout.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92CFF0E349281006E7CF6 /* ivyFanout.c */; };
+ 27A92F980E349283006E7CF6 /* ivyFastMap.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92D000E349281006E7CF6 /* ivyFastMap.c */; };
+ 27A92F990E349283006E7CF6 /* ivyFraig.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92D010E349281006E7CF6 /* ivyFraig.c */; };
+ 27A92F9A0E349283006E7CF6 /* ivyHaig.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92D020E349281006E7CF6 /* ivyHaig.c */; };
+ 27A92F9B0E349283006E7CF6 /* ivyMan.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92D030E349281006E7CF6 /* ivyMan.c */; };
+ 27A92F9C0E349283006E7CF6 /* ivyMem.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92D040E349281006E7CF6 /* ivyMem.c */; };
+ 27A92F9D0E349283006E7CF6 /* ivyMulti.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92D050E349281006E7CF6 /* ivyMulti.c */; };
+ 27A92F9F0E349283006E7CF6 /* ivyObj.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92D070E349281006E7CF6 /* ivyObj.c */; };
+ 27A92FA00E349283006E7CF6 /* ivyOper.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92D080E349281006E7CF6 /* ivyOper.c */; };
+ 27A92FA10E349283006E7CF6 /* ivyResyn.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92D090E349281006E7CF6 /* ivyResyn.c */; };
+ 27A92FA20E349283006E7CF6 /* ivyRwr.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92D0A0E349281006E7CF6 /* ivyRwr.c */; };
+ 27A92FA40E349283006E7CF6 /* ivySeq.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92D0C0E349281006E7CF6 /* ivySeq.c */; };
+ 27A92FA50E349283006E7CF6 /* ivyShow.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92D0D0E349281006E7CF6 /* ivyShow.c */; };
+ 27A92FA60E349283006E7CF6 /* ivyTable.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92D0E0E349281006E7CF6 /* ivyTable.c */; };
+ 27A92FA70E349283006E7CF6 /* ivyUtil.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92D0F0E349281006E7CF6 /* ivyUtil.c */; };
+ 27A92FA80E349283006E7CF6 /* cloud.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92D120E349281006E7CF6 /* cloud.c */; };
+ 27A92FA90E349283006E7CF6 /* kit_.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92D150E349281006E7CF6 /* kit_.c */; };
+ 27A92FAA0E349283006E7CF6 /* kitAig.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92D160E349281006E7CF6 /* kitAig.c */; };
+ 27A92FAB0E349283006E7CF6 /* kitBdd.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92D170E349281006E7CF6 /* kitBdd.c */; };
+ 27A92FAC0E349283006E7CF6 /* kitCloud.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92D180E349281006E7CF6 /* kitCloud.c */; };
+ 27A92FAD0E349283006E7CF6 /* kitDsd.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92D190E349281006E7CF6 /* kitDsd.c */; };
+ 27A92FAE0E349283006E7CF6 /* kitFactor.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92D1A0E349281006E7CF6 /* kitFactor.c */; };
+ 27A92FAF0E349283006E7CF6 /* kitGraph.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92D1B0E349281006E7CF6 /* kitGraph.c */; };
+ 27A92FB00E349283006E7CF6 /* kitHop.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92D1C0E349281006E7CF6 /* kitHop.c */; };
+ 27A92FB10E349283006E7CF6 /* kitIsop.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92D1D0E349281006E7CF6 /* kitIsop.c */; };
+ 27A92FB20E349283006E7CF6 /* kitSop.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92D1E0E349281006E7CF6 /* kitSop.c */; };
+ 27A92FB30E349283006E7CF6 /* kitTruth.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92D1F0E349281006E7CF6 /* kitTruth.c */; };
+ 27A92FB40E349283006E7CF6 /* mem.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92D220E349281006E7CF6 /* mem.c */; };
+ 27A92FB50E349283006E7CF6 /* rwtDec.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92D280E349281006E7CF6 /* rwtDec.c */; };
+ 27A92FB60E349283006E7CF6 /* rwtMan.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92D290E349281006E7CF6 /* rwtMan.c */; };
+ 27A92FB70E349283006E7CF6 /* rwtUtil.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92D2A0E349281006E7CF6 /* rwtUtil.c */; };
+ 27A92FB80E349283006E7CF6 /* fpga.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92D2D0E349281006E7CF6 /* fpga.c */; };
+ 27A92FB90E349283006E7CF6 /* fpgaCore.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92D2F0E349281006E7CF6 /* fpgaCore.c */; };
+ 27A92FBA0E349283006E7CF6 /* fpgaCreate.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92D300E349281006E7CF6 /* fpgaCreate.c */; };
+ 27A92FBB0E349283006E7CF6 /* fpgaCut.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92D310E349281006E7CF6 /* fpgaCut.c */; };
+ 27A92FBC0E349283006E7CF6 /* fpgaCutUtils.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92D320E349281006E7CF6 /* fpgaCutUtils.c */; };
+ 27A92FBD0E349283006E7CF6 /* fpgaFanout.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92D330E349281006E7CF6 /* fpgaFanout.c */; };
+ 27A92FBE0E349283006E7CF6 /* fpgaGENERIC.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92D340E349281006E7CF6 /* fpgaGENERIC.c */; };
+ 27A92FBF0E349283006E7CF6 /* fpgaLib.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92D360E349281006E7CF6 /* fpgaLib.c */; };
+ 27A92FC00E349283006E7CF6 /* fpgaMatch.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92D370E349281006E7CF6 /* fpgaMatch.c */; };
+ 27A92FC10E349283006E7CF6 /* fpgaSwitch.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92D380E349281006E7CF6 /* fpgaSwitch.c */; };
+ 27A92FC20E349283006E7CF6 /* fpgaTime.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92D390E349281006E7CF6 /* fpgaTime.c */; };
+ 27A92FC30E349283006E7CF6 /* fpgaTruth.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92D3A0E349281006E7CF6 /* fpgaTruth.c */; };
+ 27A92FC40E349283006E7CF6 /* fpgaUtils.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92D3B0E349281006E7CF6 /* fpgaUtils.c */; };
+ 27A92FC50E349283006E7CF6 /* fpgaVec.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92D3C0E349281006E7CF6 /* fpgaVec.c */; };
+ 27A92FC60E349283006E7CF6 /* if_.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92D400E349281006E7CF6 /* if_.c */; };
+ 27A92FC70E349283006E7CF6 /* ifCore.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92D410E349281006E7CF6 /* ifCore.c */; };
+ 27A92FC80E349283006E7CF6 /* ifCut.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92D420E349281006E7CF6 /* ifCut.c */; };
+ 27A92FC90E349283006E7CF6 /* ifMan.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92D430E349281006E7CF6 /* ifMan.c */; };
+ 27A92FCA0E349283006E7CF6 /* ifMap.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92D440E349281006E7CF6 /* ifMap.c */; };
+ 27A92FCB0E349283006E7CF6 /* ifReduce.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92D450E349281006E7CF6 /* ifReduce.c */; };
+ 27A92FCC0E349283006E7CF6 /* ifSeq.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92D460E349281006E7CF6 /* ifSeq.c */; };
+ 27A92FCD0E349283006E7CF6 /* ifTime.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92D470E349281006E7CF6 /* ifTime.c */; };
+ 27A92FCE0E349283006E7CF6 /* ifTruth.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92D480E349281006E7CF6 /* ifTruth.c */; };
+ 27A92FCF0E349283006E7CF6 /* ifUtil.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92D490E349281006E7CF6 /* ifUtil.c */; };
+ 27A92FD00E349283006E7CF6 /* mapper.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92D4C0E349281006E7CF6 /* mapper.c */; };
+ 27A92FD10E349283006E7CF6 /* mapperCanon.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92D4E0E349281006E7CF6 /* mapperCanon.c */; };
+ 27A92FD20E349283006E7CF6 /* mapperCore.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92D4F0E349281006E7CF6 /* mapperCore.c */; };
+ 27A92FD30E349283006E7CF6 /* mapperCreate.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92D500E349281006E7CF6 /* mapperCreate.c */; };
+ 27A92FD40E349283006E7CF6 /* mapperCut.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92D510E349281006E7CF6 /* mapperCut.c */; };
+ 27A92FD50E349283006E7CF6 /* mapperCutUtils.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92D520E349281006E7CF6 /* mapperCutUtils.c */; };
+ 27A92FD60E349283006E7CF6 /* mapperFanout.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92D530E349281006E7CF6 /* mapperFanout.c */; };
+ 27A92FD70E349283006E7CF6 /* mapperGENERIC.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92D540E349281006E7CF6 /* mapperGENERIC.c */; };
+ 27A92FD80E349283006E7CF6 /* mapperLib.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92D560E349281006E7CF6 /* mapperLib.c */; };
+ 27A92FD90E349283006E7CF6 /* mapperMatch.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92D570E349281006E7CF6 /* mapperMatch.c */; };
+ 27A92FDA0E349283006E7CF6 /* mapperRefs.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92D580E349281006E7CF6 /* mapperRefs.c */; };
+ 27A92FDB0E349283006E7CF6 /* mapperSuper.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92D590E349281006E7CF6 /* mapperSuper.c */; };
+ 27A92FDC0E349283006E7CF6 /* mapperSwitch.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92D5A0E349281006E7CF6 /* mapperSwitch.c */; };
+ 27A92FDD0E349283006E7CF6 /* mapperTable.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92D5B0E349281006E7CF6 /* mapperTable.c */; };
+ 27A92FDE0E349283006E7CF6 /* mapperTime.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92D5C0E349281006E7CF6 /* mapperTime.c */; };
+ 27A92FDF0E349283006E7CF6 /* mapperTree.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92D5D0E349281006E7CF6 /* mapperTree.c */; };
+ 27A92FE00E349283006E7CF6 /* mapperTruth.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92D5E0E349281006E7CF6 /* mapperTruth.c */; };
+ 27A92FE10E349283006E7CF6 /* mapperUtils.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92D5F0E349281006E7CF6 /* mapperUtils.c */; };
+ 27A92FE20E349283006E7CF6 /* mapperVec.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92D600E349281006E7CF6 /* mapperVec.c */; };
+ 27A92FE30E349283006E7CF6 /* mio.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92D630E349281006E7CF6 /* mio.c */; };
+ 27A92FE40E349283006E7CF6 /* mioApi.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92D650E349281006E7CF6 /* mioApi.c */; };
+ 27A92FE50E349283006E7CF6 /* mioFunc.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92D660E349281006E7CF6 /* mioFunc.c */; };
+ 27A92FE60E349283006E7CF6 /* mioGENERIC.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92D670E349281006E7CF6 /* mioGENERIC.c */; };
+ 27A92FE70E349283006E7CF6 /* mioRead.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92D690E349281006E7CF6 /* mioRead.c */; };
+ 27A92FE80E349283006E7CF6 /* mioUtils.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92D6A0E349281006E7CF6 /* mioUtils.c */; };
+ 27A92FE90E349283006E7CF6 /* super.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92D6E0E349281006E7CF6 /* super.c */; };
+ 27A92FEA0E349283006E7CF6 /* superAnd.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92D700E349281006E7CF6 /* superAnd.c */; };
+ 27A92FEB0E349283006E7CF6 /* superGate.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92D710E349281006E7CF6 /* superGate.c */; };
+ 27A92FEC0E349283006E7CF6 /* superGENERIC.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92D720E349281006E7CF6 /* superGENERIC.c */; };
+ 27A92FED0E349283006E7CF6 /* superWrite.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92D740E349281006E7CF6 /* superWrite.c */; };
+ 27A92FEE0E349283006E7CF6 /* abc_.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92D780E349282006E7CF6 /* abc_.c */; };
+ 27A92FEF0E349283006E7CF6 /* abcAig.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92D790E349282006E7CF6 /* abcAig.c */; };
+ 27A92FF00E349283006E7CF6 /* abcBlifMv.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92D7A0E349282006E7CF6 /* abcBlifMv.c */; };
+ 27A92FF10E349283006E7CF6 /* abcCheck.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92D7B0E349282006E7CF6 /* abcCheck.c */; };
+ 27A92FF20E349283006E7CF6 /* abcDfs.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92D7C0E349282006E7CF6 /* abcDfs.c */; };
+ 27A92FF30E349283006E7CF6 /* abcFanio.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92D7D0E349282006E7CF6 /* abcFanio.c */; };
+ 27A92FF40E349283006E7CF6 /* abcFunc.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92D7E0E349282006E7CF6 /* abcFunc.c */; };
+ 27A92FF50E349283006E7CF6 /* abcHie.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92D7F0E349282006E7CF6 /* abcHie.c */; };
+ 27A92FF60E349283006E7CF6 /* abcLatch.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92D810E349282006E7CF6 /* abcLatch.c */; };
+ 27A92FF70E349283006E7CF6 /* abcLib.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92D820E349282006E7CF6 /* abcLib.c */; };
+ 27A92FF80E349283006E7CF6 /* abcMinBase.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92D830E349282006E7CF6 /* abcMinBase.c */; };
+ 27A92FF90E349283006E7CF6 /* abcNames.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92D840E349282006E7CF6 /* abcNames.c */; };
+ 27A92FFA0E349283006E7CF6 /* abcNetlist.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92D850E349282006E7CF6 /* abcNetlist.c */; };
+ 27A92FFB0E349283006E7CF6 /* abcNtk.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92D860E349282006E7CF6 /* abcNtk.c */; };
+ 27A92FFC0E349283006E7CF6 /* abcObj.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92D870E349282006E7CF6 /* abcObj.c */; };
+ 27A92FFD0E349283006E7CF6 /* abcRefs.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92D880E349282006E7CF6 /* abcRefs.c */; };
+ 27A92FFE0E349283006E7CF6 /* abcShow.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92D890E349282006E7CF6 /* abcShow.c */; };
+ 27A92FFF0E349283006E7CF6 /* abcSop.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92D8A0E349282006E7CF6 /* abcSop.c */; };
+ 27A930000E349283006E7CF6 /* abcUtil.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92D8B0E349282006E7CF6 /* abcUtil.c */; };
+ 27A930010E349283006E7CF6 /* abc.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92D8E0E349282006E7CF6 /* abc.c */; };
+ 27A930020E349283006E7CF6 /* abc_.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92D8F0E349282006E7CF6 /* abc_.c */; };
+ 27A930030E349283006E7CF6 /* abcAttach.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92D900E349282006E7CF6 /* abcAttach.c */; };
+ 27A930040E349283006E7CF6 /* abcAuto.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92D910E349282006E7CF6 /* abcAuto.c */; };
+ 27A930050E349283006E7CF6 /* abcBalance.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92D920E349282006E7CF6 /* abcBalance.c */; };
+ 27A930060E349283006E7CF6 /* abcBmc.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92D930E349282006E7CF6 /* abcBmc.c */; };
+ 27A930070E349283006E7CF6 /* abcCas.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92D940E349282006E7CF6 /* abcCas.c */; };
+ 27A930080E349283006E7CF6 /* abcClpBdd.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92D950E349282006E7CF6 /* abcClpBdd.c */; };
+ 27A930090E349283006E7CF6 /* abcClpSop.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92D960E349282006E7CF6 /* abcClpSop.c */; };
+ 27A9300A0E349283006E7CF6 /* abcCut.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92D970E349282006E7CF6 /* abcCut.c */; };
+ 27A9300B0E349283006E7CF6 /* abcDar.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92D980E349282006E7CF6 /* abcDar.c */; };
+ 27A9300C0E349283006E7CF6 /* abcDebug.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92D990E349282006E7CF6 /* abcDebug.c */; };
+ 27A9300D0E349283006E7CF6 /* abcDress.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92D9A0E349282006E7CF6 /* abcDress.c */; };
+ 27A9300E0E349283006E7CF6 /* abcDsd.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92D9B0E349282006E7CF6 /* abcDsd.c */; };
+ 27A9300F0E349283006E7CF6 /* abcEspresso.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92D9C0E349282006E7CF6 /* abcEspresso.c */; };
+ 27A930100E349283006E7CF6 /* abcExtract.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92D9D0E349282006E7CF6 /* abcExtract.c */; };
+ 27A930110E349283006E7CF6 /* abcFpga.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92D9E0E349282006E7CF6 /* abcFpga.c */; };
+ 27A930120E349283006E7CF6 /* abcFpgaFast.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92D9F0E349282006E7CF6 /* abcFpgaFast.c */; };
+ 27A930130E349283006E7CF6 /* abcFraig.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92DA00E349282006E7CF6 /* abcFraig.c */; };
+ 27A930140E349283006E7CF6 /* abcFxu.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92DA10E349282006E7CF6 /* abcFxu.c */; };
+ 27A930150E349283006E7CF6 /* abcGen.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92DA20E349282006E7CF6 /* abcGen.c */; };
+ 27A930160E349283006E7CF6 /* abcHaig.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92DA30E349282006E7CF6 /* abcHaig.c */; };
+ 27A930170E349283006E7CF6 /* abcIf.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92DA40E349282006E7CF6 /* abcIf.c */; };
+ 27A930180E349283006E7CF6 /* abcIvy.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92DA50E349282006E7CF6 /* abcIvy.c */; };
+ 27A930190E349283006E7CF6 /* abcLut.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92DA60E349282006E7CF6 /* abcLut.c */; };
+ 27A9301A0E349283006E7CF6 /* abcMap.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92DA70E349282006E7CF6 /* abcMap.c */; };
+ 27A9301B0E349283006E7CF6 /* abcMeasure.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92DA80E349282006E7CF6 /* abcMeasure.c */; };
+ 27A9301C0E349283006E7CF6 /* abcMini.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92DA90E349282006E7CF6 /* abcMini.c */; };
+ 27A9301D0E349283006E7CF6 /* abcMiter.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92DAA0E349282006E7CF6 /* abcMiter.c */; };
+ 27A9301E0E349283006E7CF6 /* abcMulti.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92DAB0E349282006E7CF6 /* abcMulti.c */; };
+ 27A9301F0E349283006E7CF6 /* abcMv.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92DAC0E349282006E7CF6 /* abcMv.c */; };
+ 27A930200E349283006E7CF6 /* abcNtbdd.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92DAD0E349282006E7CF6 /* abcNtbdd.c */; };
+ 27A930210E349283006E7CF6 /* abcOdc.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92DAE0E349282006E7CF6 /* abcOdc.c */; };
+ 27A930220E349283006E7CF6 /* abcOrder.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92DAF0E349282006E7CF6 /* abcOrder.c */; };
+ 27A930230E349283006E7CF6 /* abcPart.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92DB00E349282006E7CF6 /* abcPart.c */; };
+ 27A930250E349283006E7CF6 /* abcPrint.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92DB20E349282006E7CF6 /* abcPrint.c */; };
+ 27A930260E349283006E7CF6 /* abcProve.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92DB30E349282006E7CF6 /* abcProve.c */; };
+ 27A930270E349283006E7CF6 /* abcQbf.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92DB40E349282006E7CF6 /* abcQbf.c */; };
+ 27A930280E349283006E7CF6 /* abcQuant.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92DB50E349282006E7CF6 /* abcQuant.c */; };
+ 27A930290E349283006E7CF6 /* abcRec.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92DB60E349282006E7CF6 /* abcRec.c */; };
+ 27A9302A0E349283006E7CF6 /* abcReconv.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92DB70E349282006E7CF6 /* abcReconv.c */; };
+ 27A9302B0E349283006E7CF6 /* abcRefactor.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92DB80E349282006E7CF6 /* abcRefactor.c */; };
+ 27A9302C0E349283006E7CF6 /* abcRenode.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92DB90E349282006E7CF6 /* abcRenode.c */; };
+ 27A9302D0E349283006E7CF6 /* abcReorder.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92DBA0E349282006E7CF6 /* abcReorder.c */; };
+ 27A9302E0E349283006E7CF6 /* abcRestruct.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92DBB0E349282006E7CF6 /* abcRestruct.c */; };
+ 27A9302F0E349283006E7CF6 /* abcResub.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92DBC0E349282006E7CF6 /* abcResub.c */; };
+ 27A930300E349283006E7CF6 /* abcRewrite.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92DBD0E349282006E7CF6 /* abcRewrite.c */; };
+ 27A930310E349283006E7CF6 /* abcRr.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92DBE0E349282006E7CF6 /* abcRr.c */; };
+ 27A930320E349283006E7CF6 /* abcSat.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92DBF0E349282006E7CF6 /* abcSat.c */; };
+ 27A930330E349283006E7CF6 /* abcStrash.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92DC00E349282006E7CF6 /* abcStrash.c */; };
+ 27A930340E349283006E7CF6 /* abcSweep.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92DC10E349282006E7CF6 /* abcSweep.c */; };
+ 27A930350E349283006E7CF6 /* abcSymm.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92DC20E349282006E7CF6 /* abcSymm.c */; };
+ 27A930360E349283006E7CF6 /* abcTiming.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92DC30E349282006E7CF6 /* abcTiming.c */; };
+ 27A930370E349283006E7CF6 /* abcUnate.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92DC40E349282006E7CF6 /* abcUnate.c */; };
+ 27A930380E349283006E7CF6 /* abcUnreach.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92DC50E349282006E7CF6 /* abcUnreach.c */; };
+ 27A930390E349283006E7CF6 /* abcVerify.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92DC60E349282006E7CF6 /* abcVerify.c */; };
+ 27A9303A0E349283006E7CF6 /* abcXsim.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92DC70E349282006E7CF6 /* abcXsim.c */; };
+ 27A9303B0E349283006E7CF6 /* cmd.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92DCA0E349282006E7CF6 /* cmd.c */; };
+ 27A9303C0E349283006E7CF6 /* cmdAlias.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92DCC0E349282006E7CF6 /* cmdAlias.c */; };
+ 27A9303D0E349283006E7CF6 /* cmdApi.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92DCD0E349282006E7CF6 /* cmdApi.c */; };
+ 27A9303E0E349283006E7CF6 /* cmdFlag.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92DCE0E349282006E7CF6 /* cmdFlag.c */; };
+ 27A9303F0E349283006E7CF6 /* cmdHist.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92DCF0E349282006E7CF6 /* cmdHist.c */; };
+ 27A930400E349283006E7CF6 /* cmdUtils.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92DD10E349282006E7CF6 /* cmdUtils.c */; };
+ 27A930410E349283006E7CF6 /* io.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92DD40E349282006E7CF6 /* io.c */; };
+ 27A930420E349283006E7CF6 /* io_.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92DD60E349282006E7CF6 /* io_.c */; };
+ 27A930430E349283006E7CF6 /* ioReadAiger.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92DD80E349282006E7CF6 /* ioReadAiger.c */; };
+ 27A930440E349283006E7CF6 /* ioReadBaf.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92DD90E349282006E7CF6 /* ioReadBaf.c */; };
+ 27A930450E349283006E7CF6 /* ioReadBench.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92DDA0E349282006E7CF6 /* ioReadBench.c */; };
+ 27A930460E349283006E7CF6 /* ioReadBlif.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92DDB0E349282006E7CF6 /* ioReadBlif.c */; };
+ 27A930470E349283006E7CF6 /* ioReadBlifAig.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92DDC0E349282006E7CF6 /* ioReadBlifAig.c */; };
+ 27A930480E349283006E7CF6 /* ioReadBlifMv.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92DDD0E349282006E7CF6 /* ioReadBlifMv.c */; };
+ 27A930490E349283006E7CF6 /* ioReadDsd.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92DDE0E349282006E7CF6 /* ioReadDsd.c */; };
+ 27A9304A0E349283006E7CF6 /* ioReadEdif.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92DDF0E349282006E7CF6 /* ioReadEdif.c */; };
+ 27A9304B0E349283006E7CF6 /* ioReadEqn.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92DE00E349282006E7CF6 /* ioReadEqn.c */; };
+ 27A9304C0E349283006E7CF6 /* ioReadPla.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92DE10E349282006E7CF6 /* ioReadPla.c */; };
+ 27A9304D0E349283006E7CF6 /* ioReadVerilog.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92DE20E349282006E7CF6 /* ioReadVerilog.c */; };
+ 27A9304E0E349283006E7CF6 /* ioUtil.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92DE30E349282006E7CF6 /* ioUtil.c */; };
+ 27A9304F0E349283006E7CF6 /* ioWriteAiger.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92DE40E349282006E7CF6 /* ioWriteAiger.c */; };
+ 27A930500E349283006E7CF6 /* ioWriteBaf.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92DE50E349282006E7CF6 /* ioWriteBaf.c */; };
+ 27A930510E349283006E7CF6 /* ioWriteBench.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92DE60E349282006E7CF6 /* ioWriteBench.c */; };
+ 27A930520E349283006E7CF6 /* ioWriteBlif.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92DE70E349282006E7CF6 /* ioWriteBlif.c */; };
+ 27A930530E349283006E7CF6 /* ioWriteBlifMv.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92DE80E349282006E7CF6 /* ioWriteBlifMv.c */; };
+ 27A930540E349283006E7CF6 /* ioWriteCnf.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92DE90E349282006E7CF6 /* ioWriteCnf.c */; };
+ 27A930550E349283006E7CF6 /* ioWriteDot.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92DEA0E349282006E7CF6 /* ioWriteDot.c */; };
+ 27A930560E349283006E7CF6 /* ioWriteEqn.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92DEB0E349282006E7CF6 /* ioWriteEqn.c */; };
+ 27A930570E349283006E7CF6 /* ioWriteGml.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92DEC0E349282006E7CF6 /* ioWriteGml.c */; };
+ 27A930580E349283006E7CF6 /* ioWriteList.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92DED0E349282006E7CF6 /* ioWriteList.c */; };
+ 27A930590E349283006E7CF6 /* ioWritePla.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92DEE0E349282006E7CF6 /* ioWritePla.c */; };
+ 27A9305A0E349283006E7CF6 /* ioWriteVerilog.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92DEF0E349282006E7CF6 /* ioWriteVerilog.c */; };
+ 27A9305B0E349283006E7CF6 /* libSupport.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92DF20E349282006E7CF6 /* libSupport.c */; };
+ 27A9305C0E349283006E7CF6 /* main.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92DF30E349282006E7CF6 /* main.c */; };
+ 27A9305D0E349283006E7CF6 /* mainFrame.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92DF50E349282006E7CF6 /* mainFrame.c */; };
+ 27A9305E0E349283006E7CF6 /* mainInit.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92DF60E349282006E7CF6 /* mainInit.c */; };
+ 27A9305F0E349283006E7CF6 /* mainUtils.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92DF80E349282006E7CF6 /* mainUtils.c */; };
+ 27A930610E349283006E7CF6 /* ver_.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92DFE0E349282006E7CF6 /* ver_.c */; };
+ 27A930620E349283006E7CF6 /* verCore.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92DFF0E349282006E7CF6 /* verCore.c */; };
+ 27A930630E349283006E7CF6 /* verFormula.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92E000E349282006E7CF6 /* verFormula.c */; };
+ 27A930640E349283006E7CF6 /* verParse.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92E010E349282006E7CF6 /* verParse.c */; };
+ 27A930650E349283006E7CF6 /* verStream.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92E020E349282006E7CF6 /* verStream.c */; };
+ 27A930660E349283006E7CF6 /* verWords.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92E030E349282006E7CF6 /* verWords.c */; };
+ 27A930670E349283006E7CF6 /* cofactor.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92E060E349282006E7CF6 /* cofactor.c */; };
+ 27A930680E349283006E7CF6 /* cols.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92E070E349282006E7CF6 /* cols.c */; };
+ 27A930690E349283006E7CF6 /* compl.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92E080E349282006E7CF6 /* compl.c */; };
+ 27A9306A0E349283006E7CF6 /* contain.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92E090E349282006E7CF6 /* contain.c */; };
+ 27A9306B0E349283006E7CF6 /* cubehack.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92E0A0E349282006E7CF6 /* cubehack.c */; };
+ 27A9306C0E349283006E7CF6 /* cubestr.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92E0B0E349282006E7CF6 /* cubestr.c */; };
+ 27A9306D0E349283006E7CF6 /* cvrin.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92E0C0E349282006E7CF6 /* cvrin.c */; };
+ 27A9306E0E349283006E7CF6 /* cvrm.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92E0D0E349282006E7CF6 /* cvrm.c */; };
+ 27A9306F0E349283006E7CF6 /* cvrmisc.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92E0E0E349282006E7CF6 /* cvrmisc.c */; };
+ 27A930700E349283006E7CF6 /* cvrout.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92E0F0E349282006E7CF6 /* cvrout.c */; };
+ 27A930710E349283006E7CF6 /* dominate.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92E100E349282006E7CF6 /* dominate.c */; };
+ 27A930720E349283006E7CF6 /* equiv.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92E110E349282006E7CF6 /* equiv.c */; };
+ 27A930730E349283006E7CF6 /* espresso.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92E120E349282006E7CF6 /* espresso.c */; };
+ 27A930740E349283006E7CF6 /* essen.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92E140E349282006E7CF6 /* essen.c */; };
+ 27A930750E349283006E7CF6 /* exact.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92E150E349282006E7CF6 /* exact.c */; };
+ 27A930760E349283006E7CF6 /* expand.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92E160E349282006E7CF6 /* expand.c */; };
+ 27A930770E349283006E7CF6 /* gasp.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92E170E349282006E7CF6 /* gasp.c */; };
+ 27A930780E349283006E7CF6 /* gimpel.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92E180E349282006E7CF6 /* gimpel.c */; };
+ 27A930790E349283006E7CF6 /* globals.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92E190E349282006E7CF6 /* globals.c */; };
+ 27A9307A0E349283006E7CF6 /* hack.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92E1A0E349282006E7CF6 /* hack.c */; };
+ 27A9307B0E349283006E7CF6 /* indep.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92E1B0E349282006E7CF6 /* indep.c */; };
+ 27A9307C0E349283006E7CF6 /* irred.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92E1C0E349282006E7CF6 /* irred.c */; };
+ 27A9307E0E349283006E7CF6 /* map.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92E1F0E349282006E7CF6 /* map.c */; };
+ 27A9307F0E349283006E7CF6 /* matrix.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92E200E349282006E7CF6 /* matrix.c */; };
+ 27A930800E349283006E7CF6 /* mincov.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92E210E349282006E7CF6 /* mincov.c */; };
+ 27A930810E349283006E7CF6 /* opo.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92E250E349282006E7CF6 /* opo.c */; };
+ 27A930820E349283006E7CF6 /* pair.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92E260E349282006E7CF6 /* pair.c */; };
+ 27A930830E349283006E7CF6 /* part.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92E270E349282006E7CF6 /* part.c */; };
+ 27A930840E349283006E7CF6 /* primes.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92E280E349282006E7CF6 /* primes.c */; };
+ 27A930850E349283006E7CF6 /* reduce.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92E290E349282006E7CF6 /* reduce.c */; };
+ 27A930860E349283006E7CF6 /* rows.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92E2A0E349282006E7CF6 /* rows.c */; };
+ 27A930870E349283006E7CF6 /* set.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92E2B0E349282006E7CF6 /* set.c */; };
+ 27A930880E349283006E7CF6 /* setc.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92E2C0E349282006E7CF6 /* setc.c */; };
+ 27A930890E349283006E7CF6 /* sharp.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92E2D0E349282006E7CF6 /* sharp.c */; };
+ 27A9308A0E349283006E7CF6 /* sminterf.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92E2E0E349282006E7CF6 /* sminterf.c */; };
+ 27A9308B0E349283006E7CF6 /* solution.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92E2F0E349282006E7CF6 /* solution.c */; };
+ 27A9308C0E349283006E7CF6 /* sparse.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92E300E349282006E7CF6 /* sparse.c */; };
+ 27A9308E0E349283006E7CF6 /* verify.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92E350E349282006E7CF6 /* verify.c */; };
+ 27A9308F0E349283006E7CF6 /* extraBddAuto.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92E380E349282006E7CF6 /* extraBddAuto.c */; };
+ 27A930900E349283006E7CF6 /* extraBddCas.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92E390E349282006E7CF6 /* extraBddCas.c */; };
+ 27A930910E349283006E7CF6 /* extraBddKmap.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92E3A0E349282006E7CF6 /* extraBddKmap.c */; };
+ 27A930920E349283006E7CF6 /* extraBddMisc.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92E3B0E349282006E7CF6 /* extraBddMisc.c */; };
+ 27A930930E349283006E7CF6 /* extraBddSymm.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92E3C0E349282006E7CF6 /* extraBddSymm.c */; };
+ 27A930940E349283006E7CF6 /* extraBddUnate.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92E3D0E349282006E7CF6 /* extraBddUnate.c */; };
+ 27A930950E349283006E7CF6 /* extraUtilBitMatrix.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92E3E0E349282006E7CF6 /* extraUtilBitMatrix.c */; };
+ 27A930960E349283006E7CF6 /* extraUtilCanon.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92E3F0E349282006E7CF6 /* extraUtilCanon.c */; };
+ 27A930970E349283006E7CF6 /* extraUtilFile.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92E400E349282006E7CF6 /* extraUtilFile.c */; };
+ 27A930980E349283006E7CF6 /* extraUtilMemory.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92E410E349282006E7CF6 /* extraUtilMemory.c */; };
+ 27A930990E349283006E7CF6 /* extraUtilMisc.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92E420E349282006E7CF6 /* extraUtilMisc.c */; };
+ 27A9309A0E349283006E7CF6 /* extraUtilProgress.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92E430E349282006E7CF6 /* extraUtilProgress.c */; };
+ 27A9309B0E349283006E7CF6 /* extraUtilReader.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92E440E349282006E7CF6 /* extraUtilReader.c */; };
+ 27A9309C0E349283006E7CF6 /* extraUtilTruth.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92E450E349282006E7CF6 /* extraUtilTruth.c */; };
+ 27A9309D0E349283006E7CF6 /* extraUtilUtil.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92E460E349282006E7CF6 /* extraUtilUtil.c */; };
+ 27A9309E0E349283006E7CF6 /* mvc.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92E500E349282006E7CF6 /* mvc.c */; };
+ 27A9309F0E349283006E7CF6 /* mvcApi.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92E520E349282006E7CF6 /* mvcApi.c */; };
+ 27A930A00E349283006E7CF6 /* mvcCompare.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92E530E349282006E7CF6 /* mvcCompare.c */; };
+ 27A930A10E349283006E7CF6 /* mvcContain.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92E540E349282006E7CF6 /* mvcContain.c */; };
+ 27A930A20E349283006E7CF6 /* mvcCover.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92E550E349282006E7CF6 /* mvcCover.c */; };
+ 27A930A30E349283006E7CF6 /* mvcCube.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92E560E349282006E7CF6 /* mvcCube.c */; };
+ 27A930A40E349283006E7CF6 /* mvcDivide.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92E570E349282006E7CF6 /* mvcDivide.c */; };
+ 27A930A50E349283006E7CF6 /* mvcDivisor.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92E580E349282006E7CF6 /* mvcDivisor.c */; };
+ 27A930A60E349283006E7CF6 /* mvcList.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92E590E349282006E7CF6 /* mvcList.c */; };
+ 27A930A70E349283006E7CF6 /* mvcLits.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92E5A0E349282006E7CF6 /* mvcLits.c */; };
+ 27A930A80E349283006E7CF6 /* mvcMan.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92E5B0E349282006E7CF6 /* mvcMan.c */; };
+ 27A930A90E349283006E7CF6 /* mvcOpAlg.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92E5C0E349282006E7CF6 /* mvcOpAlg.c */; };
+ 27A930AA0E349283006E7CF6 /* mvcOpBool.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92E5D0E349283006E7CF6 /* mvcOpBool.c */; };
+ 27A930AB0E349283006E7CF6 /* mvcPrint.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92E5E0E349283006E7CF6 /* mvcPrint.c */; };
+ 27A930AC0E349283006E7CF6 /* mvcSort.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92E5F0E349283006E7CF6 /* mvcSort.c */; };
+ 27A930AD0E349283006E7CF6 /* mvcUtils.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92E600E349283006E7CF6 /* mvcUtils.c */; };
+ 27A930AE0E349283006E7CF6 /* nmApi.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92E640E349283006E7CF6 /* nmApi.c */; };
+ 27A930AF0E349283006E7CF6 /* nmTable.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92E660E349283006E7CF6 /* nmTable.c */; };
+ 27A930B00E349283006E7CF6 /* st.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92E690E349283006E7CF6 /* st.c */; };
+ 27A930B10E349283006E7CF6 /* stmm.c in Sources */ = {isa = PBXBuildFile; fileRef = 27A92E6B0E349283006E7CF6 /* stmm.c */; };
+ 8DD76FB00486AB0100D96B5E /* abc.1 in CopyFiles */ = {isa = PBXBuildFile; fileRef = C6A0FF2C0290799A04C91782 /* abc.1 */; };
+/* End PBXBuildFile section */
+
+/* Begin PBXCopyFilesBuildPhase section */
+ 8DD76FAF0486AB0100D96B5E /* CopyFiles */ = {
+ isa = PBXCopyFilesBuildPhase;
+ buildActionMask = 8;
+ dstPath = /usr/share/man/man1/;
+ dstSubfolderSpec = 0;
+ files = (
+ 8DD76FB00486AB0100D96B5E /* abc.1 in CopyFiles */,
+ );
+ runOnlyForDeploymentPostprocessing = 1;
+ };
+/* End PBXCopyFilesBuildPhase section */
+
+/* Begin PBXFileReference section */
+ 274040EF0E38BED900DC6F18 /* libreadline.dylib */ = {isa = PBXFileReference; lastKnownFileType = "compiled.mach-o.dylib"; name = libreadline.dylib; path = /usr/lib/libreadline.dylib; sourceTree = "<absolute>"; };
+ 27A92B6E0E349280006E7CF6 /* abcCut.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = abcCut.c; sourceTree = "<group>"; };
+ 27A92B6F0E349280006E7CF6 /* cut.h */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.h; path = cut.h; sourceTree = "<group>"; };
+ 27A92B700E349280006E7CF6 /* cutApi.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = cutApi.c; sourceTree = "<group>"; };
+ 27A92B710E349280006E7CF6 /* cutCut.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = cutCut.c; sourceTree = "<group>"; };
+ 27A92B720E349280006E7CF6 /* cutExpand.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = cutExpand.c; sourceTree = "<group>"; };
+ 27A92B730E349280006E7CF6 /* cutInt.h */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.h; path = cutInt.h; sourceTree = "<group>"; };
+ 27A92B740E349280006E7CF6 /* cutList.h */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.h; path = cutList.h; sourceTree = "<group>"; };
+ 27A92B750E349280006E7CF6 /* cutMan.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = cutMan.c; sourceTree = "<group>"; };
+ 27A92B760E349280006E7CF6 /* cutMerge.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = cutMerge.c; sourceTree = "<group>"; };
+ 27A92B770E349280006E7CF6 /* cutNode.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = cutNode.c; sourceTree = "<group>"; };
+ 27A92B780E349280006E7CF6 /* cutOracle.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = cutOracle.c; sourceTree = "<group>"; };
+ 27A92B790E349280006E7CF6 /* cutPre22.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = cutPre22.c; sourceTree = "<group>"; };
+ 27A92B7A0E349280006E7CF6 /* cutSeq.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = cutSeq.c; sourceTree = "<group>"; };
+ 27A92B7B0E349280006E7CF6 /* cutTruth.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = cutTruth.c; sourceTree = "<group>"; };
+ 27A92B7C0E349280006E7CF6 /* module.make */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = text; path = module.make; sourceTree = "<group>"; };
+ 27A92B7E0E349280006E7CF6 /* dec.h */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.h; path = dec.h; sourceTree = "<group>"; };
+ 27A92B7F0E349280006E7CF6 /* decAbc.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = decAbc.c; sourceTree = "<group>"; };
+ 27A92B800E349280006E7CF6 /* decFactor.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = decFactor.c; sourceTree = "<group>"; };
+ 27A92B810E349280006E7CF6 /* decMan.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = decMan.c; sourceTree = "<group>"; };
+ 27A92B820E349280006E7CF6 /* decPrint.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = decPrint.c; sourceTree = "<group>"; };
+ 27A92B830E349280006E7CF6 /* decUtil.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = decUtil.c; sourceTree = "<group>"; };
+ 27A92B840E349280006E7CF6 /* module.make */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = text; path = module.make; sourceTree = "<group>"; };
+ 27A92B860E349280006E7CF6 /* fxu.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = fxu.c; sourceTree = "<group>"; };
+ 27A92B870E349280006E7CF6 /* fxu.h */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.h; path = fxu.h; sourceTree = "<group>"; };
+ 27A92B880E349280006E7CF6 /* fxuCreate.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = fxuCreate.c; sourceTree = "<group>"; };
+ 27A92B890E349280006E7CF6 /* fxuHeapD.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = fxuHeapD.c; sourceTree = "<group>"; };
+ 27A92B8A0E349280006E7CF6 /* fxuHeapS.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = fxuHeapS.c; sourceTree = "<group>"; };
+ 27A92B8B0E349280006E7CF6 /* fxuInt.h */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.h; path = fxuInt.h; sourceTree = "<group>"; };
+ 27A92B8C0E349280006E7CF6 /* fxuList.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = fxuList.c; sourceTree = "<group>"; };
+ 27A92B8D0E349280006E7CF6 /* fxuMatrix.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = fxuMatrix.c; sourceTree = "<group>"; };
+ 27A92B8E0E349280006E7CF6 /* fxuPair.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = fxuPair.c; sourceTree = "<group>"; };
+ 27A92B8F0E349280006E7CF6 /* fxuPrint.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = fxuPrint.c; sourceTree = "<group>"; };
+ 27A92B900E349280006E7CF6 /* fxuReduce.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = fxuReduce.c; sourceTree = "<group>"; };
+ 27A92B910E349280006E7CF6 /* fxuSelect.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = fxuSelect.c; sourceTree = "<group>"; };
+ 27A92B920E349280006E7CF6 /* fxuSingle.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = fxuSingle.c; sourceTree = "<group>"; };
+ 27A92B930E349280006E7CF6 /* fxuUpdate.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = fxuUpdate.c; sourceTree = "<group>"; };
+ 27A92B940E349280006E7CF6 /* module.make */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = text; path = module.make; sourceTree = "<group>"; };
+ 27A92B960E349280006E7CF6 /* lpk.h */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.h; path = lpk.h; sourceTree = "<group>"; };
+ 27A92B970E349280006E7CF6 /* lpk_.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = lpk_.c; sourceTree = "<group>"; };
+ 27A92B980E349280006E7CF6 /* lpkAbcDec.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = lpkAbcDec.c; sourceTree = "<group>"; };
+ 27A92B990E349280006E7CF6 /* lpkAbcDsd.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = lpkAbcDsd.c; sourceTree = "<group>"; };
+ 27A92B9A0E349280006E7CF6 /* lpkAbcMux.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = lpkAbcMux.c; sourceTree = "<group>"; };
+ 27A92B9B0E349280006E7CF6 /* lpkAbcUtil.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = lpkAbcUtil.c; sourceTree = "<group>"; };
+ 27A92B9C0E349280006E7CF6 /* lpkCore.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = lpkCore.c; sourceTree = "<group>"; };
+ 27A92B9D0E349280006E7CF6 /* lpkCut.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = lpkCut.c; sourceTree = "<group>"; };
+ 27A92B9E0E349280006E7CF6 /* lpkInt.h */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.h; path = lpkInt.h; sourceTree = "<group>"; };
+ 27A92B9F0E349280006E7CF6 /* lpkMan.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = lpkMan.c; sourceTree = "<group>"; };
+ 27A92BA00E349280006E7CF6 /* lpkMap.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = lpkMap.c; sourceTree = "<group>"; };
+ 27A92BA10E349280006E7CF6 /* lpkMulti.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = lpkMulti.c; sourceTree = "<group>"; };
+ 27A92BA20E349280006E7CF6 /* lpkMux.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = lpkMux.c; sourceTree = "<group>"; };
+ 27A92BA30E349280006E7CF6 /* lpkSets.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = lpkSets.c; sourceTree = "<group>"; };
+ 27A92BA40E349280006E7CF6 /* module.make */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = text; path = module.make; sourceTree = "<group>"; };
+ 27A92BA60E349280006E7CF6 /* module.make */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = text; path = module.make; sourceTree = "<group>"; };
+ 27A92BA70E349280006E7CF6 /* res.h */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.h; path = res.h; sourceTree = "<group>"; };
+ 27A92BA80E349280006E7CF6 /* res_.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = res_.c; sourceTree = "<group>"; };
+ 27A92BA90E349280006E7CF6 /* resCore.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = resCore.c; sourceTree = "<group>"; };
+ 27A92BAA0E349280006E7CF6 /* resDivs.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = resDivs.c; sourceTree = "<group>"; };
+ 27A92BAB0E349280006E7CF6 /* resFilter.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = resFilter.c; sourceTree = "<group>"; };
+ 27A92BAC0E349280006E7CF6 /* resInt.h */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.h; path = resInt.h; sourceTree = "<group>"; };
+ 27A92BAD0E349280006E7CF6 /* resSat.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = resSat.c; sourceTree = "<group>"; };
+ 27A92BAE0E349280006E7CF6 /* resSim.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = resSim.c; sourceTree = "<group>"; };
+ 27A92BAF0E349280006E7CF6 /* resSim_old.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = resSim_old.c; sourceTree = "<group>"; };
+ 27A92BB00E349280006E7CF6 /* resStrash.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = resStrash.c; sourceTree = "<group>"; };
+ 27A92BB10E349280006E7CF6 /* resWin.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = resWin.c; sourceTree = "<group>"; };
+ 27A92BB30E349280006E7CF6 /* module.make */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = text; path = module.make; sourceTree = "<group>"; };
+ 27A92BB40E349280006E7CF6 /* ret_.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = ret_.c; sourceTree = "<group>"; };
+ 27A92BB50E349280006E7CF6 /* retArea.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = retArea.c; sourceTree = "<group>"; };
+ 27A92BB60E349280006E7CF6 /* retCore.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = retCore.c; sourceTree = "<group>"; };
+ 27A92BB70E349280006E7CF6 /* retDelay.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = retDelay.c; sourceTree = "<group>"; };
+ 27A92BB80E349280006E7CF6 /* retFlow.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = retFlow.c; sourceTree = "<group>"; };
+ 27A92BB90E349280006E7CF6 /* retIncrem.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = retIncrem.c; sourceTree = "<group>"; };
+ 27A92BBA0E349280006E7CF6 /* retInit.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = retInit.c; sourceTree = "<group>"; };
+ 27A92BBB0E349280006E7CF6 /* retInt.h */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.h; path = retInt.h; sourceTree = "<group>"; };
+ 27A92BBC0E349280006E7CF6 /* retLvalue.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = retLvalue.c; sourceTree = "<group>"; };
+ 27A92BBE0E349280006E7CF6 /* module.make */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = text; path = module.make; sourceTree = "<group>"; };
+ 27A92BBF0E349280006E7CF6 /* rwr.h */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.h; path = rwr.h; sourceTree = "<group>"; };
+ 27A92BC00E349280006E7CF6 /* rwrDec.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = rwrDec.c; sourceTree = "<group>"; };
+ 27A92BC10E349280006E7CF6 /* rwrEva.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = rwrEva.c; sourceTree = "<group>"; };
+ 27A92BC20E349280006E7CF6 /* rwrExp.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = rwrExp.c; sourceTree = "<group>"; };
+ 27A92BC30E349280006E7CF6 /* rwrLib.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = rwrLib.c; sourceTree = "<group>"; };
+ 27A92BC40E349280006E7CF6 /* rwrMan.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = rwrMan.c; sourceTree = "<group>"; };
+ 27A92BC50E349280006E7CF6 /* rwrPrint.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = rwrPrint.c; sourceTree = "<group>"; };
+ 27A92BC60E349280006E7CF6 /* rwrTemp.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = rwrTemp.c; sourceTree = "<group>"; };
+ 27A92BC70E349280006E7CF6 /* rwrUtil.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = rwrUtil.c; sourceTree = "<group>"; };
+ 27A92BC90E349280006E7CF6 /* module.make */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = text; path = module.make; sourceTree = "<group>"; };
+ 27A92BCA0E349280006E7CF6 /* sim.h */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.h; path = sim.h; sourceTree = "<group>"; };
+ 27A92BCB0E349280006E7CF6 /* simMan.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = simMan.c; sourceTree = "<group>"; };
+ 27A92BCC0E349280006E7CF6 /* simSat.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = simSat.c; sourceTree = "<group>"; };
+ 27A92BCD0E349280006E7CF6 /* simSeq.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = simSeq.c; sourceTree = "<group>"; };
+ 27A92BCE0E349280006E7CF6 /* simSupp.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = simSupp.c; sourceTree = "<group>"; };
+ 27A92BCF0E349280006E7CF6 /* simSwitch.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = simSwitch.c; sourceTree = "<group>"; };
+ 27A92BD00E349280006E7CF6 /* simSym.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = simSym.c; sourceTree = "<group>"; };
+ 27A92BD10E349280006E7CF6 /* simSymSat.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = simSymSat.c; sourceTree = "<group>"; };
+ 27A92BD20E349280006E7CF6 /* simSymSim.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = simSymSim.c; sourceTree = "<group>"; };
+ 27A92BD30E349280006E7CF6 /* simSymStr.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = simSymStr.c; sourceTree = "<group>"; };
+ 27A92BD40E349280006E7CF6 /* simUtils.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = simUtils.c; sourceTree = "<group>"; };
+ 27A92BD50E349280006E7CF6 /* generic.h */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.h; path = generic.h; sourceTree = "<group>"; };
+ 27A92BD80E349280006E7CF6 /* module.make */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = text; path = module.make; sourceTree = "<group>"; };
+ 27A92BD90E349280006E7CF6 /* satInter.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = satInter.c; sourceTree = "<group>"; };
+ 27A92BDA0E349280006E7CF6 /* satMem.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = satMem.c; sourceTree = "<group>"; };
+ 27A92BDB0E349280006E7CF6 /* satMem.h */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.h; path = satMem.h; sourceTree = "<group>"; };
+ 27A92BDC0E349280006E7CF6 /* satSolver.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = satSolver.c; sourceTree = "<group>"; };
+ 27A92BDD0E349280006E7CF6 /* satSolver.h */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.h; path = satSolver.h; sourceTree = "<group>"; };
+ 27A92BDE0E349280006E7CF6 /* satStore.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = satStore.c; sourceTree = "<group>"; };
+ 27A92BDF0E349280006E7CF6 /* satStore.h */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.h; path = satStore.h; sourceTree = "<group>"; };
+ 27A92BE00E349280006E7CF6 /* satTrace.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = satTrace.c; sourceTree = "<group>"; };
+ 27A92BE10E349280006E7CF6 /* satUtil.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = satUtil.c; sourceTree = "<group>"; };
+ 27A92BE20E349280006E7CF6 /* satVec.h */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.h; path = satVec.h; sourceTree = "<group>"; };
+ 27A92BE40E349280006E7CF6 /* csat_apis.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = csat_apis.c; sourceTree = "<group>"; };
+ 27A92BE50E349280006E7CF6 /* csat_apis.h */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.h; path = csat_apis.h; sourceTree = "<group>"; };
+ 27A92BE60E349280006E7CF6 /* module.make */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = text; path = module.make; sourceTree = "<group>"; };
+ 27A92BE80E349280006E7CF6 /* fraig.h */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.h; path = fraig.h; sourceTree = "<group>"; };
+ 27A92BE90E349280006E7CF6 /* fraigApi.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = fraigApi.c; sourceTree = "<group>"; };
+ 27A92BEA0E349280006E7CF6 /* fraigCanon.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = fraigCanon.c; sourceTree = "<group>"; };
+ 27A92BEB0E349280006E7CF6 /* fraigChoice.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = fraigChoice.c; sourceTree = "<group>"; };
+ 27A92BEC0E349280006E7CF6 /* fraigFanout.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = fraigFanout.c; sourceTree = "<group>"; };
+ 27A92BED0E349280006E7CF6 /* fraigFeed.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = fraigFeed.c; sourceTree = "<group>"; };
+ 27A92BEE0E349280006E7CF6 /* fraigInt.h */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.h; path = fraigInt.h; sourceTree = "<group>"; };
+ 27A92BEF0E349280006E7CF6 /* fraigMan.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = fraigMan.c; sourceTree = "<group>"; };
+ 27A92BF00E349280006E7CF6 /* fraigMem.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = fraigMem.c; sourceTree = "<group>"; };
+ 27A92BF10E349280006E7CF6 /* fraigNode.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = fraigNode.c; sourceTree = "<group>"; };
+ 27A92BF20E349280006E7CF6 /* fraigPrime.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = fraigPrime.c; sourceTree = "<group>"; };
+ 27A92BF30E349280006E7CF6 /* fraigSat.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = fraigSat.c; sourceTree = "<group>"; };
+ 27A92BF40E349280006E7CF6 /* fraigTable.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = fraigTable.c; sourceTree = "<group>"; };
+ 27A92BF50E349280006E7CF6 /* fraigUtil.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = fraigUtil.c; sourceTree = "<group>"; };
+ 27A92BF60E349280006E7CF6 /* fraigVec.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = fraigVec.c; sourceTree = "<group>"; };
+ 27A92BF70E349280006E7CF6 /* module.make */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = text; path = module.make; sourceTree = "<group>"; };
+ 27A92BF90E349280006E7CF6 /* module.make */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = text; path = module.make; sourceTree = "<group>"; };
+ 27A92BFA0E349280006E7CF6 /* msat.h */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.h; path = msat.h; sourceTree = "<group>"; };
+ 27A92BFB0E349280006E7CF6 /* msatActivity.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = msatActivity.c; sourceTree = "<group>"; };
+ 27A92BFC0E349280006E7CF6 /* msatClause.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = msatClause.c; sourceTree = "<group>"; };
+ 27A92BFD0E349280006E7CF6 /* msatClauseVec.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = msatClauseVec.c; sourceTree = "<group>"; };
+ 27A92BFE0E349280006E7CF6 /* msatInt.h */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.h; path = msatInt.h; sourceTree = "<group>"; };
+ 27A92BFF0E349280006E7CF6 /* msatMem.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = msatMem.c; sourceTree = "<group>"; };
+ 27A92C000E349280006E7CF6 /* msatOrderH.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = msatOrderH.c; sourceTree = "<group>"; };
+ 27A92C010E349280006E7CF6 /* msatOrderJ.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = msatOrderJ.c; sourceTree = "<group>"; };
+ 27A92C020E349280006E7CF6 /* msatQueue.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = msatQueue.c; sourceTree = "<group>"; };
+ 27A92C030E349280006E7CF6 /* msatRead.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = msatRead.c; sourceTree = "<group>"; };
+ 27A92C040E349280006E7CF6 /* msatSolverApi.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = msatSolverApi.c; sourceTree = "<group>"; };
+ 27A92C050E349280006E7CF6 /* msatSolverCore.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = msatSolverCore.c; sourceTree = "<group>"; };
+ 27A92C060E349280006E7CF6 /* msatSolverIo.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = msatSolverIo.c; sourceTree = "<group>"; };
+ 27A92C070E349280006E7CF6 /* msatSolverSearch.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = msatSolverSearch.c; sourceTree = "<group>"; };
+ 27A92C080E349280006E7CF6 /* msatSort.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = msatSort.c; sourceTree = "<group>"; };
+ 27A92C090E349280006E7CF6 /* msatVec.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = msatVec.c; sourceTree = "<group>"; };
+ 27A92C0B0E349280006E7CF6 /* pr.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = pr.c; sourceTree = "<group>"; };
+ 27A92C0C0E349280006E7CF6 /* pr.h */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.h; path = pr.h; sourceTree = "<group>"; };
+ 27A92C0D0E349280006E7CF6 /* stats.txt */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = text; path = stats.txt; sourceTree = "<group>"; };
+ 27A92C100E349280006E7CF6 /* cas.h */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.h; path = cas.h; sourceTree = "<group>"; };
+ 27A92C110E349280006E7CF6 /* casCore.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = casCore.c; sourceTree = "<group>"; };
+ 27A92C120E349280006E7CF6 /* casDec.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = casDec.c; sourceTree = "<group>"; };
+ 27A92C130E349280006E7CF6 /* module.make */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = text; path = module.make; sourceTree = "<group>"; };
+ 27A92C150E349280006E7CF6 /* cuBdd.make */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = text; path = cuBdd.make; sourceTree = "<group>"; };
+ 27A92C160E349280006E7CF6 /* cudd.h */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.h; path = cudd.h; sourceTree = "<group>"; };
+ 27A92C170E349280006E7CF6 /* cudd.make */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = text; path = cudd.make; sourceTree = "<group>"; };
+ 27A92C180E349280006E7CF6 /* cuddAddAbs.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = cuddAddAbs.c; sourceTree = "<group>"; };
+ 27A92C190E349280006E7CF6 /* cuddAddApply.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = cuddAddApply.c; sourceTree = "<group>"; };
+ 27A92C1A0E349280006E7CF6 /* cuddAddFind.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = cuddAddFind.c; sourceTree = "<group>"; };
+ 27A92C1B0E349280006E7CF6 /* cuddAddInv.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = cuddAddInv.c; sourceTree = "<group>"; };
+ 27A92C1C0E349280006E7CF6 /* cuddAddIte.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = cuddAddIte.c; sourceTree = "<group>"; };
+ 27A92C1D0E349280006E7CF6 /* cuddAddNeg.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = cuddAddNeg.c; sourceTree = "<group>"; };
+ 27A92C1E0E349280006E7CF6 /* cuddAddWalsh.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = cuddAddWalsh.c; sourceTree = "<group>"; };
+ 27A92C1F0E349280006E7CF6 /* cuddAndAbs.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = cuddAndAbs.c; sourceTree = "<group>"; };
+ 27A92C200E349280006E7CF6 /* cuddAnneal.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = cuddAnneal.c; sourceTree = "<group>"; };
+ 27A92C210E349280006E7CF6 /* cuddApa.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = cuddApa.c; sourceTree = "<group>"; };
+ 27A92C220E349280006E7CF6 /* cuddAPI.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = cuddAPI.c; sourceTree = "<group>"; };
+ 27A92C230E349280006E7CF6 /* cuddApprox.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = cuddApprox.c; sourceTree = "<group>"; };
+ 27A92C240E349280006E7CF6 /* cuddBddAbs.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = cuddBddAbs.c; sourceTree = "<group>"; };
+ 27A92C250E349280006E7CF6 /* cuddBddCorr.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = cuddBddCorr.c; sourceTree = "<group>"; };
+ 27A92C260E349280006E7CF6 /* cuddBddIte.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = cuddBddIte.c; sourceTree = "<group>"; };
+ 27A92C270E349280006E7CF6 /* cuddBridge.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = cuddBridge.c; sourceTree = "<group>"; };
+ 27A92C280E349280006E7CF6 /* cuddCache.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = cuddCache.c; sourceTree = "<group>"; };
+ 27A92C290E349280006E7CF6 /* cuddCheck.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = cuddCheck.c; sourceTree = "<group>"; };
+ 27A92C2A0E349280006E7CF6 /* cuddClip.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = cuddClip.c; sourceTree = "<group>"; };
+ 27A92C2B0E349280006E7CF6 /* cuddCof.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = cuddCof.c; sourceTree = "<group>"; };
+ 27A92C2C0E349280006E7CF6 /* cuddCompose.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = cuddCompose.c; sourceTree = "<group>"; };
+ 27A92C2D0E349280006E7CF6 /* cuddDecomp.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = cuddDecomp.c; sourceTree = "<group>"; };
+ 27A92C2E0E349280006E7CF6 /* cuddEssent.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = cuddEssent.c; sourceTree = "<group>"; };
+ 27A92C2F0E349280006E7CF6 /* cuddExact.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = cuddExact.c; sourceTree = "<group>"; };
+ 27A92C300E349280006E7CF6 /* cuddExport.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = cuddExport.c; sourceTree = "<group>"; };
+ 27A92C310E349280006E7CF6 /* cuddGenCof.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = cuddGenCof.c; sourceTree = "<group>"; };
+ 27A92C320E349280006E7CF6 /* cuddGenetic.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = cuddGenetic.c; sourceTree = "<group>"; };
+ 27A92C330E349280006E7CF6 /* cuddGroup.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = cuddGroup.c; sourceTree = "<group>"; };
+ 27A92C340E349280006E7CF6 /* cuddHarwell.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = cuddHarwell.c; sourceTree = "<group>"; };
+ 27A92C350E349280006E7CF6 /* cuddInit.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = cuddInit.c; sourceTree = "<group>"; };
+ 27A92C360E349280006E7CF6 /* cuddInt.h */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.h; path = cuddInt.h; sourceTree = "<group>"; };
+ 27A92C370E349280006E7CF6 /* cuddInteract.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = cuddInteract.c; sourceTree = "<group>"; };
+ 27A92C380E349280006E7CF6 /* cuddLCache.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = cuddLCache.c; sourceTree = "<group>"; };
+ 27A92C390E349280006E7CF6 /* cuddLevelQ.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = cuddLevelQ.c; sourceTree = "<group>"; };
+ 27A92C3A0E349280006E7CF6 /* cuddLinear.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = cuddLinear.c; sourceTree = "<group>"; };
+ 27A92C3B0E349280006E7CF6 /* cuddLiteral.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = cuddLiteral.c; sourceTree = "<group>"; };
+ 27A92C3C0E349280006E7CF6 /* cuddMatMult.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = cuddMatMult.c; sourceTree = "<group>"; };
+ 27A92C3D0E349280006E7CF6 /* cuddPriority.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = cuddPriority.c; sourceTree = "<group>"; };
+ 27A92C3E0E349280006E7CF6 /* cuddRead.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = cuddRead.c; sourceTree = "<group>"; };
+ 27A92C3F0E349280006E7CF6 /* cuddRef.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = cuddRef.c; sourceTree = "<group>"; };
+ 27A92C400E349280006E7CF6 /* cuddReorder.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = cuddReorder.c; sourceTree = "<group>"; };
+ 27A92C410E349280006E7CF6 /* cuddSat.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = cuddSat.c; sourceTree = "<group>"; };
+ 27A92C420E349280006E7CF6 /* cuddSign.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = cuddSign.c; sourceTree = "<group>"; };
+ 27A92C430E349280006E7CF6 /* cuddSolve.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = cuddSolve.c; sourceTree = "<group>"; };
+ 27A92C440E349280006E7CF6 /* cuddSplit.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = cuddSplit.c; sourceTree = "<group>"; };
+ 27A92C450E349280006E7CF6 /* cuddSubsetHB.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = cuddSubsetHB.c; sourceTree = "<group>"; };
+ 27A92C460E349280006E7CF6 /* cuddSubsetSP.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = cuddSubsetSP.c; sourceTree = "<group>"; };
+ 27A92C470E349280006E7CF6 /* cuddSymmetry.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = cuddSymmetry.c; sourceTree = "<group>"; };
+ 27A92C480E349280006E7CF6 /* cuddTable.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = cuddTable.c; sourceTree = "<group>"; };
+ 27A92C490E349280006E7CF6 /* cuddUtil.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = cuddUtil.c; sourceTree = "<group>"; };
+ 27A92C4A0E349280006E7CF6 /* cuddWindow.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = cuddWindow.c; sourceTree = "<group>"; };
+ 27A92C4B0E349280006E7CF6 /* cuddZddCount.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = cuddZddCount.c; sourceTree = "<group>"; };
+ 27A92C4C0E349280006E7CF6 /* cuddZddFuncs.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = cuddZddFuncs.c; sourceTree = "<group>"; };
+ 27A92C4D0E349280006E7CF6 /* cuddZddGroup.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = cuddZddGroup.c; sourceTree = "<group>"; };
+ 27A92C4E0E349280006E7CF6 /* cuddZddIsop.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = cuddZddIsop.c; sourceTree = "<group>"; };
+ 27A92C4F0E349280006E7CF6 /* cuddZddLin.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = cuddZddLin.c; sourceTree = "<group>"; };
+ 27A92C500E349280006E7CF6 /* cuddZddMisc.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = cuddZddMisc.c; sourceTree = "<group>"; };
+ 27A92C510E349280006E7CF6 /* cuddZddPort.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = cuddZddPort.c; sourceTree = "<group>"; };
+ 27A92C520E349280006E7CF6 /* cuddZddReord.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = cuddZddReord.c; sourceTree = "<group>"; };
+ 27A92C530E349281006E7CF6 /* cuddZddSetop.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = cuddZddSetop.c; sourceTree = "<group>"; };
+ 27A92C540E349281006E7CF6 /* cuddZddSymm.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = cuddZddSymm.c; sourceTree = "<group>"; };
+ 27A92C550E349281006E7CF6 /* cuddZddUtil.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = cuddZddUtil.c; sourceTree = "<group>"; };
+ 27A92C560E349281006E7CF6 /* module.make */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = text; path = module.make; sourceTree = "<group>"; };
+ 27A92C570E349281006E7CF6 /* r7x8.1.mat */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = text; path = r7x8.1.mat; sourceTree = "<group>"; };
+ 27A92C580E349281006E7CF6 /* testcudd.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = testcudd.c; sourceTree = "<group>"; };
+ 27A92C5A0E349281006E7CF6 /* dsd.h */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.h; path = dsd.h; sourceTree = "<group>"; };
+ 27A92C5B0E349281006E7CF6 /* dsdApi.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = dsdApi.c; sourceTree = "<group>"; };
+ 27A92C5C0E349281006E7CF6 /* dsdCheck.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = dsdCheck.c; sourceTree = "<group>"; };
+ 27A92C5D0E349281006E7CF6 /* dsdInt.h */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.h; path = dsdInt.h; sourceTree = "<group>"; };
+ 27A92C5E0E349281006E7CF6 /* dsdLocal.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = dsdLocal.c; sourceTree = "<group>"; };
+ 27A92C5F0E349281006E7CF6 /* dsdMan.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = dsdMan.c; sourceTree = "<group>"; };
+ 27A92C600E349281006E7CF6 /* dsdProc.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = dsdProc.c; sourceTree = "<group>"; };
+ 27A92C610E349281006E7CF6 /* dsdTree.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = dsdTree.c; sourceTree = "<group>"; };
+ 27A92C620E349281006E7CF6 /* module.make */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = text; path = module.make; sourceTree = "<group>"; };
+ 27A92C640E349281006E7CF6 /* epd.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = epd.c; sourceTree = "<group>"; };
+ 27A92C650E349281006E7CF6 /* epd.h */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.h; path = epd.h; sourceTree = "<group>"; };
+ 27A92C660E349281006E7CF6 /* module.make */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = text; path = module.make; sourceTree = "<group>"; };
+ 27A92C680E349281006E7CF6 /* module.make */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = text; path = module.make; sourceTree = "<group>"; };
+ 27A92C690E349281006E7CF6 /* mtr.h */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.h; path = mtr.h; sourceTree = "<group>"; };
+ 27A92C6A0E349281006E7CF6 /* mtrBasic.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = mtrBasic.c; sourceTree = "<group>"; };
+ 27A92C6B0E349281006E7CF6 /* mtrGroup.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = mtrGroup.c; sourceTree = "<group>"; };
+ 27A92C6C0E349281006E7CF6 /* mtrInt.h */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.h; path = mtrInt.h; sourceTree = "<group>"; };
+ 27A92C6E0E349281006E7CF6 /* module.make */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = text; path = module.make; sourceTree = "<group>"; };
+ 27A92C6F0E349281006E7CF6 /* parse.h */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.h; path = parse.h; sourceTree = "<group>"; };
+ 27A92C700E349281006E7CF6 /* parseCore.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = parseCore.c; sourceTree = "<group>"; };
+ 27A92C710E349281006E7CF6 /* parseEqn.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = parseEqn.c; sourceTree = "<group>"; };
+ 27A92C720E349281006E7CF6 /* parseInt.h */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.h; path = parseInt.h; sourceTree = "<group>"; };
+ 27A92C730E349281006E7CF6 /* parseStack.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = parseStack.c; sourceTree = "<group>"; };
+ 27A92C750E349281006E7CF6 /* module.make */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = text; path = module.make; sourceTree = "<group>"; };
+ 27A92C760E349281006E7CF6 /* reo.h */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.h; path = reo.h; sourceTree = "<group>"; };
+ 27A92C770E349281006E7CF6 /* reoApi.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = reoApi.c; sourceTree = "<group>"; };
+ 27A92C780E349281006E7CF6 /* reoCore.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = reoCore.c; sourceTree = "<group>"; };
+ 27A92C790E349281006E7CF6 /* reoProfile.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = reoProfile.c; sourceTree = "<group>"; };
+ 27A92C7A0E349281006E7CF6 /* reoSift.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = reoSift.c; sourceTree = "<group>"; };
+ 27A92C7B0E349281006E7CF6 /* reoSwap.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = reoSwap.c; sourceTree = "<group>"; };
+ 27A92C7C0E349281006E7CF6 /* reoTest.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = reoTest.c; sourceTree = "<group>"; };
+ 27A92C7D0E349281006E7CF6 /* reoTransfer.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = reoTransfer.c; sourceTree = "<group>"; };
+ 27A92C7E0E349281006E7CF6 /* reoUnits.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = reoUnits.c; sourceTree = "<group>"; };
+ 27A92C7F0E349281006E7CF6 /* generic.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = generic.c; sourceTree = "<group>"; };
+ 27A92C820E349281006E7CF6 /* aig.h */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.h; path = aig.h; sourceTree = "<group>"; };
+ 27A92C830E349281006E7CF6 /* aig_.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = aig_.c; sourceTree = "<group>"; };
+ 27A92C840E349281006E7CF6 /* aigCheck.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = aigCheck.c; sourceTree = "<group>"; };
+ 27A92C850E349281006E7CF6 /* aigDfs.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = aigDfs.c; sourceTree = "<group>"; };
+ 27A92C860E349281006E7CF6 /* aigFanout.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = aigFanout.c; sourceTree = "<group>"; };
+ 27A92C870E349281006E7CF6 /* aigMan.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = aigMan.c; sourceTree = "<group>"; };
+ 27A92C880E349281006E7CF6 /* aigMem.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = aigMem.c; sourceTree = "<group>"; };
+ 27A92C890E349281006E7CF6 /* aigMffc.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = aigMffc.c; sourceTree = "<group>"; };
+ 27A92C8A0E349281006E7CF6 /* aigObj.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = aigObj.c; sourceTree = "<group>"; };
+ 27A92C8B0E349281006E7CF6 /* aigOper.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = aigOper.c; sourceTree = "<group>"; };
+ 27A92C8C0E349281006E7CF6 /* aigOrder.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = aigOrder.c; sourceTree = "<group>"; };
+ 27A92C8D0E349281006E7CF6 /* aigPart.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = aigPart.c; sourceTree = "<group>"; };
+ 27A92C8E0E349281006E7CF6 /* aigRepr.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = aigRepr.c; sourceTree = "<group>"; };
+ 27A92C8F0E349281006E7CF6 /* aigRet.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = aigRet.c; sourceTree = "<group>"; };
+ 27A92C900E349281006E7CF6 /* aigScl.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = aigScl.c; sourceTree = "<group>"; };
+ 27A92C910E349281006E7CF6 /* aigSeq.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = aigSeq.c; sourceTree = "<group>"; };
+ 27A92C920E349281006E7CF6 /* aigShow.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = aigShow.c; sourceTree = "<group>"; };
+ 27A92C930E349281006E7CF6 /* aigTable.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = aigTable.c; sourceTree = "<group>"; };
+ 27A92C940E349281006E7CF6 /* aigTime.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = aigTime.c; sourceTree = "<group>"; };
+ 27A92C950E349281006E7CF6 /* aigTiming.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = aigTiming.c; sourceTree = "<group>"; };
+ 27A92C960E349281006E7CF6 /* aigTruth.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = aigTruth.c; sourceTree = "<group>"; };
+ 27A92C970E349281006E7CF6 /* aigTsim.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = aigTsim.c; sourceTree = "<group>"; };
+ 27A92C980E349281006E7CF6 /* aigUtil.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = aigUtil.c; sourceTree = "<group>"; };
+ 27A92C990E349281006E7CF6 /* aigWin.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = aigWin.c; sourceTree = "<group>"; };
+ 27A92C9A0E349281006E7CF6 /* module.make */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = text; path = module.make; sourceTree = "<group>"; };
+ 27A92C9C0E349281006E7CF6 /* bar.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = bar.c; sourceTree = "<group>"; };
+ 27A92C9D0E349281006E7CF6 /* bar.h */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.h; path = bar.h; sourceTree = "<group>"; };
+ 27A92C9E0E349281006E7CF6 /* module.make */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = text; path = module.make; sourceTree = "<group>"; };
+ 27A92CA00E349281006E7CF6 /* bdc.h */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.h; path = bdc.h; sourceTree = "<group>"; };
+ 27A92CA10E349281006E7CF6 /* bdc_.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = bdc_.c; sourceTree = "<group>"; };
+ 27A92CA20E349281006E7CF6 /* bdcCore.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = bdcCore.c; sourceTree = "<group>"; };
+ 27A92CA30E349281006E7CF6 /* bdcDec.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = bdcDec.c; sourceTree = "<group>"; };
+ 27A92CA40E349281006E7CF6 /* bdcInt.h */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.h; path = bdcInt.h; sourceTree = "<group>"; };
+ 27A92CA50E349281006E7CF6 /* bdcTable.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = bdcTable.c; sourceTree = "<group>"; };
+ 27A92CA60E349281006E7CF6 /* module.make */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = text; path = module.make; sourceTree = "<group>"; };
+ 27A92CA80E349281006E7CF6 /* cnf.h */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.h; path = cnf.h; sourceTree = "<group>"; };
+ 27A92CA90E349281006E7CF6 /* cnf_.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = cnf_.c; sourceTree = "<group>"; };
+ 27A92CAA0E349281006E7CF6 /* cnfCore.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = cnfCore.c; sourceTree = "<group>"; };
+ 27A92CAB0E349281006E7CF6 /* cnfCut.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = cnfCut.c; sourceTree = "<group>"; };
+ 27A92CAC0E349281006E7CF6 /* cnfData.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = cnfData.c; sourceTree = "<group>"; };
+ 27A92CAD0E349281006E7CF6 /* cnfMan.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = cnfMan.c; sourceTree = "<group>"; };
+ 27A92CAE0E349281006E7CF6 /* cnfMap.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = cnfMap.c; sourceTree = "<group>"; };
+ 27A92CAF0E349281006E7CF6 /* cnfPost.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = cnfPost.c; sourceTree = "<group>"; };
+ 27A92CB00E349281006E7CF6 /* cnfUtil.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = cnfUtil.c; sourceTree = "<group>"; };
+ 27A92CB10E349281006E7CF6 /* cnfWrite.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = cnfWrite.c; sourceTree = "<group>"; };
+ 27A92CB20E349281006E7CF6 /* module.make */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = text; path = module.make; sourceTree = "<group>"; };
+ 27A92CB40E349281006E7CF6 /* csw.h */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.h; path = csw.h; sourceTree = "<group>"; };
+ 27A92CB50E349281006E7CF6 /* csw_.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = csw_.c; sourceTree = "<group>"; };
+ 27A92CB60E349281006E7CF6 /* cswCore.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = cswCore.c; sourceTree = "<group>"; };
+ 27A92CB70E349281006E7CF6 /* cswCut.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = cswCut.c; sourceTree = "<group>"; };
+ 27A92CB80E349281006E7CF6 /* cswInt.h */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.h; path = cswInt.h; sourceTree = "<group>"; };
+ 27A92CB90E349281006E7CF6 /* cswMan.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = cswMan.c; sourceTree = "<group>"; };
+ 27A92CBA0E349281006E7CF6 /* cswTable.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = cswTable.c; sourceTree = "<group>"; };
+ 27A92CBB0E349281006E7CF6 /* module.make */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = text; path = module.make; sourceTree = "<group>"; };
+ 27A92CBD0E349281006E7CF6 /* dar.h */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.h; path = dar.h; sourceTree = "<group>"; };
+ 27A92CBE0E349281006E7CF6 /* dar_.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = dar_.c; sourceTree = "<group>"; };
+ 27A92CBF0E349281006E7CF6 /* darBalance.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = darBalance.c; sourceTree = "<group>"; };
+ 27A92CC00E349281006E7CF6 /* darCore.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = darCore.c; sourceTree = "<group>"; };
+ 27A92CC10E349281006E7CF6 /* darCut.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = darCut.c; sourceTree = "<group>"; };
+ 27A92CC20E349281006E7CF6 /* darData.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = darData.c; sourceTree = "<group>"; };
+ 27A92CC30E349281006E7CF6 /* darInt.h */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.h; path = darInt.h; sourceTree = "<group>"; };
+ 27A92CC40E349281006E7CF6 /* darLib.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = darLib.c; sourceTree = "<group>"; };
+ 27A92CC50E349281006E7CF6 /* darMan.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = darMan.c; sourceTree = "<group>"; };
+ 27A92CC60E349281006E7CF6 /* darPrec.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = darPrec.c; sourceTree = "<group>"; };
+ 27A92CC70E349281006E7CF6 /* darRefact.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = darRefact.c; sourceTree = "<group>"; };
+ 27A92CC80E349281006E7CF6 /* darResub.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = darResub.c; sourceTree = "<group>"; };
+ 27A92CC90E349281006E7CF6 /* darScript.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = darScript.c; sourceTree = "<group>"; };
+ 27A92CCA0E349281006E7CF6 /* module.make */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = text; path = module.make; sourceTree = "<group>"; };
+ 27A92CCC0E349281006E7CF6 /* deco.h */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.h; path = deco.h; sourceTree = "<group>"; };
+ 27A92CCD0E349281006E7CF6 /* module.make */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = text; path = module.make; sourceTree = "<group>"; };
+ 27A92CCF0E349281006E7CF6 /* fra.h */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.h; path = fra.h; sourceTree = "<group>"; };
+ 27A92CD00E349281006E7CF6 /* fra_.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = fra_.c; sourceTree = "<group>"; };
+ 27A92CD10E349281006E7CF6 /* fraBmc.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = fraBmc.c; sourceTree = "<group>"; };
+ 27A92CD20E349281006E7CF6 /* fraCec.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = fraCec.c; sourceTree = "<group>"; };
+ 27A92CD30E349281006E7CF6 /* fraClass.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = fraClass.c; sourceTree = "<group>"; };
+ 27A92CD40E349281006E7CF6 /* fraCnf.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = fraCnf.c; sourceTree = "<group>"; };
+ 27A92CD50E349281006E7CF6 /* fraCore.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = fraCore.c; sourceTree = "<group>"; };
+ 27A92CD60E349281006E7CF6 /* fraImp.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = fraImp.c; sourceTree = "<group>"; };
+ 27A92CD70E349281006E7CF6 /* fraInd.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = fraInd.c; sourceTree = "<group>"; };
+ 27A92CD80E349281006E7CF6 /* fraLcr.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = fraLcr.c; sourceTree = "<group>"; };
+ 27A92CD90E349281006E7CF6 /* fraMan.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = fraMan.c; sourceTree = "<group>"; };
+ 27A92CDA0E349281006E7CF6 /* fraPart.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = fraPart.c; sourceTree = "<group>"; };
+ 27A92CDB0E349281006E7CF6 /* fraSat.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = fraSat.c; sourceTree = "<group>"; };
+ 27A92CDC0E349281006E7CF6 /* fraSec.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = fraSec.c; sourceTree = "<group>"; };
+ 27A92CDD0E349281006E7CF6 /* fraSim.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = fraSim.c; sourceTree = "<group>"; };
+ 27A92CDE0E349281006E7CF6 /* module.make */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = text; path = module.make; sourceTree = "<group>"; };
+ 27A92CE00E349281006E7CF6 /* cudd2.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = cudd2.c; sourceTree = "<group>"; };
+ 27A92CE10E349281006E7CF6 /* cudd2.h */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.h; path = cudd2.h; sourceTree = "<group>"; };
+ 27A92CE20E349281006E7CF6 /* hop.h */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.h; path = hop.h; sourceTree = "<group>"; };
+ 27A92CE30E349281006E7CF6 /* hop_.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = hop_.c; sourceTree = "<group>"; };
+ 27A92CE40E349281006E7CF6 /* hopBalance.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = hopBalance.c; sourceTree = "<group>"; };
+ 27A92CE50E349281006E7CF6 /* hopCheck.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = hopCheck.c; sourceTree = "<group>"; };
+ 27A92CE60E349281006E7CF6 /* hopDfs.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = hopDfs.c; sourceTree = "<group>"; };
+ 27A92CE70E349281006E7CF6 /* hopMan.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = hopMan.c; sourceTree = "<group>"; };
+ 27A92CE80E349281006E7CF6 /* hopMem.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = hopMem.c; sourceTree = "<group>"; };
+ 27A92CE90E349281006E7CF6 /* hopObj.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = hopObj.c; sourceTree = "<group>"; };
+ 27A92CEA0E349281006E7CF6 /* hopOper.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = hopOper.c; sourceTree = "<group>"; };
+ 27A92CEB0E349281006E7CF6 /* hopTable.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = hopTable.c; sourceTree = "<group>"; };
+ 27A92CEC0E349281006E7CF6 /* hopUtil.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = hopUtil.c; sourceTree = "<group>"; };
+ 27A92CED0E349281006E7CF6 /* module.make */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = text; path = module.make; sourceTree = "<group>"; };
+ 27A92CEF0E349281006E7CF6 /* ioa.h */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.h; path = ioa.h; sourceTree = "<group>"; };
+ 27A92CF00E349281006E7CF6 /* ioaReadAig.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = ioaReadAig.c; sourceTree = "<group>"; };
+ 27A92CF10E349281006E7CF6 /* ioaUtil.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = ioaUtil.c; sourceTree = "<group>"; };
+ 27A92CF20E349281006E7CF6 /* ioaWriteAig.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = ioaWriteAig.c; sourceTree = "<group>"; };
+ 27A92CF30E349281006E7CF6 /* module.make */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = text; path = module.make; sourceTree = "<group>"; };
+ 27A92CF50E349281006E7CF6 /* attr.h */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.h; path = attr.h; sourceTree = "<group>"; };
+ 27A92CF60E349281006E7CF6 /* ivy.h */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.h; path = ivy.h; sourceTree = "<group>"; };
+ 27A92CF70E349281006E7CF6 /* ivy_.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = ivy_.c; sourceTree = "<group>"; };
+ 27A92CF80E349281006E7CF6 /* ivyBalance.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = ivyBalance.c; sourceTree = "<group>"; };
+ 27A92CF90E349281006E7CF6 /* ivyCanon.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = ivyCanon.c; sourceTree = "<group>"; };
+ 27A92CFA0E349281006E7CF6 /* ivyCheck.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = ivyCheck.c; sourceTree = "<group>"; };
+ 27A92CFB0E349281006E7CF6 /* ivyCut.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = ivyCut.c; sourceTree = "<group>"; };
+ 27A92CFC0E349281006E7CF6 /* ivyCutTrav.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = ivyCutTrav.c; sourceTree = "<group>"; };
+ 27A92CFD0E349281006E7CF6 /* ivyDfs.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = ivyDfs.c; sourceTree = "<group>"; };
+ 27A92CFE0E349281006E7CF6 /* ivyDsd.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = ivyDsd.c; sourceTree = "<group>"; };
+ 27A92CFF0E349281006E7CF6 /* ivyFanout.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = ivyFanout.c; sourceTree = "<group>"; };
+ 27A92D000E349281006E7CF6 /* ivyFastMap.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = ivyFastMap.c; sourceTree = "<group>"; };
+ 27A92D010E349281006E7CF6 /* ivyFraig.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = ivyFraig.c; sourceTree = "<group>"; };
+ 27A92D020E349281006E7CF6 /* ivyHaig.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = ivyHaig.c; sourceTree = "<group>"; };
+ 27A92D030E349281006E7CF6 /* ivyMan.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = ivyMan.c; sourceTree = "<group>"; };
+ 27A92D040E349281006E7CF6 /* ivyMem.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = ivyMem.c; sourceTree = "<group>"; };
+ 27A92D050E349281006E7CF6 /* ivyMulti.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = ivyMulti.c; sourceTree = "<group>"; };
+ 27A92D060E349281006E7CF6 /* ivyMulti8.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = ivyMulti8.c; sourceTree = "<group>"; };
+ 27A92D070E349281006E7CF6 /* ivyObj.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = ivyObj.c; sourceTree = "<group>"; };
+ 27A92D080E349281006E7CF6 /* ivyOper.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = ivyOper.c; sourceTree = "<group>"; };
+ 27A92D090E349281006E7CF6 /* ivyResyn.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = ivyResyn.c; sourceTree = "<group>"; };
+ 27A92D0A0E349281006E7CF6 /* ivyRwr.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = ivyRwr.c; sourceTree = "<group>"; };
+ 27A92D0B0E349281006E7CF6 /* ivyRwrAlg.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = ivyRwrAlg.c; sourceTree = "<group>"; };
+ 27A92D0C0E349281006E7CF6 /* ivySeq.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = ivySeq.c; sourceTree = "<group>"; };
+ 27A92D0D0E349281006E7CF6 /* ivyShow.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = ivyShow.c; sourceTree = "<group>"; };
+ 27A92D0E0E349281006E7CF6 /* ivyTable.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = ivyTable.c; sourceTree = "<group>"; };
+ 27A92D0F0E349281006E7CF6 /* ivyUtil.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = ivyUtil.c; sourceTree = "<group>"; };
+ 27A92D100E349281006E7CF6 /* module.make */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = text; path = module.make; sourceTree = "<group>"; };
+ 27A92D120E349281006E7CF6 /* cloud.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = cloud.c; sourceTree = "<group>"; };
+ 27A92D130E349281006E7CF6 /* cloud.h */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.h; path = cloud.h; sourceTree = "<group>"; };
+ 27A92D140E349281006E7CF6 /* kit.h */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.h; path = kit.h; sourceTree = "<group>"; };
+ 27A92D150E349281006E7CF6 /* kit_.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = kit_.c; sourceTree = "<group>"; };
+ 27A92D160E349281006E7CF6 /* kitAig.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = kitAig.c; sourceTree = "<group>"; };
+ 27A92D170E349281006E7CF6 /* kitBdd.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = kitBdd.c; sourceTree = "<group>"; };
+ 27A92D180E349281006E7CF6 /* kitCloud.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = kitCloud.c; sourceTree = "<group>"; };
+ 27A92D190E349281006E7CF6 /* kitDsd.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = kitDsd.c; sourceTree = "<group>"; };
+ 27A92D1A0E349281006E7CF6 /* kitFactor.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = kitFactor.c; sourceTree = "<group>"; };
+ 27A92D1B0E349281006E7CF6 /* kitGraph.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = kitGraph.c; sourceTree = "<group>"; };
+ 27A92D1C0E349281006E7CF6 /* kitHop.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = kitHop.c; sourceTree = "<group>"; };
+ 27A92D1D0E349281006E7CF6 /* kitIsop.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = kitIsop.c; sourceTree = "<group>"; };
+ 27A92D1E0E349281006E7CF6 /* kitSop.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = kitSop.c; sourceTree = "<group>"; };
+ 27A92D1F0E349281006E7CF6 /* kitTruth.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = kitTruth.c; sourceTree = "<group>"; };
+ 27A92D200E349281006E7CF6 /* module.make */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = text; path = module.make; sourceTree = "<group>"; };
+ 27A92D220E349281006E7CF6 /* mem.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = mem.c; sourceTree = "<group>"; };
+ 27A92D230E349281006E7CF6 /* mem.h */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.h; path = mem.h; sourceTree = "<group>"; };
+ 27A92D240E349281006E7CF6 /* module.make */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = text; path = module.make; sourceTree = "<group>"; };
+ 27A92D260E349281006E7CF6 /* module.make */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = text; path = module.make; sourceTree = "<group>"; };
+ 27A92D270E349281006E7CF6 /* rwt.h */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.h; path = rwt.h; sourceTree = "<group>"; };
+ 27A92D280E349281006E7CF6 /* rwtDec.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = rwtDec.c; sourceTree = "<group>"; };
+ 27A92D290E349281006E7CF6 /* rwtMan.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = rwtMan.c; sourceTree = "<group>"; };
+ 27A92D2A0E349281006E7CF6 /* rwtUtil.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = rwtUtil.c; sourceTree = "<group>"; };
+ 27A92D2D0E349281006E7CF6 /* fpga.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = fpga.c; sourceTree = "<group>"; };
+ 27A92D2E0E349281006E7CF6 /* fpga.h */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.h; path = fpga.h; sourceTree = "<group>"; };
+ 27A92D2F0E349281006E7CF6 /* fpgaCore.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = fpgaCore.c; sourceTree = "<group>"; };
+ 27A92D300E349281006E7CF6 /* fpgaCreate.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = fpgaCreate.c; sourceTree = "<group>"; };
+ 27A92D310E349281006E7CF6 /* fpgaCut.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = fpgaCut.c; sourceTree = "<group>"; };
+ 27A92D320E349281006E7CF6 /* fpgaCutUtils.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = fpgaCutUtils.c; sourceTree = "<group>"; };
+ 27A92D330E349281006E7CF6 /* fpgaFanout.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = fpgaFanout.c; sourceTree = "<group>"; };
+ 27A92D340E349281006E7CF6 /* fpgaGENERIC.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = fpgaGENERIC.c; sourceTree = "<group>"; };
+ 27A92D350E349281006E7CF6 /* fpgaInt.h */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.h; path = fpgaInt.h; sourceTree = "<group>"; };
+ 27A92D360E349281006E7CF6 /* fpgaLib.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = fpgaLib.c; sourceTree = "<group>"; };
+ 27A92D370E349281006E7CF6 /* fpgaMatch.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = fpgaMatch.c; sourceTree = "<group>"; };
+ 27A92D380E349281006E7CF6 /* fpgaSwitch.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = fpgaSwitch.c; sourceTree = "<group>"; };
+ 27A92D390E349281006E7CF6 /* fpgaTime.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = fpgaTime.c; sourceTree = "<group>"; };
+ 27A92D3A0E349281006E7CF6 /* fpgaTruth.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = fpgaTruth.c; sourceTree = "<group>"; };
+ 27A92D3B0E349281006E7CF6 /* fpgaUtils.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = fpgaUtils.c; sourceTree = "<group>"; };
+ 27A92D3C0E349281006E7CF6 /* fpgaVec.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = fpgaVec.c; sourceTree = "<group>"; };
+ 27A92D3D0E349281006E7CF6 /* module.make */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = text; path = module.make; sourceTree = "<group>"; };
+ 27A92D3F0E349281006E7CF6 /* if.h */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.h; path = if.h; sourceTree = "<group>"; };
+ 27A92D400E349281006E7CF6 /* if_.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = if_.c; sourceTree = "<group>"; };
+ 27A92D410E349281006E7CF6 /* ifCore.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = ifCore.c; sourceTree = "<group>"; };
+ 27A92D420E349281006E7CF6 /* ifCut.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = ifCut.c; sourceTree = "<group>"; };
+ 27A92D430E349281006E7CF6 /* ifMan.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = ifMan.c; sourceTree = "<group>"; };
+ 27A92D440E349281006E7CF6 /* ifMap.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = ifMap.c; sourceTree = "<group>"; };
+ 27A92D450E349281006E7CF6 /* ifReduce.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = ifReduce.c; sourceTree = "<group>"; };
+ 27A92D460E349281006E7CF6 /* ifSeq.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = ifSeq.c; sourceTree = "<group>"; };
+ 27A92D470E349281006E7CF6 /* ifTime.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = ifTime.c; sourceTree = "<group>"; };
+ 27A92D480E349281006E7CF6 /* ifTruth.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = ifTruth.c; sourceTree = "<group>"; };
+ 27A92D490E349281006E7CF6 /* ifUtil.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = ifUtil.c; sourceTree = "<group>"; };
+ 27A92D4A0E349281006E7CF6 /* module.make */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = text; path = module.make; sourceTree = "<group>"; };
+ 27A92D4C0E349281006E7CF6 /* mapper.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = mapper.c; sourceTree = "<group>"; };
+ 27A92D4D0E349281006E7CF6 /* mapper.h */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.h; path = mapper.h; sourceTree = "<group>"; };
+ 27A92D4E0E349281006E7CF6 /* mapperCanon.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = mapperCanon.c; sourceTree = "<group>"; };
+ 27A92D4F0E349281006E7CF6 /* mapperCore.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = mapperCore.c; sourceTree = "<group>"; };
+ 27A92D500E349281006E7CF6 /* mapperCreate.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = mapperCreate.c; sourceTree = "<group>"; };
+ 27A92D510E349281006E7CF6 /* mapperCut.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = mapperCut.c; sourceTree = "<group>"; };
+ 27A92D520E349281006E7CF6 /* mapperCutUtils.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = mapperCutUtils.c; sourceTree = "<group>"; };
+ 27A92D530E349281006E7CF6 /* mapperFanout.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = mapperFanout.c; sourceTree = "<group>"; };
+ 27A92D540E349281006E7CF6 /* mapperGENERIC.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = mapperGENERIC.c; sourceTree = "<group>"; };
+ 27A92D550E349281006E7CF6 /* mapperInt.h */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.h; path = mapperInt.h; sourceTree = "<group>"; };
+ 27A92D560E349281006E7CF6 /* mapperLib.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = mapperLib.c; sourceTree = "<group>"; };
+ 27A92D570E349281006E7CF6 /* mapperMatch.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = mapperMatch.c; sourceTree = "<group>"; };
+ 27A92D580E349281006E7CF6 /* mapperRefs.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = mapperRefs.c; sourceTree = "<group>"; };
+ 27A92D590E349281006E7CF6 /* mapperSuper.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = mapperSuper.c; sourceTree = "<group>"; };
+ 27A92D5A0E349281006E7CF6 /* mapperSwitch.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = mapperSwitch.c; sourceTree = "<group>"; };
+ 27A92D5B0E349281006E7CF6 /* mapperTable.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = mapperTable.c; sourceTree = "<group>"; };
+ 27A92D5C0E349281006E7CF6 /* mapperTime.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = mapperTime.c; sourceTree = "<group>"; };
+ 27A92D5D0E349281006E7CF6 /* mapperTree.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = mapperTree.c; sourceTree = "<group>"; };
+ 27A92D5E0E349281006E7CF6 /* mapperTruth.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = mapperTruth.c; sourceTree = "<group>"; };
+ 27A92D5F0E349281006E7CF6 /* mapperUtils.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = mapperUtils.c; sourceTree = "<group>"; };
+ 27A92D600E349281006E7CF6 /* mapperVec.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = mapperVec.c; sourceTree = "<group>"; };
+ 27A92D610E349281006E7CF6 /* module.make */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = text; path = module.make; sourceTree = "<group>"; };
+ 27A92D630E349281006E7CF6 /* mio.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = mio.c; sourceTree = "<group>"; };
+ 27A92D640E349281006E7CF6 /* mio.h */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.h; path = mio.h; sourceTree = "<group>"; };
+ 27A92D650E349281006E7CF6 /* mioApi.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = mioApi.c; sourceTree = "<group>"; };
+ 27A92D660E349281006E7CF6 /* mioFunc.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = mioFunc.c; sourceTree = "<group>"; };
+ 27A92D670E349281006E7CF6 /* mioGENERIC.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = mioGENERIC.c; sourceTree = "<group>"; };
+ 27A92D680E349281006E7CF6 /* mioInt.h */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.h; path = mioInt.h; sourceTree = "<group>"; };
+ 27A92D690E349281006E7CF6 /* mioRead.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = mioRead.c; sourceTree = "<group>"; };
+ 27A92D6A0E349281006E7CF6 /* mioUtils.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = mioUtils.c; sourceTree = "<group>"; };
+ 27A92D6B0E349281006E7CF6 /* module.make */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = text; path = module.make; sourceTree = "<group>"; };
+ 27A92D6D0E349281006E7CF6 /* module.make */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = text; path = module.make; sourceTree = "<group>"; };
+ 27A92D6E0E349281006E7CF6 /* super.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = super.c; sourceTree = "<group>"; };
+ 27A92D6F0E349281006E7CF6 /* super.h */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.h; path = super.h; sourceTree = "<group>"; };
+ 27A92D700E349281006E7CF6 /* superAnd.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = superAnd.c; sourceTree = "<group>"; };
+ 27A92D710E349281006E7CF6 /* superGate.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = superGate.c; sourceTree = "<group>"; };
+ 27A92D720E349281006E7CF6 /* superGENERIC.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = superGENERIC.c; sourceTree = "<group>"; };
+ 27A92D730E349281006E7CF6 /* superInt.h */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.h; path = superInt.h; sourceTree = "<group>"; };
+ 27A92D740E349281006E7CF6 /* superWrite.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = superWrite.c; sourceTree = "<group>"; };
+ 27A92D770E349282006E7CF6 /* abc.h */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.h; path = abc.h; sourceTree = "<group>"; };
+ 27A92D780E349282006E7CF6 /* abc_.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = abc_.c; sourceTree = "<group>"; };
+ 27A92D790E349282006E7CF6 /* abcAig.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = abcAig.c; sourceTree = "<group>"; };
+ 27A92D7A0E349282006E7CF6 /* abcBlifMv.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = abcBlifMv.c; sourceTree = "<group>"; };
+ 27A92D7B0E349282006E7CF6 /* abcCheck.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = abcCheck.c; sourceTree = "<group>"; };
+ 27A92D7C0E349282006E7CF6 /* abcDfs.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = abcDfs.c; sourceTree = "<group>"; };
+ 27A92D7D0E349282006E7CF6 /* abcFanio.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = abcFanio.c; sourceTree = "<group>"; };
+ 27A92D7E0E349282006E7CF6 /* abcFunc.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = abcFunc.c; sourceTree = "<group>"; };
+ 27A92D7F0E349282006E7CF6 /* abcHie.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = abcHie.c; sourceTree = "<group>"; };
+ 27A92D800E349282006E7CF6 /* abcInt.h */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.h; path = abcInt.h; sourceTree = "<group>"; };
+ 27A92D810E349282006E7CF6 /* abcLatch.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = abcLatch.c; sourceTree = "<group>"; };
+ 27A92D820E349282006E7CF6 /* abcLib.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = abcLib.c; sourceTree = "<group>"; };
+ 27A92D830E349282006E7CF6 /* abcMinBase.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = abcMinBase.c; sourceTree = "<group>"; };
+ 27A92D840E349282006E7CF6 /* abcNames.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = abcNames.c; sourceTree = "<group>"; };
+ 27A92D850E349282006E7CF6 /* abcNetlist.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = abcNetlist.c; sourceTree = "<group>"; };
+ 27A92D860E349282006E7CF6 /* abcNtk.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = abcNtk.c; sourceTree = "<group>"; };
+ 27A92D870E349282006E7CF6 /* abcObj.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = abcObj.c; sourceTree = "<group>"; };
+ 27A92D880E349282006E7CF6 /* abcRefs.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = abcRefs.c; sourceTree = "<group>"; };
+ 27A92D890E349282006E7CF6 /* abcShow.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = abcShow.c; sourceTree = "<group>"; };
+ 27A92D8A0E349282006E7CF6 /* abcSop.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = abcSop.c; sourceTree = "<group>"; };
+ 27A92D8B0E349282006E7CF6 /* abcUtil.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = abcUtil.c; sourceTree = "<group>"; };
+ 27A92D8C0E349282006E7CF6 /* module.make */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = text; path = module.make; sourceTree = "<group>"; };
+ 27A92D8E0E349282006E7CF6 /* abc.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = abc.c; sourceTree = "<group>"; };
+ 27A92D8F0E349282006E7CF6 /* abc_.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = abc_.c; sourceTree = "<group>"; };
+ 27A92D900E349282006E7CF6 /* abcAttach.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = abcAttach.c; sourceTree = "<group>"; };
+ 27A92D910E349282006E7CF6 /* abcAuto.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = abcAuto.c; sourceTree = "<group>"; };
+ 27A92D920E349282006E7CF6 /* abcBalance.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = abcBalance.c; sourceTree = "<group>"; };
+ 27A92D930E349282006E7CF6 /* abcBmc.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = abcBmc.c; sourceTree = "<group>"; };
+ 27A92D940E349282006E7CF6 /* abcCas.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = abcCas.c; sourceTree = "<group>"; };
+ 27A92D950E349282006E7CF6 /* abcClpBdd.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = abcClpBdd.c; sourceTree = "<group>"; };
+ 27A92D960E349282006E7CF6 /* abcClpSop.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = abcClpSop.c; sourceTree = "<group>"; };
+ 27A92D970E349282006E7CF6 /* abcCut.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = abcCut.c; sourceTree = "<group>"; };
+ 27A92D980E349282006E7CF6 /* abcDar.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = abcDar.c; sourceTree = "<group>"; };
+ 27A92D990E349282006E7CF6 /* abcDebug.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = abcDebug.c; sourceTree = "<group>"; };
+ 27A92D9A0E349282006E7CF6 /* abcDress.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = abcDress.c; sourceTree = "<group>"; };
+ 27A92D9B0E349282006E7CF6 /* abcDsd.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = abcDsd.c; sourceTree = "<group>"; };
+ 27A92D9C0E349282006E7CF6 /* abcEspresso.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = abcEspresso.c; sourceTree = "<group>"; };
+ 27A92D9D0E349282006E7CF6 /* abcExtract.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = abcExtract.c; sourceTree = "<group>"; };
+ 27A92D9E0E349282006E7CF6 /* abcFpga.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = abcFpga.c; sourceTree = "<group>"; };
+ 27A92D9F0E349282006E7CF6 /* abcFpgaFast.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = abcFpgaFast.c; sourceTree = "<group>"; };
+ 27A92DA00E349282006E7CF6 /* abcFraig.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = abcFraig.c; sourceTree = "<group>"; };
+ 27A92DA10E349282006E7CF6 /* abcFxu.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = abcFxu.c; sourceTree = "<group>"; };
+ 27A92DA20E349282006E7CF6 /* abcGen.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = abcGen.c; sourceTree = "<group>"; };
+ 27A92DA30E349282006E7CF6 /* abcHaig.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = abcHaig.c; sourceTree = "<group>"; };
+ 27A92DA40E349282006E7CF6 /* abcIf.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = abcIf.c; sourceTree = "<group>"; };
+ 27A92DA50E349282006E7CF6 /* abcIvy.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = abcIvy.c; sourceTree = "<group>"; };
+ 27A92DA60E349282006E7CF6 /* abcLut.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = abcLut.c; sourceTree = "<group>"; };
+ 27A92DA70E349282006E7CF6 /* abcMap.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = abcMap.c; sourceTree = "<group>"; };
+ 27A92DA80E349282006E7CF6 /* abcMeasure.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = abcMeasure.c; sourceTree = "<group>"; };
+ 27A92DA90E349282006E7CF6 /* abcMini.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = abcMini.c; sourceTree = "<group>"; };
+ 27A92DAA0E349282006E7CF6 /* abcMiter.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = abcMiter.c; sourceTree = "<group>"; };
+ 27A92DAB0E349282006E7CF6 /* abcMulti.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = abcMulti.c; sourceTree = "<group>"; };
+ 27A92DAC0E349282006E7CF6 /* abcMv.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = abcMv.c; sourceTree = "<group>"; };
+ 27A92DAD0E349282006E7CF6 /* abcNtbdd.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = abcNtbdd.c; sourceTree = "<group>"; };
+ 27A92DAE0E349282006E7CF6 /* abcOdc.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = abcOdc.c; sourceTree = "<group>"; };
+ 27A92DAF0E349282006E7CF6 /* abcOrder.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = abcOrder.c; sourceTree = "<group>"; };
+ 27A92DB00E349282006E7CF6 /* abcPart.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = abcPart.c; sourceTree = "<group>"; };
+ 27A92DB10E349282006E7CF6 /* abcPlace.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = abcPlace.c; sourceTree = "<group>"; };
+ 27A92DB20E349282006E7CF6 /* abcPrint.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = abcPrint.c; sourceTree = "<group>"; };
+ 27A92DB30E349282006E7CF6 /* abcProve.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = abcProve.c; sourceTree = "<group>"; };
+ 27A92DB40E349282006E7CF6 /* abcQbf.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = abcQbf.c; sourceTree = "<group>"; };
+ 27A92DB50E349282006E7CF6 /* abcQuant.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = abcQuant.c; sourceTree = "<group>"; };
+ 27A92DB60E349282006E7CF6 /* abcRec.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = abcRec.c; sourceTree = "<group>"; };
+ 27A92DB70E349282006E7CF6 /* abcReconv.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = abcReconv.c; sourceTree = "<group>"; };
+ 27A92DB80E349282006E7CF6 /* abcRefactor.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = abcRefactor.c; sourceTree = "<group>"; };
+ 27A92DB90E349282006E7CF6 /* abcRenode.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = abcRenode.c; sourceTree = "<group>"; };
+ 27A92DBA0E349282006E7CF6 /* abcReorder.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = abcReorder.c; sourceTree = "<group>"; };
+ 27A92DBB0E349282006E7CF6 /* abcRestruct.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = abcRestruct.c; sourceTree = "<group>"; };
+ 27A92DBC0E349282006E7CF6 /* abcResub.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = abcResub.c; sourceTree = "<group>"; };
+ 27A92DBD0E349282006E7CF6 /* abcRewrite.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = abcRewrite.c; sourceTree = "<group>"; };
+ 27A92DBE0E349282006E7CF6 /* abcRr.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = abcRr.c; sourceTree = "<group>"; };
+ 27A92DBF0E349282006E7CF6 /* abcSat.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = abcSat.c; sourceTree = "<group>"; };
+ 27A92DC00E349282006E7CF6 /* abcStrash.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = abcStrash.c; sourceTree = "<group>"; };
+ 27A92DC10E349282006E7CF6 /* abcSweep.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = abcSweep.c; sourceTree = "<group>"; };
+ 27A92DC20E349282006E7CF6 /* abcSymm.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = abcSymm.c; sourceTree = "<group>"; };
+ 27A92DC30E349282006E7CF6 /* abcTiming.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = abcTiming.c; sourceTree = "<group>"; };
+ 27A92DC40E349282006E7CF6 /* abcUnate.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = abcUnate.c; sourceTree = "<group>"; };
+ 27A92DC50E349282006E7CF6 /* abcUnreach.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = abcUnreach.c; sourceTree = "<group>"; };
+ 27A92DC60E349282006E7CF6 /* abcVerify.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = abcVerify.c; sourceTree = "<group>"; };
+ 27A92DC70E349282006E7CF6 /* abcXsim.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = abcXsim.c; sourceTree = "<group>"; };
+ 27A92DC80E349282006E7CF6 /* module.make */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = text; path = module.make; sourceTree = "<group>"; };
+ 27A92DCA0E349282006E7CF6 /* cmd.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = cmd.c; sourceTree = "<group>"; };
+ 27A92DCB0E349282006E7CF6 /* cmd.h */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.h; path = cmd.h; sourceTree = "<group>"; };
+ 27A92DCC0E349282006E7CF6 /* cmdAlias.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = cmdAlias.c; sourceTree = "<group>"; };
+ 27A92DCD0E349282006E7CF6 /* cmdApi.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = cmdApi.c; sourceTree = "<group>"; };
+ 27A92DCE0E349282006E7CF6 /* cmdFlag.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = cmdFlag.c; sourceTree = "<group>"; };
+ 27A92DCF0E349282006E7CF6 /* cmdHist.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = cmdHist.c; sourceTree = "<group>"; };
+ 27A92DD00E349282006E7CF6 /* cmdInt.h */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.h; path = cmdInt.h; sourceTree = "<group>"; };
+ 27A92DD10E349282006E7CF6 /* cmdUtils.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = cmdUtils.c; sourceTree = "<group>"; };
+ 27A92DD20E349282006E7CF6 /* module.make */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = text; path = module.make; sourceTree = "<group>"; };
+ 27A92DD40E349282006E7CF6 /* io.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = io.c; sourceTree = "<group>"; };
+ 27A92DD50E349282006E7CF6 /* io.h */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.h; path = io.h; sourceTree = "<group>"; };
+ 27A92DD60E349282006E7CF6 /* io_.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = io_.c; sourceTree = "<group>"; };
+ 27A92DD70E349282006E7CF6 /* ioInt.h */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.h; path = ioInt.h; sourceTree = "<group>"; };
+ 27A92DD80E349282006E7CF6 /* ioReadAiger.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = ioReadAiger.c; sourceTree = "<group>"; };
+ 27A92DD90E349282006E7CF6 /* ioReadBaf.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = ioReadBaf.c; sourceTree = "<group>"; };
+ 27A92DDA0E349282006E7CF6 /* ioReadBench.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = ioReadBench.c; sourceTree = "<group>"; };
+ 27A92DDB0E349282006E7CF6 /* ioReadBlif.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = ioReadBlif.c; sourceTree = "<group>"; };
+ 27A92DDC0E349282006E7CF6 /* ioReadBlifAig.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = ioReadBlifAig.c; sourceTree = "<group>"; };
+ 27A92DDD0E349282006E7CF6 /* ioReadBlifMv.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = ioReadBlifMv.c; sourceTree = "<group>"; };
+ 27A92DDE0E349282006E7CF6 /* ioReadDsd.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = ioReadDsd.c; sourceTree = "<group>"; };
+ 27A92DDF0E349282006E7CF6 /* ioReadEdif.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = ioReadEdif.c; sourceTree = "<group>"; };
+ 27A92DE00E349282006E7CF6 /* ioReadEqn.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = ioReadEqn.c; sourceTree = "<group>"; };
+ 27A92DE10E349282006E7CF6 /* ioReadPla.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = ioReadPla.c; sourceTree = "<group>"; };
+ 27A92DE20E349282006E7CF6 /* ioReadVerilog.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = ioReadVerilog.c; sourceTree = "<group>"; };
+ 27A92DE30E349282006E7CF6 /* ioUtil.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = ioUtil.c; sourceTree = "<group>"; };
+ 27A92DE40E349282006E7CF6 /* ioWriteAiger.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = ioWriteAiger.c; sourceTree = "<group>"; };
+ 27A92DE50E349282006E7CF6 /* ioWriteBaf.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = ioWriteBaf.c; sourceTree = "<group>"; };
+ 27A92DE60E349282006E7CF6 /* ioWriteBench.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = ioWriteBench.c; sourceTree = "<group>"; };
+ 27A92DE70E349282006E7CF6 /* ioWriteBlif.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = ioWriteBlif.c; sourceTree = "<group>"; };
+ 27A92DE80E349282006E7CF6 /* ioWriteBlifMv.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = ioWriteBlifMv.c; sourceTree = "<group>"; };
+ 27A92DE90E349282006E7CF6 /* ioWriteCnf.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = ioWriteCnf.c; sourceTree = "<group>"; };
+ 27A92DEA0E349282006E7CF6 /* ioWriteDot.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = ioWriteDot.c; sourceTree = "<group>"; };
+ 27A92DEB0E349282006E7CF6 /* ioWriteEqn.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = ioWriteEqn.c; sourceTree = "<group>"; };
+ 27A92DEC0E349282006E7CF6 /* ioWriteGml.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = ioWriteGml.c; sourceTree = "<group>"; };
+ 27A92DED0E349282006E7CF6 /* ioWriteList.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = ioWriteList.c; sourceTree = "<group>"; };
+ 27A92DEE0E349282006E7CF6 /* ioWritePla.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = ioWritePla.c; sourceTree = "<group>"; };
+ 27A92DEF0E349282006E7CF6 /* ioWriteVerilog.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = ioWriteVerilog.c; sourceTree = "<group>"; };
+ 27A92DF00E349282006E7CF6 /* module.make */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = text; path = module.make; sourceTree = "<group>"; };
+ 27A92DF20E349282006E7CF6 /* libSupport.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = libSupport.c; sourceTree = "<group>"; };
+ 27A92DF30E349282006E7CF6 /* main.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = main.c; sourceTree = "<group>"; };
+ 27A92DF40E349282006E7CF6 /* main.h */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.h; path = main.h; sourceTree = "<group>"; };
+ 27A92DF50E349282006E7CF6 /* mainFrame.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = mainFrame.c; sourceTree = "<group>"; };
+ 27A92DF60E349282006E7CF6 /* mainInit.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = mainInit.c; sourceTree = "<group>"; };
+ 27A92DF70E349282006E7CF6 /* mainInt.h */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.h; path = mainInt.h; sourceTree = "<group>"; };
+ 27A92DF80E349282006E7CF6 /* mainUtils.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = mainUtils.c; sourceTree = "<group>"; };
+ 27A92DF90E349282006E7CF6 /* module.make */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = text; path = module.make; sourceTree = "<group>"; };
+ 27A92DFA0E349282006E7CF6 /* temp.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = temp.c; sourceTree = "<group>"; };
+ 27A92DFC0E349282006E7CF6 /* module.make */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = text; path = module.make; sourceTree = "<group>"; };
+ 27A92DFD0E349282006E7CF6 /* ver.h */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.h; path = ver.h; sourceTree = "<group>"; };
+ 27A92DFE0E349282006E7CF6 /* ver_.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = ver_.c; sourceTree = "<group>"; };
+ 27A92DFF0E349282006E7CF6 /* verCore.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = verCore.c; sourceTree = "<group>"; };
+ 27A92E000E349282006E7CF6 /* verFormula.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = verFormula.c; sourceTree = "<group>"; };
+ 27A92E010E349282006E7CF6 /* verParse.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = verParse.c; sourceTree = "<group>"; };
+ 27A92E020E349282006E7CF6 /* verStream.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = verStream.c; sourceTree = "<group>"; };
+ 27A92E030E349282006E7CF6 /* verWords.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = verWords.c; sourceTree = "<group>"; };
+ 27A92E060E349282006E7CF6 /* cofactor.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = cofactor.c; sourceTree = "<group>"; };
+ 27A92E070E349282006E7CF6 /* cols.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = cols.c; sourceTree = "<group>"; };
+ 27A92E080E349282006E7CF6 /* compl.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = compl.c; sourceTree = "<group>"; };
+ 27A92E090E349282006E7CF6 /* contain.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = contain.c; sourceTree = "<group>"; };
+ 27A92E0A0E349282006E7CF6 /* cubehack.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = cubehack.c; sourceTree = "<group>"; };
+ 27A92E0B0E349282006E7CF6 /* cubestr.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = cubestr.c; sourceTree = "<group>"; };
+ 27A92E0C0E349282006E7CF6 /* cvrin.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = cvrin.c; sourceTree = "<group>"; };
+ 27A92E0D0E349282006E7CF6 /* cvrm.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = cvrm.c; sourceTree = "<group>"; };
+ 27A92E0E0E349282006E7CF6 /* cvrmisc.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = cvrmisc.c; sourceTree = "<group>"; };
+ 27A92E0F0E349282006E7CF6 /* cvrout.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = cvrout.c; sourceTree = "<group>"; };
+ 27A92E100E349282006E7CF6 /* dominate.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = dominate.c; sourceTree = "<group>"; };
+ 27A92E110E349282006E7CF6 /* equiv.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = equiv.c; sourceTree = "<group>"; };
+ 27A92E120E349282006E7CF6 /* espresso.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = espresso.c; sourceTree = "<group>"; };
+ 27A92E130E349282006E7CF6 /* espresso.h */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.h; path = espresso.h; sourceTree = "<group>"; };
+ 27A92E140E349282006E7CF6 /* essen.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = essen.c; sourceTree = "<group>"; };
+ 27A92E150E349282006E7CF6 /* exact.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = exact.c; sourceTree = "<group>"; };
+ 27A92E160E349282006E7CF6 /* expand.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = expand.c; sourceTree = "<group>"; };
+ 27A92E170E349282006E7CF6 /* gasp.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = gasp.c; sourceTree = "<group>"; };
+ 27A92E180E349282006E7CF6 /* gimpel.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = gimpel.c; sourceTree = "<group>"; };
+ 27A92E190E349282006E7CF6 /* globals.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = globals.c; sourceTree = "<group>"; };
+ 27A92E1A0E349282006E7CF6 /* hack.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = hack.c; sourceTree = "<group>"; };
+ 27A92E1B0E349282006E7CF6 /* indep.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = indep.c; sourceTree = "<group>"; };
+ 27A92E1C0E349282006E7CF6 /* irred.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = irred.c; sourceTree = "<group>"; };
+ 27A92E1D0E349282006E7CF6 /* main.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = main.c; sourceTree = "<group>"; };
+ 27A92E1E0E349282006E7CF6 /* main.h */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.h; path = main.h; sourceTree = "<group>"; };
+ 27A92E1F0E349282006E7CF6 /* map.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = map.c; sourceTree = "<group>"; };
+ 27A92E200E349282006E7CF6 /* matrix.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = matrix.c; sourceTree = "<group>"; };
+ 27A92E210E349282006E7CF6 /* mincov.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = mincov.c; sourceTree = "<group>"; };
+ 27A92E220E349282006E7CF6 /* mincov.h */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.h; path = mincov.h; sourceTree = "<group>"; };
+ 27A92E230E349282006E7CF6 /* mincov_int.h */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.h; path = mincov_int.h; sourceTree = "<group>"; };
+ 27A92E240E349282006E7CF6 /* module.make */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = text; path = module.make; sourceTree = "<group>"; };
+ 27A92E250E349282006E7CF6 /* opo.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = opo.c; sourceTree = "<group>"; };
+ 27A92E260E349282006E7CF6 /* pair.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = pair.c; sourceTree = "<group>"; };
+ 27A92E270E349282006E7CF6 /* part.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = part.c; sourceTree = "<group>"; };
+ 27A92E280E349282006E7CF6 /* primes.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = primes.c; sourceTree = "<group>"; };
+ 27A92E290E349282006E7CF6 /* reduce.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = reduce.c; sourceTree = "<group>"; };
+ 27A92E2A0E349282006E7CF6 /* rows.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = rows.c; sourceTree = "<group>"; };
+ 27A92E2B0E349282006E7CF6 /* set.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = set.c; sourceTree = "<group>"; };
+ 27A92E2C0E349282006E7CF6 /* setc.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = setc.c; sourceTree = "<group>"; };
+ 27A92E2D0E349282006E7CF6 /* sharp.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = sharp.c; sourceTree = "<group>"; };
+ 27A92E2E0E349282006E7CF6 /* sminterf.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = sminterf.c; sourceTree = "<group>"; };
+ 27A92E2F0E349282006E7CF6 /* solution.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = solution.c; sourceTree = "<group>"; };
+ 27A92E300E349282006E7CF6 /* sparse.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = sparse.c; sourceTree = "<group>"; };
+ 27A92E310E349282006E7CF6 /* sparse.h */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.h; path = sparse.h; sourceTree = "<group>"; };
+ 27A92E320E349282006E7CF6 /* sparse_int.h */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.h; path = sparse_int.h; sourceTree = "<group>"; };
+ 27A92E330E349282006E7CF6 /* unate.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = unate.c; sourceTree = "<group>"; };
+ 27A92E340E349282006E7CF6 /* util_old.h */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.h; path = util_old.h; sourceTree = "<group>"; };
+ 27A92E350E349282006E7CF6 /* verify.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = verify.c; sourceTree = "<group>"; };
+ 27A92E370E349282006E7CF6 /* extra.h */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.h; path = extra.h; sourceTree = "<group>"; };
+ 27A92E380E349282006E7CF6 /* extraBddAuto.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = extraBddAuto.c; sourceTree = "<group>"; };
+ 27A92E390E349282006E7CF6 /* extraBddCas.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = extraBddCas.c; sourceTree = "<group>"; };
+ 27A92E3A0E349282006E7CF6 /* extraBddKmap.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = extraBddKmap.c; sourceTree = "<group>"; };
+ 27A92E3B0E349282006E7CF6 /* extraBddMisc.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = extraBddMisc.c; sourceTree = "<group>"; };
+ 27A92E3C0E349282006E7CF6 /* extraBddSymm.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = extraBddSymm.c; sourceTree = "<group>"; };
+ 27A92E3D0E349282006E7CF6 /* extraBddUnate.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = extraBddUnate.c; sourceTree = "<group>"; };
+ 27A92E3E0E349282006E7CF6 /* extraUtilBitMatrix.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = extraUtilBitMatrix.c; sourceTree = "<group>"; };
+ 27A92E3F0E349282006E7CF6 /* extraUtilCanon.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = extraUtilCanon.c; sourceTree = "<group>"; };
+ 27A92E400E349282006E7CF6 /* extraUtilFile.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = extraUtilFile.c; sourceTree = "<group>"; };
+ 27A92E410E349282006E7CF6 /* extraUtilMemory.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = extraUtilMemory.c; sourceTree = "<group>"; };
+ 27A92E420E349282006E7CF6 /* extraUtilMisc.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = extraUtilMisc.c; sourceTree = "<group>"; };
+ 27A92E430E349282006E7CF6 /* extraUtilProgress.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = extraUtilProgress.c; sourceTree = "<group>"; };
+ 27A92E440E349282006E7CF6 /* extraUtilReader.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = extraUtilReader.c; sourceTree = "<group>"; };
+ 27A92E450E349282006E7CF6 /* extraUtilTruth.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = extraUtilTruth.c; sourceTree = "<group>"; };
+ 27A92E460E349282006E7CF6 /* extraUtilUtil.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = extraUtilUtil.c; sourceTree = "<group>"; };
+ 27A92E470E349282006E7CF6 /* module.make */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = text; path = module.make; sourceTree = "<group>"; };
+ 27A92E490E349282006E7CF6 /* hash.h */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.h; path = hash.h; sourceTree = "<group>"; };
+ 27A92E4A0E349282006E7CF6 /* hashFlt.h */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.h; path = hashFlt.h; sourceTree = "<group>"; };
+ 27A92E4B0E349282006E7CF6 /* hashInt.h */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.h; path = hashInt.h; sourceTree = "<group>"; };
+ 27A92E4C0E349282006E7CF6 /* hashPtr.h */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.h; path = hashPtr.h; sourceTree = "<group>"; };
+ 27A92E4D0E349282006E7CF6 /* module.make */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = text; path = module.make; sourceTree = "<group>"; };
+ 27A92E4F0E349282006E7CF6 /* module.make */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = text; path = module.make; sourceTree = "<group>"; };
+ 27A92E500E349282006E7CF6 /* mvc.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = mvc.c; sourceTree = "<group>"; };
+ 27A92E510E349282006E7CF6 /* mvc.h */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.h; path = mvc.h; sourceTree = "<group>"; };
+ 27A92E520E349282006E7CF6 /* mvcApi.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = mvcApi.c; sourceTree = "<group>"; };
+ 27A92E530E349282006E7CF6 /* mvcCompare.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = mvcCompare.c; sourceTree = "<group>"; };
+ 27A92E540E349282006E7CF6 /* mvcContain.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = mvcContain.c; sourceTree = "<group>"; };
+ 27A92E550E349282006E7CF6 /* mvcCover.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = mvcCover.c; sourceTree = "<group>"; };
+ 27A92E560E349282006E7CF6 /* mvcCube.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = mvcCube.c; sourceTree = "<group>"; };
+ 27A92E570E349282006E7CF6 /* mvcDivide.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = mvcDivide.c; sourceTree = "<group>"; };
+ 27A92E580E349282006E7CF6 /* mvcDivisor.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = mvcDivisor.c; sourceTree = "<group>"; };
+ 27A92E590E349282006E7CF6 /* mvcList.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = mvcList.c; sourceTree = "<group>"; };
+ 27A92E5A0E349282006E7CF6 /* mvcLits.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = mvcLits.c; sourceTree = "<group>"; };
+ 27A92E5B0E349282006E7CF6 /* mvcMan.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = mvcMan.c; sourceTree = "<group>"; };
+ 27A92E5C0E349282006E7CF6 /* mvcOpAlg.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = mvcOpAlg.c; sourceTree = "<group>"; };
+ 27A92E5D0E349283006E7CF6 /* mvcOpBool.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = mvcOpBool.c; sourceTree = "<group>"; };
+ 27A92E5E0E349283006E7CF6 /* mvcPrint.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = mvcPrint.c; sourceTree = "<group>"; };
+ 27A92E5F0E349283006E7CF6 /* mvcSort.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = mvcSort.c; sourceTree = "<group>"; };
+ 27A92E600E349283006E7CF6 /* mvcUtils.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = mvcUtils.c; sourceTree = "<group>"; };
+ 27A92E620E349283006E7CF6 /* module.make */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = text; path = module.make; sourceTree = "<group>"; };
+ 27A92E630E349283006E7CF6 /* nm.h */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.h; path = nm.h; sourceTree = "<group>"; };
+ 27A92E640E349283006E7CF6 /* nmApi.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = nmApi.c; sourceTree = "<group>"; };
+ 27A92E650E349283006E7CF6 /* nmInt.h */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.h; path = nmInt.h; sourceTree = "<group>"; };
+ 27A92E660E349283006E7CF6 /* nmTable.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = nmTable.c; sourceTree = "<group>"; };
+ 27A92E680E349283006E7CF6 /* module.make */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = text; path = module.make; sourceTree = "<group>"; };
+ 27A92E690E349283006E7CF6 /* st.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = st.c; sourceTree = "<group>"; };
+ 27A92E6A0E349283006E7CF6 /* st.h */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.h; path = st.h; sourceTree = "<group>"; };
+ 27A92E6B0E349283006E7CF6 /* stmm.c */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.c; path = stmm.c; sourceTree = "<group>"; };
+ 27A92E6C0E349283006E7CF6 /* stmm.h */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.h; path = stmm.h; sourceTree = "<group>"; };
+ 27A92E6E0E349283006E7CF6 /* leaks.h */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.h; path = leaks.h; sourceTree = "<group>"; };
+ 27A92E6F0E349283006E7CF6 /* module.make */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = text; path = module.make; sourceTree = "<group>"; };
+ 27A92E700E349283006E7CF6 /* stdlib_hack.h */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.h; path = stdlib_hack.h; sourceTree = "<group>"; };
+ 27A92E710E349283006E7CF6 /* util_hack.h */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.h; path = util_hack.h; sourceTree = "<group>"; };
+ 27A92E730E349283006E7CF6 /* module.make */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = text; path = module.make; sourceTree = "<group>"; };
+ 27A92E740E349283006E7CF6 /* vec.h */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.h; path = vec.h; sourceTree = "<group>"; };
+ 27A92E750E349283006E7CF6 /* vecAtt.h */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.h; path = vecAtt.h; sourceTree = "<group>"; };
+ 27A92E760E349283006E7CF6 /* vecFlt.h */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.h; path = vecFlt.h; sourceTree = "<group>"; };
+ 27A92E770E349283006E7CF6 /* vecInt.h */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.h; path = vecInt.h; sourceTree = "<group>"; };
+ 27A92E780E349283006E7CF6 /* vecPtr.h */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.h; path = vecPtr.h; sourceTree = "<group>"; };
+ 27A92E790E349283006E7CF6 /* vecStr.h */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.h; path = vecStr.h; sourceTree = "<group>"; };
+ 27A92E7A0E349283006E7CF6 /* vecVec.h */ = {isa = PBXFileReference; fileEncoding = 4; lastKnownFileType = sourcecode.c.h; path = vecVec.h; sourceTree = "<group>"; };
+ 8DD76FB20486AB0100D96B5E /* abc */ = {isa = PBXFileReference; explicitFileType = "compiled.mach-o.executable"; includeInIndex = 0; path = abc; sourceTree = BUILT_PRODUCTS_DIR; };
+ C6A0FF2C0290799A04C91782 /* abc.1 */ = {isa = PBXFileReference; lastKnownFileType = text.man; path = abc.1; sourceTree = "<group>"; };
+/* End PBXFileReference section */
+
+/* Begin PBXFrameworksBuildPhase section */
+ 8DD76FAD0486AB0100D96B5E /* Frameworks */ = {
+ isa = PBXFrameworksBuildPhase;
+ buildActionMask = 2147483647;
+ files = (
+ 274040F00E38BEDA00DC6F18 /* libreadline.dylib in Frameworks */,
+ );
+ runOnlyForDeploymentPostprocessing = 0;
+ };
+/* End PBXFrameworksBuildPhase section */
+
+/* Begin PBXGroup section */
+ 08FB7794FE84155DC02AAC07 /* abc */ = {
+ isa = PBXGroup;
+ children = (
+ 274040F20E38BEE600DC6F18 /* Libraries */,
+ 08FB7795FE84155DC02AAC07 /* Source */,
+ C6A0FF2B0290797F04C91782 /* Documentation */,
+ 1AB674ADFE9D54B511CA2CBB /* Products */,
+ );
+ name = abc;
+ sourceTree = "<group>";
+ };
+ 08FB7795FE84155DC02AAC07 /* Source */ = {
+ isa = PBXGroup;
+ children = (
+ 27A92B6C0E349280006E7CF6 /* opt */,
+ 27A92BD50E349280006E7CF6 /* generic.h */,
+ 27A92BD60E349280006E7CF6 /* sat */,
+ 27A92C0E0E349280006E7CF6 /* bdd */,
+ 27A92C7F0E349281006E7CF6 /* generic.c */,
+ 27A92C800E349281006E7CF6 /* aig */,
+ 27A92D2B0E349281006E7CF6 /* map */,
+ 27A92D750E349282006E7CF6 /* base */,
+ 27A92E040E349282006E7CF6 /* misc */,
+ );
+ name = Source;
+ sourceTree = "<group>";
+ };
+ 1AB674ADFE9D54B511CA2CBB /* Products */ = {
+ isa = PBXGroup;
+ children = (
+ 8DD76FB20486AB0100D96B5E /* abc */,
+ );
+ name = Products;
+ sourceTree = "<group>";
+ };
+ 274040F20E38BEE600DC6F18 /* Libraries */ = {
+ isa = PBXGroup;
+ children = (
+ 274040EF0E38BED900DC6F18 /* libreadline.dylib */,
+ );
+ name = Libraries;
+ sourceTree = "<group>";
+ };
+ 27A92B6C0E349280006E7CF6 /* opt */ = {
+ isa = PBXGroup;
+ children = (
+ 27A92B6D0E349280006E7CF6 /* cut */,
+ 27A92B7D0E349280006E7CF6 /* dec */,
+ 27A92B850E349280006E7CF6 /* fxu */,
+ 27A92B950E349280006E7CF6 /* lpk */,
+ 27A92BA50E349280006E7CF6 /* res */,
+ 27A92BB20E349280006E7CF6 /* ret */,
+ 27A92BBD0E349280006E7CF6 /* rwr */,
+ 27A92BC80E349280006E7CF6 /* sim */,
+ );
+ path = opt;
+ sourceTree = "<group>";
+ };
+ 27A92B6D0E349280006E7CF6 /* cut */ = {
+ isa = PBXGroup;
+ children = (
+ 27A92B6E0E349280006E7CF6 /* abcCut.c */,
+ 27A92B6F0E349280006E7CF6 /* cut.h */,
+ 27A92B700E349280006E7CF6 /* cutApi.c */,
+ 27A92B710E349280006E7CF6 /* cutCut.c */,
+ 27A92B720E349280006E7CF6 /* cutExpand.c */,
+ 27A92B730E349280006E7CF6 /* cutInt.h */,
+ 27A92B740E349280006E7CF6 /* cutList.h */,
+ 27A92B750E349280006E7CF6 /* cutMan.c */,
+ 27A92B760E349280006E7CF6 /* cutMerge.c */,
+ 27A92B770E349280006E7CF6 /* cutNode.c */,
+ 27A92B780E349280006E7CF6 /* cutOracle.c */,
+ 27A92B790E349280006E7CF6 /* cutPre22.c */,
+ 27A92B7A0E349280006E7CF6 /* cutSeq.c */,
+ 27A92B7B0E349280006E7CF6 /* cutTruth.c */,
+ 27A92B7C0E349280006E7CF6 /* module.make */,
+ );
+ path = cut;
+ sourceTree = "<group>";
+ };
+ 27A92B7D0E349280006E7CF6 /* dec */ = {
+ isa = PBXGroup;
+ children = (
+ 27A92B7E0E349280006E7CF6 /* dec.h */,
+ 27A92B7F0E349280006E7CF6 /* decAbc.c */,
+ 27A92B800E349280006E7CF6 /* decFactor.c */,
+ 27A92B810E349280006E7CF6 /* decMan.c */,
+ 27A92B820E349280006E7CF6 /* decPrint.c */,
+ 27A92B830E349280006E7CF6 /* decUtil.c */,
+ 27A92B840E349280006E7CF6 /* module.make */,
+ );
+ path = dec;
+ sourceTree = "<group>";
+ };
+ 27A92B850E349280006E7CF6 /* fxu */ = {
+ isa = PBXGroup;
+ children = (
+ 27A92B860E349280006E7CF6 /* fxu.c */,
+ 27A92B870E349280006E7CF6 /* fxu.h */,
+ 27A92B880E349280006E7CF6 /* fxuCreate.c */,
+ 27A92B890E349280006E7CF6 /* fxuHeapD.c */,
+ 27A92B8A0E349280006E7CF6 /* fxuHeapS.c */,
+ 27A92B8B0E349280006E7CF6 /* fxuInt.h */,
+ 27A92B8C0E349280006E7CF6 /* fxuList.c */,
+ 27A92B8D0E349280006E7CF6 /* fxuMatrix.c */,
+ 27A92B8E0E349280006E7CF6 /* fxuPair.c */,
+ 27A92B8F0E349280006E7CF6 /* fxuPrint.c */,
+ 27A92B900E349280006E7CF6 /* fxuReduce.c */,
+ 27A92B910E349280006E7CF6 /* fxuSelect.c */,
+ 27A92B920E349280006E7CF6 /* fxuSingle.c */,
+ 27A92B930E349280006E7CF6 /* fxuUpdate.c */,
+ 27A92B940E349280006E7CF6 /* module.make */,
+ );
+ path = fxu;
+ sourceTree = "<group>";
+ };
+ 27A92B950E349280006E7CF6 /* lpk */ = {
+ isa = PBXGroup;
+ children = (
+ 27A92B960E349280006E7CF6 /* lpk.h */,
+ 27A92B970E349280006E7CF6 /* lpk_.c */,
+ 27A92B980E349280006E7CF6 /* lpkAbcDec.c */,
+ 27A92B990E349280006E7CF6 /* lpkAbcDsd.c */,
+ 27A92B9A0E349280006E7CF6 /* lpkAbcMux.c */,
+ 27A92B9B0E349280006E7CF6 /* lpkAbcUtil.c */,
+ 27A92B9C0E349280006E7CF6 /* lpkCore.c */,
+ 27A92B9D0E349280006E7CF6 /* lpkCut.c */,
+ 27A92B9E0E349280006E7CF6 /* lpkInt.h */,
+ 27A92B9F0E349280006E7CF6 /* lpkMan.c */,
+ 27A92BA00E349280006E7CF6 /* lpkMap.c */,
+ 27A92BA10E349280006E7CF6 /* lpkMulti.c */,
+ 27A92BA20E349280006E7CF6 /* lpkMux.c */,
+ 27A92BA30E349280006E7CF6 /* lpkSets.c */,
+ 27A92BA40E349280006E7CF6 /* module.make */,
+ );
+ path = lpk;
+ sourceTree = "<group>";
+ };
+ 27A92BA50E349280006E7CF6 /* res */ = {
+ isa = PBXGroup;
+ children = (
+ 27A92BA60E349280006E7CF6 /* module.make */,
+ 27A92BA70E349280006E7CF6 /* res.h */,
+ 27A92BA80E349280006E7CF6 /* res_.c */,
+ 27A92BA90E349280006E7CF6 /* resCore.c */,
+ 27A92BAA0E349280006E7CF6 /* resDivs.c */,
+ 27A92BAB0E349280006E7CF6 /* resFilter.c */,
+ 27A92BAC0E349280006E7CF6 /* resInt.h */,
+ 27A92BAD0E349280006E7CF6 /* resSat.c */,
+ 27A92BAE0E349280006E7CF6 /* resSim.c */,
+ 27A92BAF0E349280006E7CF6 /* resSim_old.c */,
+ 27A92BB00E349280006E7CF6 /* resStrash.c */,
+ 27A92BB10E349280006E7CF6 /* resWin.c */,
+ );
+ path = res;
+ sourceTree = "<group>";
+ };
+ 27A92BB20E349280006E7CF6 /* ret */ = {
+ isa = PBXGroup;
+ children = (
+ 27A92BB30E349280006E7CF6 /* module.make */,
+ 27A92BB40E349280006E7CF6 /* ret_.c */,
+ 27A92BB50E349280006E7CF6 /* retArea.c */,
+ 27A92BB60E349280006E7CF6 /* retCore.c */,
+ 27A92BB70E349280006E7CF6 /* retDelay.c */,
+ 27A92BB80E349280006E7CF6 /* retFlow.c */,
+ 27A92BB90E349280006E7CF6 /* retIncrem.c */,
+ 27A92BBA0E349280006E7CF6 /* retInit.c */,
+ 27A92BBB0E349280006E7CF6 /* retInt.h */,
+ 27A92BBC0E349280006E7CF6 /* retLvalue.c */,
+ );
+ path = ret;
+ sourceTree = "<group>";
+ };
+ 27A92BBD0E349280006E7CF6 /* rwr */ = {
+ isa = PBXGroup;
+ children = (
+ 27A92BBE0E349280006E7CF6 /* module.make */,
+ 27A92BBF0E349280006E7CF6 /* rwr.h */,
+ 27A92BC00E349280006E7CF6 /* rwrDec.c */,
+ 27A92BC10E349280006E7CF6 /* rwrEva.c */,
+ 27A92BC20E349280006E7CF6 /* rwrExp.c */,
+ 27A92BC30E349280006E7CF6 /* rwrLib.c */,
+ 27A92BC40E349280006E7CF6 /* rwrMan.c */,
+ 27A92BC50E349280006E7CF6 /* rwrPrint.c */,
+ 27A92BC60E349280006E7CF6 /* rwrTemp.c */,
+ 27A92BC70E349280006E7CF6 /* rwrUtil.c */,
+ );
+ path = rwr;
+ sourceTree = "<group>";
+ };
+ 27A92BC80E349280006E7CF6 /* sim */ = {
+ isa = PBXGroup;
+ children = (
+ 27A92BC90E349280006E7CF6 /* module.make */,
+ 27A92BCA0E349280006E7CF6 /* sim.h */,
+ 27A92BCB0E349280006E7CF6 /* simMan.c */,
+ 27A92BCC0E349280006E7CF6 /* simSat.c */,
+ 27A92BCD0E349280006E7CF6 /* simSeq.c */,
+ 27A92BCE0E349280006E7CF6 /* simSupp.c */,
+ 27A92BCF0E349280006E7CF6 /* simSwitch.c */,
+ 27A92BD00E349280006E7CF6 /* simSym.c */,
+ 27A92BD10E349280006E7CF6 /* simSymSat.c */,
+ 27A92BD20E349280006E7CF6 /* simSymSim.c */,
+ 27A92BD30E349280006E7CF6 /* simSymStr.c */,
+ 27A92BD40E349280006E7CF6 /* simUtils.c */,
+ );
+ path = sim;
+ sourceTree = "<group>";
+ };
+ 27A92BD60E349280006E7CF6 /* sat */ = {
+ isa = PBXGroup;
+ children = (
+ 27A92BD70E349280006E7CF6 /* bsat */,
+ 27A92BE30E349280006E7CF6 /* csat */,
+ 27A92BE70E349280006E7CF6 /* fraig */,
+ 27A92BF80E349280006E7CF6 /* msat */,
+ 27A92C0A0E349280006E7CF6 /* proof */,
+ );
+ path = sat;
+ sourceTree = "<group>";
+ };
+ 27A92BD70E349280006E7CF6 /* bsat */ = {
+ isa = PBXGroup;
+ children = (
+ 27A92BD80E349280006E7CF6 /* module.make */,
+ 27A92BD90E349280006E7CF6 /* satInter.c */,
+ 27A92BDA0E349280006E7CF6 /* satMem.c */,
+ 27A92BDB0E349280006E7CF6 /* satMem.h */,
+ 27A92BDC0E349280006E7CF6 /* satSolver.c */,
+ 27A92BDD0E349280006E7CF6 /* satSolver.h */,
+ 27A92BDE0E349280006E7CF6 /* satStore.c */,
+ 27A92BDF0E349280006E7CF6 /* satStore.h */,
+ 27A92BE00E349280006E7CF6 /* satTrace.c */,
+ 27A92BE10E349280006E7CF6 /* satUtil.c */,
+ 27A92BE20E349280006E7CF6 /* satVec.h */,
+ );
+ path = bsat;
+ sourceTree = "<group>";
+ };
+ 27A92BE30E349280006E7CF6 /* csat */ = {
+ isa = PBXGroup;
+ children = (
+ 27A92BE40E349280006E7CF6 /* csat_apis.c */,
+ 27A92BE50E349280006E7CF6 /* csat_apis.h */,
+ 27A92BE60E349280006E7CF6 /* module.make */,
+ );
+ path = csat;
+ sourceTree = "<group>";
+ };
+ 27A92BE70E349280006E7CF6 /* fraig */ = {
+ isa = PBXGroup;
+ children = (
+ 27A92BE80E349280006E7CF6 /* fraig.h */,
+ 27A92BE90E349280006E7CF6 /* fraigApi.c */,
+ 27A92BEA0E349280006E7CF6 /* fraigCanon.c */,
+ 27A92BEB0E349280006E7CF6 /* fraigChoice.c */,
+ 27A92BEC0E349280006E7CF6 /* fraigFanout.c */,
+ 27A92BED0E349280006E7CF6 /* fraigFeed.c */,
+ 27A92BEE0E349280006E7CF6 /* fraigInt.h */,
+ 27A92BEF0E349280006E7CF6 /* fraigMan.c */,
+ 27A92BF00E349280006E7CF6 /* fraigMem.c */,
+ 27A92BF10E349280006E7CF6 /* fraigNode.c */,
+ 27A92BF20E349280006E7CF6 /* fraigPrime.c */,
+ 27A92BF30E349280006E7CF6 /* fraigSat.c */,
+ 27A92BF40E349280006E7CF6 /* fraigTable.c */,
+ 27A92BF50E349280006E7CF6 /* fraigUtil.c */,
+ 27A92BF60E349280006E7CF6 /* fraigVec.c */,
+ 27A92BF70E349280006E7CF6 /* module.make */,
+ );
+ path = fraig;
+ sourceTree = "<group>";
+ };
+ 27A92BF80E349280006E7CF6 /* msat */ = {
+ isa = PBXGroup;
+ children = (
+ 27A92BF90E349280006E7CF6 /* module.make */,
+ 27A92BFA0E349280006E7CF6 /* msat.h */,
+ 27A92BFB0E349280006E7CF6 /* msatActivity.c */,
+ 27A92BFC0E349280006E7CF6 /* msatClause.c */,
+ 27A92BFD0E349280006E7CF6 /* msatClauseVec.c */,
+ 27A92BFE0E349280006E7CF6 /* msatInt.h */,
+ 27A92BFF0E349280006E7CF6 /* msatMem.c */,
+ 27A92C000E349280006E7CF6 /* msatOrderH.c */,
+ 27A92C010E349280006E7CF6 /* msatOrderJ.c */,
+ 27A92C020E349280006E7CF6 /* msatQueue.c */,
+ 27A92C030E349280006E7CF6 /* msatRead.c */,
+ 27A92C040E349280006E7CF6 /* msatSolverApi.c */,
+ 27A92C050E349280006E7CF6 /* msatSolverCore.c */,
+ 27A92C060E349280006E7CF6 /* msatSolverIo.c */,
+ 27A92C070E349280006E7CF6 /* msatSolverSearch.c */,
+ 27A92C080E349280006E7CF6 /* msatSort.c */,
+ 27A92C090E349280006E7CF6 /* msatVec.c */,
+ );
+ path = msat;
+ sourceTree = "<group>";
+ };
+ 27A92C0A0E349280006E7CF6 /* proof */ = {
+ isa = PBXGroup;
+ children = (
+ 27A92C0B0E349280006E7CF6 /* pr.c */,
+ 27A92C0C0E349280006E7CF6 /* pr.h */,
+ 27A92C0D0E349280006E7CF6 /* stats.txt */,
+ );
+ path = proof;
+ sourceTree = "<group>";
+ };
+ 27A92C0E0E349280006E7CF6 /* bdd */ = {
+ isa = PBXGroup;
+ children = (
+ 27A92C0F0E349280006E7CF6 /* cas */,
+ 27A92C140E349280006E7CF6 /* cudd */,
+ 27A92C590E349281006E7CF6 /* dsd */,
+ 27A92C630E349281006E7CF6 /* epd */,
+ 27A92C670E349281006E7CF6 /* mtr */,
+ 27A92C6D0E349281006E7CF6 /* parse */,
+ 27A92C740E349281006E7CF6 /* reo */,
+ );
+ path = bdd;
+ sourceTree = "<group>";
+ };
+ 27A92C0F0E349280006E7CF6 /* cas */ = {
+ isa = PBXGroup;
+ children = (
+ 27A92C100E349280006E7CF6 /* cas.h */,
+ 27A92C110E349280006E7CF6 /* casCore.c */,
+ 27A92C120E349280006E7CF6 /* casDec.c */,
+ 27A92C130E349280006E7CF6 /* module.make */,
+ );
+ path = cas;
+ sourceTree = "<group>";
+ };
+ 27A92C140E349280006E7CF6 /* cudd */ = {
+ isa = PBXGroup;
+ children = (
+ 27A92C150E349280006E7CF6 /* cuBdd.make */,
+ 27A92C160E349280006E7CF6 /* cudd.h */,
+ 27A92C170E349280006E7CF6 /* cudd.make */,
+ 27A92C180E349280006E7CF6 /* cuddAddAbs.c */,
+ 27A92C190E349280006E7CF6 /* cuddAddApply.c */,
+ 27A92C1A0E349280006E7CF6 /* cuddAddFind.c */,
+ 27A92C1B0E349280006E7CF6 /* cuddAddInv.c */,
+ 27A92C1C0E349280006E7CF6 /* cuddAddIte.c */,
+ 27A92C1D0E349280006E7CF6 /* cuddAddNeg.c */,
+ 27A92C1E0E349280006E7CF6 /* cuddAddWalsh.c */,
+ 27A92C1F0E349280006E7CF6 /* cuddAndAbs.c */,
+ 27A92C200E349280006E7CF6 /* cuddAnneal.c */,
+ 27A92C210E349280006E7CF6 /* cuddApa.c */,
+ 27A92C220E349280006E7CF6 /* cuddAPI.c */,
+ 27A92C230E349280006E7CF6 /* cuddApprox.c */,
+ 27A92C240E349280006E7CF6 /* cuddBddAbs.c */,
+ 27A92C250E349280006E7CF6 /* cuddBddCorr.c */,
+ 27A92C260E349280006E7CF6 /* cuddBddIte.c */,
+ 27A92C270E349280006E7CF6 /* cuddBridge.c */,
+ 27A92C280E349280006E7CF6 /* cuddCache.c */,
+ 27A92C290E349280006E7CF6 /* cuddCheck.c */,
+ 27A92C2A0E349280006E7CF6 /* cuddClip.c */,
+ 27A92C2B0E349280006E7CF6 /* cuddCof.c */,
+ 27A92C2C0E349280006E7CF6 /* cuddCompose.c */,
+ 27A92C2D0E349280006E7CF6 /* cuddDecomp.c */,
+ 27A92C2E0E349280006E7CF6 /* cuddEssent.c */,
+ 27A92C2F0E349280006E7CF6 /* cuddExact.c */,
+ 27A92C300E349280006E7CF6 /* cuddExport.c */,
+ 27A92C310E349280006E7CF6 /* cuddGenCof.c */,
+ 27A92C320E349280006E7CF6 /* cuddGenetic.c */,
+ 27A92C330E349280006E7CF6 /* cuddGroup.c */,
+ 27A92C340E349280006E7CF6 /* cuddHarwell.c */,
+ 27A92C350E349280006E7CF6 /* cuddInit.c */,
+ 27A92C360E349280006E7CF6 /* cuddInt.h */,
+ 27A92C370E349280006E7CF6 /* cuddInteract.c */,
+ 27A92C380E349280006E7CF6 /* cuddLCache.c */,
+ 27A92C390E349280006E7CF6 /* cuddLevelQ.c */,
+ 27A92C3A0E349280006E7CF6 /* cuddLinear.c */,
+ 27A92C3B0E349280006E7CF6 /* cuddLiteral.c */,
+ 27A92C3C0E349280006E7CF6 /* cuddMatMult.c */,
+ 27A92C3D0E349280006E7CF6 /* cuddPriority.c */,
+ 27A92C3E0E349280006E7CF6 /* cuddRead.c */,
+ 27A92C3F0E349280006E7CF6 /* cuddRef.c */,
+ 27A92C400E349280006E7CF6 /* cuddReorder.c */,
+ 27A92C410E349280006E7CF6 /* cuddSat.c */,
+ 27A92C420E349280006E7CF6 /* cuddSign.c */,
+ 27A92C430E349280006E7CF6 /* cuddSolve.c */,
+ 27A92C440E349280006E7CF6 /* cuddSplit.c */,
+ 27A92C450E349280006E7CF6 /* cuddSubsetHB.c */,
+ 27A92C460E349280006E7CF6 /* cuddSubsetSP.c */,
+ 27A92C470E349280006E7CF6 /* cuddSymmetry.c */,
+ 27A92C480E349280006E7CF6 /* cuddTable.c */,
+ 27A92C490E349280006E7CF6 /* cuddUtil.c */,
+ 27A92C4A0E349280006E7CF6 /* cuddWindow.c */,
+ 27A92C4B0E349280006E7CF6 /* cuddZddCount.c */,
+ 27A92C4C0E349280006E7CF6 /* cuddZddFuncs.c */,
+ 27A92C4D0E349280006E7CF6 /* cuddZddGroup.c */,
+ 27A92C4E0E349280006E7CF6 /* cuddZddIsop.c */,
+ 27A92C4F0E349280006E7CF6 /* cuddZddLin.c */,
+ 27A92C500E349280006E7CF6 /* cuddZddMisc.c */,
+ 27A92C510E349280006E7CF6 /* cuddZddPort.c */,
+ 27A92C520E349280006E7CF6 /* cuddZddReord.c */,
+ 27A92C530E349281006E7CF6 /* cuddZddSetop.c */,
+ 27A92C540E349281006E7CF6 /* cuddZddSymm.c */,
+ 27A92C550E349281006E7CF6 /* cuddZddUtil.c */,
+ 27A92C560E349281006E7CF6 /* module.make */,
+ 27A92C570E349281006E7CF6 /* r7x8.1.mat */,
+ 27A92C580E349281006E7CF6 /* testcudd.c */,
+ );
+ path = cudd;
+ sourceTree = "<group>";
+ };
+ 27A92C590E349281006E7CF6 /* dsd */ = {
+ isa = PBXGroup;
+ children = (
+ 27A92C5A0E349281006E7CF6 /* dsd.h */,
+ 27A92C5B0E349281006E7CF6 /* dsdApi.c */,
+ 27A92C5C0E349281006E7CF6 /* dsdCheck.c */,
+ 27A92C5D0E349281006E7CF6 /* dsdInt.h */,
+ 27A92C5E0E349281006E7CF6 /* dsdLocal.c */,
+ 27A92C5F0E349281006E7CF6 /* dsdMan.c */,
+ 27A92C600E349281006E7CF6 /* dsdProc.c */,
+ 27A92C610E349281006E7CF6 /* dsdTree.c */,
+ 27A92C620E349281006E7CF6 /* module.make */,
+ );
+ path = dsd;
+ sourceTree = "<group>";
+ };
+ 27A92C630E349281006E7CF6 /* epd */ = {
+ isa = PBXGroup;
+ children = (
+ 27A92C640E349281006E7CF6 /* epd.c */,
+ 27A92C650E349281006E7CF6 /* epd.h */,
+ 27A92C660E349281006E7CF6 /* module.make */,
+ );
+ path = epd;
+ sourceTree = "<group>";
+ };
+ 27A92C670E349281006E7CF6 /* mtr */ = {
+ isa = PBXGroup;
+ children = (
+ 27A92C680E349281006E7CF6 /* module.make */,
+ 27A92C690E349281006E7CF6 /* mtr.h */,
+ 27A92C6A0E349281006E7CF6 /* mtrBasic.c */,
+ 27A92C6B0E349281006E7CF6 /* mtrGroup.c */,
+ 27A92C6C0E349281006E7CF6 /* mtrInt.h */,
+ );
+ path = mtr;
+ sourceTree = "<group>";
+ };
+ 27A92C6D0E349281006E7CF6 /* parse */ = {
+ isa = PBXGroup;
+ children = (
+ 27A92C6E0E349281006E7CF6 /* module.make */,
+ 27A92C6F0E349281006E7CF6 /* parse.h */,
+ 27A92C700E349281006E7CF6 /* parseCore.c */,
+ 27A92C710E349281006E7CF6 /* parseEqn.c */,
+ 27A92C720E349281006E7CF6 /* parseInt.h */,
+ 27A92C730E349281006E7CF6 /* parseStack.c */,
+ );
+ path = parse;
+ sourceTree = "<group>";
+ };
+ 27A92C740E349281006E7CF6 /* reo */ = {
+ isa = PBXGroup;
+ children = (
+ 27A92C750E349281006E7CF6 /* module.make */,
+ 27A92C760E349281006E7CF6 /* reo.h */,
+ 27A92C770E349281006E7CF6 /* reoApi.c */,
+ 27A92C780E349281006E7CF6 /* reoCore.c */,
+ 27A92C790E349281006E7CF6 /* reoProfile.c */,
+ 27A92C7A0E349281006E7CF6 /* reoSift.c */,
+ 27A92C7B0E349281006E7CF6 /* reoSwap.c */,
+ 27A92C7C0E349281006E7CF6 /* reoTest.c */,
+ 27A92C7D0E349281006E7CF6 /* reoTransfer.c */,
+ 27A92C7E0E349281006E7CF6 /* reoUnits.c */,
+ );
+ path = reo;
+ sourceTree = "<group>";
+ };
+ 27A92C800E349281006E7CF6 /* aig */ = {
+ isa = PBXGroup;
+ children = (
+ 27A92C810E349281006E7CF6 /* aig */,
+ 27A92C9B0E349281006E7CF6 /* bar */,
+ 27A92C9F0E349281006E7CF6 /* bdc */,
+ 27A92CA70E349281006E7CF6 /* cnf */,
+ 27A92CB30E349281006E7CF6 /* csw */,
+ 27A92CBC0E349281006E7CF6 /* dar */,
+ 27A92CCB0E349281006E7CF6 /* deco */,
+ 27A92CCE0E349281006E7CF6 /* fra */,
+ 27A92CDF0E349281006E7CF6 /* hop */,
+ 27A92CEE0E349281006E7CF6 /* ioa */,
+ 27A92CF40E349281006E7CF6 /* ivy */,
+ 27A92D110E349281006E7CF6 /* kit */,
+ 27A92D210E349281006E7CF6 /* mem */,
+ 27A92D250E349281006E7CF6 /* rwt */,
+ );
+ path = aig;
+ sourceTree = "<group>";
+ };
+ 27A92C810E349281006E7CF6 /* aig */ = {
+ isa = PBXGroup;
+ children = (
+ 27A92C820E349281006E7CF6 /* aig.h */,
+ 27A92C830E349281006E7CF6 /* aig_.c */,
+ 27A92C840E349281006E7CF6 /* aigCheck.c */,
+ 27A92C850E349281006E7CF6 /* aigDfs.c */,
+ 27A92C860E349281006E7CF6 /* aigFanout.c */,
+ 27A92C870E349281006E7CF6 /* aigMan.c */,
+ 27A92C880E349281006E7CF6 /* aigMem.c */,
+ 27A92C890E349281006E7CF6 /* aigMffc.c */,
+ 27A92C8A0E349281006E7CF6 /* aigObj.c */,
+ 27A92C8B0E349281006E7CF6 /* aigOper.c */,
+ 27A92C8C0E349281006E7CF6 /* aigOrder.c */,
+ 27A92C8D0E349281006E7CF6 /* aigPart.c */,
+ 27A92C8E0E349281006E7CF6 /* aigRepr.c */,
+ 27A92C8F0E349281006E7CF6 /* aigRet.c */,
+ 27A92C900E349281006E7CF6 /* aigScl.c */,
+ 27A92C910E349281006E7CF6 /* aigSeq.c */,
+ 27A92C920E349281006E7CF6 /* aigShow.c */,
+ 27A92C930E349281006E7CF6 /* aigTable.c */,
+ 27A92C940E349281006E7CF6 /* aigTime.c */,
+ 27A92C950E349281006E7CF6 /* aigTiming.c */,
+ 27A92C960E349281006E7CF6 /* aigTruth.c */,
+ 27A92C970E349281006E7CF6 /* aigTsim.c */,
+ 27A92C980E349281006E7CF6 /* aigUtil.c */,
+ 27A92C990E349281006E7CF6 /* aigWin.c */,
+ 27A92C9A0E349281006E7CF6 /* module.make */,
+ );
+ path = aig;
+ sourceTree = "<group>";
+ };
+ 27A92C9B0E349281006E7CF6 /* bar */ = {
+ isa = PBXGroup;
+ children = (
+ 27A92C9C0E349281006E7CF6 /* bar.c */,
+ 27A92C9D0E349281006E7CF6 /* bar.h */,
+ 27A92C9E0E349281006E7CF6 /* module.make */,
+ );
+ path = bar;
+ sourceTree = "<group>";
+ };
+ 27A92C9F0E349281006E7CF6 /* bdc */ = {
+ isa = PBXGroup;
+ children = (
+ 27A92CA00E349281006E7CF6 /* bdc.h */,
+ 27A92CA10E349281006E7CF6 /* bdc_.c */,
+ 27A92CA20E349281006E7CF6 /* bdcCore.c */,
+ 27A92CA30E349281006E7CF6 /* bdcDec.c */,
+ 27A92CA40E349281006E7CF6 /* bdcInt.h */,
+ 27A92CA50E349281006E7CF6 /* bdcTable.c */,
+ 27A92CA60E349281006E7CF6 /* module.make */,
+ );
+ path = bdc;
+ sourceTree = "<group>";
+ };
+ 27A92CA70E349281006E7CF6 /* cnf */ = {
+ isa = PBXGroup;
+ children = (
+ 27A92CA80E349281006E7CF6 /* cnf.h */,
+ 27A92CA90E349281006E7CF6 /* cnf_.c */,
+ 27A92CAA0E349281006E7CF6 /* cnfCore.c */,
+ 27A92CAB0E349281006E7CF6 /* cnfCut.c */,
+ 27A92CAC0E349281006E7CF6 /* cnfData.c */,
+ 27A92CAD0E349281006E7CF6 /* cnfMan.c */,
+ 27A92CAE0E349281006E7CF6 /* cnfMap.c */,
+ 27A92CAF0E349281006E7CF6 /* cnfPost.c */,
+ 27A92CB00E349281006E7CF6 /* cnfUtil.c */,
+ 27A92CB10E349281006E7CF6 /* cnfWrite.c */,
+ 27A92CB20E349281006E7CF6 /* module.make */,
+ );
+ path = cnf;
+ sourceTree = "<group>";
+ };
+ 27A92CB30E349281006E7CF6 /* csw */ = {
+ isa = PBXGroup;
+ children = (
+ 27A92CB40E349281006E7CF6 /* csw.h */,
+ 27A92CB50E349281006E7CF6 /* csw_.c */,
+ 27A92CB60E349281006E7CF6 /* cswCore.c */,
+ 27A92CB70E349281006E7CF6 /* cswCut.c */,
+ 27A92CB80E349281006E7CF6 /* cswInt.h */,
+ 27A92CB90E349281006E7CF6 /* cswMan.c */,
+ 27A92CBA0E349281006E7CF6 /* cswTable.c */,
+ 27A92CBB0E349281006E7CF6 /* module.make */,
+ );
+ path = csw;
+ sourceTree = "<group>";
+ };
+ 27A92CBC0E349281006E7CF6 /* dar */ = {
+ isa = PBXGroup;
+ children = (
+ 27A92CBD0E349281006E7CF6 /* dar.h */,
+ 27A92CBE0E349281006E7CF6 /* dar_.c */,
+ 27A92CBF0E349281006E7CF6 /* darBalance.c */,
+ 27A92CC00E349281006E7CF6 /* darCore.c */,
+ 27A92CC10E349281006E7CF6 /* darCut.c */,
+ 27A92CC20E349281006E7CF6 /* darData.c */,
+ 27A92CC30E349281006E7CF6 /* darInt.h */,
+ 27A92CC40E349281006E7CF6 /* darLib.c */,
+ 27A92CC50E349281006E7CF6 /* darMan.c */,
+ 27A92CC60E349281006E7CF6 /* darPrec.c */,
+ 27A92CC70E349281006E7CF6 /* darRefact.c */,
+ 27A92CC80E349281006E7CF6 /* darResub.c */,
+ 27A92CC90E349281006E7CF6 /* darScript.c */,
+ 27A92CCA0E349281006E7CF6 /* module.make */,
+ );
+ path = dar;
+ sourceTree = "<group>";
+ };
+ 27A92CCB0E349281006E7CF6 /* deco */ = {
+ isa = PBXGroup;
+ children = (
+ 27A92CCC0E349281006E7CF6 /* deco.h */,
+ 27A92CCD0E349281006E7CF6 /* module.make */,
+ );
+ path = deco;
+ sourceTree = "<group>";
+ };
+ 27A92CCE0E349281006E7CF6 /* fra */ = {
+ isa = PBXGroup;
+ children = (
+ 27A92CCF0E349281006E7CF6 /* fra.h */,
+ 27A92CD00E349281006E7CF6 /* fra_.c */,
+ 27A92CD10E349281006E7CF6 /* fraBmc.c */,
+ 27A92CD20E349281006E7CF6 /* fraCec.c */,
+ 27A92CD30E349281006E7CF6 /* fraClass.c */,
+ 27A92CD40E349281006E7CF6 /* fraCnf.c */,
+ 27A92CD50E349281006E7CF6 /* fraCore.c */,
+ 27A92CD60E349281006E7CF6 /* fraImp.c */,
+ 27A92CD70E349281006E7CF6 /* fraInd.c */,
+ 27A92CD80E349281006E7CF6 /* fraLcr.c */,
+ 27A92CD90E349281006E7CF6 /* fraMan.c */,
+ 27A92CDA0E349281006E7CF6 /* fraPart.c */,
+ 27A92CDB0E349281006E7CF6 /* fraSat.c */,
+ 27A92CDC0E349281006E7CF6 /* fraSec.c */,
+ 27A92CDD0E349281006E7CF6 /* fraSim.c */,
+ 27A92CDE0E349281006E7CF6 /* module.make */,
+ );
+ path = fra;
+ sourceTree = "<group>";
+ };
+ 27A92CDF0E349281006E7CF6 /* hop */ = {
+ isa = PBXGroup;
+ children = (
+ 27A92CE00E349281006E7CF6 /* cudd2.c */,
+ 27A92CE10E349281006E7CF6 /* cudd2.h */,
+ 27A92CE20E349281006E7CF6 /* hop.h */,
+ 27A92CE30E349281006E7CF6 /* hop_.c */,
+ 27A92CE40E349281006E7CF6 /* hopBalance.c */,
+ 27A92CE50E349281006E7CF6 /* hopCheck.c */,
+ 27A92CE60E349281006E7CF6 /* hopDfs.c */,
+ 27A92CE70E349281006E7CF6 /* hopMan.c */,
+ 27A92CE80E349281006E7CF6 /* hopMem.c */,
+ 27A92CE90E349281006E7CF6 /* hopObj.c */,
+ 27A92CEA0E349281006E7CF6 /* hopOper.c */,
+ 27A92CEB0E349281006E7CF6 /* hopTable.c */,
+ 27A92CEC0E349281006E7CF6 /* hopUtil.c */,
+ 27A92CED0E349281006E7CF6 /* module.make */,
+ );
+ path = hop;
+ sourceTree = "<group>";
+ };
+ 27A92CEE0E349281006E7CF6 /* ioa */ = {
+ isa = PBXGroup;
+ children = (
+ 27A92CEF0E349281006E7CF6 /* ioa.h */,
+ 27A92CF00E349281006E7CF6 /* ioaReadAig.c */,
+ 27A92CF10E349281006E7CF6 /* ioaUtil.c */,
+ 27A92CF20E349281006E7CF6 /* ioaWriteAig.c */,
+ 27A92CF30E349281006E7CF6 /* module.make */,
+ );
+ path = ioa;
+ sourceTree = "<group>";
+ };
+ 27A92CF40E349281006E7CF6 /* ivy */ = {
+ isa = PBXGroup;
+ children = (
+ 27A92CF50E349281006E7CF6 /* attr.h */,
+ 27A92CF60E349281006E7CF6 /* ivy.h */,
+ 27A92CF70E349281006E7CF6 /* ivy_.c */,
+ 27A92CF80E349281006E7CF6 /* ivyBalance.c */,
+ 27A92CF90E349281006E7CF6 /* ivyCanon.c */,
+ 27A92CFA0E349281006E7CF6 /* ivyCheck.c */,
+ 27A92CFB0E349281006E7CF6 /* ivyCut.c */,
+ 27A92CFC0E349281006E7CF6 /* ivyCutTrav.c */,
+ 27A92CFD0E349281006E7CF6 /* ivyDfs.c */,
+ 27A92CFE0E349281006E7CF6 /* ivyDsd.c */,
+ 27A92CFF0E349281006E7CF6 /* ivyFanout.c */,
+ 27A92D000E349281006E7CF6 /* ivyFastMap.c */,
+ 27A92D010E349281006E7CF6 /* ivyFraig.c */,
+ 27A92D020E349281006E7CF6 /* ivyHaig.c */,
+ 27A92D030E349281006E7CF6 /* ivyMan.c */,
+ 27A92D040E349281006E7CF6 /* ivyMem.c */,
+ 27A92D050E349281006E7CF6 /* ivyMulti.c */,
+ 27A92D060E349281006E7CF6 /* ivyMulti8.c */,
+ 27A92D070E349281006E7CF6 /* ivyObj.c */,
+ 27A92D080E349281006E7CF6 /* ivyOper.c */,
+ 27A92D090E349281006E7CF6 /* ivyResyn.c */,
+ 27A92D0A0E349281006E7CF6 /* ivyRwr.c */,
+ 27A92D0B0E349281006E7CF6 /* ivyRwrAlg.c */,
+ 27A92D0C0E349281006E7CF6 /* ivySeq.c */,
+ 27A92D0D0E349281006E7CF6 /* ivyShow.c */,
+ 27A92D0E0E349281006E7CF6 /* ivyTable.c */,
+ 27A92D0F0E349281006E7CF6 /* ivyUtil.c */,
+ 27A92D100E349281006E7CF6 /* module.make */,
+ );
+ path = ivy;
+ sourceTree = "<group>";
+ };
+ 27A92D110E349281006E7CF6 /* kit */ = {
+ isa = PBXGroup;
+ children = (
+ 27A92D120E349281006E7CF6 /* cloud.c */,
+ 27A92D130E349281006E7CF6 /* cloud.h */,
+ 27A92D140E349281006E7CF6 /* kit.h */,
+ 27A92D150E349281006E7CF6 /* kit_.c */,
+ 27A92D160E349281006E7CF6 /* kitAig.c */,
+ 27A92D170E349281006E7CF6 /* kitBdd.c */,
+ 27A92D180E349281006E7CF6 /* kitCloud.c */,
+ 27A92D190E349281006E7CF6 /* kitDsd.c */,
+ 27A92D1A0E349281006E7CF6 /* kitFactor.c */,
+ 27A92D1B0E349281006E7CF6 /* kitGraph.c */,
+ 27A92D1C0E349281006E7CF6 /* kitHop.c */,
+ 27A92D1D0E349281006E7CF6 /* kitIsop.c */,
+ 27A92D1E0E349281006E7CF6 /* kitSop.c */,
+ 27A92D1F0E349281006E7CF6 /* kitTruth.c */,
+ 27A92D200E349281006E7CF6 /* module.make */,
+ );
+ path = kit;
+ sourceTree = "<group>";
+ };
+ 27A92D210E349281006E7CF6 /* mem */ = {
+ isa = PBXGroup;
+ children = (
+ 27A92D220E349281006E7CF6 /* mem.c */,
+ 27A92D230E349281006E7CF6 /* mem.h */,
+ 27A92D240E349281006E7CF6 /* module.make */,
+ );
+ path = mem;
+ sourceTree = "<group>";
+ };
+ 27A92D250E349281006E7CF6 /* rwt */ = {
+ isa = PBXGroup;
+ children = (
+ 27A92D260E349281006E7CF6 /* module.make */,
+ 27A92D270E349281006E7CF6 /* rwt.h */,
+ 27A92D280E349281006E7CF6 /* rwtDec.c */,
+ 27A92D290E349281006E7CF6 /* rwtMan.c */,
+ 27A92D2A0E349281006E7CF6 /* rwtUtil.c */,
+ );
+ path = rwt;
+ sourceTree = "<group>";
+ };
+ 27A92D2B0E349281006E7CF6 /* map */ = {
+ isa = PBXGroup;
+ children = (
+ 27A92D2C0E349281006E7CF6 /* fpga */,
+ 27A92D3E0E349281006E7CF6 /* if */,
+ 27A92D4B0E349281006E7CF6 /* mapper */,
+ 27A92D620E349281006E7CF6 /* mio */,
+ 27A92D6C0E349281006E7CF6 /* super */,
+ );
+ path = map;
+ sourceTree = "<group>";
+ };
+ 27A92D2C0E349281006E7CF6 /* fpga */ = {
+ isa = PBXGroup;
+ children = (
+ 27A92D2D0E349281006E7CF6 /* fpga.c */,
+ 27A92D2E0E349281006E7CF6 /* fpga.h */,
+ 27A92D2F0E349281006E7CF6 /* fpgaCore.c */,
+ 27A92D300E349281006E7CF6 /* fpgaCreate.c */,
+ 27A92D310E349281006E7CF6 /* fpgaCut.c */,
+ 27A92D320E349281006E7CF6 /* fpgaCutUtils.c */,
+ 27A92D330E349281006E7CF6 /* fpgaFanout.c */,
+ 27A92D340E349281006E7CF6 /* fpgaGENERIC.c */,
+ 27A92D350E349281006E7CF6 /* fpgaInt.h */,
+ 27A92D360E349281006E7CF6 /* fpgaLib.c */,
+ 27A92D370E349281006E7CF6 /* fpgaMatch.c */,
+ 27A92D380E349281006E7CF6 /* fpgaSwitch.c */,
+ 27A92D390E349281006E7CF6 /* fpgaTime.c */,
+ 27A92D3A0E349281006E7CF6 /* fpgaTruth.c */,
+ 27A92D3B0E349281006E7CF6 /* fpgaUtils.c */,
+ 27A92D3C0E349281006E7CF6 /* fpgaVec.c */,
+ 27A92D3D0E349281006E7CF6 /* module.make */,
+ );
+ path = fpga;
+ sourceTree = "<group>";
+ };
+ 27A92D3E0E349281006E7CF6 /* if */ = {
+ isa = PBXGroup;
+ children = (
+ 27A92D3F0E349281006E7CF6 /* if.h */,
+ 27A92D400E349281006E7CF6 /* if_.c */,
+ 27A92D410E349281006E7CF6 /* ifCore.c */,
+ 27A92D420E349281006E7CF6 /* ifCut.c */,
+ 27A92D430E349281006E7CF6 /* ifMan.c */,
+ 27A92D440E349281006E7CF6 /* ifMap.c */,
+ 27A92D450E349281006E7CF6 /* ifReduce.c */,
+ 27A92D460E349281006E7CF6 /* ifSeq.c */,
+ 27A92D470E349281006E7CF6 /* ifTime.c */,
+ 27A92D480E349281006E7CF6 /* ifTruth.c */,
+ 27A92D490E349281006E7CF6 /* ifUtil.c */,
+ 27A92D4A0E349281006E7CF6 /* module.make */,
+ );
+ path = if;
+ sourceTree = "<group>";
+ };
+ 27A92D4B0E349281006E7CF6 /* mapper */ = {
+ isa = PBXGroup;
+ children = (
+ 27A92D4C0E349281006E7CF6 /* mapper.c */,
+ 27A92D4D0E349281006E7CF6 /* mapper.h */,
+ 27A92D4E0E349281006E7CF6 /* mapperCanon.c */,
+ 27A92D4F0E349281006E7CF6 /* mapperCore.c */,
+ 27A92D500E349281006E7CF6 /* mapperCreate.c */,
+ 27A92D510E349281006E7CF6 /* mapperCut.c */,
+ 27A92D520E349281006E7CF6 /* mapperCutUtils.c */,
+ 27A92D530E349281006E7CF6 /* mapperFanout.c */,
+ 27A92D540E349281006E7CF6 /* mapperGENERIC.c */,
+ 27A92D550E349281006E7CF6 /* mapperInt.h */,
+ 27A92D560E349281006E7CF6 /* mapperLib.c */,
+ 27A92D570E349281006E7CF6 /* mapperMatch.c */,
+ 27A92D580E349281006E7CF6 /* mapperRefs.c */,
+ 27A92D590E349281006E7CF6 /* mapperSuper.c */,
+ 27A92D5A0E349281006E7CF6 /* mapperSwitch.c */,
+ 27A92D5B0E349281006E7CF6 /* mapperTable.c */,
+ 27A92D5C0E349281006E7CF6 /* mapperTime.c */,
+ 27A92D5D0E349281006E7CF6 /* mapperTree.c */,
+ 27A92D5E0E349281006E7CF6 /* mapperTruth.c */,
+ 27A92D5F0E349281006E7CF6 /* mapperUtils.c */,
+ 27A92D600E349281006E7CF6 /* mapperVec.c */,
+ 27A92D610E349281006E7CF6 /* module.make */,
+ );
+ path = mapper;
+ sourceTree = "<group>";
+ };
+ 27A92D620E349281006E7CF6 /* mio */ = {
+ isa = PBXGroup;
+ children = (
+ 27A92D630E349281006E7CF6 /* mio.c */,
+ 27A92D640E349281006E7CF6 /* mio.h */,
+ 27A92D650E349281006E7CF6 /* mioApi.c */,
+ 27A92D660E349281006E7CF6 /* mioFunc.c */,
+ 27A92D670E349281006E7CF6 /* mioGENERIC.c */,
+ 27A92D680E349281006E7CF6 /* mioInt.h */,
+ 27A92D690E349281006E7CF6 /* mioRead.c */,
+ 27A92D6A0E349281006E7CF6 /* mioUtils.c */,
+ 27A92D6B0E349281006E7CF6 /* module.make */,
+ );
+ path = mio;
+ sourceTree = "<group>";
+ };
+ 27A92D6C0E349281006E7CF6 /* super */ = {
+ isa = PBXGroup;
+ children = (
+ 27A92D6D0E349281006E7CF6 /* module.make */,
+ 27A92D6E0E349281006E7CF6 /* super.c */,
+ 27A92D6F0E349281006E7CF6 /* super.h */,
+ 27A92D700E349281006E7CF6 /* superAnd.c */,
+ 27A92D710E349281006E7CF6 /* superGate.c */,
+ 27A92D720E349281006E7CF6 /* superGENERIC.c */,
+ 27A92D730E349281006E7CF6 /* superInt.h */,
+ 27A92D740E349281006E7CF6 /* superWrite.c */,
+ );
+ path = super;
+ sourceTree = "<group>";
+ };
+ 27A92D750E349282006E7CF6 /* base */ = {
+ isa = PBXGroup;
+ children = (
+ 27A92D760E349282006E7CF6 /* abc */,
+ 27A92D8D0E349282006E7CF6 /* abci */,
+ 27A92DC90E349282006E7CF6 /* cmd */,
+ 27A92DD30E349282006E7CF6 /* io */,
+ 27A92DF10E349282006E7CF6 /* main */,
+ 27A92DFA0E349282006E7CF6 /* temp.c */,
+ 27A92DFB0E349282006E7CF6 /* ver */,
+ );
+ path = base;
+ sourceTree = "<group>";
+ };
+ 27A92D760E349282006E7CF6 /* abc */ = {
+ isa = PBXGroup;
+ children = (
+ 27A92D770E349282006E7CF6 /* abc.h */,
+ 27A92D780E349282006E7CF6 /* abc_.c */,
+ 27A92D790E349282006E7CF6 /* abcAig.c */,
+ 27A92D7A0E349282006E7CF6 /* abcBlifMv.c */,
+ 27A92D7B0E349282006E7CF6 /* abcCheck.c */,
+ 27A92D7C0E349282006E7CF6 /* abcDfs.c */,
+ 27A92D7D0E349282006E7CF6 /* abcFanio.c */,
+ 27A92D7E0E349282006E7CF6 /* abcFunc.c */,
+ 27A92D7F0E349282006E7CF6 /* abcHie.c */,
+ 27A92D800E349282006E7CF6 /* abcInt.h */,
+ 27A92D810E349282006E7CF6 /* abcLatch.c */,
+ 27A92D820E349282006E7CF6 /* abcLib.c */,
+ 27A92D830E349282006E7CF6 /* abcMinBase.c */,
+ 27A92D840E349282006E7CF6 /* abcNames.c */,
+ 27A92D850E349282006E7CF6 /* abcNetlist.c */,
+ 27A92D860E349282006E7CF6 /* abcNtk.c */,
+ 27A92D870E349282006E7CF6 /* abcObj.c */,
+ 27A92D880E349282006E7CF6 /* abcRefs.c */,
+ 27A92D890E349282006E7CF6 /* abcShow.c */,
+ 27A92D8A0E349282006E7CF6 /* abcSop.c */,
+ 27A92D8B0E349282006E7CF6 /* abcUtil.c */,
+ 27A92D8C0E349282006E7CF6 /* module.make */,
+ );
+ path = abc;
+ sourceTree = "<group>";
+ };
+ 27A92D8D0E349282006E7CF6 /* abci */ = {
+ isa = PBXGroup;
+ children = (
+ 27A92D8E0E349282006E7CF6 /* abc.c */,
+ 27A92D8F0E349282006E7CF6 /* abc_.c */,
+ 27A92D900E349282006E7CF6 /* abcAttach.c */,
+ 27A92D910E349282006E7CF6 /* abcAuto.c */,
+ 27A92D920E349282006E7CF6 /* abcBalance.c */,
+ 27A92D930E349282006E7CF6 /* abcBmc.c */,
+ 27A92D940E349282006E7CF6 /* abcCas.c */,
+ 27A92D950E349282006E7CF6 /* abcClpBdd.c */,
+ 27A92D960E349282006E7CF6 /* abcClpSop.c */,
+ 27A92D970E349282006E7CF6 /* abcCut.c */,
+ 27A92D980E349282006E7CF6 /* abcDar.c */,
+ 27A92D990E349282006E7CF6 /* abcDebug.c */,
+ 27A92D9A0E349282006E7CF6 /* abcDress.c */,
+ 27A92D9B0E349282006E7CF6 /* abcDsd.c */,
+ 27A92D9C0E349282006E7CF6 /* abcEspresso.c */,
+ 27A92D9D0E349282006E7CF6 /* abcExtract.c */,
+ 27A92D9E0E349282006E7CF6 /* abcFpga.c */,
+ 27A92D9F0E349282006E7CF6 /* abcFpgaFast.c */,
+ 27A92DA00E349282006E7CF6 /* abcFraig.c */,
+ 27A92DA10E349282006E7CF6 /* abcFxu.c */,
+ 27A92DA20E349282006E7CF6 /* abcGen.c */,
+ 27A92DA30E349282006E7CF6 /* abcHaig.c */,
+ 27A92DA40E349282006E7CF6 /* abcIf.c */,
+ 27A92DA50E349282006E7CF6 /* abcIvy.c */,
+ 27A92DA60E349282006E7CF6 /* abcLut.c */,
+ 27A92DA70E349282006E7CF6 /* abcMap.c */,
+ 27A92DA80E349282006E7CF6 /* abcMeasure.c */,
+ 27A92DA90E349282006E7CF6 /* abcMini.c */,
+ 27A92DAA0E349282006E7CF6 /* abcMiter.c */,
+ 27A92DAB0E349282006E7CF6 /* abcMulti.c */,
+ 27A92DAC0E349282006E7CF6 /* abcMv.c */,
+ 27A92DAD0E349282006E7CF6 /* abcNtbdd.c */,
+ 27A92DAE0E349282006E7CF6 /* abcOdc.c */,
+ 27A92DAF0E349282006E7CF6 /* abcOrder.c */,
+ 27A92DB00E349282006E7CF6 /* abcPart.c */,
+ 27A92DB10E349282006E7CF6 /* abcPlace.c */,
+ 27A92DB20E349282006E7CF6 /* abcPrint.c */,
+ 27A92DB30E349282006E7CF6 /* abcProve.c */,
+ 27A92DB40E349282006E7CF6 /* abcQbf.c */,
+ 27A92DB50E349282006E7CF6 /* abcQuant.c */,
+ 27A92DB60E349282006E7CF6 /* abcRec.c */,
+ 27A92DB70E349282006E7CF6 /* abcReconv.c */,
+ 27A92DB80E349282006E7CF6 /* abcRefactor.c */,
+ 27A92DB90E349282006E7CF6 /* abcRenode.c */,
+ 27A92DBA0E349282006E7CF6 /* abcReorder.c */,
+ 27A92DBB0E349282006E7CF6 /* abcRestruct.c */,
+ 27A92DBC0E349282006E7CF6 /* abcResub.c */,
+ 27A92DBD0E349282006E7CF6 /* abcRewrite.c */,
+ 27A92DBE0E349282006E7CF6 /* abcRr.c */,
+ 27A92DBF0E349282006E7CF6 /* abcSat.c */,
+ 27A92DC00E349282006E7CF6 /* abcStrash.c */,
+ 27A92DC10E349282006E7CF6 /* abcSweep.c */,
+ 27A92DC20E349282006E7CF6 /* abcSymm.c */,
+ 27A92DC30E349282006E7CF6 /* abcTiming.c */,
+ 27A92DC40E349282006E7CF6 /* abcUnate.c */,
+ 27A92DC50E349282006E7CF6 /* abcUnreach.c */,
+ 27A92DC60E349282006E7CF6 /* abcVerify.c */,
+ 27A92DC70E349282006E7CF6 /* abcXsim.c */,
+ 27A92DC80E349282006E7CF6 /* module.make */,
+ );
+ path = abci;
+ sourceTree = "<group>";
+ };
+ 27A92DC90E349282006E7CF6 /* cmd */ = {
+ isa = PBXGroup;
+ children = (
+ 27A92DCA0E349282006E7CF6 /* cmd.c */,
+ 27A92DCB0E349282006E7CF6 /* cmd.h */,
+ 27A92DCC0E349282006E7CF6 /* cmdAlias.c */,
+ 27A92DCD0E349282006E7CF6 /* cmdApi.c */,
+ 27A92DCE0E349282006E7CF6 /* cmdFlag.c */,
+ 27A92DCF0E349282006E7CF6 /* cmdHist.c */,
+ 27A92DD00E349282006E7CF6 /* cmdInt.h */,
+ 27A92DD10E349282006E7CF6 /* cmdUtils.c */,
+ 27A92DD20E349282006E7CF6 /* module.make */,
+ );
+ path = cmd;
+ sourceTree = "<group>";
+ };
+ 27A92DD30E349282006E7CF6 /* io */ = {
+ isa = PBXGroup;
+ children = (
+ 27A92DD40E349282006E7CF6 /* io.c */,
+ 27A92DD50E349282006E7CF6 /* io.h */,
+ 27A92DD60E349282006E7CF6 /* io_.c */,
+ 27A92DD70E349282006E7CF6 /* ioInt.h */,
+ 27A92DD80E349282006E7CF6 /* ioReadAiger.c */,
+ 27A92DD90E349282006E7CF6 /* ioReadBaf.c */,
+ 27A92DDA0E349282006E7CF6 /* ioReadBench.c */,
+ 27A92DDB0E349282006E7CF6 /* ioReadBlif.c */,
+ 27A92DDC0E349282006E7CF6 /* ioReadBlifAig.c */,
+ 27A92DDD0E349282006E7CF6 /* ioReadBlifMv.c */,
+ 27A92DDE0E349282006E7CF6 /* ioReadDsd.c */,
+ 27A92DDF0E349282006E7CF6 /* ioReadEdif.c */,
+ 27A92DE00E349282006E7CF6 /* ioReadEqn.c */,
+ 27A92DE10E349282006E7CF6 /* ioReadPla.c */,
+ 27A92DE20E349282006E7CF6 /* ioReadVerilog.c */,
+ 27A92DE30E349282006E7CF6 /* ioUtil.c */,
+ 27A92DE40E349282006E7CF6 /* ioWriteAiger.c */,
+ 27A92DE50E349282006E7CF6 /* ioWriteBaf.c */,
+ 27A92DE60E349282006E7CF6 /* ioWriteBench.c */,
+ 27A92DE70E349282006E7CF6 /* ioWriteBlif.c */,
+ 27A92DE80E349282006E7CF6 /* ioWriteBlifMv.c */,
+ 27A92DE90E349282006E7CF6 /* ioWriteCnf.c */,
+ 27A92DEA0E349282006E7CF6 /* ioWriteDot.c */,
+ 27A92DEB0E349282006E7CF6 /* ioWriteEqn.c */,
+ 27A92DEC0E349282006E7CF6 /* ioWriteGml.c */,
+ 27A92DED0E349282006E7CF6 /* ioWriteList.c */,
+ 27A92DEE0E349282006E7CF6 /* ioWritePla.c */,
+ 27A92DEF0E349282006E7CF6 /* ioWriteVerilog.c */,
+ 27A92DF00E349282006E7CF6 /* module.make */,
+ );
+ path = io;
+ sourceTree = "<group>";
+ };
+ 27A92DF10E349282006E7CF6 /* main */ = {
+ isa = PBXGroup;
+ children = (
+ 27A92DF20E349282006E7CF6 /* libSupport.c */,
+ 27A92DF30E349282006E7CF6 /* main.c */,
+ 27A92DF40E349282006E7CF6 /* main.h */,
+ 27A92DF50E349282006E7CF6 /* mainFrame.c */,
+ 27A92DF60E349282006E7CF6 /* mainInit.c */,
+ 27A92DF70E349282006E7CF6 /* mainInt.h */,
+ 27A92DF80E349282006E7CF6 /* mainUtils.c */,
+ 27A92DF90E349282006E7CF6 /* module.make */,
+ );
+ path = main;
+ sourceTree = "<group>";
+ };
+ 27A92DFB0E349282006E7CF6 /* ver */ = {
+ isa = PBXGroup;
+ children = (
+ 27A92DFC0E349282006E7CF6 /* module.make */,
+ 27A92DFD0E349282006E7CF6 /* ver.h */,
+ 27A92DFE0E349282006E7CF6 /* ver_.c */,
+ 27A92DFF0E349282006E7CF6 /* verCore.c */,
+ 27A92E000E349282006E7CF6 /* verFormula.c */,
+ 27A92E010E349282006E7CF6 /* verParse.c */,
+ 27A92E020E349282006E7CF6 /* verStream.c */,
+ 27A92E030E349282006E7CF6 /* verWords.c */,
+ );
+ path = ver;
+ sourceTree = "<group>";
+ };
+ 27A92E040E349282006E7CF6 /* misc */ = {
+ isa = PBXGroup;
+ children = (
+ 27A92E050E349282006E7CF6 /* espresso */,
+ 27A92E360E349282006E7CF6 /* extra */,
+ 27A92E480E349282006E7CF6 /* hash */,
+ 27A92E4E0E349282006E7CF6 /* mvc */,
+ 27A92E610E349283006E7CF6 /* nm */,
+ 27A92E670E349283006E7CF6 /* st */,
+ 27A92E6D0E349283006E7CF6 /* util */,
+ 27A92E720E349283006E7CF6 /* vec */,
+ );
+ path = misc;
+ sourceTree = "<group>";
+ };
+ 27A92E050E349282006E7CF6 /* espresso */ = {
+ isa = PBXGroup;
+ children = (
+ 27A92E060E349282006E7CF6 /* cofactor.c */,
+ 27A92E070E349282006E7CF6 /* cols.c */,
+ 27A92E080E349282006E7CF6 /* compl.c */,
+ 27A92E090E349282006E7CF6 /* contain.c */,
+ 27A92E0A0E349282006E7CF6 /* cubehack.c */,
+ 27A92E0B0E349282006E7CF6 /* cubestr.c */,
+ 27A92E0C0E349282006E7CF6 /* cvrin.c */,
+ 27A92E0D0E349282006E7CF6 /* cvrm.c */,
+ 27A92E0E0E349282006E7CF6 /* cvrmisc.c */,
+ 27A92E0F0E349282006E7CF6 /* cvrout.c */,
+ 27A92E100E349282006E7CF6 /* dominate.c */,
+ 27A92E110E349282006E7CF6 /* equiv.c */,
+ 27A92E120E349282006E7CF6 /* espresso.c */,
+ 27A92E130E349282006E7CF6 /* espresso.h */,
+ 27A92E140E349282006E7CF6 /* essen.c */,
+ 27A92E150E349282006E7CF6 /* exact.c */,
+ 27A92E160E349282006E7CF6 /* expand.c */,
+ 27A92E170E349282006E7CF6 /* gasp.c */,
+ 27A92E180E349282006E7CF6 /* gimpel.c */,
+ 27A92E190E349282006E7CF6 /* globals.c */,
+ 27A92E1A0E349282006E7CF6 /* hack.c */,
+ 27A92E1B0E349282006E7CF6 /* indep.c */,
+ 27A92E1C0E349282006E7CF6 /* irred.c */,
+ 27A92E1D0E349282006E7CF6 /* main.c */,
+ 27A92E1E0E349282006E7CF6 /* main.h */,
+ 27A92E1F0E349282006E7CF6 /* map.c */,
+ 27A92E200E349282006E7CF6 /* matrix.c */,
+ 27A92E210E349282006E7CF6 /* mincov.c */,
+ 27A92E220E349282006E7CF6 /* mincov.h */,
+ 27A92E230E349282006E7CF6 /* mincov_int.h */,
+ 27A92E240E349282006E7CF6 /* module.make */,
+ 27A92E250E349282006E7CF6 /* opo.c */,
+ 27A92E260E349282006E7CF6 /* pair.c */,
+ 27A92E270E349282006E7CF6 /* part.c */,
+ 27A92E280E349282006E7CF6 /* primes.c */,
+ 27A92E290E349282006E7CF6 /* reduce.c */,
+ 27A92E2A0E349282006E7CF6 /* rows.c */,
+ 27A92E2B0E349282006E7CF6 /* set.c */,
+ 27A92E2C0E349282006E7CF6 /* setc.c */,
+ 27A92E2D0E349282006E7CF6 /* sharp.c */,
+ 27A92E2E0E349282006E7CF6 /* sminterf.c */,
+ 27A92E2F0E349282006E7CF6 /* solution.c */,
+ 27A92E300E349282006E7CF6 /* sparse.c */,
+ 27A92E310E349282006E7CF6 /* sparse.h */,
+ 27A92E320E349282006E7CF6 /* sparse_int.h */,
+ 27A92E330E349282006E7CF6 /* unate.c */,
+ 27A92E340E349282006E7CF6 /* util_old.h */,
+ 27A92E350E349282006E7CF6 /* verify.c */,
+ );
+ path = espresso;
+ sourceTree = "<group>";
+ };
+ 27A92E360E349282006E7CF6 /* extra */ = {
+ isa = PBXGroup;
+ children = (
+ 27A92E370E349282006E7CF6 /* extra.h */,
+ 27A92E380E349282006E7CF6 /* extraBddAuto.c */,
+ 27A92E390E349282006E7CF6 /* extraBddCas.c */,
+ 27A92E3A0E349282006E7CF6 /* extraBddKmap.c */,
+ 27A92E3B0E349282006E7CF6 /* extraBddMisc.c */,
+ 27A92E3C0E349282006E7CF6 /* extraBddSymm.c */,
+ 27A92E3D0E349282006E7CF6 /* extraBddUnate.c */,
+ 27A92E3E0E349282006E7CF6 /* extraUtilBitMatrix.c */,
+ 27A92E3F0E349282006E7CF6 /* extraUtilCanon.c */,
+ 27A92E400E349282006E7CF6 /* extraUtilFile.c */,
+ 27A92E410E349282006E7CF6 /* extraUtilMemory.c */,
+ 27A92E420E349282006E7CF6 /* extraUtilMisc.c */,
+ 27A92E430E349282006E7CF6 /* extraUtilProgress.c */,
+ 27A92E440E349282006E7CF6 /* extraUtilReader.c */,
+ 27A92E450E349282006E7CF6 /* extraUtilTruth.c */,
+ 27A92E460E349282006E7CF6 /* extraUtilUtil.c */,
+ 27A92E470E349282006E7CF6 /* module.make */,
+ );
+ path = extra;
+ sourceTree = "<group>";
+ };
+ 27A92E480E349282006E7CF6 /* hash */ = {
+ isa = PBXGroup;
+ children = (
+ 27A92E490E349282006E7CF6 /* hash.h */,
+ 27A92E4A0E349282006E7CF6 /* hashFlt.h */,
+ 27A92E4B0E349282006E7CF6 /* hashInt.h */,
+ 27A92E4C0E349282006E7CF6 /* hashPtr.h */,
+ 27A92E4D0E349282006E7CF6 /* module.make */,
+ );
+ path = hash;
+ sourceTree = "<group>";
+ };
+ 27A92E4E0E349282006E7CF6 /* mvc */ = {
+ isa = PBXGroup;
+ children = (
+ 27A92E4F0E349282006E7CF6 /* module.make */,
+ 27A92E500E349282006E7CF6 /* mvc.c */,
+ 27A92E510E349282006E7CF6 /* mvc.h */,
+ 27A92E520E349282006E7CF6 /* mvcApi.c */,
+ 27A92E530E349282006E7CF6 /* mvcCompare.c */,
+ 27A92E540E349282006E7CF6 /* mvcContain.c */,
+ 27A92E550E349282006E7CF6 /* mvcCover.c */,
+ 27A92E560E349282006E7CF6 /* mvcCube.c */,
+ 27A92E570E349282006E7CF6 /* mvcDivide.c */,
+ 27A92E580E349282006E7CF6 /* mvcDivisor.c */,
+ 27A92E590E349282006E7CF6 /* mvcList.c */,
+ 27A92E5A0E349282006E7CF6 /* mvcLits.c */,
+ 27A92E5B0E349282006E7CF6 /* mvcMan.c */,
+ 27A92E5C0E349282006E7CF6 /* mvcOpAlg.c */,
+ 27A92E5D0E349283006E7CF6 /* mvcOpBool.c */,
+ 27A92E5E0E349283006E7CF6 /* mvcPrint.c */,
+ 27A92E5F0E349283006E7CF6 /* mvcSort.c */,
+ 27A92E600E349283006E7CF6 /* mvcUtils.c */,
+ );
+ path = mvc;
+ sourceTree = "<group>";
+ };
+ 27A92E610E349283006E7CF6 /* nm */ = {
+ isa = PBXGroup;
+ children = (
+ 27A92E620E349283006E7CF6 /* module.make */,
+ 27A92E630E349283006E7CF6 /* nm.h */,
+ 27A92E640E349283006E7CF6 /* nmApi.c */,
+ 27A92E650E349283006E7CF6 /* nmInt.h */,
+ 27A92E660E349283006E7CF6 /* nmTable.c */,
+ );
+ path = nm;
+ sourceTree = "<group>";
+ };
+ 27A92E670E349283006E7CF6 /* st */ = {
+ isa = PBXGroup;
+ children = (
+ 27A92E680E349283006E7CF6 /* module.make */,
+ 27A92E690E349283006E7CF6 /* st.c */,
+ 27A92E6A0E349283006E7CF6 /* st.h */,
+ 27A92E6B0E349283006E7CF6 /* stmm.c */,
+ 27A92E6C0E349283006E7CF6 /* stmm.h */,
+ );
+ path = st;
+ sourceTree = "<group>";
+ };
+ 27A92E6D0E349283006E7CF6 /* util */ = {
+ isa = PBXGroup;
+ children = (
+ 27A92E6E0E349283006E7CF6 /* leaks.h */,
+ 27A92E6F0E349283006E7CF6 /* module.make */,
+ 27A92E700E349283006E7CF6 /* stdlib_hack.h */,
+ 27A92E710E349283006E7CF6 /* util_hack.h */,
+ );
+ path = util;
+ sourceTree = "<group>";
+ };
+ 27A92E720E349283006E7CF6 /* vec */ = {
+ isa = PBXGroup;
+ children = (
+ 27A92E730E349283006E7CF6 /* module.make */,
+ 27A92E740E349283006E7CF6 /* vec.h */,
+ 27A92E750E349283006E7CF6 /* vecAtt.h */,
+ 27A92E760E349283006E7CF6 /* vecFlt.h */,
+ 27A92E770E349283006E7CF6 /* vecInt.h */,
+ 27A92E780E349283006E7CF6 /* vecPtr.h */,
+ 27A92E790E349283006E7CF6 /* vecStr.h */,
+ 27A92E7A0E349283006E7CF6 /* vecVec.h */,
+ );
+ path = vec;
+ sourceTree = "<group>";
+ };
+ C6A0FF2B0290797F04C91782 /* Documentation */ = {
+ isa = PBXGroup;
+ children = (
+ C6A0FF2C0290799A04C91782 /* abc.1 */,
+ );
+ name = Documentation;
+ sourceTree = "<group>";
+ };
+/* End PBXGroup section */
+
+/* Begin PBXNativeTarget section */
+ 8DD76FA90486AB0100D96B5E /* abc */ = {
+ isa = PBXNativeTarget;
+ buildConfigurationList = 1DEB928508733DD80010E9CD /* Build configuration list for PBXNativeTarget "abc" */;
+ buildPhases = (
+ 8DD76FAB0486AB0100D96B5E /* Sources */,
+ 8DD76FAD0486AB0100D96B5E /* Frameworks */,
+ 8DD76FAF0486AB0100D96B5E /* CopyFiles */,
+ );
+ buildRules = (
+ );
+ dependencies = (
+ );
+ name = abc;
+ productInstallPath = "$(HOME)/bin";
+ productName = abc;
+ productReference = 8DD76FB20486AB0100D96B5E /* abc */;
+ productType = "com.apple.product-type.tool";
+ };
+/* End PBXNativeTarget section */
+
+/* Begin PBXProject section */
+ 08FB7793FE84155DC02AAC07 /* Project object */ = {
+ isa = PBXProject;
+ buildConfigurationList = 1DEB928908733DD80010E9CD /* Build configuration list for PBXProject "abc" */;
+ compatibilityVersion = "Xcode 3.1";
+ hasScannedForEncodings = 1;
+ mainGroup = 08FB7794FE84155DC02AAC07 /* abc */;
+ projectDirPath = "";
+ projectRoot = "";
+ targets = (
+ 8DD76FA90486AB0100D96B5E /* abc */,
+ );
+ };
+/* End PBXProject section */
+
+/* Begin PBXSourcesBuildPhase section */
+ 8DD76FAB0486AB0100D96B5E /* Sources */ = {
+ isa = PBXSourcesBuildPhase;
+ buildActionMask = 2147483647;
+ files = (
+ 27A92E7C0E349283006E7CF6 /* cutApi.c in Sources */,
+ 27A92E7D0E349283006E7CF6 /* cutCut.c in Sources */,
+ 27A92E7E0E349283006E7CF6 /* cutExpand.c in Sources */,
+ 27A92E7F0E349283006E7CF6 /* cutMan.c in Sources */,
+ 27A92E800E349283006E7CF6 /* cutMerge.c in Sources */,
+ 27A92E810E349283006E7CF6 /* cutNode.c in Sources */,
+ 27A92E820E349283006E7CF6 /* cutOracle.c in Sources */,
+ 27A92E830E349283006E7CF6 /* cutPre22.c in Sources */,
+ 27A92E840E349283006E7CF6 /* cutSeq.c in Sources */,
+ 27A92E850E349283006E7CF6 /* cutTruth.c in Sources */,
+ 27A92E860E349283006E7CF6 /* decAbc.c in Sources */,
+ 27A92E870E349283006E7CF6 /* decFactor.c in Sources */,
+ 27A92E880E349283006E7CF6 /* decMan.c in Sources */,
+ 27A92E890E349283006E7CF6 /* decPrint.c in Sources */,
+ 27A92E8A0E349283006E7CF6 /* decUtil.c in Sources */,
+ 27A92E8B0E349283006E7CF6 /* fxu.c in Sources */,
+ 27A92E8C0E349283006E7CF6 /* fxuCreate.c in Sources */,
+ 27A92E8D0E349283006E7CF6 /* fxuHeapD.c in Sources */,
+ 27A92E8E0E349283006E7CF6 /* fxuHeapS.c in Sources */,
+ 27A92E8F0E349283006E7CF6 /* fxuList.c in Sources */,
+ 27A92E900E349283006E7CF6 /* fxuMatrix.c in Sources */,
+ 27A92E910E349283006E7CF6 /* fxuPair.c in Sources */,
+ 27A92E920E349283006E7CF6 /* fxuPrint.c in Sources */,
+ 27A92E930E349283006E7CF6 /* fxuReduce.c in Sources */,
+ 27A92E940E349283006E7CF6 /* fxuSelect.c in Sources */,
+ 27A92E950E349283006E7CF6 /* fxuSingle.c in Sources */,
+ 27A92E960E349283006E7CF6 /* fxuUpdate.c in Sources */,
+ 27A92E970E349283006E7CF6 /* lpk_.c in Sources */,
+ 27A92E980E349283006E7CF6 /* lpkAbcDec.c in Sources */,
+ 27A92E990E349283006E7CF6 /* lpkAbcDsd.c in Sources */,
+ 27A92E9A0E349283006E7CF6 /* lpkAbcMux.c in Sources */,
+ 27A92E9B0E349283006E7CF6 /* lpkAbcUtil.c in Sources */,
+ 27A92E9C0E349283006E7CF6 /* lpkCore.c in Sources */,
+ 27A92E9D0E349283006E7CF6 /* lpkCut.c in Sources */,
+ 27A92E9E0E349283006E7CF6 /* lpkMan.c in Sources */,
+ 27A92E9F0E349283006E7CF6 /* lpkMap.c in Sources */,
+ 27A92EA00E349283006E7CF6 /* lpkMulti.c in Sources */,
+ 27A92EA10E349283006E7CF6 /* lpkMux.c in Sources */,
+ 27A92EA20E349283006E7CF6 /* lpkSets.c in Sources */,
+ 27A92EA30E349283006E7CF6 /* res_.c in Sources */,
+ 27A92EA40E349283006E7CF6 /* resCore.c in Sources */,
+ 27A92EA50E349283006E7CF6 /* resDivs.c in Sources */,
+ 27A92EA60E349283006E7CF6 /* resFilter.c in Sources */,
+ 27A92EA70E349283006E7CF6 /* resSat.c in Sources */,
+ 27A92EA80E349283006E7CF6 /* resSim.c in Sources */,
+ 27A92EAA0E349283006E7CF6 /* resStrash.c in Sources */,
+ 27A92EAB0E349283006E7CF6 /* resWin.c in Sources */,
+ 27A92EAC0E349283006E7CF6 /* ret_.c in Sources */,
+ 27A92EAD0E349283006E7CF6 /* retArea.c in Sources */,
+ 27A92EAE0E349283006E7CF6 /* retCore.c in Sources */,
+ 27A92EAF0E349283006E7CF6 /* retDelay.c in Sources */,
+ 27A92EB00E349283006E7CF6 /* retFlow.c in Sources */,
+ 27A92EB10E349283006E7CF6 /* retIncrem.c in Sources */,
+ 27A92EB20E349283006E7CF6 /* retInit.c in Sources */,
+ 27A92EB30E349283006E7CF6 /* retLvalue.c in Sources */,
+ 27A92EB40E349283006E7CF6 /* rwrDec.c in Sources */,
+ 27A92EB50E349283006E7CF6 /* rwrEva.c in Sources */,
+ 27A92EB60E349283006E7CF6 /* rwrExp.c in Sources */,
+ 27A92EB70E349283006E7CF6 /* rwrLib.c in Sources */,
+ 27A92EB80E349283006E7CF6 /* rwrMan.c in Sources */,
+ 27A92EB90E349283006E7CF6 /* rwrPrint.c in Sources */,
+ 27A92EBA0E349283006E7CF6 /* rwrTemp.c in Sources */,
+ 27A92EBB0E349283006E7CF6 /* rwrUtil.c in Sources */,
+ 27A92EBC0E349283006E7CF6 /* simMan.c in Sources */,
+ 27A92EBD0E349283006E7CF6 /* simSat.c in Sources */,
+ 27A92EBE0E349283006E7CF6 /* simSeq.c in Sources */,
+ 27A92EBF0E349283006E7CF6 /* simSupp.c in Sources */,
+ 27A92EC00E349283006E7CF6 /* simSwitch.c in Sources */,
+ 27A92EC10E349283006E7CF6 /* simSym.c in Sources */,
+ 27A92EC20E349283006E7CF6 /* simSymSat.c in Sources */,
+ 27A92EC30E349283006E7CF6 /* simSymSim.c in Sources */,
+ 27A92EC40E349283006E7CF6 /* simSymStr.c in Sources */,
+ 27A92EC50E349283006E7CF6 /* simUtils.c in Sources */,
+ 27A92EC60E349283006E7CF6 /* satInter.c in Sources */,
+ 27A92EC70E349283006E7CF6 /* satMem.c in Sources */,
+ 27A92EC80E349283006E7CF6 /* satSolver.c in Sources */,
+ 27A92EC90E349283006E7CF6 /* satStore.c in Sources */,
+ 27A92ECA0E349283006E7CF6 /* satTrace.c in Sources */,
+ 27A92ECB0E349283006E7CF6 /* satUtil.c in Sources */,
+ 27A92ECC0E349283006E7CF6 /* csat_apis.c in Sources */,
+ 27A92ECD0E349283006E7CF6 /* fraigApi.c in Sources */,
+ 27A92ECE0E349283006E7CF6 /* fraigCanon.c in Sources */,
+ 27A92ECF0E349283006E7CF6 /* fraigChoice.c in Sources */,
+ 27A92ED00E349283006E7CF6 /* fraigFanout.c in Sources */,
+ 27A92ED10E349283006E7CF6 /* fraigFeed.c in Sources */,
+ 27A92ED20E349283006E7CF6 /* fraigMan.c in Sources */,
+ 27A92ED30E349283006E7CF6 /* fraigMem.c in Sources */,
+ 27A92ED40E349283006E7CF6 /* fraigNode.c in Sources */,
+ 27A92ED50E349283006E7CF6 /* fraigPrime.c in Sources */,
+ 27A92ED60E349283006E7CF6 /* fraigSat.c in Sources */,
+ 27A92ED70E349283006E7CF6 /* fraigTable.c in Sources */,
+ 27A92ED80E349283006E7CF6 /* fraigUtil.c in Sources */,
+ 27A92ED90E349283006E7CF6 /* fraigVec.c in Sources */,
+ 27A92EDA0E349283006E7CF6 /* msatActivity.c in Sources */,
+ 27A92EDB0E349283006E7CF6 /* msatClause.c in Sources */,
+ 27A92EDC0E349283006E7CF6 /* msatClauseVec.c in Sources */,
+ 27A92EDD0E349283006E7CF6 /* msatMem.c in Sources */,
+ 27A92EDF0E349283006E7CF6 /* msatOrderJ.c in Sources */,
+ 27A92EE00E349283006E7CF6 /* msatQueue.c in Sources */,
+ 27A92EE10E349283006E7CF6 /* msatRead.c in Sources */,
+ 27A92EE20E349283006E7CF6 /* msatSolverApi.c in Sources */,
+ 27A92EE30E349283006E7CF6 /* msatSolverCore.c in Sources */,
+ 27A92EE40E349283006E7CF6 /* msatSolverIo.c in Sources */,
+ 27A92EE50E349283006E7CF6 /* msatSolverSearch.c in Sources */,
+ 27A92EE60E349283006E7CF6 /* msatSort.c in Sources */,
+ 27A92EE70E349283006E7CF6 /* msatVec.c in Sources */,
+ 27A92EE80E349283006E7CF6 /* pr.c in Sources */,
+ 27A92EE90E349283006E7CF6 /* casCore.c in Sources */,
+ 27A92EEA0E349283006E7CF6 /* casDec.c in Sources */,
+ 27A92EEB0E349283006E7CF6 /* cuddAddAbs.c in Sources */,
+ 27A92EEC0E349283006E7CF6 /* cuddAddApply.c in Sources */,
+ 27A92EED0E349283006E7CF6 /* cuddAddFind.c in Sources */,
+ 27A92EEE0E349283006E7CF6 /* cuddAddInv.c in Sources */,
+ 27A92EEF0E349283006E7CF6 /* cuddAddIte.c in Sources */,
+ 27A92EF00E349283006E7CF6 /* cuddAddNeg.c in Sources */,
+ 27A92EF10E349283006E7CF6 /* cuddAddWalsh.c in Sources */,
+ 27A92EF20E349283006E7CF6 /* cuddAndAbs.c in Sources */,
+ 27A92EF30E349283006E7CF6 /* cuddAnneal.c in Sources */,
+ 27A92EF40E349283006E7CF6 /* cuddApa.c in Sources */,
+ 27A92EF50E349283006E7CF6 /* cuddAPI.c in Sources */,
+ 27A92EF60E349283006E7CF6 /* cuddApprox.c in Sources */,
+ 27A92EF70E349283006E7CF6 /* cuddBddAbs.c in Sources */,
+ 27A92EF80E349283006E7CF6 /* cuddBddCorr.c in Sources */,
+ 27A92EF90E349283006E7CF6 /* cuddBddIte.c in Sources */,
+ 27A92EFA0E349283006E7CF6 /* cuddBridge.c in Sources */,
+ 27A92EFB0E349283006E7CF6 /* cuddCache.c in Sources */,
+ 27A92EFC0E349283006E7CF6 /* cuddCheck.c in Sources */,
+ 27A92EFD0E349283006E7CF6 /* cuddClip.c in Sources */,
+ 27A92EFE0E349283006E7CF6 /* cuddCof.c in Sources */,
+ 27A92EFF0E349283006E7CF6 /* cuddCompose.c in Sources */,
+ 27A92F000E349283006E7CF6 /* cuddDecomp.c in Sources */,
+ 27A92F010E349283006E7CF6 /* cuddEssent.c in Sources */,
+ 27A92F020E349283006E7CF6 /* cuddExact.c in Sources */,
+ 27A92F030E349283006E7CF6 /* cuddExport.c in Sources */,
+ 27A92F040E349283006E7CF6 /* cuddGenCof.c in Sources */,
+ 27A92F050E349283006E7CF6 /* cuddGenetic.c in Sources */,
+ 27A92F060E349283006E7CF6 /* cuddGroup.c in Sources */,
+ 27A92F070E349283006E7CF6 /* cuddHarwell.c in Sources */,
+ 27A92F080E349283006E7CF6 /* cuddInit.c in Sources */,
+ 27A92F090E349283006E7CF6 /* cuddInteract.c in Sources */,
+ 27A92F0A0E349283006E7CF6 /* cuddLCache.c in Sources */,
+ 27A92F0B0E349283006E7CF6 /* cuddLevelQ.c in Sources */,
+ 27A92F0C0E349283006E7CF6 /* cuddLinear.c in Sources */,
+ 27A92F0D0E349283006E7CF6 /* cuddLiteral.c in Sources */,
+ 27A92F0E0E349283006E7CF6 /* cuddMatMult.c in Sources */,
+ 27A92F0F0E349283006E7CF6 /* cuddPriority.c in Sources */,
+ 27A92F100E349283006E7CF6 /* cuddRead.c in Sources */,
+ 27A92F110E349283006E7CF6 /* cuddRef.c in Sources */,
+ 27A92F120E349283006E7CF6 /* cuddReorder.c in Sources */,
+ 27A92F130E349283006E7CF6 /* cuddSat.c in Sources */,
+ 27A92F140E349283006E7CF6 /* cuddSign.c in Sources */,
+ 27A92F150E349283006E7CF6 /* cuddSolve.c in Sources */,
+ 27A92F160E349283006E7CF6 /* cuddSplit.c in Sources */,
+ 27A92F170E349283006E7CF6 /* cuddSubsetHB.c in Sources */,
+ 27A92F180E349283006E7CF6 /* cuddSubsetSP.c in Sources */,
+ 27A92F190E349283006E7CF6 /* cuddSymmetry.c in Sources */,
+ 27A92F1A0E349283006E7CF6 /* cuddTable.c in Sources */,
+ 27A92F1B0E349283006E7CF6 /* cuddUtil.c in Sources */,
+ 27A92F1C0E349283006E7CF6 /* cuddWindow.c in Sources */,
+ 27A92F1D0E349283006E7CF6 /* cuddZddCount.c in Sources */,
+ 27A92F1E0E349283006E7CF6 /* cuddZddFuncs.c in Sources */,
+ 27A92F1F0E349283006E7CF6 /* cuddZddGroup.c in Sources */,
+ 27A92F200E349283006E7CF6 /* cuddZddIsop.c in Sources */,
+ 27A92F210E349283006E7CF6 /* cuddZddLin.c in Sources */,
+ 27A92F220E349283006E7CF6 /* cuddZddMisc.c in Sources */,
+ 27A92F230E349283006E7CF6 /* cuddZddPort.c in Sources */,
+ 27A92F240E349283006E7CF6 /* cuddZddReord.c in Sources */,
+ 27A92F250E349283006E7CF6 /* cuddZddSetop.c in Sources */,
+ 27A92F260E349283006E7CF6 /* cuddZddSymm.c in Sources */,
+ 27A92F270E349283006E7CF6 /* cuddZddUtil.c in Sources */,
+ 27A92F290E349283006E7CF6 /* dsdApi.c in Sources */,
+ 27A92F2A0E349283006E7CF6 /* dsdCheck.c in Sources */,
+ 27A92F2B0E349283006E7CF6 /* dsdLocal.c in Sources */,
+ 27A92F2C0E349283006E7CF6 /* dsdMan.c in Sources */,
+ 27A92F2D0E349283006E7CF6 /* dsdProc.c in Sources */,
+ 27A92F2E0E349283006E7CF6 /* dsdTree.c in Sources */,
+ 27A92F2F0E349283006E7CF6 /* epd.c in Sources */,
+ 27A92F300E349283006E7CF6 /* mtrBasic.c in Sources */,
+ 27A92F310E349283006E7CF6 /* mtrGroup.c in Sources */,
+ 27A92F320E349283006E7CF6 /* parseCore.c in Sources */,
+ 27A92F330E349283006E7CF6 /* parseEqn.c in Sources */,
+ 27A92F340E349283006E7CF6 /* parseStack.c in Sources */,
+ 27A92F350E349283006E7CF6 /* reoApi.c in Sources */,
+ 27A92F360E349283006E7CF6 /* reoCore.c in Sources */,
+ 27A92F370E349283006E7CF6 /* reoProfile.c in Sources */,
+ 27A92F380E349283006E7CF6 /* reoSift.c in Sources */,
+ 27A92F390E349283006E7CF6 /* reoSwap.c in Sources */,
+ 27A92F3A0E349283006E7CF6 /* reoTest.c in Sources */,
+ 27A92F3B0E349283006E7CF6 /* reoTransfer.c in Sources */,
+ 27A92F3C0E349283006E7CF6 /* reoUnits.c in Sources */,
+ 27A92F3E0E349283006E7CF6 /* aig_.c in Sources */,
+ 27A92F3F0E349283006E7CF6 /* aigCheck.c in Sources */,
+ 27A92F400E349283006E7CF6 /* aigDfs.c in Sources */,
+ 27A92F410E349283006E7CF6 /* aigFanout.c in Sources */,
+ 27A92F420E349283006E7CF6 /* aigMan.c in Sources */,
+ 27A92F430E349283006E7CF6 /* aigMem.c in Sources */,
+ 27A92F440E349283006E7CF6 /* aigMffc.c in Sources */,
+ 27A92F450E349283006E7CF6 /* aigObj.c in Sources */,
+ 27A92F460E349283006E7CF6 /* aigOper.c in Sources */,
+ 27A92F470E349283006E7CF6 /* aigOrder.c in Sources */,
+ 27A92F480E349283006E7CF6 /* aigPart.c in Sources */,
+ 27A92F490E349283006E7CF6 /* aigRepr.c in Sources */,
+ 27A92F4A0E349283006E7CF6 /* aigRet.c in Sources */,
+ 27A92F4B0E349283006E7CF6 /* aigScl.c in Sources */,
+ 27A92F4C0E349283006E7CF6 /* aigSeq.c in Sources */,
+ 27A92F4D0E349283006E7CF6 /* aigShow.c in Sources */,
+ 27A92F4E0E349283006E7CF6 /* aigTable.c in Sources */,
+ 27A92F4F0E349283006E7CF6 /* aigTime.c in Sources */,
+ 27A92F500E349283006E7CF6 /* aigTiming.c in Sources */,
+ 27A92F510E349283006E7CF6 /* aigTruth.c in Sources */,
+ 27A92F520E349283006E7CF6 /* aigTsim.c in Sources */,
+ 27A92F530E349283006E7CF6 /* aigUtil.c in Sources */,
+ 27A92F540E349283006E7CF6 /* aigWin.c in Sources */,
+ 27A92F550E349283006E7CF6 /* bar.c in Sources */,
+ 27A92F560E349283006E7CF6 /* bdc_.c in Sources */,
+ 27A92F570E349283006E7CF6 /* bdcCore.c in Sources */,
+ 27A92F580E349283006E7CF6 /* bdcDec.c in Sources */,
+ 27A92F590E349283006E7CF6 /* bdcTable.c in Sources */,
+ 27A92F5A0E349283006E7CF6 /* cnf_.c in Sources */,
+ 27A92F5B0E349283006E7CF6 /* cnfCore.c in Sources */,
+ 27A92F5C0E349283006E7CF6 /* cnfCut.c in Sources */,
+ 27A92F5D0E349283006E7CF6 /* cnfData.c in Sources */,
+ 27A92F5E0E349283006E7CF6 /* cnfMan.c in Sources */,
+ 27A92F5F0E349283006E7CF6 /* cnfMap.c in Sources */,
+ 27A92F600E349283006E7CF6 /* cnfPost.c in Sources */,
+ 27A92F610E349283006E7CF6 /* cnfUtil.c in Sources */,
+ 27A92F620E349283006E7CF6 /* cnfWrite.c in Sources */,
+ 27A92F630E349283006E7CF6 /* csw_.c in Sources */,
+ 27A92F640E349283006E7CF6 /* cswCore.c in Sources */,
+ 27A92F650E349283006E7CF6 /* cswCut.c in Sources */,
+ 27A92F660E349283006E7CF6 /* cswMan.c in Sources */,
+ 27A92F670E349283006E7CF6 /* cswTable.c in Sources */,
+ 27A92F680E349283006E7CF6 /* dar_.c in Sources */,
+ 27A92F690E349283006E7CF6 /* darBalance.c in Sources */,
+ 27A92F6A0E349283006E7CF6 /* darCore.c in Sources */,
+ 27A92F6B0E349283006E7CF6 /* darCut.c in Sources */,
+ 27A92F6C0E349283006E7CF6 /* darData.c in Sources */,
+ 27A92F6D0E349283006E7CF6 /* darLib.c in Sources */,
+ 27A92F6E0E349283006E7CF6 /* darMan.c in Sources */,
+ 27A92F6F0E349283006E7CF6 /* darPrec.c in Sources */,
+ 27A92F700E349283006E7CF6 /* darRefact.c in Sources */,
+ 27A92F710E349283006E7CF6 /* darResub.c in Sources */,
+ 27A92F720E349283006E7CF6 /* darScript.c in Sources */,
+ 27A92F730E349283006E7CF6 /* fra_.c in Sources */,
+ 27A92F740E349283006E7CF6 /* fraBmc.c in Sources */,
+ 27A92F750E349283006E7CF6 /* fraCec.c in Sources */,
+ 27A92F760E349283006E7CF6 /* fraClass.c in Sources */,
+ 27A92F770E349283006E7CF6 /* fraCnf.c in Sources */,
+ 27A92F780E349283006E7CF6 /* fraCore.c in Sources */,
+ 27A92F790E349283006E7CF6 /* fraImp.c in Sources */,
+ 27A92F7A0E349283006E7CF6 /* fraInd.c in Sources */,
+ 27A92F7B0E349283006E7CF6 /* fraLcr.c in Sources */,
+ 27A92F7C0E349283006E7CF6 /* fraMan.c in Sources */,
+ 27A92F7D0E349283006E7CF6 /* fraPart.c in Sources */,
+ 27A92F7E0E349283006E7CF6 /* fraSat.c in Sources */,
+ 27A92F7F0E349283006E7CF6 /* fraSec.c in Sources */,
+ 27A92F800E349283006E7CF6 /* fraSim.c in Sources */,
+ 27A92F820E349283006E7CF6 /* hop_.c in Sources */,
+ 27A92F830E349283006E7CF6 /* hopBalance.c in Sources */,
+ 27A92F840E349283006E7CF6 /* hopCheck.c in Sources */,
+ 27A92F850E349283006E7CF6 /* hopDfs.c in Sources */,
+ 27A92F860E349283006E7CF6 /* hopMan.c in Sources */,
+ 27A92F870E349283006E7CF6 /* hopMem.c in Sources */,
+ 27A92F880E349283006E7CF6 /* hopObj.c in Sources */,
+ 27A92F890E349283006E7CF6 /* hopOper.c in Sources */,
+ 27A92F8A0E349283006E7CF6 /* hopTable.c in Sources */,
+ 27A92F8B0E349283006E7CF6 /* hopUtil.c in Sources */,
+ 27A92F8C0E349283006E7CF6 /* ioaReadAig.c in Sources */,
+ 27A92F8D0E349283006E7CF6 /* ioaUtil.c in Sources */,
+ 27A92F8E0E349283006E7CF6 /* ioaWriteAig.c in Sources */,
+ 27A92F8F0E349283006E7CF6 /* ivy_.c in Sources */,
+ 27A92F900E349283006E7CF6 /* ivyBalance.c in Sources */,
+ 27A92F910E349283006E7CF6 /* ivyCanon.c in Sources */,
+ 27A92F920E349283006E7CF6 /* ivyCheck.c in Sources */,
+ 27A92F930E349283006E7CF6 /* ivyCut.c in Sources */,
+ 27A92F940E349283006E7CF6 /* ivyCutTrav.c in Sources */,
+ 27A92F950E349283006E7CF6 /* ivyDfs.c in Sources */,
+ 27A92F960E349283006E7CF6 /* ivyDsd.c in Sources */,
+ 27A92F970E349283006E7CF6 /* ivyFanout.c in Sources */,
+ 27A92F980E349283006E7CF6 /* ivyFastMap.c in Sources */,
+ 27A92F990E349283006E7CF6 /* ivyFraig.c in Sources */,
+ 27A92F9A0E349283006E7CF6 /* ivyHaig.c in Sources */,
+ 27A92F9B0E349283006E7CF6 /* ivyMan.c in Sources */,
+ 27A92F9C0E349283006E7CF6 /* ivyMem.c in Sources */,
+ 27A92F9D0E349283006E7CF6 /* ivyMulti.c in Sources */,
+ 27A92F9F0E349283006E7CF6 /* ivyObj.c in Sources */,
+ 27A92FA00E349283006E7CF6 /* ivyOper.c in Sources */,
+ 27A92FA10E349283006E7CF6 /* ivyResyn.c in Sources */,
+ 27A92FA20E349283006E7CF6 /* ivyRwr.c in Sources */,
+ 27A92FA40E349283006E7CF6 /* ivySeq.c in Sources */,
+ 27A92FA50E349283006E7CF6 /* ivyShow.c in Sources */,
+ 27A92FA60E349283006E7CF6 /* ivyTable.c in Sources */,
+ 27A92FA70E349283006E7CF6 /* ivyUtil.c in Sources */,
+ 27A92FA80E349283006E7CF6 /* cloud.c in Sources */,
+ 27A92FA90E349283006E7CF6 /* kit_.c in Sources */,
+ 27A92FAA0E349283006E7CF6 /* kitAig.c in Sources */,
+ 27A92FAB0E349283006E7CF6 /* kitBdd.c in Sources */,
+ 27A92FAC0E349283006E7CF6 /* kitCloud.c in Sources */,
+ 27A92FAD0E349283006E7CF6 /* kitDsd.c in Sources */,
+ 27A92FAE0E349283006E7CF6 /* kitFactor.c in Sources */,
+ 27A92FAF0E349283006E7CF6 /* kitGraph.c in Sources */,
+ 27A92FB00E349283006E7CF6 /* kitHop.c in Sources */,
+ 27A92FB10E349283006E7CF6 /* kitIsop.c in Sources */,
+ 27A92FB20E349283006E7CF6 /* kitSop.c in Sources */,
+ 27A92FB30E349283006E7CF6 /* kitTruth.c in Sources */,
+ 27A92FB40E349283006E7CF6 /* mem.c in Sources */,
+ 27A92FB50E349283006E7CF6 /* rwtDec.c in Sources */,
+ 27A92FB60E349283006E7CF6 /* rwtMan.c in Sources */,
+ 27A92FB70E349283006E7CF6 /* rwtUtil.c in Sources */,
+ 27A92FB80E349283006E7CF6 /* fpga.c in Sources */,
+ 27A92FB90E349283006E7CF6 /* fpgaCore.c in Sources */,
+ 27A92FBA0E349283006E7CF6 /* fpgaCreate.c in Sources */,
+ 27A92FBB0E349283006E7CF6 /* fpgaCut.c in Sources */,
+ 27A92FBC0E349283006E7CF6 /* fpgaCutUtils.c in Sources */,
+ 27A92FBD0E349283006E7CF6 /* fpgaFanout.c in Sources */,
+ 27A92FBE0E349283006E7CF6 /* fpgaGENERIC.c in Sources */,
+ 27A92FBF0E349283006E7CF6 /* fpgaLib.c in Sources */,
+ 27A92FC00E349283006E7CF6 /* fpgaMatch.c in Sources */,
+ 27A92FC10E349283006E7CF6 /* fpgaSwitch.c in Sources */,
+ 27A92FC20E349283006E7CF6 /* fpgaTime.c in Sources */,
+ 27A92FC30E349283006E7CF6 /* fpgaTruth.c in Sources */,
+ 27A92FC40E349283006E7CF6 /* fpgaUtils.c in Sources */,
+ 27A92FC50E349283006E7CF6 /* fpgaVec.c in Sources */,
+ 27A92FC60E349283006E7CF6 /* if_.c in Sources */,
+ 27A92FC70E349283006E7CF6 /* ifCore.c in Sources */,
+ 27A92FC80E349283006E7CF6 /* ifCut.c in Sources */,
+ 27A92FC90E349283006E7CF6 /* ifMan.c in Sources */,
+ 27A92FCA0E349283006E7CF6 /* ifMap.c in Sources */,
+ 27A92FCB0E349283006E7CF6 /* ifReduce.c in Sources */,
+ 27A92FCC0E349283006E7CF6 /* ifSeq.c in Sources */,
+ 27A92FCD0E349283006E7CF6 /* ifTime.c in Sources */,
+ 27A92FCE0E349283006E7CF6 /* ifTruth.c in Sources */,
+ 27A92FCF0E349283006E7CF6 /* ifUtil.c in Sources */,
+ 27A92FD00E349283006E7CF6 /* mapper.c in Sources */,
+ 27A92FD10E349283006E7CF6 /* mapperCanon.c in Sources */,
+ 27A92FD20E349283006E7CF6 /* mapperCore.c in Sources */,
+ 27A92FD30E349283006E7CF6 /* mapperCreate.c in Sources */,
+ 27A92FD40E349283006E7CF6 /* mapperCut.c in Sources */,
+ 27A92FD50E349283006E7CF6 /* mapperCutUtils.c in Sources */,
+ 27A92FD60E349283006E7CF6 /* mapperFanout.c in Sources */,
+ 27A92FD70E349283006E7CF6 /* mapperGENERIC.c in Sources */,
+ 27A92FD80E349283006E7CF6 /* mapperLib.c in Sources */,
+ 27A92FD90E349283006E7CF6 /* mapperMatch.c in Sources */,
+ 27A92FDA0E349283006E7CF6 /* mapperRefs.c in Sources */,
+ 27A92FDB0E349283006E7CF6 /* mapperSuper.c in Sources */,
+ 27A92FDC0E349283006E7CF6 /* mapperSwitch.c in Sources */,
+ 27A92FDD0E349283006E7CF6 /* mapperTable.c in Sources */,
+ 27A92FDE0E349283006E7CF6 /* mapperTime.c in Sources */,
+ 27A92FDF0E349283006E7CF6 /* mapperTree.c in Sources */,
+ 27A92FE00E349283006E7CF6 /* mapperTruth.c in Sources */,
+ 27A92FE10E349283006E7CF6 /* mapperUtils.c in Sources */,
+ 27A92FE20E349283006E7CF6 /* mapperVec.c in Sources */,
+ 27A92FE30E349283006E7CF6 /* mio.c in Sources */,
+ 27A92FE40E349283006E7CF6 /* mioApi.c in Sources */,
+ 27A92FE50E349283006E7CF6 /* mioFunc.c in Sources */,
+ 27A92FE60E349283006E7CF6 /* mioGENERIC.c in Sources */,
+ 27A92FE70E349283006E7CF6 /* mioRead.c in Sources */,
+ 27A92FE80E349283006E7CF6 /* mioUtils.c in Sources */,
+ 27A92FE90E349283006E7CF6 /* super.c in Sources */,
+ 27A92FEA0E349283006E7CF6 /* superAnd.c in Sources */,
+ 27A92FEB0E349283006E7CF6 /* superGate.c in Sources */,
+ 27A92FEC0E349283006E7CF6 /* superGENERIC.c in Sources */,
+ 27A92FED0E349283006E7CF6 /* superWrite.c in Sources */,
+ 27A92FEE0E349283006E7CF6 /* abc_.c in Sources */,
+ 27A92FEF0E349283006E7CF6 /* abcAig.c in Sources */,
+ 27A92FF00E349283006E7CF6 /* abcBlifMv.c in Sources */,
+ 27A92FF10E349283006E7CF6 /* abcCheck.c in Sources */,
+ 27A92FF20E349283006E7CF6 /* abcDfs.c in Sources */,
+ 27A92FF30E349283006E7CF6 /* abcFanio.c in Sources */,
+ 27A92FF40E349283006E7CF6 /* abcFunc.c in Sources */,
+ 27A92FF50E349283006E7CF6 /* abcHie.c in Sources */,
+ 27A92FF60E349283006E7CF6 /* abcLatch.c in Sources */,
+ 27A92FF70E349283006E7CF6 /* abcLib.c in Sources */,
+ 27A92FF80E349283006E7CF6 /* abcMinBase.c in Sources */,
+ 27A92FF90E349283006E7CF6 /* abcNames.c in Sources */,
+ 27A92FFA0E349283006E7CF6 /* abcNetlist.c in Sources */,
+ 27A92FFB0E349283006E7CF6 /* abcNtk.c in Sources */,
+ 27A92FFC0E349283006E7CF6 /* abcObj.c in Sources */,
+ 27A92FFD0E349283006E7CF6 /* abcRefs.c in Sources */,
+ 27A92FFE0E349283006E7CF6 /* abcShow.c in Sources */,
+ 27A92FFF0E349283006E7CF6 /* abcSop.c in Sources */,
+ 27A930000E349283006E7CF6 /* abcUtil.c in Sources */,
+ 27A930010E349283006E7CF6 /* abc.c in Sources */,
+ 27A930020E349283006E7CF6 /* abc_.c in Sources */,
+ 27A930030E349283006E7CF6 /* abcAttach.c in Sources */,
+ 27A930040E349283006E7CF6 /* abcAuto.c in Sources */,
+ 27A930050E349283006E7CF6 /* abcBalance.c in Sources */,
+ 27A930060E349283006E7CF6 /* abcBmc.c in Sources */,
+ 27A930070E349283006E7CF6 /* abcCas.c in Sources */,
+ 27A930080E349283006E7CF6 /* abcClpBdd.c in Sources */,
+ 27A930090E349283006E7CF6 /* abcClpSop.c in Sources */,
+ 27A9300A0E349283006E7CF6 /* abcCut.c in Sources */,
+ 27A9300B0E349283006E7CF6 /* abcDar.c in Sources */,
+ 27A9300C0E349283006E7CF6 /* abcDebug.c in Sources */,
+ 27A9300D0E349283006E7CF6 /* abcDress.c in Sources */,
+ 27A9300E0E349283006E7CF6 /* abcDsd.c in Sources */,
+ 27A9300F0E349283006E7CF6 /* abcEspresso.c in Sources */,
+ 27A930100E349283006E7CF6 /* abcExtract.c in Sources */,
+ 27A930110E349283006E7CF6 /* abcFpga.c in Sources */,
+ 27A930120E349283006E7CF6 /* abcFpgaFast.c in Sources */,
+ 27A930130E349283006E7CF6 /* abcFraig.c in Sources */,
+ 27A930140E349283006E7CF6 /* abcFxu.c in Sources */,
+ 27A930150E349283006E7CF6 /* abcGen.c in Sources */,
+ 27A930160E349283006E7CF6 /* abcHaig.c in Sources */,
+ 27A930170E349283006E7CF6 /* abcIf.c in Sources */,
+ 27A930180E349283006E7CF6 /* abcIvy.c in Sources */,
+ 27A930190E349283006E7CF6 /* abcLut.c in Sources */,
+ 27A9301A0E349283006E7CF6 /* abcMap.c in Sources */,
+ 27A9301B0E349283006E7CF6 /* abcMeasure.c in Sources */,
+ 27A9301C0E349283006E7CF6 /* abcMini.c in Sources */,
+ 27A9301D0E349283006E7CF6 /* abcMiter.c in Sources */,
+ 27A9301E0E349283006E7CF6 /* abcMulti.c in Sources */,
+ 27A9301F0E349283006E7CF6 /* abcMv.c in Sources */,
+ 27A930200E349283006E7CF6 /* abcNtbdd.c in Sources */,
+ 27A930210E349283006E7CF6 /* abcOdc.c in Sources */,
+ 27A930220E349283006E7CF6 /* abcOrder.c in Sources */,
+ 27A930230E349283006E7CF6 /* abcPart.c in Sources */,
+ 27A930250E349283006E7CF6 /* abcPrint.c in Sources */,
+ 27A930260E349283006E7CF6 /* abcProve.c in Sources */,
+ 27A930270E349283006E7CF6 /* abcQbf.c in Sources */,
+ 27A930280E349283006E7CF6 /* abcQuant.c in Sources */,
+ 27A930290E349283006E7CF6 /* abcRec.c in Sources */,
+ 27A9302A0E349283006E7CF6 /* abcReconv.c in Sources */,
+ 27A9302B0E349283006E7CF6 /* abcRefactor.c in Sources */,
+ 27A9302C0E349283006E7CF6 /* abcRenode.c in Sources */,
+ 27A9302D0E349283006E7CF6 /* abcReorder.c in Sources */,
+ 27A9302E0E349283006E7CF6 /* abcRestruct.c in Sources */,
+ 27A9302F0E349283006E7CF6 /* abcResub.c in Sources */,
+ 27A930300E349283006E7CF6 /* abcRewrite.c in Sources */,
+ 27A930310E349283006E7CF6 /* abcRr.c in Sources */,
+ 27A930320E349283006E7CF6 /* abcSat.c in Sources */,
+ 27A930330E349283006E7CF6 /* abcStrash.c in Sources */,
+ 27A930340E349283006E7CF6 /* abcSweep.c in Sources */,
+ 27A930350E349283006E7CF6 /* abcSymm.c in Sources */,
+ 27A930360E349283006E7CF6 /* abcTiming.c in Sources */,
+ 27A930370E349283006E7CF6 /* abcUnate.c in Sources */,
+ 27A930380E349283006E7CF6 /* abcUnreach.c in Sources */,
+ 27A930390E349283006E7CF6 /* abcVerify.c in Sources */,
+ 27A9303A0E349283006E7CF6 /* abcXsim.c in Sources */,
+ 27A9303B0E349283006E7CF6 /* cmd.c in Sources */,
+ 27A9303C0E349283006E7CF6 /* cmdAlias.c in Sources */,
+ 27A9303D0E349283006E7CF6 /* cmdApi.c in Sources */,
+ 27A9303E0E349283006E7CF6 /* cmdFlag.c in Sources */,
+ 27A9303F0E349283006E7CF6 /* cmdHist.c in Sources */,
+ 27A930400E349283006E7CF6 /* cmdUtils.c in Sources */,
+ 27A930410E349283006E7CF6 /* io.c in Sources */,
+ 27A930420E349283006E7CF6 /* io_.c in Sources */,
+ 27A930430E349283006E7CF6 /* ioReadAiger.c in Sources */,
+ 27A930440E349283006E7CF6 /* ioReadBaf.c in Sources */,
+ 27A930450E349283006E7CF6 /* ioReadBench.c in Sources */,
+ 27A930460E349283006E7CF6 /* ioReadBlif.c in Sources */,
+ 27A930470E349283006E7CF6 /* ioReadBlifAig.c in Sources */,
+ 27A930480E349283006E7CF6 /* ioReadBlifMv.c in Sources */,
+ 27A930490E349283006E7CF6 /* ioReadDsd.c in Sources */,
+ 27A9304A0E349283006E7CF6 /* ioReadEdif.c in Sources */,
+ 27A9304B0E349283006E7CF6 /* ioReadEqn.c in Sources */,
+ 27A9304C0E349283006E7CF6 /* ioReadPla.c in Sources */,
+ 27A9304D0E349283006E7CF6 /* ioReadVerilog.c in Sources */,
+ 27A9304E0E349283006E7CF6 /* ioUtil.c in Sources */,
+ 27A9304F0E349283006E7CF6 /* ioWriteAiger.c in Sources */,
+ 27A930500E349283006E7CF6 /* ioWriteBaf.c in Sources */,
+ 27A930510E349283006E7CF6 /* ioWriteBench.c in Sources */,
+ 27A930520E349283006E7CF6 /* ioWriteBlif.c in Sources */,
+ 27A930530E349283006E7CF6 /* ioWriteBlifMv.c in Sources */,
+ 27A930540E349283006E7CF6 /* ioWriteCnf.c in Sources */,
+ 27A930550E349283006E7CF6 /* ioWriteDot.c in Sources */,
+ 27A930560E349283006E7CF6 /* ioWriteEqn.c in Sources */,
+ 27A930570E349283006E7CF6 /* ioWriteGml.c in Sources */,
+ 27A930580E349283006E7CF6 /* ioWriteList.c in Sources */,
+ 27A930590E349283006E7CF6 /* ioWritePla.c in Sources */,
+ 27A9305A0E349283006E7CF6 /* ioWriteVerilog.c in Sources */,
+ 27A9305B0E349283006E7CF6 /* libSupport.c in Sources */,
+ 27A9305C0E349283006E7CF6 /* main.c in Sources */,
+ 27A9305D0E349283006E7CF6 /* mainFrame.c in Sources */,
+ 27A9305E0E349283006E7CF6 /* mainInit.c in Sources */,
+ 27A9305F0E349283006E7CF6 /* mainUtils.c in Sources */,
+ 27A930610E349283006E7CF6 /* ver_.c in Sources */,
+ 27A930620E349283006E7CF6 /* verCore.c in Sources */,
+ 27A930630E349283006E7CF6 /* verFormula.c in Sources */,
+ 27A930640E349283006E7CF6 /* verParse.c in Sources */,
+ 27A930650E349283006E7CF6 /* verStream.c in Sources */,
+ 27A930660E349283006E7CF6 /* verWords.c in Sources */,
+ 27A930670E349283006E7CF6 /* cofactor.c in Sources */,
+ 27A930680E349283006E7CF6 /* cols.c in Sources */,
+ 27A930690E349283006E7CF6 /* compl.c in Sources */,
+ 27A9306A0E349283006E7CF6 /* contain.c in Sources */,
+ 27A9306B0E349283006E7CF6 /* cubehack.c in Sources */,
+ 27A9306C0E349283006E7CF6 /* cubestr.c in Sources */,
+ 27A9306D0E349283006E7CF6 /* cvrin.c in Sources */,
+ 27A9306E0E349283006E7CF6 /* cvrm.c in Sources */,
+ 27A9306F0E349283006E7CF6 /* cvrmisc.c in Sources */,
+ 27A930700E349283006E7CF6 /* cvrout.c in Sources */,
+ 27A930710E349283006E7CF6 /* dominate.c in Sources */,
+ 27A930720E349283006E7CF6 /* equiv.c in Sources */,
+ 27A930730E349283006E7CF6 /* espresso.c in Sources */,
+ 27A930740E349283006E7CF6 /* essen.c in Sources */,
+ 27A930750E349283006E7CF6 /* exact.c in Sources */,
+ 27A930760E349283006E7CF6 /* expand.c in Sources */,
+ 27A930770E349283006E7CF6 /* gasp.c in Sources */,
+ 27A930780E349283006E7CF6 /* gimpel.c in Sources */,
+ 27A930790E349283006E7CF6 /* globals.c in Sources */,
+ 27A9307A0E349283006E7CF6 /* hack.c in Sources */,
+ 27A9307B0E349283006E7CF6 /* indep.c in Sources */,
+ 27A9307C0E349283006E7CF6 /* irred.c in Sources */,
+ 27A9307E0E349283006E7CF6 /* map.c in Sources */,
+ 27A9307F0E349283006E7CF6 /* matrix.c in Sources */,
+ 27A930800E349283006E7CF6 /* mincov.c in Sources */,
+ 27A930810E349283006E7CF6 /* opo.c in Sources */,
+ 27A930820E349283006E7CF6 /* pair.c in Sources */,
+ 27A930830E349283006E7CF6 /* part.c in Sources */,
+ 27A930840E349283006E7CF6 /* primes.c in Sources */,
+ 27A930850E349283006E7CF6 /* reduce.c in Sources */,
+ 27A930860E349283006E7CF6 /* rows.c in Sources */,
+ 27A930870E349283006E7CF6 /* set.c in Sources */,
+ 27A930880E349283006E7CF6 /* setc.c in Sources */,
+ 27A930890E349283006E7CF6 /* sharp.c in Sources */,
+ 27A9308A0E349283006E7CF6 /* sminterf.c in Sources */,
+ 27A9308B0E349283006E7CF6 /* solution.c in Sources */,
+ 27A9308C0E349283006E7CF6 /* sparse.c in Sources */,
+ 27A9308E0E349283006E7CF6 /* verify.c in Sources */,
+ 27A9308F0E349283006E7CF6 /* extraBddAuto.c in Sources */,
+ 27A930900E349283006E7CF6 /* extraBddCas.c in Sources */,
+ 27A930910E349283006E7CF6 /* extraBddKmap.c in Sources */,
+ 27A930920E349283006E7CF6 /* extraBddMisc.c in Sources */,
+ 27A930930E349283006E7CF6 /* extraBddSymm.c in Sources */,
+ 27A930940E349283006E7CF6 /* extraBddUnate.c in Sources */,
+ 27A930950E349283006E7CF6 /* extraUtilBitMatrix.c in Sources */,
+ 27A930960E349283006E7CF6 /* extraUtilCanon.c in Sources */,
+ 27A930970E349283006E7CF6 /* extraUtilFile.c in Sources */,
+ 27A930980E349283006E7CF6 /* extraUtilMemory.c in Sources */,
+ 27A930990E349283006E7CF6 /* extraUtilMisc.c in Sources */,
+ 27A9309A0E349283006E7CF6 /* extraUtilProgress.c in Sources */,
+ 27A9309B0E349283006E7CF6 /* extraUtilReader.c in Sources */,
+ 27A9309C0E349283006E7CF6 /* extraUtilTruth.c in Sources */,
+ 27A9309D0E349283006E7CF6 /* extraUtilUtil.c in Sources */,
+ 27A9309E0E349283006E7CF6 /* mvc.c in Sources */,
+ 27A9309F0E349283006E7CF6 /* mvcApi.c in Sources */,
+ 27A930A00E349283006E7CF6 /* mvcCompare.c in Sources */,
+ 27A930A10E349283006E7CF6 /* mvcContain.c in Sources */,
+ 27A930A20E349283006E7CF6 /* mvcCover.c in Sources */,
+ 27A930A30E349283006E7CF6 /* mvcCube.c in Sources */,
+ 27A930A40E349283006E7CF6 /* mvcDivide.c in Sources */,
+ 27A930A50E349283006E7CF6 /* mvcDivisor.c in Sources */,
+ 27A930A60E349283006E7CF6 /* mvcList.c in Sources */,
+ 27A930A70E349283006E7CF6 /* mvcLits.c in Sources */,
+ 27A930A80E349283006E7CF6 /* mvcMan.c in Sources */,
+ 27A930A90E349283006E7CF6 /* mvcOpAlg.c in Sources */,
+ 27A930AA0E349283006E7CF6 /* mvcOpBool.c in Sources */,
+ 27A930AB0E349283006E7CF6 /* mvcPrint.c in Sources */,
+ 27A930AC0E349283006E7CF6 /* mvcSort.c in Sources */,
+ 27A930AD0E349283006E7CF6 /* mvcUtils.c in Sources */,
+ 27A930AE0E349283006E7CF6 /* nmApi.c in Sources */,
+ 27A930AF0E349283006E7CF6 /* nmTable.c in Sources */,
+ 27A930B00E349283006E7CF6 /* st.c in Sources */,
+ 27A930B10E349283006E7CF6 /* stmm.c in Sources */,
+ 2717FCAD0E3852830038296D /* unate.c in Sources */,
+ );
+ runOnlyForDeploymentPostprocessing = 0;
+ };
+/* End PBXSourcesBuildPhase section */
+
+/* Begin XCBuildConfiguration section */
+ 1DEB928608733DD80010E9CD /* Debug */ = {
+ isa = XCBuildConfiguration;
+ buildSettings = {
+ ALWAYS_SEARCH_USER_PATHS = NO;
+ COPY_PHASE_STRIP = NO;
+ GCC_DYNAMIC_NO_PIC = NO;
+ GCC_ENABLE_FIX_AND_CONTINUE = YES;
+ GCC_MODEL_TUNING = G5;
+ GCC_OPTIMIZATION_LEVEL = 0;
+ INSTALL_PATH = /usr/local/bin;
+ PRODUCT_NAME = abc;
+ };
+ name = Debug;
+ };
+ 1DEB928708733DD80010E9CD /* Release */ = {
+ isa = XCBuildConfiguration;
+ buildSettings = {
+ ALWAYS_SEARCH_USER_PATHS = NO;
+ DEBUG_INFORMATION_FORMAT = "dwarf-with-dsym";
+ GCC_MODEL_TUNING = G5;
+ INSTALL_PATH = /usr/local/bin;
+ PRODUCT_NAME = abc;
+ };
+ name = Release;
+ };
+ 1DEB928A08733DD80010E9CD /* Debug */ = {
+ isa = XCBuildConfiguration;
+ buildSettings = {
+ ADDITIONAL_SDKS = "";
+ ARCHS = "$(ARCHS_STANDARD_32_BIT)";
+ GCC_C_LANGUAGE_STANDARD = "compiler-default";
+ GCC_OPTIMIZATION_LEVEL = 0;
+ GCC_VERSION = "";
+ GCC_WARN_ABOUT_RETURN_TYPE = YES;
+ GCC_WARN_UNUSED_VARIABLE = YES;
+ ONLY_ACTIVE_ARCH = YES;
+ PREBINDING = NO;
+ SDKROOT = macosx10.5;
+ };
+ name = Debug;
+ };
+ 1DEB928B08733DD80010E9CD /* Release */ = {
+ isa = XCBuildConfiguration;
+ buildSettings = {
+ ARCHS = "$(ARCHS_STANDARD_32_BIT)";
+ GCC_C_LANGUAGE_STANDARD = c99;
+ GCC_WARN_ABOUT_RETURN_TYPE = YES;
+ GCC_WARN_UNUSED_VARIABLE = YES;
+ PREBINDING = NO;
+ SDKROOT = macosx10.5;
+ };
+ name = Release;
+ };
+/* End XCBuildConfiguration section */
+
+/* Begin XCConfigurationList section */
+ 1DEB928508733DD80010E9CD /* Build configuration list for PBXNativeTarget "abc" */ = {
+ isa = XCConfigurationList;
+ buildConfigurations = (
+ 1DEB928608733DD80010E9CD /* Debug */,
+ 1DEB928708733DD80010E9CD /* Release */,
+ );
+ defaultConfigurationIsVisible = 0;
+ defaultConfigurationName = Release;
+ };
+ 1DEB928908733DD80010E9CD /* Build configuration list for PBXProject "abc" */ = {
+ isa = XCConfigurationList;
+ buildConfigurations = (
+ 1DEB928A08733DD80010E9CD /* Debug */,
+ 1DEB928B08733DD80010E9CD /* Release */,
+ );
+ defaultConfigurationIsVisible = 0;
+ defaultConfigurationName = Release;
+ };
+/* End XCConfigurationList section */
+ };
+ rootObject = 08FB7793FE84155DC02AAC07 /* Project object */;
+}
diff --git a/abc70930/src/abc.xcodeproj/raiyan.pbxuser b/abc70930/src/abc.xcodeproj/raiyan.pbxuser
new file mode 100644
index 00000000..1de27f67
--- /dev/null
+++ b/abc70930/src/abc.xcodeproj/raiyan.pbxuser
@@ -0,0 +1,1622 @@
+// !$*UTF8*$!
+{
+ 08FB7793FE84155DC02AAC07 /* Project object */ = {
+ activeBuildConfigurationName = Debug;
+ activeExecutable = 27A92B5E0E34922E006E7CF6 /* abc */;
+ activeTarget = 8DD76FA90486AB0100D96B5E /* abc */;
+ addToTargets = (
+ 8DD76FA90486AB0100D96B5E /* abc */,
+ );
+ breakpoints = (
+ 274041060E38C0DB00DC6F18 /* main.c:51 */,
+ 274041130E38D01D00DC6F18 /* mainUtils.c:78 */,
+ );
+ codeSenseManager = 27A92B6A0E34923D006E7CF6 /* Code sense */;
+ executables = (
+ 27A92B5E0E34922E006E7CF6 /* abc */,
+ );
+ perUserDictionary = {
+ PBXConfiguration.PBXFileTableDataSource3.PBXErrorsWarningsDataSource = {
+ PBXFileTableDataSourceColumnSortingDirectionKey = "-1";
+ PBXFileTableDataSourceColumnSortingKey = PBXErrorsWarningsDataSource_LocationID;
+ PBXFileTableDataSourceColumnWidthsKey = (
+ 20,
+ 300,
+ 724,
+ );
+ PBXFileTableDataSourceColumnsKey = (
+ PBXErrorsWarningsDataSource_TypeID,
+ PBXErrorsWarningsDataSource_MessageID,
+ PBXErrorsWarningsDataSource_LocationID,
+ );
+ };
+ PBXConfiguration.PBXFileTableDataSource3.PBXExecutablesDataSource = {
+ PBXFileTableDataSourceColumnSortingDirectionKey = "-1";
+ PBXFileTableDataSourceColumnSortingKey = PBXExecutablesDataSource_NameID;
+ PBXFileTableDataSourceColumnWidthsKey = (
+ 22,
+ 300,
+ 722,
+ );
+ PBXFileTableDataSourceColumnsKey = (
+ PBXExecutablesDataSource_ActiveFlagID,
+ PBXExecutablesDataSource_NameID,
+ PBXExecutablesDataSource_CommentsID,
+ );
+ };
+ PBXConfiguration.PBXFileTableDataSource3.PBXFileTableDataSource = {
+ PBXFileTableDataSourceColumnSortingDirectionKey = "-1";
+ PBXFileTableDataSourceColumnSortingKey = PBXFileDataSource_Filename_ColumnID;
+ PBXFileTableDataSourceColumnWidthsKey = (
+ 20,
+ 834,
+ 20,
+ 48,
+ 43,
+ 43,
+ 20,
+ );
+ PBXFileTableDataSourceColumnsKey = (
+ PBXFileDataSource_FiletypeID,
+ PBXFileDataSource_Filename_ColumnID,
+ PBXFileDataSource_Built_ColumnID,
+ PBXFileDataSource_ObjectSize_ColumnID,
+ PBXFileDataSource_Errors_ColumnID,
+ PBXFileDataSource_Warnings_ColumnID,
+ PBXFileDataSource_Target_ColumnID,
+ );
+ };
+ PBXConfiguration.PBXFileTableDataSource3.PBXSymbolsDataSource = {
+ PBXFileTableDataSourceColumnSortingDirectionKey = "-1";
+ PBXFileTableDataSourceColumnSortingKey = PBXSymbolsDataSource_SymbolNameID;
+ PBXFileTableDataSourceColumnWidthsKey = (
+ 16,
+ 200,
+ 50,
+ 774,
+ );
+ PBXFileTableDataSourceColumnsKey = (
+ PBXSymbolsDataSource_SymbolTypeIconID,
+ PBXSymbolsDataSource_SymbolNameID,
+ PBXSymbolsDataSource_SymbolTypeID,
+ PBXSymbolsDataSource_ReferenceNameID,
+ );
+ };
+ PBXConfiguration.PBXTargetDataSource.PBXTargetDataSource = {
+ PBXFileTableDataSourceColumnSortingDirectionKey = "-1";
+ PBXFileTableDataSourceColumnSortingKey = PBXFileDataSource_Filename_ColumnID;
+ PBXFileTableDataSourceColumnWidthsKey = (
+ 20,
+ 794,
+ 60,
+ 20,
+ 48,
+ 43,
+ 43,
+ );
+ PBXFileTableDataSourceColumnsKey = (
+ PBXFileDataSource_FiletypeID,
+ PBXFileDataSource_Filename_ColumnID,
+ PBXTargetDataSource_PrimaryAttribute,
+ PBXFileDataSource_Built_ColumnID,
+ PBXFileDataSource_ObjectSize_ColumnID,
+ PBXFileDataSource_Errors_ColumnID,
+ PBXFileDataSource_Warnings_ColumnID,
+ );
+ };
+ PBXPerProjectTemplateStateSaveDate = 238637327;
+ PBXWorkspaceStateSaveDate = 238637327;
+ };
+ perUserProjectItems = {
+ 2717FC7F0E3843AC0038296D /* PBXTextBookmark */ = 2717FC7F0E3843AC0038296D /* PBXTextBookmark */;
+ 2717FC810E3843AC0038296D /* PBXTextBookmark */ = 2717FC810E3843AC0038296D /* PBXTextBookmark */;
+ 2717FC820E3843AC0038296D /* PBXTextBookmark */ = 2717FC820E3843AC0038296D /* PBXTextBookmark */;
+ 2717FC830E3843AC0038296D /* PBXTextBookmark */ = 2717FC830E3843AC0038296D /* PBXTextBookmark */;
+ 2717FC840E3843AC0038296D /* PBXTextBookmark */ = 2717FC840E3843AC0038296D /* PBXTextBookmark */;
+ 2717FC850E3843AC0038296D /* PBXTextBookmark */ = 2717FC850E3843AC0038296D /* PBXTextBookmark */;
+ 2717FC870E3843AC0038296D /* PBXTextBookmark */ = 2717FC870E3843AC0038296D /* PBXTextBookmark */;
+ 2717FC890E3843AC0038296D /* PBXTextBookmark */ = 2717FC890E3843AC0038296D /* PBXTextBookmark */;
+ 2717FC8B0E3843AC0038296D /* PBXTextBookmark */ = 2717FC8B0E3843AC0038296D /* PBXTextBookmark */;
+ 2717FC8D0E3843AC0038296D /* PBXTextBookmark */ = 2717FC8D0E3843AC0038296D /* PBXTextBookmark */;
+ 2717FC8E0E3843AC0038296D /* PBXTextBookmark */ = 2717FC8E0E3843AC0038296D /* PBXTextBookmark */;
+ 2717FC8F0E3843AC0038296D /* PBXTextBookmark */ = 2717FC8F0E3843AC0038296D /* PBXTextBookmark */;
+ 2717FC900E3843AC0038296D /* PBXTextBookmark */ = 2717FC900E3843AC0038296D /* PBXTextBookmark */;
+ 2717FC930E3843AC0038296D /* PBXTextBookmark */ = 2717FC930E3843AC0038296D /* PBXTextBookmark */;
+ 2717FCA20E3852600038296D /* PBXTextBookmark */ = 2717FCA20E3852600038296D /* PBXTextBookmark */;
+ 2717FCA30E3852600038296D /* PBXTextBookmark */ = 2717FCA30E3852600038296D /* PBXTextBookmark */;
+ 2717FCA90E3852600038296D /* PBXTextBookmark */ = 2717FCA90E3852600038296D /* PBXTextBookmark */;
+ 2717FCB70E3854530038296D /* PBXTextBookmark */ = 2717FCB70E3854530038296D /* PBXTextBookmark */;
+ 2717FCB80E3854530038296D /* PBXTextBookmark */ = 2717FCB80E3854530038296D /* PBXTextBookmark */;
+ 2717FCBD0E3854530038296D /* PBXTextBookmark */ = 2717FCBD0E3854530038296D /* PBXTextBookmark */;
+ 2717FCBE0E3854530038296D /* PBXTextBookmark */ = 2717FCBE0E3854530038296D /* PBXTextBookmark */;
+ 2717FCC00E3854530038296D /* PBXTextBookmark */ = 2717FCC00E3854530038296D /* PBXTextBookmark */;
+ 2717FCD10E38569B0038296D /* PBXTextBookmark */ = 2717FCD10E38569B0038296D /* PBXTextBookmark */;
+ 2717FCD20E38569B0038296D /* PBXTextBookmark */ = 2717FCD20E38569B0038296D /* PBXTextBookmark */;
+ 2717FCD30E38569B0038296D /* PBXTextBookmark */ = 2717FCD30E38569B0038296D /* PBXTextBookmark */;
+ 2717FCD50E38569B0038296D /* PBXTextBookmark */ = 2717FCD50E38569B0038296D /* PBXTextBookmark */;
+ 2717FCD70E38569B0038296D /* PBXTextBookmark */ = 2717FCD70E38569B0038296D /* PBXTextBookmark */;
+ 2717FCE90E3857DC0038296D /* PBXTextBookmark */ = 2717FCE90E3857DC0038296D /* PBXTextBookmark */;
+ 2717FCEA0E3857DC0038296D /* PBXTextBookmark */ = 2717FCEA0E3857DC0038296D /* PBXTextBookmark */;
+ 2717FCEB0E3857DC0038296D /* PBXTextBookmark */ = 2717FCEB0E3857DC0038296D /* PBXTextBookmark */;
+ 2717FCED0E3857DC0038296D /* PBXTextBookmark */ = 2717FCED0E3857DC0038296D /* PBXTextBookmark */;
+ 2717FCEE0E3857DC0038296D /* PBXTextBookmark */ = 2717FCEE0E3857DC0038296D /* PBXTextBookmark */;
+ 2717FCEF0E3857DC0038296D /* PBXTextBookmark */ = 2717FCEF0E3857DC0038296D /* PBXTextBookmark */;
+ 2717FD140E3861B70038296D /* PBXTextBookmark */ = 2717FD140E3861B70038296D /* PBXTextBookmark */;
+ 2717FD150E3861B70038296D /* PBXTextBookmark */ = 2717FD150E3861B70038296D /* PBXTextBookmark */;
+ 2717FD190E3861B70038296D /* PBXTextBookmark */ = 2717FD190E3861B70038296D /* PBXTextBookmark */;
+ 2717FD1A0E3861B70038296D /* PBXTextBookmark */ = 2717FD1A0E3861B70038296D /* PBXTextBookmark */;
+ 2717FD1B0E3861B70038296D /* PBXTextBookmark */ = 2717FD1B0E3861B70038296D /* PBXTextBookmark */;
+ 273F099E0E387A1A00BA537B /* PBXTextBookmark */ = 273F099E0E387A1A00BA537B /* PBXTextBookmark */;
+ 273F099F0E387A1A00BA537B /* PBXTextBookmark */ = 273F099F0E387A1A00BA537B /* PBXTextBookmark */;
+ 273F09A00E387A1A00BA537B /* PBXTextBookmark */ = 273F09A00E387A1A00BA537B /* PBXTextBookmark */;
+ 273F09A10E387A1A00BA537B /* PBXTextBookmark */ = 273F09A10E387A1A00BA537B /* PBXTextBookmark */;
+ 273F09A20E387A1A00BA537B /* PBXTextBookmark */ = 273F09A20E387A1A00BA537B /* PBXTextBookmark */;
+ 273F09A40E387A1A00BA537B /* PBXTextBookmark */ = 273F09A40E387A1A00BA537B /* PBXTextBookmark */;
+ 273F09A50E387A1A00BA537B /* PBXTextBookmark */ = 273F09A50E387A1A00BA537B /* PBXTextBookmark */;
+ 274041210E38D50900DC6F18 /* PBXTextBookmark */ = 274041210E38D50900DC6F18 /* PBXTextBookmark */;
+ 274041230E38D50900DC6F18 /* PBXTextBookmark */ = 274041230E38D50900DC6F18 /* PBXTextBookmark */;
+ 274041280E38D50900DC6F18 /* PBXTextBookmark */ = 274041280E38D50900DC6F18 /* PBXTextBookmark */;
+ 2740412B0E38D50900DC6F18 /* PBXTextBookmark */ = 2740412B0E38D50900DC6F18 /* PBXTextBookmark */;
+ 274041720E38D73B00DC6F18 /* PBXTextBookmark */ = 274041720E38D73B00DC6F18 /* PBXTextBookmark */;
+ 2755FD8C0E35986F0031A656 /* PBXTextBookmark */ = 2755FD8C0E35986F0031A656 /* PBXTextBookmark */;
+ 2755FD8D0E35986F0031A656 /* PBXTextBookmark */ = 2755FD8D0E35986F0031A656 /* PBXTextBookmark */;
+ 2755FD8E0E35986F0031A656 /* PBXTextBookmark */ = 2755FD8E0E35986F0031A656 /* PBXTextBookmark */;
+ 2755FD8F0E35986F0031A656 /* PBXTextBookmark */ = 2755FD8F0E35986F0031A656 /* PBXTextBookmark */;
+ 2755FD900E35986F0031A656 /* PBXTextBookmark */ = 2755FD900E35986F0031A656 /* PBXTextBookmark */;
+ 2755FD910E35986F0031A656 /* PBXTextBookmark */ = 2755FD910E35986F0031A656 /* PBXTextBookmark */;
+ 2755FD920E35986F0031A656 /* PBXTextBookmark */ = 2755FD920E35986F0031A656 /* PBXTextBookmark */;
+ 2755FD930E35986F0031A656 /* PBXTextBookmark */ = 2755FD930E35986F0031A656 /* PBXTextBookmark */;
+ 2755FD950E35986F0031A656 /* PBXTextBookmark */ = 2755FD950E35986F0031A656 /* PBXTextBookmark */;
+ 2755FD960E35986F0031A656 /* PBXTextBookmark */ = 2755FD960E35986F0031A656 /* PBXTextBookmark */;
+ 2755FD970E35986F0031A656 /* PBXTextBookmark */ = 2755FD970E35986F0031A656 /* PBXTextBookmark */;
+ 2755FD980E35986F0031A656 /* PBXTextBookmark */ = 2755FD980E35986F0031A656 /* PBXTextBookmark */;
+ 2755FD990E35986F0031A656 /* PBXTextBookmark */ = 2755FD990E35986F0031A656 /* PBXTextBookmark */;
+ 2755FD9A0E35986F0031A656 /* PBXTextBookmark */ = 2755FD9A0E35986F0031A656 /* PBXTextBookmark */;
+ 2755FD9B0E35986F0031A656 /* PBXTextBookmark */ = 2755FD9B0E35986F0031A656 /* PBXTextBookmark */;
+ 2755FD9C0E35986F0031A656 /* PBXTextBookmark */ = 2755FD9C0E35986F0031A656 /* PBXTextBookmark */;
+ 2755FD9D0E35986F0031A656 /* PBXTextBookmark */ = 2755FD9D0E35986F0031A656 /* PBXTextBookmark */;
+ 2755FDCC0E3821400031A656 /* PBXTextBookmark */ = 2755FDCC0E3821400031A656 /* PBXTextBookmark */;
+ 2755FDCD0E3821400031A656 /* PBXTextBookmark */ = 2755FDCD0E3821400031A656 /* PBXTextBookmark */;
+ 2755FDD00E3821400031A656 /* PBXTextBookmark */ = 2755FDD00E3821400031A656 /* PBXTextBookmark */;
+ 2755FDD10E3821400031A656 /* PBXTextBookmark */ = 2755FDD10E3821400031A656 /* PBXTextBookmark */;
+ 27A930BD0E3494E8006E7CF6 /* PBXTextBookmark */ = 27A930BD0E3494E8006E7CF6 /* PBXTextBookmark */;
+ 27A930BE0E3494E8006E7CF6 /* PBXTextBookmark */ = 27A930BE0E3494E8006E7CF6 /* PBXTextBookmark */;
+ 27A930BF0E3494E8006E7CF6 /* PBXTextBookmark */ = 27A930BF0E3494E8006E7CF6 /* PBXTextBookmark */;
+ 27A930C10E3494E8006E7CF6 /* PBXTextBookmark */ = 27A930C10E3494E8006E7CF6 /* PBXTextBookmark */;
+ 27A930C30E3494E8006E7CF6 /* PBXTextBookmark */ = 27A930C30E3494E8006E7CF6 /* PBXTextBookmark */;
+ 27A930C40E3494E8006E7CF6 /* PBXTextBookmark */ = 27A930C40E3494E8006E7CF6 /* PBXTextBookmark */;
+ 27A930C50E3494E8006E7CF6 /* PBXTextBookmark */ = 27A930C50E3494E8006E7CF6 /* PBXTextBookmark */;
+ 27A930C60E3494E8006E7CF6 /* PBXTextBookmark */ = 27A930C60E3494E8006E7CF6 /* PBXTextBookmark */;
+ 27A930C70E3494E8006E7CF6 /* PBXTextBookmark */ = 27A930C70E3494E8006E7CF6 /* PBXTextBookmark */;
+ 27F2A0390E3951350056453A /* PBXTextBookmark */ = 27F2A0390E3951350056453A /* PBXTextBookmark */;
+ 27F2A03A0E3951350056453A /* PBXTextBookmark */ = 27F2A03A0E3951350056453A /* PBXTextBookmark */;
+ 27F2A03B0E3951350056453A /* PBXTextBookmark */ = 27F2A03B0E3951350056453A /* PBXTextBookmark */;
+ 27F2A0450E39514F0056453A /* PBXTextBookmark */ = 27F2A0450E39514F0056453A /* PBXTextBookmark */;
+ };
+ sourceControlManager = 27A92B690E34923D006E7CF6 /* Source Control */;
+ userBuildSettings = {
+ };
+ };
+ 2717FC7F0E3843AC0038296D /* PBXTextBookmark */ = {
+ isa = PBXTextBookmark;
+ fRef = 27A92E660E349283006E7CF6 /* nmTable.c */;
+ name = "nmTable.c: 1";
+ rLen = 0;
+ rLoc = 0;
+ rType = 0;
+ vrLen = 656;
+ vrLoc = 5092;
+ };
+ 2717FC810E3843AC0038296D /* PBXTextBookmark */ = {
+ isa = PBXTextBookmark;
+ fRef = 27A92CE20E349281006E7CF6 /* hop.h */;
+ name = "hop.h: 1";
+ rLen = 0;
+ rLoc = 0;
+ rType = 0;
+ vrLen = 733;
+ vrLoc = 459;
+ };
+ 2717FC820E3843AC0038296D /* PBXTextBookmark */ = {
+ isa = PBXTextBookmark;
+ fRef = 27A92E740E349283006E7CF6 /* vec.h */;
+ name = "vec.h: 1";
+ rLen = 0;
+ rLoc = 0;
+ rType = 0;
+ vrLen = 1041;
+ vrLoc = 788;
+ };
+ 2717FC830E3843AC0038296D /* PBXTextBookmark */ = {
+ isa = PBXTextBookmark;
+ fRef = 27A92E6E0E349283006E7CF6 /* leaks.h */;
+ name = "leaks.h: 1";
+ rLen = 0;
+ rLoc = 0;
+ rType = 0;
+ vrLen = 1112;
+ vrLoc = 0;
+ };
+ 2717FC840E3843AC0038296D /* PBXTextBookmark */ = {
+ isa = PBXTextBookmark;
+ fRef = 27A92C820E349281006E7CF6 /* aig.h */;
+ name = "aig.h: 48";
+ rLen = 49;
+ rLoc = 1390;
+ rType = 0;
+ vrLen = 1081;
+ vrLoc = 901;
+ };
+ 2717FC850E3843AC0038296D /* PBXTextBookmark */ = {
+ isa = PBXTextBookmark;
+ fRef = 27A92E6A0E349283006E7CF6 /* st.h */;
+ name = "st.h: 1";
+ rLen = 0;
+ rLoc = 0;
+ rType = 0;
+ vrLen = 462;
+ vrLoc = 0;
+ };
+ 2717FC870E3843AC0038296D /* PBXTextBookmark */ = {
+ isa = PBXTextBookmark;
+ fRef = 27A92CE00E349281006E7CF6 /* cudd2.c */;
+ name = "cudd2.c: 61";
+ rLen = 40;
+ rLoc = 1965;
+ rType = 0;
+ vrLen = 782;
+ vrLoc = 1516;
+ };
+ 2717FC890E3843AC0038296D /* PBXTextBookmark */ = {
+ isa = PBXTextBookmark;
+ fRef = 27A92E660E349283006E7CF6 /* nmTable.c */;
+ name = "nmTable.c: 1";
+ rLen = 0;
+ rLoc = 0;
+ rType = 0;
+ vrLen = 656;
+ vrLoc = 5092;
+ };
+ 2717FC8B0E3843AC0038296D /* PBXTextBookmark */ = {
+ isa = PBXTextBookmark;
+ fRef = 27A92DFA0E349282006E7CF6 /* temp.c */;
+ name = "temp.c: 14";
+ rLen = 0;
+ rLoc = 511;
+ rType = 0;
+ vrLen = 574;
+ vrLoc = 0;
+ };
+ 2717FC8D0E3843AC0038296D /* PBXTextBookmark */ = {
+ isa = PBXTextBookmark;
+ fRef = 27A92CE20E349281006E7CF6 /* hop.h */;
+ name = "hop.h: 1";
+ rLen = 0;
+ rLoc = 0;
+ rType = 0;
+ vrLen = 733;
+ vrLoc = 459;
+ };
+ 2717FC8E0E3843AC0038296D /* PBXTextBookmark */ = {
+ isa = PBXTextBookmark;
+ fRef = 27A92E740E349283006E7CF6 /* vec.h */;
+ name = "vec.h: 1";
+ rLen = 0;
+ rLoc = 0;
+ rType = 0;
+ vrLen = 1041;
+ vrLoc = 788;
+ };
+ 2717FC8F0E3843AC0038296D /* PBXTextBookmark */ = {
+ isa = PBXTextBookmark;
+ fRef = 27A92E6E0E349283006E7CF6 /* leaks.h */;
+ name = "leaks.h: 1";
+ rLen = 0;
+ rLoc = 0;
+ rType = 0;
+ vrLen = 1112;
+ vrLoc = 0;
+ };
+ 2717FC900E3843AC0038296D /* PBXTextBookmark */ = {
+ isa = PBXTextBookmark;
+ fRef = 27A92CE00E349281006E7CF6 /* cudd2.c */;
+ name = "cudd2.c: 21";
+ rLen = 0;
+ rLoc = 574;
+ rType = 0;
+ vrLen = 953;
+ vrLoc = 410;
+ };
+ 2717FC930E3843AC0038296D /* PBXTextBookmark */ = {
+ isa = PBXTextBookmark;
+ fRef = 27A92D060E349281006E7CF6 /* ivyMulti8.c */;
+ name = "ivyMulti8.c: 59";
+ rLen = 9;
+ rLoc = 2159;
+ rType = 0;
+ vrLen = 810;
+ vrLoc = 1486;
+ };
+ 2717FCA20E3852600038296D /* PBXTextBookmark */ = {
+ isa = PBXTextBookmark;
+ fRef = 27A92D060E349281006E7CF6 /* ivyMulti8.c */;
+ name = "ivyMulti8.c: 9";
+ rLen = 0;
+ rLoc = 274;
+ rType = 0;
+ vrLen = 718;
+ vrLoc = 0;
+ };
+ 2717FCA30E3852600038296D /* PBXTextBookmark */ = {
+ isa = PBXTextBookmark;
+ fRef = 27A92DF50E349282006E7CF6 /* mainFrame.c */;
+ name = "mainFrame.c: 1";
+ rLen = 0;
+ rLoc = 0;
+ rType = 0;
+ vrLen = 1823;
+ vrLoc = 1175;
+ };
+ 2717FCA90E3852600038296D /* PBXTextBookmark */ = {
+ isa = PBXTextBookmark;
+ fRef = 27A92DF50E349282006E7CF6 /* mainFrame.c */;
+ name = "mainFrame.c: 1";
+ rLen = 0;
+ rLoc = 0;
+ rType = 0;
+ vrLen = 1823;
+ vrLoc = 1175;
+ };
+ 2717FCB70E3854530038296D /* PBXTextBookmark */ = {
+ isa = PBXTextBookmark;
+ fRef = 27A92C2D0E349280006E7CF6 /* cuddDecomp.c */;
+ name = "cuddDecomp.c: 1402";
+ rLen = 8;
+ rLoc = 42831;
+ rType = 0;
+ vrLen = 818;
+ vrLoc = 42435;
+ };
+ 2717FCB80E3854530038296D /* PBXTextBookmark */ = {
+ isa = PBXTextBookmark;
+ fRef = 27A92C310E349280006E7CF6 /* cuddGenCof.c */;
+ name = "cuddGenCof.c: 159";
+ rLen = 8;
+ rLoc = 5663;
+ rType = 0;
+ vrLen = 658;
+ vrLoc = 5348;
+ };
+ 2717FCBD0E3854530038296D /* PBXTextBookmark */ = {
+ isa = PBXTextBookmark;
+ fRef = 27A92C2D0E349280006E7CF6 /* cuddDecomp.c */;
+ name = "cuddDecomp.c: 1402";
+ rLen = 8;
+ rLoc = 42831;
+ rType = 0;
+ vrLen = 818;
+ vrLoc = 42435;
+ };
+ 2717FCBE0E3854530038296D /* PBXTextBookmark */ = {
+ isa = PBXTextBookmark;
+ fRef = 27A92C310E349280006E7CF6 /* cuddGenCof.c */;
+ name = "cuddGenCof.c: 159";
+ rLen = 8;
+ rLoc = 5663;
+ rType = 0;
+ vrLen = 658;
+ vrLoc = 5348;
+ };
+ 2717FCC00E3854530038296D /* PBXTextBookmark */ = {
+ isa = PBXTextBookmark;
+ fRef = 27A92DB10E349282006E7CF6 /* abcPlace.c */;
+ name = "abcPlace.c: 32";
+ rLen = 27;
+ rLoc = 893;
+ rType = 0;
+ vrLen = 566;
+ vrLoc = 677;
+ };
+ 2717FCD10E38569B0038296D /* PBXTextBookmark */ = {
+ isa = PBXTextBookmark;
+ fRef = 27A92D0B0E349281006E7CF6 /* ivyRwrAlg.c */;
+ name = "ivyRwrAlg.c: 83";
+ rLen = 65;
+ rLoc = 2940;
+ rType = 0;
+ vrLen = 658;
+ vrLoc = 2549;
+ };
+ 2717FCD20E38569B0038296D /* PBXTextBookmark */ = {
+ isa = PBXTextBookmark;
+ fRef = 27A92DC80E349282006E7CF6 /* module.make */;
+ name = "module.make: 1";
+ rLen = 0;
+ rLoc = 0;
+ rType = 0;
+ vrLen = 716;
+ vrLoc = 478;
+ };
+ 2717FCD30E38569B0038296D /* PBXTextBookmark */ = {
+ isa = PBXTextBookmark;
+ fRef = 27A92DB10E349282006E7CF6 /* abcPlace.c */;
+ name = "abcPlace.c: 24";
+ rLen = 25;
+ rLoc = 576;
+ rType = 0;
+ vrLen = 683;
+ vrLoc = 258;
+ };
+ 2717FCD50E38569B0038296D /* PBXTextBookmark */ = {
+ isa = PBXTextBookmark;
+ fRef = 27A92D0B0E349281006E7CF6 /* ivyRwrAlg.c */;
+ name = "ivyRwrAlg.c: 83";
+ rLen = 65;
+ rLoc = 2940;
+ rType = 0;
+ vrLen = 658;
+ vrLoc = 2549;
+ };
+ 2717FCD70E38569B0038296D /* PBXTextBookmark */ = {
+ isa = PBXTextBookmark;
+ fRef = 27A92DC80E349282006E7CF6 /* module.make */;
+ name = "module.make: 1";
+ rLen = 0;
+ rLoc = 0;
+ rType = 0;
+ vrLen = 716;
+ vrLoc = 478;
+ };
+ 2717FCE90E3857DC0038296D /* PBXTextBookmark */ = {
+ isa = PBXTextBookmark;
+ fRef = 27A92BAF0E349280006E7CF6 /* resSim_old.c */;
+ name = "resSim_old.c: 1";
+ rLen = 0;
+ rLoc = 0;
+ rType = 0;
+ vrLen = 636;
+ vrLoc = 0;
+ };
+ 2717FCEA0E3857DC0038296D /* PBXTextBookmark */ = {
+ isa = PBXTextBookmark;
+ fRef = 27A92C010E349280006E7CF6 /* msatOrderJ.c */;
+ name = "msatOrderJ.c: 1";
+ rLen = 0;
+ rLoc = 0;
+ rType = 0;
+ vrLen = 776;
+ vrLoc = 0;
+ };
+ 2717FCEB0E3857DC0038296D /* PBXTextBookmark */ = {
+ isa = PBXTextBookmark;
+ fRef = 27A92D970E349282006E7CF6 /* abcCut.c */;
+ name = "abcCut.c: 1";
+ rLen = 0;
+ rLoc = 0;
+ rType = 0;
+ vrLen = 774;
+ vrLoc = 167;
+ };
+ 2717FCED0E3857DC0038296D /* PBXTextBookmark */ = {
+ isa = PBXTextBookmark;
+ fRef = 27A92BAF0E349280006E7CF6 /* resSim_old.c */;
+ name = "resSim_old.c: 1";
+ rLen = 0;
+ rLoc = 0;
+ rType = 0;
+ vrLen = 636;
+ vrLoc = 0;
+ };
+ 2717FCEE0E3857DC0038296D /* PBXTextBookmark */ = {
+ isa = PBXTextBookmark;
+ fRef = 27A92C010E349280006E7CF6 /* msatOrderJ.c */;
+ name = "msatOrderJ.c: 1";
+ rLen = 0;
+ rLoc = 0;
+ rType = 0;
+ vrLen = 776;
+ vrLoc = 0;
+ };
+ 2717FCEF0E3857DC0038296D /* PBXTextBookmark */ = {
+ isa = PBXTextBookmark;
+ fRef = 27A92D970E349282006E7CF6 /* abcCut.c */;
+ name = "abcCut.c: 1";
+ rLen = 0;
+ rLoc = 0;
+ rType = 0;
+ vrLen = 774;
+ vrLoc = 167;
+ };
+ 2717FD140E3861B70038296D /* PBXTextBookmark */ = {
+ isa = PBXTextBookmark;
+ fRef = 27A92DF70E349282006E7CF6 /* mainInt.h */;
+ name = "mainInt.h: 28";
+ rLen = 0;
+ rLoc = 832;
+ rType = 0;
+ vrLen = 810;
+ vrLoc = 465;
+ };
+ 2717FD150E3861B70038296D /* PBXTextBookmark */ = {
+ isa = PBXTextBookmark;
+ fRef = 27A92C580E349281006E7CF6 /* testcudd.c */;
+ name = "testcudd.c: 1";
+ rLen = 0;
+ rLoc = 0;
+ rType = 0;
+ vrLen = 782;
+ vrLoc = 0;
+ };
+ 2717FD190E3861B70038296D /* PBXTextBookmark */ = {
+ isa = PBXTextBookmark;
+ fRef = 27A92C580E349281006E7CF6 /* testcudd.c */;
+ name = "testcudd.c: 1";
+ rLen = 0;
+ rLoc = 0;
+ rType = 0;
+ vrLen = 782;
+ vrLoc = 0;
+ };
+ 2717FD1A0E3861B70038296D /* PBXTextBookmark */ = {
+ isa = PBXTextBookmark;
+ fRef = 27A92DF80E349282006E7CF6 /* mainUtils.c */;
+ name = "mainUtils.c: 78";
+ rLen = 11;
+ rLoc = 2288;
+ rType = 0;
+ vrLen = 631;
+ vrLoc = 1749;
+ };
+ 2717FD1B0E3861B70038296D /* PBXTextBookmark */ = {
+ isa = PBXTextBookmark;
+ fRef = 27A92DF40E349282006E7CF6 /* main.h */;
+ name = "main.h: 46";
+ rLen = 0;
+ rLoc = 1511;
+ rType = 0;
+ vrLen = 773;
+ vrLoc = 843;
+ };
+ 273F099E0E387A1A00BA537B /* PBXTextBookmark */ = {
+ isa = PBXTextBookmark;
+ fRef = 27A92DD50E349282006E7CF6 /* io.h */;
+ name = "io.h: 1";
+ rLen = 0;
+ rLoc = 0;
+ rType = 0;
+ vrLen = 837;
+ vrLoc = 677;
+ };
+ 273F099F0E387A1A00BA537B /* PBXTextBookmark */ = {
+ isa = PBXTextBookmark;
+ fRef = 27A92D770E349282006E7CF6 /* abc.h */;
+ name = "abc.h: 37";
+ rLen = 0;
+ rLoc = 931;
+ rType = 0;
+ vrLen = 914;
+ vrLoc = 1605;
+ };
+ 273F09A00E387A1A00BA537B /* PBXTextBookmark */ = {
+ isa = PBXTextBookmark;
+ fRef = 27A92DFA0E349282006E7CF6 /* temp.c */;
+ name = "temp.c: 14";
+ rLen = 0;
+ rLoc = 511;
+ rType = 0;
+ vrLen = 755;
+ vrLoc = 0;
+ };
+ 273F09A10E387A1A00BA537B /* PBXTextBookmark */ = {
+ isa = PBXTextBookmark;
+ fRef = 27A92DF40E349282006E7CF6 /* main.h */;
+ name = "main.h: 48";
+ rLen = 0;
+ rLoc = 1512;
+ rType = 0;
+ vrLen = 654;
+ vrLoc = 960;
+ };
+ 273F09A20E387A1A00BA537B /* PBXTextBookmark */ = {
+ isa = PBXTextBookmark;
+ fRef = 27A92DF30E349282006E7CF6 /* main.c */;
+ name = "main.c: 50";
+ rLen = 0;
+ rLoc = 1438;
+ rType = 0;
+ vrLen = 836;
+ vrLoc = 280;
+ };
+ 273F09A40E387A1A00BA537B /* PBXTextBookmark */ = {
+ isa = PBXTextBookmark;
+ fRef = 27A92DD50E349282006E7CF6 /* io.h */;
+ name = "io.h: 1";
+ rLen = 0;
+ rLoc = 0;
+ rType = 0;
+ vrLen = 837;
+ vrLoc = 677;
+ };
+ 273F09A50E387A1A00BA537B /* PBXTextBookmark */ = {
+ isa = PBXTextBookmark;
+ fRef = 27A92D770E349282006E7CF6 /* abc.h */;
+ name = "abc.h: 37";
+ rLen = 0;
+ rLoc = 931;
+ rType = 0;
+ vrLen = 914;
+ vrLoc = 1605;
+ };
+ 274041060E38C0DB00DC6F18 /* main.c:51 */ = {
+ isa = PBXFileBreakpoint;
+ actions = (
+ );
+ breakpointStyle = 0;
+ continueAfterActions = 0;
+ countType = 0;
+ delayBeforeContinue = 0;
+ fileReference = 27A92DF30E349282006E7CF6 /* main.c */;
+ functionName = "main()";
+ hitCount = 1;
+ ignoreCount = 0;
+ lineNumber = 51;
+ location = abc;
+ modificationTime = 238637372.018766;
+ state = 1;
+ };
+ 274041130E38D01D00DC6F18 /* mainUtils.c:78 */ = {
+ isa = PBXFileBreakpoint;
+ actions = (
+ );
+ breakpointStyle = 0;
+ continueAfterActions = 0;
+ countType = 0;
+ delayBeforeContinue = 0;
+ fileReference = 27A92DF80E349282006E7CF6 /* mainUtils.c */;
+ functionName = "Abc_UtilsGetUsersInput()";
+ hitCount = 0;
+ ignoreCount = 0;
+ lineNumber = 78;
+ location = abc;
+ modificationTime = 238637369.74741;
+ state = 1;
+ };
+ 274041210E38D50900DC6F18 /* PBXTextBookmark */ = {
+ isa = PBXTextBookmark;
+ fRef = 27A92DF60E349282006E7CF6 /* mainInit.c */;
+ name = "mainInit.c: 61";
+ rLen = 0;
+ rLoc = 2034;
+ rType = 0;
+ vrLen = 315;
+ vrLoc = 1989;
+ };
+ 274041230E38D50900DC6F18 /* PBXTextBookmark */ = {
+ isa = PBXTextBookmark;
+ fRef = 27A92E460E349282006E7CF6 /* extraUtilUtil.c */;
+ name = "extraUtilUtil.c: 254";
+ rLen = 0;
+ rLoc = 6224;
+ rType = 0;
+ vrLen = 246;
+ vrLoc = 6804;
+ };
+ 274041280E38D50900DC6F18 /* PBXTextBookmark */ = {
+ isa = PBXTextBookmark;
+ fRef = 27A92DF60E349282006E7CF6 /* mainInit.c */;
+ name = "mainInit.c: 61";
+ rLen = 0;
+ rLoc = 2034;
+ rType = 0;
+ vrLen = 315;
+ vrLoc = 1989;
+ };
+ 2740412B0E38D50900DC6F18 /* PBXTextBookmark */ = {
+ isa = PBXTextBookmark;
+ fRef = 27A92E460E349282006E7CF6 /* extraUtilUtil.c */;
+ name = "extraUtilUtil.c: 95";
+ rLen = 0;
+ rLoc = 2480;
+ rType = 0;
+ vrLen = 316;
+ vrLoc = 2351;
+ };
+ 274041720E38D73B00DC6F18 /* PBXTextBookmark */ = {
+ isa = PBXTextBookmark;
+ fRef = 27A92DF30E349282006E7CF6 /* main.c */;
+ name = "main.c: 213";
+ rLen = 0;
+ rLoc = 6094;
+ rType = 0;
+ vrLen = 601;
+ vrLoc = 1251;
+ };
+ 2755FD8C0E35986F0031A656 /* PBXTextBookmark */ = {
+ isa = PBXTextBookmark;
+ fRef = 27A92B6E0E349280006E7CF6 /* abcCut.c */;
+ name = "abcCut.c: 1";
+ rLen = 0;
+ rLoc = 0;
+ rType = 0;
+ vrLen = 994;
+ vrLoc = 0;
+ };
+ 2755FD8D0E35986F0031A656 /* PBXTextBookmark */ = {
+ isa = PBXTextBookmark;
+ fRef = 27A92B760E349280006E7CF6 /* cutMerge.c */;
+ name = "cutMerge.c: 1";
+ rLen = 0;
+ rLoc = 0;
+ rType = 0;
+ vrLen = 909;
+ vrLoc = 4785;
+ };
+ 2755FD8E0E35986F0031A656 /* PBXTextBookmark */ = {
+ isa = PBXTextBookmark;
+ fRef = 27A92D4D0E349281006E7CF6 /* mapper.h */;
+ name = "mapper.h: 1";
+ rLen = 0;
+ rLoc = 0;
+ rType = 0;
+ vrLen = 2101;
+ vrLoc = 8968;
+ };
+ 2755FD8F0E35986F0031A656 /* PBXTextBookmark */ = {
+ isa = PBXTextBookmark;
+ fRef = 27A92D4E0E349281006E7CF6 /* mapperCanon.c */;
+ name = "mapperCanon.c: 1";
+ rLen = 0;
+ rLoc = 0;
+ rType = 0;
+ vrLen = 1369;
+ vrLoc = 0;
+ };
+ 2755FD900E35986F0031A656 /* PBXTextBookmark */ = {
+ isa = PBXTextBookmark;
+ fRef = 27A92D4F0E349281006E7CF6 /* mapperCore.c */;
+ name = "mapperCore.c: 1";
+ rLen = 0;
+ rLoc = 0;
+ rType = 0;
+ vrLen = 1213;
+ vrLoc = 0;
+ };
+ 2755FD910E35986F0031A656 /* PBXTextBookmark */ = {
+ isa = PBXTextBookmark;
+ fRef = 27A92D500E349281006E7CF6 /* mapperCreate.c */;
+ name = "mapperCreate.c: 1";
+ rLen = 0;
+ rLoc = 0;
+ rType = 0;
+ vrLen = 1387;
+ vrLoc = 0;
+ };
+ 2755FD920E35986F0031A656 /* PBXTextBookmark */ = {
+ isa = PBXTextBookmark;
+ fRef = 27A92D540E349281006E7CF6 /* mapperGENERIC.c */;
+ name = "mapperGENERIC.c: 1";
+ rLen = 0;
+ rLoc = 0;
+ rType = 0;
+ vrLen = 1101;
+ vrLoc = 347;
+ };
+ 2755FD930E35986F0031A656 /* PBXTextBookmark */ = {
+ isa = PBXTextBookmark;
+ fRef = 27A92C100E349280006E7CF6 /* cas.h */;
+ name = "cas.h: 1";
+ rLen = 0;
+ rLoc = 0;
+ rType = 0;
+ vrLen = 1347;
+ vrLoc = 573;
+ };
+ 2755FD950E35986F0031A656 /* PBXTextBookmark */ = {
+ isa = PBXTextBookmark;
+ fRef = 27A92E330E349282006E7CF6 /* unate.c */;
+ name = "unate.c: 187";
+ rLen = 0;
+ rLoc = 2901;
+ rType = 0;
+ vrLen = 918;
+ vrLoc = 3741;
+ };
+ 2755FD960E35986F0031A656 /* PBXTextBookmark */ = {
+ isa = PBXTextBookmark;
+ fRef = 27A92B6E0E349280006E7CF6 /* abcCut.c */;
+ name = "abcCut.c: 1";
+ rLen = 0;
+ rLoc = 0;
+ rType = 0;
+ vrLen = 994;
+ vrLoc = 0;
+ };
+ 2755FD970E35986F0031A656 /* PBXTextBookmark */ = {
+ isa = PBXTextBookmark;
+ fRef = 27A92B760E349280006E7CF6 /* cutMerge.c */;
+ name = "cutMerge.c: 1";
+ rLen = 0;
+ rLoc = 0;
+ rType = 0;
+ vrLen = 909;
+ vrLoc = 4785;
+ };
+ 2755FD980E35986F0031A656 /* PBXTextBookmark */ = {
+ isa = PBXTextBookmark;
+ fRef = 27A92D4D0E349281006E7CF6 /* mapper.h */;
+ name = "mapper.h: 1";
+ rLen = 0;
+ rLoc = 0;
+ rType = 0;
+ vrLen = 2101;
+ vrLoc = 8968;
+ };
+ 2755FD990E35986F0031A656 /* PBXTextBookmark */ = {
+ isa = PBXTextBookmark;
+ fRef = 27A92D4E0E349281006E7CF6 /* mapperCanon.c */;
+ name = "mapperCanon.c: 1";
+ rLen = 0;
+ rLoc = 0;
+ rType = 0;
+ vrLen = 1369;
+ vrLoc = 0;
+ };
+ 2755FD9A0E35986F0031A656 /* PBXTextBookmark */ = {
+ isa = PBXTextBookmark;
+ fRef = 27A92D4F0E349281006E7CF6 /* mapperCore.c */;
+ name = "mapperCore.c: 1";
+ rLen = 0;
+ rLoc = 0;
+ rType = 0;
+ vrLen = 1213;
+ vrLoc = 0;
+ };
+ 2755FD9B0E35986F0031A656 /* PBXTextBookmark */ = {
+ isa = PBXTextBookmark;
+ fRef = 27A92D500E349281006E7CF6 /* mapperCreate.c */;
+ name = "mapperCreate.c: 1";
+ rLen = 0;
+ rLoc = 0;
+ rType = 0;
+ vrLen = 1387;
+ vrLoc = 0;
+ };
+ 2755FD9C0E35986F0031A656 /* PBXTextBookmark */ = {
+ isa = PBXTextBookmark;
+ fRef = 27A92D540E349281006E7CF6 /* mapperGENERIC.c */;
+ name = "mapperGENERIC.c: 1";
+ rLen = 0;
+ rLoc = 0;
+ rType = 0;
+ vrLen = 1101;
+ vrLoc = 347;
+ };
+ 2755FD9D0E35986F0031A656 /* PBXTextBookmark */ = {
+ isa = PBXTextBookmark;
+ fRef = 27A92C100E349280006E7CF6 /* cas.h */;
+ name = "cas.h: 1";
+ rLen = 0;
+ rLoc = 0;
+ rType = 0;
+ vrLen = 1347;
+ vrLoc = 573;
+ };
+ 2755FDCC0E3821400031A656 /* PBXTextBookmark */ = {
+ isa = PBXTextBookmark;
+ fRef = 27A92C110E349280006E7CF6 /* casCore.c */;
+ name = "casCore.c: 82";
+ rLen = 0;
+ rLoc = 3380;
+ rType = 0;
+ vrLen = 870;
+ vrLoc = 2963;
+ };
+ 2755FDCD0E3821400031A656 /* PBXTextBookmark */ = {
+ isa = PBXTextBookmark;
+ fRef = 27A92E600E349283006E7CF6 /* mvcUtils.c */;
+ name = "mvcUtils.c: 283";
+ rLen = 5;
+ rLoc = 8137;
+ rType = 0;
+ vrLen = 868;
+ vrLoc = 7715;
+ };
+ 2755FDD00E3821400031A656 /* PBXTextBookmark */ = {
+ isa = PBXTextBookmark;
+ fRef = 27A92C110E349280006E7CF6 /* casCore.c */;
+ name = "casCore.c: 82";
+ rLen = 0;
+ rLoc = 3380;
+ rType = 0;
+ vrLen = 870;
+ vrLoc = 2963;
+ };
+ 2755FDD10E3821400031A656 /* PBXTextBookmark */ = {
+ isa = PBXTextBookmark;
+ fRef = 27A92E600E349283006E7CF6 /* mvcUtils.c */;
+ name = "mvcUtils.c: 283";
+ rLen = 5;
+ rLoc = 8137;
+ rType = 0;
+ vrLen = 868;
+ vrLoc = 7715;
+ };
+ 27A92B5E0E34922E006E7CF6 /* abc */ = {
+ isa = PBXExecutable;
+ activeArgIndices = (
+ );
+ argumentStrings = (
+ );
+ autoAttachOnCrash = 1;
+ breakpointsEnabled = 1;
+ configStateDict = {
+ "PBXLSLaunchAction-0" = {
+ PBXLSLaunchAction = 0;
+ PBXLSLaunchStartAction = 1;
+ PBXLSLaunchStdioStyle = 2;
+ PBXLSLaunchStyle = 0;
+ class = PBXLSRunLaunchConfig;
+ commandLineArgs = (
+ );
+ displayName = "Executable Runner";
+ environment = {
+ };
+ identifier = com.apple.Xcode.launch.runConfig;
+ remoteHostInfo = "";
+ startActionInfo = "";
+ };
+ "PBXLSLaunchAction-1" = {
+ PBXLSLaunchAction = 1;
+ PBXLSLaunchStartAction = 1;
+ PBXLSLaunchStdioStyle = 2;
+ PBXLSLaunchStyle = 0;
+ class = PBXGDB_LaunchConfig;
+ commandLineArgs = (
+ );
+ displayName = GDB;
+ environment = {
+ };
+ identifier = com.apple.Xcode.launch.GDBMI_Config;
+ remoteHostInfo = "";
+ startActionInfo = "";
+ };
+ };
+ customDataFormattersEnabled = 1;
+ debuggerPlugin = GDBDebugging;
+ disassemblyDisplayState = 0;
+ dylibVariantSuffix = "";
+ enableDebugStr = 1;
+ environmentEntries = (
+ );
+ executableSystemSymbolLevel = 0;
+ executableUserSymbolLevel = 0;
+ libgmallocEnabled = 0;
+ name = abc;
+ savedGlobals = {
+ };
+ sourceDirectories = (
+ );
+ variableFormatDictionary = {
+ };
+ };
+ 27A92B690E34923D006E7CF6 /* Source Control */ = {
+ isa = PBXSourceControlManager;
+ fallbackIsa = XCSourceControlManager;
+ isSCMEnabled = 0;
+ scmConfiguration = {
+ };
+ };
+ 27A92B6A0E34923D006E7CF6 /* Code sense */ = {
+ isa = PBXCodeSenseManager;
+ indexTemplatePath = "";
+ };
+ 27A92B6E0E349280006E7CF6 /* abcCut.c */ = {
+ uiCtxt = {
+ sepNavIntBoundsRect = "{{0, 0}, {1012, 7000}}";
+ sepNavSelRange = "{0, 0}";
+ sepNavVisRange = "{0, 994}";
+ };
+ };
+ 27A92B760E349280006E7CF6 /* cutMerge.c */ = {
+ uiCtxt = {
+ sepNavIntBoundsRect = "{{0, 0}, {1012, 9198}}";
+ sepNavSelRange = "{0, 0}";
+ sepNavVisRange = "{4785, 909}";
+ };
+ };
+ 27A92BAF0E349280006E7CF6 /* resSim_old.c */ = {
+ uiCtxt = {
+ sepNavIntBoundsRect = "{{0, 0}, {1012, 7224}}";
+ sepNavSelRange = "{0, 0}";
+ sepNavVisRange = "{0, 636}";
+ };
+ };
+ 27A92BD50E349280006E7CF6 /* generic.h */ = {
+ uiCtxt = {
+ sepNavIntBoundsRect = "{{0, 0}, {1012, 896}}";
+ sepNavSelRange = "{0, 0}";
+ sepNavVisRange = "{0, 1021}";
+ };
+ };
+ 27A92BFE0E349280006E7CF6 /* msatInt.h */ = {
+ uiCtxt = {
+ sepNavIntBoundsRect = "{{0, 0}, {691, 4662}}";
+ sepNavSelRange = "{1826, 28}";
+ sepNavVisRange = "{1111, 1650}";
+ sepNavWindowFrame = "{{15, 76}, {750, 697}}";
+ };
+ };
+ 27A92C010E349280006E7CF6 /* msatOrderJ.c */ = {
+ uiCtxt = {
+ sepNavIntBoundsRect = "{{0, 0}, {1012, 6608}}";
+ sepNavSelRange = "{0, 0}";
+ sepNavVisRange = "{0, 776}";
+ };
+ };
+ 27A92C100E349280006E7CF6 /* cas.h */ = {
+ uiCtxt = {
+ sepNavIntBoundsRect = "{{0, 0}, {1012, 910}}";
+ sepNavSelRange = "{0, 0}";
+ sepNavVisRange = "{573, 1347}";
+ };
+ };
+ 27A92C110E349280006E7CF6 /* casCore.c */ = {
+ uiCtxt = {
+ sepNavIntBoundsRect = "{{0, 0}, {1012, 17360}}";
+ sepNavSelRange = "{3380, 0}";
+ sepNavVisRange = "{2963, 870}";
+ };
+ };
+ 27A92C2D0E349280006E7CF6 /* cuddDecomp.c */ = {
+ uiCtxt = {
+ sepNavIntBoundsRect = "{{0, 0}, {1012, 30478}}";
+ sepNavSelRange = "{42831, 8}";
+ sepNavVisRange = "{42435, 818}";
+ };
+ };
+ 27A92C310E349280006E7CF6 /* cuddGenCof.c */ = {
+ uiCtxt = {
+ sepNavIntBoundsRect = "{{0, 0}, {1012, 27202}}";
+ sepNavSelRange = "{5663, 8}";
+ sepNavVisRange = "{5348, 658}";
+ sepNavWindowFrame = "{{15, 76}, {750, 697}}";
+ };
+ };
+ 27A92C580E349281006E7CF6 /* testcudd.c */ = {
+ uiCtxt = {
+ sepNavIntBoundsRect = "{{0, 0}, {1012, 13706}}";
+ sepNavSelRange = "{0, 0}";
+ sepNavVisRange = "{0, 782}";
+ };
+ };
+ 27A92C7F0E349281006E7CF6 /* generic.c */ = {
+ uiCtxt = {
+ sepNavIntBoundsRect = "{{0, 0}, {1012, 714}}";
+ sepNavSelRange = "{468, 20}";
+ sepNavVisRange = "{0, 1069}";
+ };
+ };
+ 27A92C820E349281006E7CF6 /* aig.h */ = {
+ uiCtxt = {
+ sepNavIntBoundsRect = "{{0, 0}, {1012, 8358}}";
+ sepNavSelRange = "{1390, 49}";
+ sepNavVisRange = "{901, 1081}";
+ sepNavWindowFrame = "{{15, 76}, {750, 697}}";
+ };
+ };
+ 27A92C850E349281006E7CF6 /* aigDfs.c */ = {
+ uiCtxt = {
+ sepNavIntBoundsRect = "{{0, 0}, {1012, 10176}}";
+ sepNavSelRange = "{13510, 0}";
+ sepNavVisRange = "{7567, 867}";
+ };
+ };
+ 27A92C870E349281006E7CF6 /* aigMan.c */ = {
+ uiCtxt = {
+ sepNavIntBoundsRect = "{{0, 0}, {691, 4606}}";
+ sepNavSelRange = "{1348, 43}";
+ sepNavVisRange = "{525, 1532}";
+ sepNavWindowFrame = "{{15, 76}, {750, 697}}";
+ };
+ };
+ 27A92CE00E349281006E7CF6 /* cudd2.c */ = {
+ uiCtxt = {
+ sepNavIntBoundsRect = "{{0, 0}, {1012, 5068}}";
+ sepNavSelRange = "{1965, 40}";
+ sepNavVisRange = "{1516, 764}";
+ sepNavWindowFrame = "{{15, 76}, {750, 697}}";
+ };
+ };
+ 27A92CE20E349281006E7CF6 /* hop.h */ = {
+ uiCtxt = {
+ sepNavIntBoundsRect = "{{0, 0}, {1302, 4872}}";
+ sepNavSelRange = "{0, 0}";
+ sepNavVisRange = "{3631, 3108}";
+ sepNavWindowFrame = "{{15, 76}, {750, 697}}";
+ };
+ };
+ 27A92CF60E349281006E7CF6 /* ivy.h */ = {
+ uiCtxt = {
+ sepNavIntBoundsRect = "{{0, 0}, {691, 8372}}";
+ sepNavSelRange = "{1388, 49}";
+ sepNavVisRange = "{2070, 1848}";
+ sepNavWindowFrame = "{{15, 76}, {750, 697}}";
+ };
+ };
+ 27A92D060E349281006E7CF6 /* ivyMulti8.c */ = {
+ uiCtxt = {
+ sepNavIntBoundsRect = "{{0, 0}, {1012, 6048}}";
+ sepNavSelRange = "{274, 0}";
+ sepNavVisRange = "{0, 718}";
+ sepNavWindowFrame = "{{15, 76}, {750, 697}}";
+ };
+ };
+ 27A92D0B0E349281006E7CF6 /* ivyRwrAlg.c */ = {
+ uiCtxt = {
+ sepNavIntBoundsRect = "{{0, 0}, {1012, 5736}}";
+ sepNavSelRange = "{2940, 65}";
+ sepNavVisRange = "{2571, 969}";
+ };
+ };
+ 27A92D3C0E349281006E7CF6 /* fpgaVec.c */ = {
+ uiCtxt = {
+ sepNavIntBoundsRect = "{{0, 0}, {691, 5582}}";
+ sepNavSelRange = "{0, 0}";
+ sepNavVisRange = "{0, 1405}";
+ sepNavWindowFrame = "{{15, 76}, {750, 697}}";
+ };
+ };
+ 27A92D4C0E349281006E7CF6 /* mapper.c */ = {
+ uiCtxt = {
+ sepNavIntBoundsRect = "{{0, 0}, {691, 2604}}";
+ sepNavSelRange = "{1397, 14}";
+ sepNavVisRange = "{1106, 889}";
+ sepNavWindowFrame = "{{15, 76}, {750, 697}}";
+ };
+ };
+ 27A92D4D0E349281006E7CF6 /* mapper.h */ = {
+ uiCtxt = {
+ sepNavIntBoundsRect = "{{0, 0}, {1012, 2744}}";
+ sepNavSelRange = "{0, 0}";
+ sepNavVisRange = "{8968, 2101}";
+ };
+ };
+ 27A92D4E0E349281006E7CF6 /* mapperCanon.c */ = {
+ uiCtxt = {
+ sepNavIntBoundsRect = "{{0, 0}, {1012, 3780}}";
+ sepNavSelRange = "{0, 0}";
+ sepNavVisRange = "{0, 1369}";
+ };
+ };
+ 27A92D4F0E349281006E7CF6 /* mapperCore.c */ = {
+ uiCtxt = {
+ sepNavIntBoundsRect = "{{0, 0}, {1012, 3220}}";
+ sepNavSelRange = "{0, 0}";
+ sepNavVisRange = "{0, 1213}";
+ };
+ };
+ 27A92D500E349281006E7CF6 /* mapperCreate.c */ = {
+ uiCtxt = {
+ sepNavIntBoundsRect = "{{0, 0}, {1012, 8316}}";
+ sepNavSelRange = "{0, 0}";
+ sepNavVisRange = "{0, 1387}";
+ };
+ };
+ 27A92D540E349281006E7CF6 /* mapperGENERIC.c */ = {
+ uiCtxt = {
+ sepNavIntBoundsRect = "{{0, 0}, {1012, 658}}";
+ sepNavSelRange = "{0, 0}";
+ sepNavVisRange = "{347, 1101}";
+ };
+ };
+ 27A92D770E349282006E7CF6 /* abc.h */ = {
+ uiCtxt = {
+ sepNavIntBoundsRect = "{{0, 0}, {1590, 12502}}";
+ sepNavSelRange = "{26732, 0}";
+ sepNavVisRange = "{12385, 1622}";
+ sepNavWindowFrame = "{{15, 76}, {750, 697}}";
+ };
+ };
+ 27A92D860E349282006E7CF6 /* abcNtk.c */ = {
+ uiCtxt = {
+ sepNavIntBoundsRect = "{{0, 0}, {1219, 17094}}";
+ sepNavSelRange = "{4224, 0}";
+ sepNavVisRange = "{3910, 628}";
+ };
+ };
+ 27A92D870E349282006E7CF6 /* abcObj.c */ = {
+ uiCtxt = {
+ sepNavIntBoundsRect = "{{0, 0}, {1219, 13636}}";
+ sepNavSelRange = "{10191, 0}";
+ sepNavVisRange = "{9836, 490}";
+ };
+ };
+ 27A92D8E0E349282006E7CF6 /* abc.c */ = {
+ uiCtxt = {
+ sepNavIntBoundsRect = "{{0, 0}, {1219, 178332}}";
+ sepNavSelRange = "{290028, 0}";
+ sepNavVisRange = "{290021, 526}";
+ };
+ };
+ 27A92D920E349282006E7CF6 /* abcBalance.c */ = {
+ uiCtxt = {
+ sepNavIntBoundsRect = "{{0, 0}, {1219, 8484}}";
+ sepNavSelRange = "{2298, 0}";
+ sepNavVisRange = "{1940, 604}";
+ };
+ };
+ 27A92D970E349282006E7CF6 /* abcCut.c */ = {
+ uiCtxt = {
+ sepNavIntBoundsRect = "{{0, 0}, {1012, 8750}}";
+ sepNavSelRange = "{0, 0}";
+ sepNavVisRange = "{167, 774}";
+ };
+ };
+ 27A92DB10E349282006E7CF6 /* abcPlace.c */ = {
+ uiCtxt = {
+ sepNavIntBoundsRect = "{{0, 0}, {1012, 3556}}";
+ sepNavSelRange = "{576, 25}";
+ sepNavVisRange = "{258, 683}";
+ };
+ };
+ 27A92DC40E349282006E7CF6 /* abcUnate.c */ = {
+ uiCtxt = {
+ sepNavIntBoundsRect = "{{0, 0}, {691, 2170}}";
+ sepNavSelRange = "{0, 0}";
+ sepNavVisRange = "{0, 1336}";
+ sepNavWindowFrame = "{{15, 76}, {750, 697}}";
+ };
+ };
+ 27A92DC80E349282006E7CF6 /* module.make */ = {
+ uiCtxt = {
+ sepNavIntBoundsRect = "{{0, 0}, {1012, 784}}";
+ sepNavSelRange = "{0, 0}";
+ sepNavVisRange = "{478, 716}";
+ };
+ };
+ 27A92DCA0E349282006E7CF6 /* cmd.c */ = {
+ uiCtxt = {
+ sepNavIntBoundsRect = "{{0, 0}, {691, 22820}}";
+ sepNavSelRange = "{4544, 0}";
+ sepNavVisRange = "{2742, 2018}";
+ sepNavWindowFrame = "{{15, 76}, {750, 697}}";
+ };
+ };
+ 27A92DCB0E349282006E7CF6 /* cmd.h */ = {
+ uiCtxt = {
+ sepNavIntBoundsRect = "{{0, 0}, {691, 1036}}";
+ sepNavSelRange = "{2768, 0}";
+ sepNavVisRange = "{928, 2086}";
+ sepNavWindowFrame = "{{15, 76}, {750, 697}}";
+ };
+ };
+ 27A92DCD0E349282006E7CF6 /* cmdApi.c */ = {
+ uiCtxt = {
+ sepNavIntBoundsRect = "{{0, 0}, {1219, 1512}}";
+ sepNavSelRange = "{2785, 0}";
+ sepNavVisRange = "{2484, 423}";
+ sepNavWindowFrame = "{{15, 76}, {750, 697}}";
+ };
+ };
+ 27A92DCE0E349282006E7CF6 /* cmdFlag.c */ = {
+ uiCtxt = {
+ sepNavIntBoundsRect = "{{0, 0}, {1219, 1386}}";
+ sepNavSelRange = "{1654, 0}";
+ sepNavVisRange = "{1241, 438}";
+ };
+ };
+ 27A92DCF0E349282006E7CF6 /* cmdHist.c */ = {
+ uiCtxt = {
+ sepNavIntBoundsRect = "{{0, 0}, {1219, 784}}";
+ sepNavSelRange = "{1568, 0}";
+ sepNavVisRange = "{1201, 446}";
+ };
+ };
+ 27A92DD10E349282006E7CF6 /* cmdUtils.c */ = {
+ uiCtxt = {
+ sepNavIntBoundsRect = "{{0, 0}, {1219, 9016}}";
+ sepNavSelRange = "{3526, 0}";
+ sepNavVisRange = "{3266, 541}";
+ };
+ };
+ 27A92DD40E349282006E7CF6 /* io.c */ = {
+ uiCtxt = {
+ sepNavIntBoundsRect = "{{0, 0}, {691, 26236}}";
+ sepNavSelRange = "{3837, 36}";
+ sepNavVisRange = "{3341, 2202}";
+ sepNavWindowFrame = "{{15, 76}, {750, 697}}";
+ };
+ };
+ 27A92DD50E349282006E7CF6 /* io.h */ = {
+ uiCtxt = {
+ sepNavIntBoundsRect = "{{0, 0}, {1012, 2422}}";
+ sepNavSelRange = "{0, 0}";
+ sepNavVisRange = "{677, 837}";
+ };
+ };
+ 27A92DF30E349282006E7CF6 /* main.c */ = {
+ uiCtxt = {
+ sepNavIntBoundsRect = "{{0, 0}, {1219, 4466}}";
+ sepNavSelRange = "{1588, 0}";
+ sepNavVisRange = "{1326, 503}";
+ sepNavWindowFrame = "{{15, 76}, {750, 697}}";
+ };
+ };
+ 27A92DF40E349282006E7CF6 /* main.h */ = {
+ uiCtxt = {
+ sepNavIntBoundsRect = "{{0, 0}, {1012, 1918}}";
+ sepNavSelRange = "{1512, 0}";
+ sepNavVisRange = "{960, 654}";
+ sepNavWindowFrame = "{{15, 76}, {750, 697}}";
+ };
+ };
+ 27A92DF50E349282006E7CF6 /* mainFrame.c */ = {
+ uiCtxt = {
+ sepNavIntBoundsRect = "{{0, 0}, {1219, 7364}}";
+ sepNavSelRange = "{7049, 0}";
+ sepNavVisRange = "{6906, 299}";
+ sepNavWindowFrame = "{{15, 76}, {750, 697}}";
+ };
+ };
+ 27A92DF60E349282006E7CF6 /* mainInit.c */ = {
+ uiCtxt = {
+ sepNavIntBoundsRect = "{{0, 0}, {1219, 1512}}";
+ sepNavSelRange = "{2034, 0}";
+ sepNavVisRange = "{1989, 315}";
+ sepNavWindowFrame = "{{15, 76}, {750, 697}}";
+ };
+ };
+ 27A92DF70E349282006E7CF6 /* mainInt.h */ = {
+ uiCtxt = {
+ sepNavIntBoundsRect = "{{0, 0}, {691, 1540}}";
+ sepNavSelRange = "{832, 0}";
+ sepNavVisRange = "{267, 1411}";
+ sepNavWindowFrame = "{{15, 76}, {750, 697}}";
+ };
+ };
+ 27A92DF80E349282006E7CF6 /* mainUtils.c */ = {
+ uiCtxt = {
+ sepNavIntBoundsRect = "{{0, 0}, {1012, 3990}}";
+ sepNavSelRange = "{1783, 44}";
+ sepNavVisRange = "{1569, 573}";
+ sepNavWindowFrame = "{{15, 76}, {750, 697}}";
+ };
+ };
+ 27A92DFA0E349282006E7CF6 /* temp.c */ = {
+ uiCtxt = {
+ sepNavIntBoundsRect = "{{0, 0}, {1012, 1162}}";
+ sepNavSelRange = "{511, 0}";
+ sepNavVisRange = "{0, 755}";
+ };
+ };
+ 27A92E130E349282006E7CF6 /* espresso.h */ = {
+ uiCtxt = {
+ sepNavIntBoundsRect = "{{0, 0}, {691, 11130}}";
+ sepNavSelRange = "{1704, 29}";
+ sepNavVisRange = "{814, 1651}";
+ sepNavWindowFrame = "{{15, 76}, {750, 697}}";
+ };
+ };
+ 27A92E330E349282006E7CF6 /* unate.c */ = {
+ uiCtxt = {
+ sepNavIntBoundsRect = "{{0, 0}, {1012, 6258}}";
+ sepNavSelRange = "{10139, 15}";
+ sepNavVisRange = "{9874, 661}";
+ sepNavWindowFrame = "{{15, 76}, {750, 697}}";
+ };
+ };
+ 27A92E410E349282006E7CF6 /* extraUtilMemory.c */ = {
+ uiCtxt = {
+ sepNavIntBoundsRect = "{{0, 0}, {1219, 8946}}";
+ sepNavSelRange = "{7240, 0}";
+ sepNavVisRange = "{8045, 539}";
+ };
+ };
+ 27A92E460E349282006E7CF6 /* extraUtilUtil.c */ = {
+ uiCtxt = {
+ sepNavIntBoundsRect = "{{0, 0}, {1219, 4620}}";
+ sepNavSelRange = "{2934, 0}";
+ sepNavVisRange = "{3757, 304}";
+ sepNavWindowFrame = "{{15, 76}, {750, 697}}";
+ };
+ };
+ 27A92E600E349283006E7CF6 /* mvcUtils.c */ = {
+ uiCtxt = {
+ sepNavIntBoundsRect = "{{0, 0}, {1012, 12222}}";
+ sepNavSelRange = "{8137, 5}";
+ sepNavVisRange = "{7715, 868}";
+ };
+ };
+ 27A92E660E349283006E7CF6 /* nmTable.c */ = {
+ uiCtxt = {
+ sepNavIntBoundsRect = "{{0, 0}, {1012, 4760}}";
+ sepNavSelRange = "{0, 0}";
+ sepNavVisRange = "{5092, 656}";
+ sepNavWindowFrame = "{{15, 76}, {750, 697}}";
+ };
+ };
+ 27A92E690E349283006E7CF6 /* st.c */ = {
+ uiCtxt = {
+ sepNavIntBoundsRect = "{{0, 0}, {1219, 8652}}";
+ sepNavSelRange = "{3367, 0}";
+ sepNavVisRange = "{3486, 200}";
+ };
+ };
+ 27A92E6A0E349283006E7CF6 /* st.h */ = {
+ uiCtxt = {
+ sepNavIntBoundsRect = "{{0, 0}, {1012, 1358}}";
+ sepNavSelRange = "{0, 0}";
+ sepNavVisRange = "{0, 462}";
+ };
+ };
+ 27A92E6E0E349283006E7CF6 /* leaks.h */ = {
+ uiCtxt = {
+ sepNavIntBoundsRect = "{{0, 0}, {1012, 434}}";
+ sepNavSelRange = "{0, 0}";
+ sepNavVisRange = "{0, 1112}";
+ };
+ };
+ 27A92E710E349283006E7CF6 /* util_hack.h */ = {
+ uiCtxt = {
+ sepNavIntBoundsRect = "{{0, 0}, {691, 1344}}";
+ sepNavSelRange = "{2287, 45}";
+ sepNavVisRange = "{1599, 1173}";
+ sepNavWindowFrame = "{{15, 76}, {750, 697}}";
+ };
+ };
+ 27A92E740E349283006E7CF6 /* vec.h */ = {
+ uiCtxt = {
+ sepNavIntBoundsRect = "{{0, 0}, {1012, 1554}}";
+ sepNavSelRange = "{0, 0}";
+ sepNavVisRange = "{788, 1041}";
+ };
+ };
+ 27A92E780E349283006E7CF6 /* vecPtr.h */ = {
+ uiCtxt = {
+ sepNavIntBoundsRect = "{{0, 0}, {1219, 10864}}";
+ sepNavSelRange = "{16340, 0}";
+ sepNavVisRange = "{16340, 305}";
+ };
+ };
+ 27A930B60E3492E6006E7CF6 /* abcDar.c */ = {
+ isa = PBXFileReference;
+ lastKnownFileType = sourcecode.c.c;
+ name = abcDar.c;
+ path = "/Users/raiyan/Documents/Xcode Projects/abc/base/abci/abcDar.c";
+ sourceTree = "<absolute>";
+ };
+ 27A930BD0E3494E8006E7CF6 /* PBXTextBookmark */ = {
+ isa = PBXTextBookmark;
+ fRef = 27A92BD50E349280006E7CF6 /* generic.h */;
+ name = "generic.h: 1";
+ rLen = 0;
+ rLoc = 0;
+ rType = 0;
+ vrLen = 1021;
+ vrLoc = 0;
+ };
+ 27A930BE0E3494E8006E7CF6 /* PBXTextBookmark */ = {
+ isa = PBXTextBookmark;
+ fRef = 27A930B60E3492E6006E7CF6 /* abcDar.c */;
+ name = "abcDar.c: 282";
+ rLen = 0;
+ rLoc = 10649;
+ rType = 0;
+ vrLen = 916;
+ vrLoc = 10295;
+ };
+ 27A930BF0E3494E8006E7CF6 /* PBXTextBookmark */ = {
+ isa = PBXTextBookmark;
+ fRef = 27A92C7F0E349281006E7CF6 /* generic.c */;
+ name = "generic.c: 21";
+ rLen = 20;
+ rLoc = 468;
+ rType = 0;
+ vrLen = 1014;
+ vrLoc = 0;
+ };
+ 27A930C10E3494E8006E7CF6 /* PBXTextBookmark */ = {
+ isa = PBXTextBookmark;
+ fRef = 27A92C850E349281006E7CF6 /* aigDfs.c */;
+ name = "aigDfs.c: 452";
+ rLen = 0;
+ rLoc = 13510;
+ rType = 0;
+ vrLen = 798;
+ vrLoc = 7567;
+ };
+ 27A930C30E3494E8006E7CF6 /* PBXTextBookmark */ = {
+ isa = PBXTextBookmark;
+ fRef = 27A92BD50E349280006E7CF6 /* generic.h */;
+ name = "generic.h: 1";
+ rLen = 0;
+ rLoc = 0;
+ rType = 0;
+ vrLen = 1021;
+ vrLoc = 0;
+ };
+ 27A930C40E3494E8006E7CF6 /* PBXTextBookmark */ = {
+ isa = PBXTextBookmark;
+ fRef = 27A930B60E3492E6006E7CF6 /* abcDar.c */;
+ name = "abcDar.c: 282";
+ rLen = 0;
+ rLoc = 10649;
+ rType = 0;
+ vrLen = 916;
+ vrLoc = 10295;
+ };
+ 27A930C50E3494E8006E7CF6 /* PBXTextBookmark */ = {
+ isa = PBXTextBookmark;
+ fRef = 27A92C7F0E349281006E7CF6 /* generic.c */;
+ name = "generic.c: 21";
+ rLen = 20;
+ rLoc = 468;
+ rType = 0;
+ vrLen = 1014;
+ vrLoc = 0;
+ };
+ 27A930C60E3494E8006E7CF6 /* PBXTextBookmark */ = {
+ isa = PBXTextBookmark;
+ fRef = 27A92C820E349281006E7CF6 /* aig.h */;
+ name = "aig.h: 69";
+ rLen = 31;
+ rLoc = 2287;
+ rType = 0;
+ vrLen = 1509;
+ vrLoc = 1016;
+ };
+ 27A930C70E3494E8006E7CF6 /* PBXTextBookmark */ = {
+ isa = PBXTextBookmark;
+ fRef = 27A92C850E349281006E7CF6 /* aigDfs.c */;
+ name = "aigDfs.c: 452";
+ rLen = 0;
+ rLoc = 13510;
+ rType = 0;
+ vrLen = 798;
+ vrLoc = 7567;
+ };
+ 27F2A0390E3951350056453A /* PBXTextBookmark */ = {
+ isa = PBXTextBookmark;
+ fRef = 27A92E330E349282006E7CF6 /* unate.c */;
+ name = "unate.c: 419";
+ rLen = 15;
+ rLoc = 10139;
+ rType = 0;
+ vrLen = 661;
+ vrLoc = 9874;
+ };
+ 27F2A03A0E3951350056453A /* PBXTextBookmark */ = {
+ isa = PBXTextBookmark;
+ comments = "warning: unused variable 'Buffer'";
+ fRef = 27A92DF80E349282006E7CF6 /* mainUtils.c */;
+ rLen = 1;
+ rLoc = 66;
+ rType = 1;
+ };
+ 27F2A03B0E3951350056453A /* PBXTextBookmark */ = {
+ isa = PBXTextBookmark;
+ fRef = 27A92E330E349282006E7CF6 /* unate.c */;
+ name = "unate.c: 419";
+ rLen = 15;
+ rLoc = 10139;
+ rType = 0;
+ vrLen = 661;
+ vrLoc = 9874;
+ };
+ 27F2A0450E39514F0056453A /* PBXTextBookmark */ = {
+ isa = PBXTextBookmark;
+ fRef = 27A92DF80E349282006E7CF6 /* mainUtils.c */;
+ name = "mainUtils.c: 67";
+ rLen = 44;
+ rLoc = 1783;
+ rType = 0;
+ vrLen = 516;
+ vrLoc = 1569;
+ };
+ 8DD76FA90486AB0100D96B5E /* abc */ = {
+ activeExec = 0;
+ executables = (
+ 27A92B5E0E34922E006E7CF6 /* abc */,
+ );
+ };
+}
diff --git a/abc70930/src/abc.xcodeproj/raiyan.perspectivev3 b/abc70930/src/abc.xcodeproj/raiyan.perspectivev3
new file mode 100644
index 00000000..1c6b9070
--- /dev/null
+++ b/abc70930/src/abc.xcodeproj/raiyan.perspectivev3
@@ -0,0 +1,1561 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!DOCTYPE plist PUBLIC "-//Apple//DTD PLIST 1.0//EN" "http://www.apple.com/DTDs/PropertyList-1.0.dtd">
+<plist version="1.0">
+<dict>
+ <key>ActivePerspectiveName</key>
+ <string>Debug</string>
+ <key>AllowedModules</key>
+ <array>
+ <dict>
+ <key>BundleLoadPath</key>
+ <string></string>
+ <key>MaxInstances</key>
+ <string>n</string>
+ <key>Module</key>
+ <string>PBXSmartGroupTreeModule</string>
+ <key>Name</key>
+ <string>Groups and Files Outline View</string>
+ </dict>
+ <dict>
+ <key>BundleLoadPath</key>
+ <string></string>
+ <key>MaxInstances</key>
+ <string>n</string>
+ <key>Module</key>
+ <string>PBXNavigatorGroup</string>
+ <key>Name</key>
+ <string>Editor</string>
+ </dict>
+ <dict>
+ <key>BundleLoadPath</key>
+ <string></string>
+ <key>MaxInstances</key>
+ <string>n</string>
+ <key>Module</key>
+ <string>XCTaskListModule</string>
+ <key>Name</key>
+ <string>Task List</string>
+ </dict>
+ <dict>
+ <key>BundleLoadPath</key>
+ <string></string>
+ <key>MaxInstances</key>
+ <string>n</string>
+ <key>Module</key>
+ <string>XCDetailModule</string>
+ <key>Name</key>
+ <string>File and Smart Group Detail Viewer</string>
+ </dict>
+ <dict>
+ <key>BundleLoadPath</key>
+ <string></string>
+ <key>MaxInstances</key>
+ <string>1</string>
+ <key>Module</key>
+ <string>PBXBuildResultsModule</string>
+ <key>Name</key>
+ <string>Detailed Build Results Viewer</string>
+ </dict>
+ <dict>
+ <key>BundleLoadPath</key>
+ <string></string>
+ <key>MaxInstances</key>
+ <string>1</string>
+ <key>Module</key>
+ <string>PBXProjectFindModule</string>
+ <key>Name</key>
+ <string>Project Batch Find Tool</string>
+ </dict>
+ <dict>
+ <key>BundleLoadPath</key>
+ <string></string>
+ <key>MaxInstances</key>
+ <string>n</string>
+ <key>Module</key>
+ <string>XCProjectFormatConflictsModule</string>
+ <key>Name</key>
+ <string>Project Format Conflicts List</string>
+ </dict>
+ <dict>
+ <key>BundleLoadPath</key>
+ <string></string>
+ <key>MaxInstances</key>
+ <string>n</string>
+ <key>Module</key>
+ <string>PBXBookmarksModule</string>
+ <key>Name</key>
+ <string>Bookmarks Tool</string>
+ </dict>
+ <dict>
+ <key>BundleLoadPath</key>
+ <string></string>
+ <key>MaxInstances</key>
+ <string>n</string>
+ <key>Module</key>
+ <string>PBXClassBrowserModule</string>
+ <key>Name</key>
+ <string>Class Browser</string>
+ </dict>
+ <dict>
+ <key>BundleLoadPath</key>
+ <string></string>
+ <key>MaxInstances</key>
+ <string>n</string>
+ <key>Module</key>
+ <string>PBXCVSModule</string>
+ <key>Name</key>
+ <string>Source Code Control Tool</string>
+ </dict>
+ <dict>
+ <key>BundleLoadPath</key>
+ <string></string>
+ <key>MaxInstances</key>
+ <string>n</string>
+ <key>Module</key>
+ <string>PBXDebugBreakpointsModule</string>
+ <key>Name</key>
+ <string>Debug Breakpoints Tool</string>
+ </dict>
+ <dict>
+ <key>BundleLoadPath</key>
+ <string></string>
+ <key>MaxInstances</key>
+ <string>n</string>
+ <key>Module</key>
+ <string>XCDockableInspector</string>
+ <key>Name</key>
+ <string>Inspector</string>
+ </dict>
+ <dict>
+ <key>BundleLoadPath</key>
+ <string></string>
+ <key>MaxInstances</key>
+ <string>n</string>
+ <key>Module</key>
+ <string>PBXOpenQuicklyModule</string>
+ <key>Name</key>
+ <string>Open Quickly Tool</string>
+ </dict>
+ <dict>
+ <key>BundleLoadPath</key>
+ <string></string>
+ <key>MaxInstances</key>
+ <string>1</string>
+ <key>Module</key>
+ <string>PBXDebugSessionModule</string>
+ <key>Name</key>
+ <string>Debugger</string>
+ </dict>
+ <dict>
+ <key>BundleLoadPath</key>
+ <string></string>
+ <key>MaxInstances</key>
+ <string>1</string>
+ <key>Module</key>
+ <string>PBXDebugCLIModule</string>
+ <key>Name</key>
+ <string>Debug Console</string>
+ </dict>
+ <dict>
+ <key>BundleLoadPath</key>
+ <string></string>
+ <key>MaxInstances</key>
+ <string>n</string>
+ <key>Module</key>
+ <string>XCSnapshotModule</string>
+ <key>Name</key>
+ <string>Snapshots Tool</string>
+ </dict>
+ </array>
+ <key>BundlePath</key>
+ <string>/Developer/Library/PrivateFrameworks/DevToolsInterface.framework/Resources</string>
+ <key>Description</key>
+ <string>AIODescriptionKey</string>
+ <key>DockingSystemVisible</key>
+ <false/>
+ <key>Extension</key>
+ <string>perspectivev3</string>
+ <key>FavBarConfig</key>
+ <dict>
+ <key>PBXProjectModuleGUID</key>
+ <string>27A92B680E34923D006E7CF6</string>
+ <key>XCBarModuleItemNames</key>
+ <dict/>
+ <key>XCBarModuleItems</key>
+ <array/>
+ </dict>
+ <key>FirstTimeWindowDisplayed</key>
+ <false/>
+ <key>Identifier</key>
+ <string>com.apple.perspectives.project.defaultV3</string>
+ <key>MajorVersion</key>
+ <integer>34</integer>
+ <key>MinorVersion</key>
+ <integer>0</integer>
+ <key>Name</key>
+ <string>All-In-One</string>
+ <key>Notifications</key>
+ <array/>
+ <key>OpenEditors</key>
+ <array/>
+ <key>PerspectiveWidths</key>
+ <array>
+ <integer>1280</integer>
+ <integer>1280</integer>
+ </array>
+ <key>Perspectives</key>
+ <array>
+ <dict>
+ <key>ChosenToolbarItems</key>
+ <array>
+ <string>XCToolbarPerspectiveControl</string>
+ <string>NSToolbarSeparatorItem</string>
+ <string>active-target-popup</string>
+ <string>active-buildstyle-popup</string>
+ <string>action</string>
+ <string>NSToolbarFlexibleSpaceItem</string>
+ <string>buildOrClean</string>
+ <string>build-and-goOrGo</string>
+ <string>com.apple.ide.PBXToolbarStopButton</string>
+ <string>get-info</string>
+ <string>toggle-editor</string>
+ <string>NSToolbarFlexibleSpaceItem</string>
+ <string>com.apple.pbx.toolbar.searchfield</string>
+ </array>
+ <key>ControllerClassBaseName</key>
+ <string></string>
+ <key>IconName</key>
+ <string>WindowOfProject</string>
+ <key>Identifier</key>
+ <string>perspective.project</string>
+ <key>IsVertical</key>
+ <false/>
+ <key>Layout</key>
+ <array>
+ <dict>
+ <key>ContentConfiguration</key>
+ <dict>
+ <key>PBXBottomSmartGroupGIDs</key>
+ <array>
+ <string>1C37FBAC04509CD000000102</string>
+ <string>1C37FAAC04509CD000000102</string>
+ <string>1C08E77C0454961000C914BD</string>
+ <string>1C37FABC05509CD000000102</string>
+ <string>1C37FABC05539CD112110102</string>
+ <string>E2644B35053B69B200211256</string>
+ <string>1C37FABC04509CD000100104</string>
+ <string>1CC0EA4004350EF90044410B</string>
+ <string>1CC0EA4004350EF90041110B</string>
+ <string>1C77FABC04509CD000000102</string>
+ </array>
+ <key>PBXProjectModuleGUID</key>
+ <string>1CA23ED40692098700951B8B</string>
+ <key>PBXProjectModuleLabel</key>
+ <string>Files</string>
+ <key>PBXProjectStructureProvided</key>
+ <string>yes</string>
+ <key>PBXSmartGroupTreeModuleColumnData</key>
+ <dict>
+ <key>PBXSmartGroupTreeModuleColumnWidthsKey</key>
+ <array>
+ <real>185</real>
+ </array>
+ <key>PBXSmartGroupTreeModuleColumnsKey_v4</key>
+ <array>
+ <string>MainColumn</string>
+ </array>
+ </dict>
+ <key>PBXSmartGroupTreeModuleOutlineStateKey_v7</key>
+ <dict>
+ <key>PBXSmartGroupTreeModuleOutlineStateExpansionKey</key>
+ <array>
+ <string>1C37FBAC04509CD000000102</string>
+ <string>1C37FAAC04509CD000000102</string>
+ </array>
+ <key>PBXSmartGroupTreeModuleOutlineStateSelectionKey</key>
+ <array>
+ <array>
+ <integer>0</integer>
+ </array>
+ </array>
+ <key>PBXSmartGroupTreeModuleOutlineStateVisibleRectKey</key>
+ <string>{{0, 0}, {185, 591}}</string>
+ </dict>
+ <key>PBXTopSmartGroupGIDs</key>
+ <array/>
+ <key>XCIncludePerspectivesSwitch</key>
+ <false/>
+ </dict>
+ <key>GeometryConfiguration</key>
+ <dict>
+ <key>Frame</key>
+ <string>{{0, 0}, {202, 609}}</string>
+ <key>GroupTreeTableConfiguration</key>
+ <array>
+ <string>MainColumn</string>
+ <real>185</real>
+ </array>
+ </dict>
+ <key>Module</key>
+ <string>PBXSmartGroupTreeModule</string>
+ <key>Proportion</key>
+ <string>202pt</string>
+ </dict>
+ <dict>
+ <key>Dock</key>
+ <array>
+ <dict>
+ <key>ContentConfiguration</key>
+ <dict>
+ <key>PBXProjectModuleGUID</key>
+ <string>27A92B630E34923D006E7CF6</string>
+ <key>PBXProjectModuleLabel</key>
+ <string>mainUtils.c</string>
+ <key>PBXSplitModuleInNavigatorKey</key>
+ <dict>
+ <key>Split0</key>
+ <dict>
+ <key>PBXProjectModuleGUID</key>
+ <string>27A92B640E34923D006E7CF6</string>
+ <key>PBXProjectModuleLabel</key>
+ <string>mainUtils.c</string>
+ <key>_historyCapacity</key>
+ <integer>0</integer>
+ <key>bookmark</key>
+ <string>27F2A0450E39514F0056453A</string>
+ <key>history</key>
+ <array>
+ <string>27A930BD0E3494E8006E7CF6</string>
+ <string>27A930BE0E3494E8006E7CF6</string>
+ <string>27A930BF0E3494E8006E7CF6</string>
+ <string>27A930C10E3494E8006E7CF6</string>
+ <string>2755FD8C0E35986F0031A656</string>
+ <string>2755FD8D0E35986F0031A656</string>
+ <string>2755FD8E0E35986F0031A656</string>
+ <string>2755FD8F0E35986F0031A656</string>
+ <string>2755FD900E35986F0031A656</string>
+ <string>2755FD910E35986F0031A656</string>
+ <string>2755FD920E35986F0031A656</string>
+ <string>2755FD930E35986F0031A656</string>
+ <string>2755FDCC0E3821400031A656</string>
+ <string>2755FDCD0E3821400031A656</string>
+ <string>2717FC7F0E3843AC0038296D</string>
+ <string>2717FC810E3843AC0038296D</string>
+ <string>2717FC820E3843AC0038296D</string>
+ <string>2717FC830E3843AC0038296D</string>
+ <string>2717FC840E3843AC0038296D</string>
+ <string>2717FC850E3843AC0038296D</string>
+ <string>2717FC870E3843AC0038296D</string>
+ <string>2717FCA20E3852600038296D</string>
+ <string>2717FCA30E3852600038296D</string>
+ <string>2717FCB70E3854530038296D</string>
+ <string>2717FCB80E3854530038296D</string>
+ <string>2717FCD10E38569B0038296D</string>
+ <string>2717FCD20E38569B0038296D</string>
+ <string>2717FCD30E38569B0038296D</string>
+ <string>2717FCE90E3857DC0038296D</string>
+ <string>2717FCEA0E3857DC0038296D</string>
+ <string>2717FCEB0E3857DC0038296D</string>
+ <string>2717FD140E3861B70038296D</string>
+ <string>2717FD150E3861B70038296D</string>
+ <string>273F099E0E387A1A00BA537B</string>
+ <string>273F099F0E387A1A00BA537B</string>
+ <string>273F09A00E387A1A00BA537B</string>
+ <string>273F09A10E387A1A00BA537B</string>
+ <string>274041210E38D50900DC6F18</string>
+ <string>274041230E38D50900DC6F18</string>
+ <string>274041720E38D73B00DC6F18</string>
+ <string>27F2A0390E3951350056453A</string>
+ <string>27F2A03A0E3951350056453A</string>
+ </array>
+ <key>prevStack</key>
+ <array>
+ <string>27A930C30E3494E8006E7CF6</string>
+ <string>27A930C40E3494E8006E7CF6</string>
+ <string>27A930C50E3494E8006E7CF6</string>
+ <string>27A930C60E3494E8006E7CF6</string>
+ <string>27A930C70E3494E8006E7CF6</string>
+ <string>2755FD950E35986F0031A656</string>
+ <string>2755FD960E35986F0031A656</string>
+ <string>2755FD970E35986F0031A656</string>
+ <string>2755FD980E35986F0031A656</string>
+ <string>2755FD990E35986F0031A656</string>
+ <string>2755FD9A0E35986F0031A656</string>
+ <string>2755FD9B0E35986F0031A656</string>
+ <string>2755FD9C0E35986F0031A656</string>
+ <string>2755FD9D0E35986F0031A656</string>
+ <string>2755FDD00E3821400031A656</string>
+ <string>2755FDD10E3821400031A656</string>
+ <string>2717FC890E3843AC0038296D</string>
+ <string>2717FC8B0E3843AC0038296D</string>
+ <string>2717FC8D0E3843AC0038296D</string>
+ <string>2717FC8E0E3843AC0038296D</string>
+ <string>2717FC8F0E3843AC0038296D</string>
+ <string>2717FC900E3843AC0038296D</string>
+ <string>2717FC930E3843AC0038296D</string>
+ <string>2717FCA90E3852600038296D</string>
+ <string>2717FCBD0E3854530038296D</string>
+ <string>2717FCBE0E3854530038296D</string>
+ <string>2717FCC00E3854530038296D</string>
+ <string>2717FCD50E38569B0038296D</string>
+ <string>2717FCD70E38569B0038296D</string>
+ <string>2717FCED0E3857DC0038296D</string>
+ <string>2717FCEE0E3857DC0038296D</string>
+ <string>2717FCEF0E3857DC0038296D</string>
+ <string>2717FD190E3861B70038296D</string>
+ <string>2717FD1A0E3861B70038296D</string>
+ <string>2717FD1B0E3861B70038296D</string>
+ <string>273F09A20E387A1A00BA537B</string>
+ <string>273F09A40E387A1A00BA537B</string>
+ <string>273F09A50E387A1A00BA537B</string>
+ <string>274041280E38D50900DC6F18</string>
+ <string>2740412B0E38D50900DC6F18</string>
+ <string>27F2A03B0E3951350056453A</string>
+ </array>
+ </dict>
+ <key>SplitCount</key>
+ <string>1</string>
+ </dict>
+ <key>StatusBarVisibility</key>
+ <true/>
+ <key>XCSharingToken</key>
+ <string>com.apple.Xcode.CommonNavigatorGroupSharingToken</string>
+ </dict>
+ <key>GeometryConfiguration</key>
+ <dict>
+ <key>Frame</key>
+ <string>{{0, 0}, {1073, 336}}</string>
+ </dict>
+ <key>Module</key>
+ <string>PBXNavigatorGroup</string>
+ <key>Proportion</key>
+ <string>336pt</string>
+ </dict>
+ <dict>
+ <key>Proportion</key>
+ <string>268pt</string>
+ <key>Tabs</key>
+ <array>
+ <dict>
+ <key>ContentConfiguration</key>
+ <dict>
+ <key>PBXProjectModuleGUID</key>
+ <string>1CA23EDF0692099D00951B8B</string>
+ <key>PBXProjectModuleLabel</key>
+ <string>Detail</string>
+ </dict>
+ <key>GeometryConfiguration</key>
+ <dict>
+ <key>Frame</key>
+ <string>{{10, 27}, {1073, 241}}</string>
+ </dict>
+ <key>Module</key>
+ <string>XCDetailModule</string>
+ </dict>
+ <dict>
+ <key>ContentConfiguration</key>
+ <dict>
+ <key>PBXProjectModuleGUID</key>
+ <string>1CA23EE00692099D00951B8B</string>
+ <key>PBXProjectModuleLabel</key>
+ <string>Project Find</string>
+ </dict>
+ <key>GeometryConfiguration</key>
+ <dict>
+ <key>Frame</key>
+ <string>{{10, 27}, {1073, 241}}</string>
+ </dict>
+ <key>Module</key>
+ <string>PBXProjectFindModule</string>
+ </dict>
+ <dict>
+ <key>ContentConfiguration</key>
+ <dict>
+ <key>PBXCVSModuleFilterTypeKey</key>
+ <integer>1032</integer>
+ <key>PBXProjectModuleGUID</key>
+ <string>1CA23EE10692099D00951B8B</string>
+ <key>PBXProjectModuleLabel</key>
+ <string>SCM Results</string>
+ </dict>
+ <key>GeometryConfiguration</key>
+ <dict>
+ <key>Frame</key>
+ <string>{{10, 27}, {1073, 209}}</string>
+ </dict>
+ <key>Module</key>
+ <string>PBXCVSModule</string>
+ </dict>
+ <dict>
+ <key>ContentConfiguration</key>
+ <dict>
+ <key>PBXProjectModuleGUID</key>
+ <string>XCMainBuildResultsModuleGUID</string>
+ <key>PBXProjectModuleLabel</key>
+ <string>Build</string>
+ <key>XCBuildResultsTrigger_Collapse</key>
+ <integer>1021</integer>
+ <key>XCBuildResultsTrigger_Open</key>
+ <integer>1011</integer>
+ </dict>
+ <key>GeometryConfiguration</key>
+ <dict>
+ <key>Frame</key>
+ <string>{{10, 27}, {1073, 241}}</string>
+ </dict>
+ <key>Module</key>
+ <string>PBXBuildResultsModule</string>
+ </dict>
+ </array>
+ </dict>
+ </array>
+ <key>Proportion</key>
+ <string>1073pt</string>
+ </dict>
+ </array>
+ <key>Name</key>
+ <string>Project</string>
+ <key>ServiceClasses</key>
+ <array>
+ <string>XCModuleDock</string>
+ <string>PBXSmartGroupTreeModule</string>
+ <string>XCModuleDock</string>
+ <string>PBXNavigatorGroup</string>
+ <string>XCDockableTabModule</string>
+ <string>XCDetailModule</string>
+ <string>PBXProjectFindModule</string>
+ <string>PBXCVSModule</string>
+ <string>PBXBuildResultsModule</string>
+ </array>
+ <key>TableOfContents</key>
+ <array>
+ <string>27F2A0350E3951150056453A</string>
+ <string>1CA23ED40692098700951B8B</string>
+ <string>27F2A0360E3951150056453A</string>
+ <string>27A92B630E34923D006E7CF6</string>
+ <string>27F2A0370E3951150056453A</string>
+ <string>1CA23EDF0692099D00951B8B</string>
+ <string>1CA23EE00692099D00951B8B</string>
+ <string>1CA23EE10692099D00951B8B</string>
+ <string>XCMainBuildResultsModuleGUID</string>
+ </array>
+ <key>ToolbarConfiguration</key>
+ <string>xcode.toolbar.config.defaultV3</string>
+ </dict>
+ <dict>
+ <key>ChosenToolbarItems</key>
+ <array>
+ <string>XCToolbarPerspectiveControl</string>
+ <string>NSToolbarSeparatorItem</string>
+ <string>active-combo-popup</string>
+ <string>NSToolbarFlexibleSpaceItem</string>
+ <string>go-debug</string>
+ <string>build-and-go</string>
+ <string>com.apple.ide.PBXToolbarStopButton</string>
+ <string>debugger-restart-executable</string>
+ <string>debugger-pause</string>
+ <string>debugger-step-over</string>
+ <string>debugger-step-into</string>
+ <string>debugger-step-out</string>
+ <string>debugger-enable-breakpoints</string>
+ <string>NSToolbarFlexibleSpaceItem</string>
+ <string>com.apple.ide.XCBreakpointsToolbarItem</string>
+ <string>clear-log</string>
+ </array>
+ <key>ControllerClassBaseName</key>
+ <string>PBXDebugSessionModule</string>
+ <key>IconName</key>
+ <string>DebugTabIcon</string>
+ <key>Identifier</key>
+ <string>perspective.debug</string>
+ <key>IsVertical</key>
+ <true/>
+ <key>Layout</key>
+ <array>
+ <dict>
+ <key>BecomeActive</key>
+ <true/>
+ <key>ContentConfiguration</key>
+ <dict>
+ <key>PBXProjectModuleGUID</key>
+ <string>1CCC7628064C1048000F2A68</string>
+ <key>PBXProjectModuleLabel</key>
+ <string>Debugger Console</string>
+ </dict>
+ <key>GeometryConfiguration</key>
+ <dict>
+ <key>Frame</key>
+ <string>{{0, 0}, {1280, 181}}</string>
+ <key>RubberWindowFrame</key>
+ <string>0 128 1280 650 0 0 1280 778 </string>
+ </dict>
+ <key>Module</key>
+ <string>PBXDebugCLIModule</string>
+ <key>Proportion</key>
+ <string>181pt</string>
+ </dict>
+ <dict>
+ <key>ContentConfiguration</key>
+ <dict>
+ <key>Debugger</key>
+ <dict>
+ <key>HorizontalSplitView</key>
+ <dict>
+ <key>_collapsingFrameDimension</key>
+ <real>0.0</real>
+ <key>_indexOfCollapsedView</key>
+ <integer>0</integer>
+ <key>_percentageOfCollapsedView</key>
+ <real>0.0</real>
+ <key>isCollapsed</key>
+ <string>yes</string>
+ <key>sizes</key>
+ <array>
+ <string>{{0, 0}, {625, 206}}</string>
+ <string>{{625, 0}, {655, 206}}</string>
+ </array>
+ </dict>
+ <key>VerticalSplitView</key>
+ <dict>
+ <key>_collapsingFrameDimension</key>
+ <real>0.0</real>
+ <key>_indexOfCollapsedView</key>
+ <integer>0</integer>
+ <key>_percentageOfCollapsedView</key>
+ <real>0.0</real>
+ <key>isCollapsed</key>
+ <string>yes</string>
+ <key>sizes</key>
+ <array>
+ <string>{{0, 0}, {1280, 206}}</string>
+ <string>{{0, 206}, {1280, 217}}</string>
+ </array>
+ </dict>
+ </dict>
+ <key>LauncherConfigVersion</key>
+ <string>8</string>
+ <key>PBXProjectModuleGUID</key>
+ <string>1CCC7629064C1048000F2A68</string>
+ <key>PBXProjectModuleLabel</key>
+ <string>Debug</string>
+ </dict>
+ <key>GeometryConfiguration</key>
+ <dict>
+ <key>DebugConsoleVisible</key>
+ <string>None</string>
+ <key>DebugConsoleWindowFrame</key>
+ <string>{{200, 200}, {500, 300}}</string>
+ <key>DebugSTDIOWindowFrame</key>
+ <string>{{200, 200}, {500, 300}}</string>
+ <key>Frame</key>
+ <string>{{0, 186}, {1280, 423}}</string>
+ <key>PBXDebugSessionStackFrameViewKey</key>
+ <dict>
+ <key>DebugVariablesTableConfiguration</key>
+ <array>
+ <string>Name</string>
+ <real>120</real>
+ <string>Value</string>
+ <real>85</real>
+ <string>Summary</string>
+ <real>425</real>
+ </array>
+ <key>Frame</key>
+ <string>{{625, 0}, {655, 206}}</string>
+ <key>RubberWindowFrame</key>
+ <string>0 128 1280 650 0 0 1280 778 </string>
+ </dict>
+ <key>RubberWindowFrame</key>
+ <string>0 128 1280 650 0 0 1280 778 </string>
+ </dict>
+ <key>Module</key>
+ <string>PBXDebugSessionModule</string>
+ <key>Proportion</key>
+ <string>423pt</string>
+ </dict>
+ </array>
+ <key>Name</key>
+ <string>Debug</string>
+ <key>ServiceClasses</key>
+ <array>
+ <string>XCModuleDock</string>
+ <string>PBXDebugCLIModule</string>
+ <string>PBXDebugSessionModule</string>
+ <string>PBXDebugProcessAndThreadModule</string>
+ <string>PBXDebugProcessViewModule</string>
+ <string>PBXDebugThreadViewModule</string>
+ <string>PBXDebugStackFrameViewModule</string>
+ <string>PBXNavigatorGroup</string>
+ </array>
+ <key>TableOfContents</key>
+ <array>
+ <string>27F2A03E0E39514F0056453A</string>
+ <string>1CCC7628064C1048000F2A68</string>
+ <string>1CCC7629064C1048000F2A68</string>
+ <string>27F2A03F0E39514F0056453A</string>
+ <string>27F2A0400E39514F0056453A</string>
+ <string>27F2A0410E39514F0056453A</string>
+ <string>27F2A0420E39514F0056453A</string>
+ <string>27A92B630E34923D006E7CF6</string>
+ </array>
+ <key>ToolbarConfiguration</key>
+ <string>xcode.toolbar.config.debugV3</string>
+ </dict>
+ </array>
+ <key>PerspectivesBarVisible</key>
+ <true/>
+ <key>ShelfIsVisible</key>
+ <false/>
+ <key>SourceDescription</key>
+ <string>file at '/Developer/Library/PrivateFrameworks/DevToolsInterface.framework/Resources/XCPerspectivesSpecification.xcperspec'</string>
+ <key>StatusbarIsVisible</key>
+ <true/>
+ <key>TimeStamp</key>
+ <real>0.0</real>
+ <key>ToolbarDisplayMode</key>
+ <integer>1</integer>
+ <key>ToolbarIsVisible</key>
+ <true/>
+ <key>ToolbarSizeMode</key>
+ <integer>1</integer>
+ <key>Type</key>
+ <string>Perspectives</string>
+ <key>UpdateMessage</key>
+ <string></string>
+ <key>WindowJustification</key>
+ <integer>5</integer>
+ <key>WindowOrderList</key>
+ <array>
+ <string>27F2A0430E39514F0056453A</string>
+ <string>27F2A0440E39514F0056453A</string>
+ <string>/Users/raiyan/Documents/Xcode Projects/abc/abc.xcodeproj</string>
+ </array>
+ <key>WindowString</key>
+ <string>0 128 1280 650 0 0 1280 778 </string>
+ <key>WindowToolsV3</key>
+ <array>
+ <dict>
+ <key>Identifier</key>
+ <string>windowTool.debugger</string>
+ <key>Layout</key>
+ <array>
+ <dict>
+ <key>Dock</key>
+ <array>
+ <dict>
+ <key>ContentConfiguration</key>
+ <dict>
+ <key>Debugger</key>
+ <dict>
+ <key>HorizontalSplitView</key>
+ <dict>
+ <key>_collapsingFrameDimension</key>
+ <real>0.0</real>
+ <key>_indexOfCollapsedView</key>
+ <integer>0</integer>
+ <key>_percentageOfCollapsedView</key>
+ <real>0.0</real>
+ <key>isCollapsed</key>
+ <string>yes</string>
+ <key>sizes</key>
+ <array>
+ <string>{{0, 0}, {317, 164}}</string>
+ <string>{{317, 0}, {377, 164}}</string>
+ </array>
+ </dict>
+ <key>VerticalSplitView</key>
+ <dict>
+ <key>_collapsingFrameDimension</key>
+ <real>0.0</real>
+ <key>_indexOfCollapsedView</key>
+ <integer>0</integer>
+ <key>_percentageOfCollapsedView</key>
+ <real>0.0</real>
+ <key>isCollapsed</key>
+ <string>yes</string>
+ <key>sizes</key>
+ <array>
+ <string>{{0, 0}, {694, 164}}</string>
+ <string>{{0, 164}, {694, 216}}</string>
+ </array>
+ </dict>
+ </dict>
+ <key>LauncherConfigVersion</key>
+ <string>8</string>
+ <key>PBXProjectModuleGUID</key>
+ <string>1C162984064C10D400B95A72</string>
+ <key>PBXProjectModuleLabel</key>
+ <string>Debug - GLUTExamples (Underwater)</string>
+ </dict>
+ <key>GeometryConfiguration</key>
+ <dict>
+ <key>DebugConsoleDrawerSize</key>
+ <string>{100, 120}</string>
+ <key>DebugConsoleVisible</key>
+ <string>None</string>
+ <key>DebugConsoleWindowFrame</key>
+ <string>{{200, 200}, {500, 300}}</string>
+ <key>DebugSTDIOWindowFrame</key>
+ <string>{{200, 200}, {500, 300}}</string>
+ <key>Frame</key>
+ <string>{{0, 0}, {694, 380}}</string>
+ <key>RubberWindowFrame</key>
+ <string>321 238 694 422 0 0 1440 878 </string>
+ </dict>
+ <key>Module</key>
+ <string>PBXDebugSessionModule</string>
+ <key>Proportion</key>
+ <string>100%</string>
+ </dict>
+ </array>
+ <key>Proportion</key>
+ <string>100%</string>
+ </dict>
+ </array>
+ <key>Name</key>
+ <string>Debugger</string>
+ <key>ServiceClasses</key>
+ <array>
+ <string>PBXDebugSessionModule</string>
+ </array>
+ <key>StatusbarIsVisible</key>
+ <integer>1</integer>
+ <key>TableOfContents</key>
+ <array>
+ <string>1CD10A99069EF8BA00B06720</string>
+ <string>1C0AD2AB069F1E9B00FABCE6</string>
+ <string>1C162984064C10D400B95A72</string>
+ <string>1C0AD2AC069F1E9B00FABCE6</string>
+ </array>
+ <key>ToolbarConfiguration</key>
+ <string>xcode.toolbar.config.debugV3</string>
+ <key>WindowString</key>
+ <string>321 238 694 422 0 0 1440 878 </string>
+ <key>WindowToolGUID</key>
+ <string>1CD10A99069EF8BA00B06720</string>
+ <key>WindowToolIsVisible</key>
+ <integer>0</integer>
+ </dict>
+ <dict>
+ <key>Identifier</key>
+ <string>windowTool.build</string>
+ <key>Layout</key>
+ <array>
+ <dict>
+ <key>Dock</key>
+ <array>
+ <dict>
+ <key>ContentConfiguration</key>
+ <dict>
+ <key>PBXProjectModuleGUID</key>
+ <string>1CD0528F0623707200166675</string>
+ <key>PBXProjectModuleLabel</key>
+ <string>&lt;No Editor&gt;</string>
+ <key>PBXSplitModuleInNavigatorKey</key>
+ <dict>
+ <key>Split0</key>
+ <dict>
+ <key>PBXProjectModuleGUID</key>
+ <string>1CD052900623707200166675</string>
+ </dict>
+ <key>SplitCount</key>
+ <string>1</string>
+ </dict>
+ <key>StatusBarVisibility</key>
+ <integer>1</integer>
+ </dict>
+ <key>GeometryConfiguration</key>
+ <dict>
+ <key>Frame</key>
+ <string>{{0, 0}, {500, 215}}</string>
+ <key>RubberWindowFrame</key>
+ <string>192 257 500 500 0 0 1280 1002 </string>
+ </dict>
+ <key>Module</key>
+ <string>PBXNavigatorGroup</string>
+ <key>Proportion</key>
+ <string>218pt</string>
+ </dict>
+ <dict>
+ <key>BecomeActive</key>
+ <integer>1</integer>
+ <key>ContentConfiguration</key>
+ <dict>
+ <key>PBXProjectModuleGUID</key>
+ <string>XCMainBuildResultsModuleGUID</string>
+ <key>PBXProjectModuleLabel</key>
+ <string>Build</string>
+ </dict>
+ <key>GeometryConfiguration</key>
+ <dict>
+ <key>Frame</key>
+ <string>{{0, 222}, {500, 236}}</string>
+ <key>RubberWindowFrame</key>
+ <string>192 257 500 500 0 0 1280 1002 </string>
+ </dict>
+ <key>Module</key>
+ <string>PBXBuildResultsModule</string>
+ <key>Proportion</key>
+ <string>236pt</string>
+ </dict>
+ </array>
+ <key>Proportion</key>
+ <string>458pt</string>
+ </dict>
+ </array>
+ <key>Name</key>
+ <string>Build Results</string>
+ <key>ServiceClasses</key>
+ <array>
+ <string>PBXBuildResultsModule</string>
+ </array>
+ <key>StatusbarIsVisible</key>
+ <integer>1</integer>
+ <key>TableOfContents</key>
+ <array>
+ <string>1C78EAA5065D492600B07095</string>
+ <string>1C78EAA6065D492600B07095</string>
+ <string>1CD0528F0623707200166675</string>
+ <string>XCMainBuildResultsModuleGUID</string>
+ </array>
+ <key>ToolbarConfiguration</key>
+ <string>xcode.toolbar.config.buildV3</string>
+ <key>WindowString</key>
+ <string>192 257 500 500 0 0 1280 1002 </string>
+ </dict>
+ <dict>
+ <key>Identifier</key>
+ <string>windowTool.find</string>
+ <key>Layout</key>
+ <array>
+ <dict>
+ <key>Dock</key>
+ <array>
+ <dict>
+ <key>Dock</key>
+ <array>
+ <dict>
+ <key>ContentConfiguration</key>
+ <dict>
+ <key>PBXProjectModuleGUID</key>
+ <string>1CDD528C0622207200134675</string>
+ <key>PBXProjectModuleLabel</key>
+ <string>&lt;No Editor&gt;</string>
+ <key>PBXSplitModuleInNavigatorKey</key>
+ <dict>
+ <key>Split0</key>
+ <dict>
+ <key>PBXProjectModuleGUID</key>
+ <string>1CD0528D0623707200166675</string>
+ </dict>
+ <key>SplitCount</key>
+ <string>1</string>
+ </dict>
+ <key>StatusBarVisibility</key>
+ <integer>1</integer>
+ </dict>
+ <key>GeometryConfiguration</key>
+ <dict>
+ <key>Frame</key>
+ <string>{{0, 0}, {781, 167}}</string>
+ <key>RubberWindowFrame</key>
+ <string>62 385 781 470 0 0 1440 878 </string>
+ </dict>
+ <key>Module</key>
+ <string>PBXNavigatorGroup</string>
+ <key>Proportion</key>
+ <string>781pt</string>
+ </dict>
+ </array>
+ <key>Proportion</key>
+ <string>50%</string>
+ </dict>
+ <dict>
+ <key>BecomeActive</key>
+ <integer>1</integer>
+ <key>ContentConfiguration</key>
+ <dict>
+ <key>PBXProjectModuleGUID</key>
+ <string>1CD0528E0623707200166675</string>
+ <key>PBXProjectModuleLabel</key>
+ <string>Project Find</string>
+ </dict>
+ <key>GeometryConfiguration</key>
+ <dict>
+ <key>Frame</key>
+ <string>{{8, 0}, {773, 254}}</string>
+ <key>RubberWindowFrame</key>
+ <string>62 385 781 470 0 0 1440 878 </string>
+ </dict>
+ <key>Module</key>
+ <string>PBXProjectFindModule</string>
+ <key>Proportion</key>
+ <string>50%</string>
+ </dict>
+ </array>
+ <key>Proportion</key>
+ <string>428pt</string>
+ </dict>
+ </array>
+ <key>Name</key>
+ <string>Project Find</string>
+ <key>ServiceClasses</key>
+ <array>
+ <string>PBXProjectFindModule</string>
+ </array>
+ <key>StatusbarIsVisible</key>
+ <integer>1</integer>
+ <key>TableOfContents</key>
+ <array>
+ <string>1C530D57069F1CE1000CFCEE</string>
+ <string>1C530D58069F1CE1000CFCEE</string>
+ <string>1C530D59069F1CE1000CFCEE</string>
+ <string>1CDD528C0622207200134675</string>
+ <string>1C530D5A069F1CE1000CFCEE</string>
+ <string>1CE0B1FE06471DED0097A5F4</string>
+ <string>1CD0528E0623707200166675</string>
+ </array>
+ <key>WindowString</key>
+ <string>62 385 781 470 0 0 1440 878 </string>
+ <key>WindowToolGUID</key>
+ <string>1C530D57069F1CE1000CFCEE</string>
+ <key>WindowToolIsVisible</key>
+ <integer>0</integer>
+ </dict>
+ <dict>
+ <key>Identifier</key>
+ <string>windowTool.snapshots</string>
+ <key>Layout</key>
+ <array>
+ <dict>
+ <key>Dock</key>
+ <array>
+ <dict>
+ <key>Module</key>
+ <string>XCSnapshotModule</string>
+ <key>Proportion</key>
+ <string>100%</string>
+ </dict>
+ </array>
+ <key>Proportion</key>
+ <string>100%</string>
+ </dict>
+ </array>
+ <key>Name</key>
+ <string>Snapshots</string>
+ <key>ServiceClasses</key>
+ <array>
+ <string>XCSnapshotModule</string>
+ </array>
+ <key>StatusbarIsVisible</key>
+ <string>Yes</string>
+ <key>ToolbarConfiguration</key>
+ <string>xcode.toolbar.config.snapshots</string>
+ <key>WindowString</key>
+ <string>315 824 300 550 0 0 1440 878 </string>
+ <key>WindowToolIsVisible</key>
+ <string>Yes</string>
+ </dict>
+ <dict>
+ <key>FirstTimeWindowDisplayed</key>
+ <false/>
+ <key>Identifier</key>
+ <string>windowTool.debuggerConsole</string>
+ <key>IsVertical</key>
+ <true/>
+ <key>Layout</key>
+ <array>
+ <dict>
+ <key>Dock</key>
+ <array>
+ <dict>
+ <key>ContentConfiguration</key>
+ <dict>
+ <key>PBXProjectModuleGUID</key>
+ <string>1C78EAAC065D492600B07095</string>
+ <key>PBXProjectModuleLabel</key>
+ <string>Debugger Console</string>
+ </dict>
+ <key>GeometryConfiguration</key>
+ <dict>
+ <key>Frame</key>
+ <string>{{0, 0}, {440, 359}}</string>
+ <key>RubberWindowFrame</key>
+ <string>21 355 440 400 0 0 1280 778 </string>
+ </dict>
+ <key>Module</key>
+ <string>PBXDebugCLIModule</string>
+ <key>Proportion</key>
+ <string>359pt</string>
+ </dict>
+ </array>
+ <key>Proportion</key>
+ <string>359pt</string>
+ </dict>
+ </array>
+ <key>Name</key>
+ <string>Debugger Console</string>
+ <key>ServiceClasses</key>
+ <array>
+ <string>PBXDebugCLIModule</string>
+ </array>
+ <key>StatusbarIsVisible</key>
+ <true/>
+ <key>TableOfContents</key>
+ <array>
+ <string>1C530D5B069F1CE1000CFCEE</string>
+ <string>274041750E38EF1000DC6F18</string>
+ <string>1C78EAAC065D492600B07095</string>
+ </array>
+ <key>ToolbarConfiguration</key>
+ <string>xcode.toolbar.config.consoleV3</string>
+ <key>WindowString</key>
+ <string>21 355 440 400 0 0 1280 778 </string>
+ <key>WindowToolGUID</key>
+ <string>1C530D5B069F1CE1000CFCEE</string>
+ <key>WindowToolIsVisible</key>
+ <false/>
+ </dict>
+ <dict>
+ <key>Identifier</key>
+ <string>windowTool.scm</string>
+ <key>Layout</key>
+ <array>
+ <dict>
+ <key>Dock</key>
+ <array>
+ <dict>
+ <key>ContentConfiguration</key>
+ <dict>
+ <key>PBXProjectModuleGUID</key>
+ <string>1C78EAB2065D492600B07095</string>
+ <key>PBXProjectModuleLabel</key>
+ <string>&lt;No Editor&gt;</string>
+ <key>PBXSplitModuleInNavigatorKey</key>
+ <dict>
+ <key>Split0</key>
+ <dict>
+ <key>PBXProjectModuleGUID</key>
+ <string>1C78EAB3065D492600B07095</string>
+ </dict>
+ <key>SplitCount</key>
+ <string>1</string>
+ </dict>
+ <key>StatusBarVisibility</key>
+ <integer>1</integer>
+ </dict>
+ <key>GeometryConfiguration</key>
+ <dict>
+ <key>Frame</key>
+ <string>{{0, 0}, {452, 0}}</string>
+ <key>RubberWindowFrame</key>
+ <string>743 379 452 308 0 0 1280 1002 </string>
+ </dict>
+ <key>Module</key>
+ <string>PBXNavigatorGroup</string>
+ <key>Proportion</key>
+ <string>0pt</string>
+ </dict>
+ <dict>
+ <key>BecomeActive</key>
+ <integer>1</integer>
+ <key>ContentConfiguration</key>
+ <dict>
+ <key>PBXProjectModuleGUID</key>
+ <string>1CD052920623707200166675</string>
+ <key>PBXProjectModuleLabel</key>
+ <string>SCM</string>
+ </dict>
+ <key>GeometryConfiguration</key>
+ <dict>
+ <key>ConsoleFrame</key>
+ <string>{{0, 259}, {452, 0}}</string>
+ <key>Frame</key>
+ <string>{{0, 7}, {452, 259}}</string>
+ <key>RubberWindowFrame</key>
+ <string>743 379 452 308 0 0 1280 1002 </string>
+ <key>TableConfiguration</key>
+ <array>
+ <string>Status</string>
+ <real>30</real>
+ <string>FileName</string>
+ <real>199</real>
+ <string>Path</string>
+ <real>197.09500122070312</real>
+ </array>
+ <key>TableFrame</key>
+ <string>{{0, 0}, {452, 250}}</string>
+ </dict>
+ <key>Module</key>
+ <string>PBXCVSModule</string>
+ <key>Proportion</key>
+ <string>262pt</string>
+ </dict>
+ </array>
+ <key>Proportion</key>
+ <string>266pt</string>
+ </dict>
+ </array>
+ <key>Name</key>
+ <string>SCM</string>
+ <key>ServiceClasses</key>
+ <array>
+ <string>PBXCVSModule</string>
+ </array>
+ <key>StatusbarIsVisible</key>
+ <integer>1</integer>
+ <key>TableOfContents</key>
+ <array>
+ <string>1C78EAB4065D492600B07095</string>
+ <string>1C78EAB5065D492600B07095</string>
+ <string>1C78EAB2065D492600B07095</string>
+ <string>1CD052920623707200166675</string>
+ </array>
+ <key>ToolbarConfiguration</key>
+ <string>xcode.toolbar.config.scmV3</string>
+ <key>WindowString</key>
+ <string>743 379 452 308 0 0 1280 1002 </string>
+ </dict>
+ <dict>
+ <key>Identifier</key>
+ <string>windowTool.breakpoints</string>
+ <key>IsVertical</key>
+ <integer>0</integer>
+ <key>Layout</key>
+ <array>
+ <dict>
+ <key>Dock</key>
+ <array>
+ <dict>
+ <key>BecomeActive</key>
+ <integer>1</integer>
+ <key>ContentConfiguration</key>
+ <dict>
+ <key>PBXBottomSmartGroupGIDs</key>
+ <array>
+ <string>1C77FABC04509CD000000102</string>
+ </array>
+ <key>PBXProjectModuleGUID</key>
+ <string>1CE0B1FE06471DED0097A5F4</string>
+ <key>PBXProjectModuleLabel</key>
+ <string>Files</string>
+ <key>PBXProjectStructureProvided</key>
+ <string>no</string>
+ <key>PBXSmartGroupTreeModuleColumnData</key>
+ <dict>
+ <key>PBXSmartGroupTreeModuleColumnWidthsKey</key>
+ <array>
+ <real>168</real>
+ </array>
+ <key>PBXSmartGroupTreeModuleColumnsKey_v4</key>
+ <array>
+ <string>MainColumn</string>
+ </array>
+ </dict>
+ <key>PBXSmartGroupTreeModuleOutlineStateKey_v7</key>
+ <dict>
+ <key>PBXSmartGroupTreeModuleOutlineStateExpansionKey</key>
+ <array>
+ <string>1C77FABC04509CD000000102</string>
+ </array>
+ <key>PBXSmartGroupTreeModuleOutlineStateSelectionKey</key>
+ <array>
+ <array>
+ <integer>0</integer>
+ </array>
+ </array>
+ <key>PBXSmartGroupTreeModuleOutlineStateVisibleRectKey</key>
+ <string>{{0, 0}, {168, 350}}</string>
+ </dict>
+ <key>PBXTopSmartGroupGIDs</key>
+ <array/>
+ <key>XCIncludePerspectivesSwitch</key>
+ <integer>0</integer>
+ </dict>
+ <key>GeometryConfiguration</key>
+ <dict>
+ <key>Frame</key>
+ <string>{{0, 0}, {185, 368}}</string>
+ <key>GroupTreeTableConfiguration</key>
+ <array>
+ <string>MainColumn</string>
+ <real>168</real>
+ </array>
+ <key>RubberWindowFrame</key>
+ <string>315 424 744 409 0 0 1440 878 </string>
+ </dict>
+ <key>Module</key>
+ <string>PBXSmartGroupTreeModule</string>
+ <key>Proportion</key>
+ <string>185pt</string>
+ </dict>
+ <dict>
+ <key>ContentConfiguration</key>
+ <dict>
+ <key>PBXProjectModuleGUID</key>
+ <string>1CA1AED706398EBD00589147</string>
+ <key>PBXProjectModuleLabel</key>
+ <string>Detail</string>
+ </dict>
+ <key>GeometryConfiguration</key>
+ <dict>
+ <key>Frame</key>
+ <string>{{190, 0}, {554, 368}}</string>
+ <key>RubberWindowFrame</key>
+ <string>315 424 744 409 0 0 1440 878 </string>
+ </dict>
+ <key>Module</key>
+ <string>XCDetailModule</string>
+ <key>Proportion</key>
+ <string>554pt</string>
+ </dict>
+ </array>
+ <key>Proportion</key>
+ <string>368pt</string>
+ </dict>
+ </array>
+ <key>MajorVersion</key>
+ <integer>3</integer>
+ <key>MinorVersion</key>
+ <integer>0</integer>
+ <key>Name</key>
+ <string>Breakpoints</string>
+ <key>ServiceClasses</key>
+ <array>
+ <string>PBXSmartGroupTreeModule</string>
+ <string>XCDetailModule</string>
+ </array>
+ <key>StatusbarIsVisible</key>
+ <integer>1</integer>
+ <key>TableOfContents</key>
+ <array>
+ <string>1CDDB66807F98D9800BB5817</string>
+ <string>1CDDB66907F98D9800BB5817</string>
+ <string>1CE0B1FE06471DED0097A5F4</string>
+ <string>1CA1AED706398EBD00589147</string>
+ </array>
+ <key>ToolbarConfiguration</key>
+ <string>xcode.toolbar.config.breakpointsV3</string>
+ <key>WindowString</key>
+ <string>315 424 744 409 0 0 1440 878 </string>
+ <key>WindowToolGUID</key>
+ <string>1CDDB66807F98D9800BB5817</string>
+ <key>WindowToolIsVisible</key>
+ <integer>1</integer>
+ </dict>
+ <dict>
+ <key>Identifier</key>
+ <string>windowTool.debugAnimator</string>
+ <key>Layout</key>
+ <array>
+ <dict>
+ <key>Dock</key>
+ <array>
+ <dict>
+ <key>Module</key>
+ <string>PBXNavigatorGroup</string>
+ <key>Proportion</key>
+ <string>100%</string>
+ </dict>
+ </array>
+ <key>Proportion</key>
+ <string>100%</string>
+ </dict>
+ </array>
+ <key>Name</key>
+ <string>Debug Visualizer</string>
+ <key>ServiceClasses</key>
+ <array>
+ <string>PBXNavigatorGroup</string>
+ </array>
+ <key>StatusbarIsVisible</key>
+ <integer>1</integer>
+ <key>ToolbarConfiguration</key>
+ <string>xcode.toolbar.config.debugAnimatorV3</string>
+ <key>WindowString</key>
+ <string>100 100 700 500 0 0 1280 1002 </string>
+ </dict>
+ <dict>
+ <key>Identifier</key>
+ <string>windowTool.bookmarks</string>
+ <key>Layout</key>
+ <array>
+ <dict>
+ <key>Dock</key>
+ <array>
+ <dict>
+ <key>Module</key>
+ <string>PBXBookmarksModule</string>
+ <key>Proportion</key>
+ <string>166pt</string>
+ </dict>
+ </array>
+ <key>Proportion</key>
+ <string>166pt</string>
+ </dict>
+ </array>
+ <key>Name</key>
+ <string>Bookmarks</string>
+ <key>ServiceClasses</key>
+ <array>
+ <string>PBXBookmarksModule</string>
+ </array>
+ <key>StatusbarIsVisible</key>
+ <integer>0</integer>
+ <key>WindowString</key>
+ <string>538 42 401 187 0 0 1280 1002 </string>
+ </dict>
+ <dict>
+ <key>Identifier</key>
+ <string>windowTool.projectFormatConflicts</string>
+ <key>Layout</key>
+ <array>
+ <dict>
+ <key>Dock</key>
+ <array>
+ <dict>
+ <key>Module</key>
+ <string>XCProjectFormatConflictsModule</string>
+ <key>Proportion</key>
+ <string>100%</string>
+ </dict>
+ </array>
+ <key>Proportion</key>
+ <string>100%</string>
+ </dict>
+ </array>
+ <key>Name</key>
+ <string>Project Format Conflicts</string>
+ <key>ServiceClasses</key>
+ <array>
+ <string>XCProjectFormatConflictsModule</string>
+ </array>
+ <key>StatusbarIsVisible</key>
+ <integer>0</integer>
+ <key>WindowContentMinSize</key>
+ <string>450 300</string>
+ <key>WindowString</key>
+ <string>50 850 472 307 0 0 1440 877</string>
+ </dict>
+ <dict>
+ <key>Identifier</key>
+ <string>windowTool.classBrowser</string>
+ <key>Layout</key>
+ <array>
+ <dict>
+ <key>Dock</key>
+ <array>
+ <dict>
+ <key>BecomeActive</key>
+ <integer>1</integer>
+ <key>ContentConfiguration</key>
+ <dict>
+ <key>OptionsSetName</key>
+ <string>Hierarchy, all classes</string>
+ <key>PBXProjectModuleGUID</key>
+ <string>1CA6456E063B45B4001379D8</string>
+ <key>PBXProjectModuleLabel</key>
+ <string>Class Browser - NSObject</string>
+ </dict>
+ <key>GeometryConfiguration</key>
+ <dict>
+ <key>ClassesFrame</key>
+ <string>{{0, 0}, {369, 96}}</string>
+ <key>ClassesTreeTableConfiguration</key>
+ <array>
+ <string>PBXClassNameColumnIdentifier</string>
+ <real>208</real>
+ <string>PBXClassBookColumnIdentifier</string>
+ <real>22</real>
+ </array>
+ <key>Frame</key>
+ <string>{{0, 0}, {616, 353}}</string>
+ <key>MembersFrame</key>
+ <string>{{0, 105}, {369, 395}}</string>
+ <key>MembersTreeTableConfiguration</key>
+ <array>
+ <string>PBXMemberTypeIconColumnIdentifier</string>
+ <real>22</real>
+ <string>PBXMemberNameColumnIdentifier</string>
+ <real>216</real>
+ <string>PBXMemberTypeColumnIdentifier</string>
+ <real>94</real>
+ <string>PBXMemberBookColumnIdentifier</string>
+ <real>22</real>
+ </array>
+ <key>PBXModuleWindowStatusBarHidden2</key>
+ <integer>1</integer>
+ <key>RubberWindowFrame</key>
+ <string>597 125 616 374 0 0 1280 1002 </string>
+ </dict>
+ <key>Module</key>
+ <string>PBXClassBrowserModule</string>
+ <key>Proportion</key>
+ <string>354pt</string>
+ </dict>
+ </array>
+ <key>Proportion</key>
+ <string>354pt</string>
+ </dict>
+ </array>
+ <key>Name</key>
+ <string>Class Browser</string>
+ <key>ServiceClasses</key>
+ <array>
+ <string>PBXClassBrowserModule</string>
+ </array>
+ <key>StatusbarIsVisible</key>
+ <integer>0</integer>
+ <key>TableOfContents</key>
+ <array>
+ <string>1C78EABA065D492600B07095</string>
+ <string>1C78EABB065D492600B07095</string>
+ <string>1CA6456E063B45B4001379D8</string>
+ </array>
+ <key>ToolbarConfiguration</key>
+ <string>xcode.toolbar.config.classbrowser</string>
+ <key>WindowString</key>
+ <string>597 125 616 374 0 0 1280 1002 </string>
+ </dict>
+ <dict>
+ <key>Identifier</key>
+ <string>windowTool.refactoring</string>
+ <key>IncludeInToolsMenu</key>
+ <integer>0</integer>
+ <key>Layout</key>
+ <array>
+ <dict>
+ <key>Dock</key>
+ <array>
+ <dict>
+ <key>BecomeActive</key>
+ <integer>1</integer>
+ <key>GeometryConfiguration</key>
+ <dict>
+ <key>Frame</key>
+ <string>{0, 0}, {500, 335}</string>
+ <key>RubberWindowFrame</key>
+ <string>{0, 0}, {500, 335}</string>
+ </dict>
+ <key>Module</key>
+ <string>XCRefactoringModule</string>
+ <key>Proportion</key>
+ <string>100%</string>
+ </dict>
+ </array>
+ <key>Proportion</key>
+ <string>100%</string>
+ </dict>
+ </array>
+ <key>Name</key>
+ <string>Refactoring</string>
+ <key>ServiceClasses</key>
+ <array>
+ <string>XCRefactoringModule</string>
+ </array>
+ <key>WindowString</key>
+ <string>200 200 500 356 0 0 1920 1200 </string>
+ </dict>
+ </array>
+</dict>
+</plist>
diff --git a/src/aig/aig/aig.h b/abc70930/src/aig/aig/aig.h
index 63456b5d..e85eceb9 100644
--- a/src/aig/aig/aig.h
+++ b/abc70930/src/aig/aig/aig.h
@@ -137,8 +137,6 @@ struct Aig_Man_t_
void (*pImpFunc) (void*, void*); // implication checking precedure
void * pImpData; // implication checking data
Aig_TMan_t * pManTime; // the timing manager
- Vec_Int_t * vPiIds;
- Vec_Int_t * vPoIds;
Vec_Ptr_t * vMapped;
Vec_Int_t * vFlopNums;
// timing statistics
@@ -228,7 +226,6 @@ static inline void Aig_ObjSetTravIdPrevious( Aig_Man_t * p, Aig_Obj_t *
static inline int Aig_ObjIsTravIdCurrent( Aig_Man_t * p, Aig_Obj_t * pObj ) { return (int)(pObj->TravId == p->nTravIds); }
static inline int Aig_ObjIsTravIdPrevious( Aig_Man_t * p, Aig_Obj_t * pObj ) { return (int)(pObj->TravId == p->nTravIds - 1); }
-static inline int Aig_ObjTravId( Aig_Obj_t * pObj ) { return (int)pObj->pData; }
static inline int Aig_ObjPhase( Aig_Obj_t * pObj ) { return pObj->fPhase; }
static inline int Aig_ObjPhaseReal( Aig_Obj_t * pObj ) { return pObj? Aig_Regular(pObj)->fPhase ^ Aig_IsComplement(pObj) : 1; }
static inline int Aig_ObjRefs( Aig_Obj_t * pObj ) { return pObj->nRefs; }
diff --git a/src/aig/aig/aigCheck.c b/abc70930/src/aig/aig/aigCheck.c
index 8c53e635..8c53e635 100644
--- a/src/aig/aig/aigCheck.c
+++ b/abc70930/src/aig/aig/aigCheck.c
diff --git a/src/aig/aig/aigDfs.c b/abc70930/src/aig/aig/aigDfs.c
index e9821bdc..dedfeb4a 100644
--- a/src/aig/aig/aigDfs.c
+++ b/abc70930/src/aig/aig/aigDfs.c
@@ -187,7 +187,7 @@ Vec_Ptr_t * Aig_ManDfsChoices( Aig_Man_t * p )
void Aig_ManDfsReverse_rec( Aig_Man_t * p, Aig_Obj_t * pObj, Vec_Ptr_t * vNodes )
{
Aig_Obj_t * pFanout;
- int iFanout, i;
+ int iFanout = -1, i;
assert( !Aig_IsComplement(pObj) );
if ( Aig_ObjIsTravIdCurrent(p, pObj) )
return;
diff --git a/src/aig/aig/aigFanout.c b/abc70930/src/aig/aig/aigFanout.c
index d0beb128..d0beb128 100644
--- a/src/aig/aig/aigFanout.c
+++ b/abc70930/src/aig/aig/aigFanout.c
diff --git a/src/aig/aig/aigMan.c b/abc70930/src/aig/aig/aigMan.c
index d2714380..fed6aebd 100644
--- a/src/aig/aig/aigMan.c
+++ b/abc70930/src/aig/aig/aigMan.c
@@ -315,6 +315,7 @@ void Aig_ManPrintStats( Aig_Man_t * p )
if ( Aig_ManRegNum(p) )
printf( "Lat = %5d. ", Aig_ManRegNum(p) );
printf( "\n" );
+ fflush( stdout );
}
////////////////////////////////////////////////////////////////////////
diff --git a/src/aig/aig/aigMem.c b/abc70930/src/aig/aig/aigMem.c
index dab90777..dab90777 100644
--- a/src/aig/aig/aigMem.c
+++ b/abc70930/src/aig/aig/aigMem.c
diff --git a/src/aig/aig/aigMffc.c b/abc70930/src/aig/aig/aigMffc.c
index 47ce896d..47ce896d 100644
--- a/src/aig/aig/aigMffc.c
+++ b/abc70930/src/aig/aig/aigMffc.c
diff --git a/src/aig/aig/aigObj.c b/abc70930/src/aig/aig/aigObj.c
index 34c07dce..8323249e 100644
--- a/src/aig/aig/aigObj.c
+++ b/abc70930/src/aig/aig/aigObj.c
@@ -140,8 +140,8 @@ void Aig_ObjConnect( Aig_Man_t * p, Aig_Obj_t * pObj, Aig_Obj_t * pFan0, Aig_Obj
if ( Aig_ObjIsHash(pObj) )
Aig_TableInsert( p, pObj );
// add the node to the dynamically updated topological order
- if ( p->pOrderData && Aig_ObjIsNode(pObj) )
- Aig_ObjOrderInsert( p, pObj->Id );
+// if ( p->pOrderData && Aig_ObjIsNode(pObj) )
+// Aig_ObjOrderInsert( p, pObj->Id );
}
/**Function*************************************************************
@@ -178,8 +178,8 @@ void Aig_ObjDisconnect( Aig_Man_t * p, Aig_Obj_t * pObj )
pObj->pFanin0 = NULL;
pObj->pFanin1 = NULL;
// remove the node from the dynamically updated topological order
- if ( p->pOrderData && Aig_ObjIsNode(pObj) )
- Aig_ObjOrderRemove( p, pObj->Id );
+// if ( p->pOrderData && Aig_ObjIsNode(pObj) )
+// Aig_ObjOrderRemove( p, pObj->Id );
}
/**Function*************************************************************
diff --git a/src/aig/aig/aigOper.c b/abc70930/src/aig/aig/aigOper.c
index 68216ee0..66a6dce1 100644
--- a/src/aig/aig/aigOper.c
+++ b/abc70930/src/aig/aig/aigOper.c
@@ -107,7 +107,7 @@ Aig_Obj_t * Aig_CanonPair_rec( Aig_Man_t * p, Aig_Obj_t * pGhost )
// consider the case when the pair is canonical
if ( !Aig_ObjIsLatch(Aig_ObjFanin0(pGhost)) || !Aig_ObjIsLatch(Aig_ObjFanin1(pGhost)) )
{
- if ( pResult = Aig_TableLookup( p, pGhost ) )
+ if ( (pResult = Aig_TableLookup( p, pGhost )) )
return pResult;
return Aig_ObjCreate( p, pGhost );
}
diff --git a/src/aig/aig/aigOrder.c b/abc70930/src/aig/aig/aigOrder.c
index 62aeca6e..62aeca6e 100644
--- a/src/aig/aig/aigOrder.c
+++ b/abc70930/src/aig/aig/aigOrder.c
diff --git a/src/aig/aig/aigPart.c b/abc70930/src/aig/aig/aigPart.c
index 65e35fc4..3acd1aeb 100644
--- a/src/aig/aig/aigPart.c
+++ b/abc70930/src/aig/aig/aigPart.c
@@ -115,7 +115,7 @@ char * Part_ManFetch( Part_Man_t * p, int nSize )
assert( nSize > 0 );
Type = Part_SizeType( nSize, p->nStepSize );
Vec_PtrFillExtra( p->vFree, Type + 1, NULL );
- if ( pMemory = Vec_PtrEntry( p->vFree, Type ) )
+ if ( (pMemory = Vec_PtrEntry( p->vFree, Type )) )
{
Vec_PtrWriteEntry( p->vFree, Type, Part_OneNext(pMemory) );
return pMemory;
@@ -274,9 +274,9 @@ Vec_Ptr_t * Aig_ManSupports( Aig_Man_t * pMan )
int i;
// set the number of PIs/POs
Aig_ManForEachPi( pMan, pObj, i )
- pObj->pNext = (Aig_Obj_t *)i;
+ pObj->pNext = (Aig_Obj_t *)(long)i;
Aig_ManForEachPo( pMan, pObj, i )
- pObj->pNext = (Aig_Obj_t *)i;
+ pObj->pNext = (Aig_Obj_t *)(long)i;
// start the support computation manager
p = Part_ManStart( 1 << 20, 1 << 6 );
// consider objects in the topological order
@@ -301,7 +301,7 @@ Vec_Ptr_t * Aig_ManSupports( Aig_Man_t * pMan )
{
pPart0 = Aig_ObjFanin0(pObj)->pData;
vSupp = Part_ManTransferEntry(pPart0);
- Vec_IntPush( vSupp, (int)pObj->pNext );
+ Vec_IntPush( vSupp, (int)(long)pObj->pNext );
Vec_PtrPush( vSupports, vSupp );
assert( pPart0->nRefs > 0 );
if ( --pPart0->nRefs == 0 )
@@ -313,7 +313,7 @@ Vec_Ptr_t * Aig_ManSupports( Aig_Man_t * pMan )
if ( pObj->nRefs )
{
pPart0 = Part_ManFetchEntry( p, 1, pObj->nRefs );
- pPart0->pOuts[ pPart0->nOuts++ ] = (int)pObj->pNext;
+ pPart0->pOuts[ pPart0->nOuts++ ] = (int)(long)pObj->pNext;
pObj->pData = pPart0;
}
continue;
@@ -728,7 +728,7 @@ Aig_Obj_t * Aig_ManDupPart_rec( Aig_Man_t * pNew, Aig_Man_t * pOld, Aig_Obj_t *
if ( Aig_ObjIsPi(pObj) )
{
assert( Vec_IntSize(vSuppMap) == Aig_ManPiNum(pNew) );
- Vec_IntPush( vSuppMap, (int)pObj->pNext );
+ Vec_IntPush( vSuppMap, (int)(long)pObj->pNext );
return pObj->pData = Aig_ObjCreatePi(pNew);
}
assert( Aig_ObjIsNode(pObj) );
@@ -896,7 +896,7 @@ Aig_Man_t * Aig_ManChoicePartitioned( Vec_Ptr_t * vAigs, int nPartSize )
// set the PI numbers
Vec_PtrForEachEntry( vAigs, pAig, i )
Aig_ManForEachPi( pAig, pObj, k )
- pObj->pNext = (Aig_Obj_t *)k;
+ pObj->pNext = (Aig_Obj_t *)(long)k;
Cmd_CommandExecute( Abc_FrameGetGlobalFrame(), "unset progressbar" );
diff --git a/src/aig/aig/aigRepr.c b/abc70930/src/aig/aig/aigRepr.c
index 6356afd3..5ee6c9af 100644
--- a/src/aig/aig/aigRepr.c
+++ b/abc70930/src/aig/aig/aigRepr.c
@@ -187,7 +187,7 @@ static inline Aig_Obj_t * Aig_ObjFindReprTransitive( Aig_Man_t * p, Aig_Obj_t *
static inline Aig_Obj_t * Aig_ObjRepr( Aig_Man_t * p, Aig_Obj_t * pObj )
{
Aig_Obj_t * pRepr;
- if ( pRepr = Aig_ObjFindRepr(p, pObj) )
+ if ( (pRepr = Aig_ObjFindRepr(p, pObj)) )
return Aig_NotCond( pRepr->pData, pObj->fPhase ^ pRepr->fPhase );
return pObj->pData;
}
@@ -392,7 +392,7 @@ Aig_Man_t * Aig_ManRehash( Aig_Man_t * p )
Aig_Man_t * pTemp;
int i, nFanouts;
assert( p->pReprs != NULL );
- for ( i = 0; nFanouts = Aig_ManRemapRepr( p ); i++ )
+ for ( i = 0; (nFanouts = Aig_ManRemapRepr( p )); i++ )
{
// printf( "Iter = %3d. Fanouts = %6d. Nodes = %7d.\n", i+1, nFanouts, Aig_ManNodeNum(p) );
p = Aig_ManDupRepr( pTemp = p, 1 );
diff --git a/src/aig/aig/aigRet.c b/abc70930/src/aig/aig/aigRet.c
index 3efe20f0..d1784b1b 100644
--- a/src/aig/aig/aigRet.c
+++ b/abc70930/src/aig/aig/aigRet.c
@@ -273,7 +273,7 @@ void Rtm_ObjAddFirst2( Rtm_Man_t * p, Rtm_Edg_t * pEdge, Rtm_Init_t Val )
***********************************************************************/
void Rtm_PrintEdge( Rtm_Man_t * p, Rtm_Edg_t * pEdge )
{
- unsigned LData = pEdge->LData;
+// unsigned LData = pEdge->LData;
printf( "%d : ", pEdge->nLats );
/*
if ( pEdge->nLats > 10 )
diff --git a/src/aig/aig/aigScl.c b/abc70930/src/aig/aig/aigScl.c
index abd424c1..aae088a3 100644
--- a/src/aig/aig/aigScl.c
+++ b/abc70930/src/aig/aig/aigScl.c
@@ -159,10 +159,10 @@ int Aig_ManSeqCleanup( Aig_Man_t * p )
int nTruePos = Aig_ManPoNum(p)-Aig_ManRegNum(p);
// remember numbers of flops in the flops
Aig_ManForEachLiSeq( p, pObj, i )
- pObj->pNext = (void *)Vec_IntEntry( p->vFlopNums, i - nTruePos );
+ pObj->pNext = (Aig_Obj_t *)(long)Vec_IntEntry( p->vFlopNums, i - nTruePos );
// reset the flop numbers
Vec_PtrForEachEntryStart( vNodes, pObj, i, nTruePos )
- Vec_IntWriteEntry( p->vFlopNums, i - nTruePos, (int)pObj->pNext );
+ Vec_IntWriteEntry( p->vFlopNums, i - nTruePos, (int)(long)pObj->pNext );
Vec_IntShrink( p->vFlopNums, Vec_PtrSize(vNodes) - nTruePos );
// clean the next pointer
Aig_ManForEachLiSeq( p, pObj, i )
@@ -368,7 +368,7 @@ Aig_Man_t * Aig_ManReduceLaches( Aig_Man_t * p, int fVerbose )
Aig_Man_t * pTemp;
Vec_Ptr_t * vMap;
int nSaved, nCur;
- for ( nSaved = 0; nCur = Aig_ManReduceLachesCount(p); nSaved += nCur )
+ for ( nSaved = 0; (nCur = Aig_ManReduceLachesCount(p)); nSaved += nCur )
{
if ( fVerbose )
{
diff --git a/src/aig/aig/aigSeq.c b/abc70930/src/aig/aig/aigSeq.c
index d296d339..daafeab1 100644
--- a/src/aig/aig/aigSeq.c
+++ b/abc70930/src/aig/aig/aigSeq.c
@@ -302,7 +302,7 @@ int Aig_ManRemoveUnmarked( Aig_Man_t * p )
int Aig_ManSeqRehashOne( Aig_Man_t * p, Vec_Ptr_t * vNodes, Vec_Ptr_t * vUnreach )
{
Aig_Obj_t * pObj, * pObjNew, * pFanin0, * pFanin1;
- int i, RetValue = 0, Counter = 0, Counter2 = 0;
+ int i, RetValue = 0, Counter = 0;//, Counter2 = 0;
// mark the unreachable nodes
Aig_ManIncrementTravId( p );
diff --git a/src/aig/aig/aigShow.c b/abc70930/src/aig/aig/aigShow.c
index 96b0e37f..96b0e37f 100644
--- a/src/aig/aig/aigShow.c
+++ b/abc70930/src/aig/aig/aigShow.c
diff --git a/src/aig/aig/aigTable.c b/abc70930/src/aig/aig/aigTable.c
index 94593d70..94593d70 100644
--- a/src/aig/aig/aigTable.c
+++ b/abc70930/src/aig/aig/aigTable.c
diff --git a/src/aig/aig/aigTime.c b/abc70930/src/aig/aig/aigTime.c
index 42f5f575..42f5f575 100644
--- a/src/aig/aig/aigTime.c
+++ b/abc70930/src/aig/aig/aigTime.c
diff --git a/src/aig/aig/aigTiming.c b/abc70930/src/aig/aig/aigTiming.c
index a5da4513..de8fdc7c 100644
--- a/src/aig/aig/aigTiming.c
+++ b/abc70930/src/aig/aig/aigTiming.c
@@ -114,7 +114,7 @@ int Aig_ObjRequiredLevel( Aig_Man_t * p, Aig_Obj_t * pObj )
int Aig_ObjReverseLevelNew( Aig_Man_t * p, Aig_Obj_t * pObj )
{
Aig_Obj_t * pFanout;
- int i, iFanout, LevelCur, Level = 0;
+ int i, iFanout = -1, LevelCur, Level = 0;
Aig_ObjForEachFanout( p, pObj, pFanout, iFanout, i )
{
LevelCur = Aig_ObjReverseLevel( p, pFanout );
@@ -192,7 +192,7 @@ void Aig_ManStopReverseLevels( Aig_Man_t * p )
void Aig_ManUpdateLevel( Aig_Man_t * p, Aig_Obj_t * pObjNew )
{
Aig_Obj_t * pFanout, * pTemp;
- int iFanout, LevelOld, Lev, k, m;
+ int iFanout = -1, LevelOld, Lev, k, m;
assert( p->pFanData != NULL );
assert( Aig_ObjIsNode(pObjNew) );
// allocate level if needed
diff --git a/src/aig/aig/aigTruth.c b/abc70930/src/aig/aig/aigTruth.c
index a92f9e1d..a92f9e1d 100644
--- a/src/aig/aig/aigTruth.c
+++ b/abc70930/src/aig/aig/aigTruth.c
diff --git a/src/aig/aig/aigTsim.c b/abc70930/src/aig/aig/aigTsim.c
index e5b96879..a8a3dda8 100644
--- a/src/aig/aig/aigTsim.c
+++ b/abc70930/src/aig/aig/aigTsim.c
@@ -415,7 +415,7 @@ Aig_Man_t * Aig_ManConstReduce( Aig_Man_t * p, int fVerbose )
{
Aig_Man_t * pTemp;
Vec_Ptr_t * vMap;
- while ( vMap = Aig_ManTernarySimulate( p, fVerbose ) )
+ while ( (vMap = Aig_ManTernarySimulate( p, fVerbose )) )
{
if ( fVerbose )
printf( "RBeg = %5d. NBeg = %6d. ", Aig_ManRegNum(p), Aig_ManNodeNum(p) );
diff --git a/src/aig/aig/aigUtil.c b/abc70930/src/aig/aig/aigUtil.c
index 2fba3cb1..b70d11c7 100644
--- a/src/aig/aig/aigUtil.c
+++ b/abc70930/src/aig/aig/aigUtil.c
@@ -468,7 +468,7 @@ void Aig_ObjPrintEqn( FILE * pFile, Aig_Obj_t * pObj, Vec_Vec_t * vLevels, int L
// PI case
if ( Aig_ObjIsPi(pObj) )
{
- fprintf( pFile, "%s%s", fCompl? "!" : "", pObj->pData );
+ fprintf( pFile, "%s%s", fCompl? "!" : "", (char*)pObj->pData );
return;
}
// AND case
@@ -515,7 +515,7 @@ void Aig_ObjPrintVerilog( FILE * pFile, Aig_Obj_t * pObj, Vec_Vec_t * vLevels, i
// PI case
if ( Aig_ObjIsPi(pObj) )
{
- fprintf( pFile, "%s%s", fCompl? "~" : "", pObj->pData );
+ fprintf( pFile, "%s%s", fCompl? "~" : "", (char*)pObj->pData );
return;
}
// EXOR case
@@ -682,8 +682,8 @@ void Aig_ManDumpBlif( Aig_Man_t * p, char * pFileName )
nDigits = Aig_Base10Log( Counter );
// write the file
pFile = fopen( pFileName, "w" );
- fprintf( pFile, "# BLIF file written by procedure Aig_ManDumpBlif() in ABC\n" );
- fprintf( pFile, "# http://www.eecs.berkeley.edu/~alanmi/abc/\n" );
+ fprintf( pFile, "# BLIF file written by procedure Aig_ManDumpBlif()\n" );
+// fprintf( pFile, "# http://www.eecs.berkeley.edu/~alanmi/abc/\n" );
fprintf( pFile, ".model test\n" );
// write PIs
fprintf( pFile, ".inputs" );
@@ -764,16 +764,21 @@ void Aig_ManDumpVerilog( Aig_Man_t * p, char * pFileName )
nDigits = Aig_Base10Log( Counter );
// write the file
pFile = fopen( pFileName, "w" );
- fprintf( pFile, "// Verilog file written by procedure Aig_ManDumpVerilog() in ABC\n" );
- fprintf( pFile, "// http://www.eecs.berkeley.edu/~alanmi/abc/\n" );
- fprintf( pFile, "module %s ( clock", p->pName? p->pName: "test" );
+ fprintf( pFile, "// Verilog file written by procedure Aig_ManDumpVerilog()\n" );
+// fprintf( pFile, "// http://www.eecs.berkeley.edu/~alanmi/abc/\n" );
+ if ( Aig_ManRegNum(p) )
+ fprintf( pFile, "module %s ( clock", p->pName? p->pName: "test" );
+ else
+ fprintf( pFile, "module %s (", p->pName? p->pName: "test" );
Aig_ManForEachPiSeq( p, pObj, i )
- fprintf( pFile, ", n%0*d", nDigits, pObj->iData );
+ fprintf( pFile, "%s n%0*d", ((Aig_ManRegNum(p) || i)? ",":""), nDigits, pObj->iData );
Aig_ManForEachPoSeq( p, pObj, i )
fprintf( pFile, ", n%0*d", nDigits, pObj->iData );
fprintf( pFile, " );\n" );
// write PIs
+ if ( Aig_ManRegNum(p) )
+ fprintf( pFile, "input clock;\n" );
Aig_ManForEachPiSeq( p, pObj, i )
fprintf( pFile, "input n%0*d;\n", nDigits, pObj->iData );
// write POs
diff --git a/src/aig/aig/aigWin.c b/abc70930/src/aig/aig/aigWin.c
index 0485b243..0485b243 100644
--- a/src/aig/aig/aigWin.c
+++ b/abc70930/src/aig/aig/aigWin.c
diff --git a/src/aig/aig/aig_.c b/abc70930/src/aig/aig/aig_.c
index b2313d35..b2313d35 100644
--- a/src/aig/aig/aig_.c
+++ b/abc70930/src/aig/aig/aig_.c
diff --git a/src/aig/aig/module.make b/abc70930/src/aig/aig/module.make
index c35e7121..c35e7121 100644
--- a/src/aig/aig/module.make
+++ b/abc70930/src/aig/aig/module.make
diff --git a/src/aig/bar/bar.c b/abc70930/src/aig/bar/bar.c
index 8c215b48..8c215b48 100644
--- a/src/aig/bar/bar.c
+++ b/abc70930/src/aig/bar/bar.c
diff --git a/src/aig/bar/bar.h b/abc70930/src/aig/bar/bar.h
index 814fbe6f..814fbe6f 100644
--- a/src/aig/bar/bar.h
+++ b/abc70930/src/aig/bar/bar.h
diff --git a/src/aig/bar/module.make b/abc70930/src/aig/bar/module.make
index 26161ba1..26161ba1 100644
--- a/src/aig/bar/module.make
+++ b/abc70930/src/aig/bar/module.make
diff --git a/src/aig/bdc/bdc.h b/abc70930/src/aig/bdc/bdc.h
index 71875edb..71875edb 100644
--- a/src/aig/bdc/bdc.h
+++ b/abc70930/src/aig/bdc/bdc.h
diff --git a/src/aig/bdc/bdcCore.c b/abc70930/src/aig/bdc/bdcCore.c
index 157927b1..157927b1 100644
--- a/src/aig/bdc/bdcCore.c
+++ b/abc70930/src/aig/bdc/bdcCore.c
diff --git a/src/aig/bdc/bdcDec.c b/abc70930/src/aig/bdc/bdcDec.c
index 747fcb14..747fcb14 100644
--- a/src/aig/bdc/bdcDec.c
+++ b/abc70930/src/aig/bdc/bdcDec.c
diff --git a/src/aig/bdc/bdcInt.h b/abc70930/src/aig/bdc/bdcInt.h
index 9649f870..9649f870 100644
--- a/src/aig/bdc/bdcInt.h
+++ b/abc70930/src/aig/bdc/bdcInt.h
diff --git a/src/aig/bdc/bdcTable.c b/abc70930/src/aig/bdc/bdcTable.c
index d86a938d..d86a938d 100644
--- a/src/aig/bdc/bdcTable.c
+++ b/abc70930/src/aig/bdc/bdcTable.c
diff --git a/src/aig/bdc/bdc_.c b/abc70930/src/aig/bdc/bdc_.c
index 9d0a9462..9d0a9462 100644
--- a/src/aig/bdc/bdc_.c
+++ b/abc70930/src/aig/bdc/bdc_.c
diff --git a/src/aig/bdc/module.make b/abc70930/src/aig/bdc/module.make
index 8697c998..8697c998 100644
--- a/src/aig/bdc/module.make
+++ b/abc70930/src/aig/bdc/module.make
diff --git a/src/aig/cnf/cnf.h b/abc70930/src/aig/cnf/cnf.h
index 5c7a594e..5c7a594e 100644
--- a/src/aig/cnf/cnf.h
+++ b/abc70930/src/aig/cnf/cnf.h
diff --git a/src/aig/cnf/cnfCore.c b/abc70930/src/aig/cnf/cnfCore.c
index 06731451..06731451 100644
--- a/src/aig/cnf/cnfCore.c
+++ b/abc70930/src/aig/cnf/cnfCore.c
diff --git a/src/aig/cnf/cnfCut.c b/abc70930/src/aig/cnf/cnfCut.c
index 17ab0c78..17ab0c78 100644
--- a/src/aig/cnf/cnfCut.c
+++ b/abc70930/src/aig/cnf/cnfCut.c
diff --git a/src/aig/cnf/cnfData.c b/abc70930/src/aig/cnf/cnfData.c
index 7b8be51e..7b8be51e 100644
--- a/src/aig/cnf/cnfData.c
+++ b/abc70930/src/aig/cnf/cnfData.c
diff --git a/src/aig/cnf/cnfMan.c b/abc70930/src/aig/cnf/cnfMan.c
index 1edc012a..1edc012a 100644
--- a/src/aig/cnf/cnfMan.c
+++ b/abc70930/src/aig/cnf/cnfMan.c
diff --git a/src/aig/cnf/cnfMap.c b/abc70930/src/aig/cnf/cnfMap.c
index d966df15..d966df15 100644
--- a/src/aig/cnf/cnfMap.c
+++ b/abc70930/src/aig/cnf/cnfMap.c
diff --git a/src/aig/cnf/cnfPost.c b/abc70930/src/aig/cnf/cnfPost.c
index 988275b2..988275b2 100644
--- a/src/aig/cnf/cnfPost.c
+++ b/abc70930/src/aig/cnf/cnfPost.c
diff --git a/src/aig/cnf/cnfUtil.c b/abc70930/src/aig/cnf/cnfUtil.c
index cd47cb58..cd47cb58 100644
--- a/src/aig/cnf/cnfUtil.c
+++ b/abc70930/src/aig/cnf/cnfUtil.c
diff --git a/src/aig/cnf/cnfWrite.c b/abc70930/src/aig/cnf/cnfWrite.c
index 45a3efad..45a3efad 100644
--- a/src/aig/cnf/cnfWrite.c
+++ b/abc70930/src/aig/cnf/cnfWrite.c
diff --git a/src/aig/cnf/cnf_.c b/abc70930/src/aig/cnf/cnf_.c
index 7c9ca499..7c9ca499 100644
--- a/src/aig/cnf/cnf_.c
+++ b/abc70930/src/aig/cnf/cnf_.c
diff --git a/src/aig/cnf/module.make b/abc70930/src/aig/cnf/module.make
index dbcaeba4..dbcaeba4 100644
--- a/src/aig/cnf/module.make
+++ b/abc70930/src/aig/cnf/module.make
diff --git a/src/aig/csw/csw.h b/abc70930/src/aig/csw/csw.h
index 1443f4d9..1443f4d9 100644
--- a/src/aig/csw/csw.h
+++ b/abc70930/src/aig/csw/csw.h
diff --git a/src/aig/csw/cswCore.c b/abc70930/src/aig/csw/cswCore.c
index 20893058..20893058 100644
--- a/src/aig/csw/cswCore.c
+++ b/abc70930/src/aig/csw/cswCore.c
diff --git a/src/aig/csw/cswCut.c b/abc70930/src/aig/csw/cswCut.c
index b3b7152b..b3b7152b 100644
--- a/src/aig/csw/cswCut.c
+++ b/abc70930/src/aig/csw/cswCut.c
diff --git a/src/aig/csw/cswInt.h b/abc70930/src/aig/csw/cswInt.h
index 37efe9b4..37efe9b4 100644
--- a/src/aig/csw/cswInt.h
+++ b/abc70930/src/aig/csw/cswInt.h
diff --git a/src/aig/csw/cswMan.c b/abc70930/src/aig/csw/cswMan.c
index c3061dee..c3061dee 100644
--- a/src/aig/csw/cswMan.c
+++ b/abc70930/src/aig/csw/cswMan.c
diff --git a/src/aig/csw/cswTable.c b/abc70930/src/aig/csw/cswTable.c
index 87e36ae1..87e36ae1 100644
--- a/src/aig/csw/cswTable.c
+++ b/abc70930/src/aig/csw/cswTable.c
diff --git a/src/aig/csw/csw_.c b/abc70930/src/aig/csw/csw_.c
index 1c59f152..1c59f152 100644
--- a/src/aig/csw/csw_.c
+++ b/abc70930/src/aig/csw/csw_.c
diff --git a/src/aig/csw/module.make b/abc70930/src/aig/csw/module.make
index 8fdb7bef..8fdb7bef 100644
--- a/src/aig/csw/module.make
+++ b/abc70930/src/aig/csw/module.make
diff --git a/src/aig/dar/dar.h b/abc70930/src/aig/dar/dar.h
index 1f25e767..2a4fcaf3 100644
--- a/src/aig/dar/dar.h
+++ b/abc70930/src/aig/dar/dar.h
@@ -75,6 +75,9 @@ struct Dar_RefPar_t_
/// FUNCTION DECLARATIONS ///
////////////////////////////////////////////////////////////////////////
+/*=== darLib.c ========================================================*/
+extern void Dar_LibStart();
+extern void Dar_LibStop();
/*=== darBalance.c ========================================================*/
extern Aig_Man_t * Dar_ManBalance( Aig_Man_t * p, int fUpdateLevel );
/*=== darCore.c ========================================================*/
diff --git a/src/aig/dar/darBalance.c b/abc70930/src/aig/dar/darBalance.c
index 989535f2..cc1eb668 100644
--- a/src/aig/dar/darBalance.c
+++ b/abc70930/src/aig/dar/darBalance.c
@@ -75,7 +75,7 @@ Aig_Man_t * Dar_ManBalance( Aig_Man_t * p, int fUpdateLevel )
}
Vec_VecFree( vStore );
// remove dangling nodes
- if ( i = Aig_ManCleanup( pNew ) )
+ if ( (i = Aig_ManCleanup( pNew )) )
printf( "Cleanup after balancing removed %d dangling nodes.\n", i );
// check the resulting AIG
if ( !Aig_ManCheck(pNew) )
diff --git a/src/aig/dar/darCore.c b/abc70930/src/aig/dar/darCore.c
index 5e1c0fad..f4041dd1 100644
--- a/src/aig/dar/darCore.c
+++ b/abc70930/src/aig/dar/darCore.c
@@ -65,7 +65,7 @@ void Dar_ManDefaultRwrParams( Dar_RwrPar_t * pPars )
int Dar_ManRewrite( Aig_Man_t * pAig, Dar_RwrPar_t * pPars )
{
Dar_Man_t * p;
- Bar_Progress_t * pProgress;
+// Bar_Progress_t * pProgress;
Dar_Cut_t * pCut;
Aig_Obj_t * pObj, * pObjNew;
int i, k, nNodesOld, nNodeBefore, nNodeAfter, Required;
@@ -88,7 +88,7 @@ int Dar_ManRewrite( Aig_Man_t * pAig, Dar_RwrPar_t * pPars )
p->nNodesInit = Aig_ManNodeNum(pAig);
nNodesOld = Vec_PtrSize( pAig->vObjs );
- pProgress = Bar_ProgressStart( stdout, nNodesOld );
+// pProgress = Bar_ProgressStart( stdout, nNodesOld );
Aig_ManForEachObj( pAig, pObj, i )
// pProgress = Bar_ProgressStart( stdout, 100 );
// Aig_ManOrderStart( pAig );
@@ -96,7 +96,7 @@ int Dar_ManRewrite( Aig_Man_t * pAig, Dar_RwrPar_t * pPars )
{
// Bar_ProgressUpdate( pProgress, 100*pAig->nAndPrev/pAig->nAndTotal, NULL );
- Bar_ProgressUpdate( pProgress, i, NULL );
+// Bar_ProgressUpdate( pProgress, i, NULL );
if ( !Aig_ObjIsNode(pObj) )
continue;
if ( i > nNodesOld )
@@ -167,7 +167,7 @@ p->timeCuts += clock() - clk;
p->timeTotal = clock() - clkStart;
p->timeOther = p->timeTotal - p->timeCuts - p->timeEval;
- Bar_ProgressStop( pProgress );
+// Bar_ProgressStop( pProgress );
p->nCutMemUsed = Aig_MmFixedReadMemUsage(p->pMemCuts)/(1<<20);
Dar_ManCutsFree( p );
// put the nodes into the DFS order and reassign their IDs
@@ -210,9 +210,9 @@ Aig_MmFixed_t * Dar_ManComputeCuts( Aig_Man_t * pAig, int nCutsMax )
Dar_RwrPar_t Pars, * pPars = &Pars;
Aig_Obj_t * pObj;
Aig_MmFixed_t * pMemCuts;
- int i, nNodes, clk = 0, clkStart = clock();
+ int i, nNodes;
// remove dangling nodes
- if ( nNodes = Aig_ManCleanup( pAig ) )
+ if ( (nNodes = Aig_ManCleanup( pAig )) )
{
// printf( "Removing %d nodes.\n", nNodes );
}
diff --git a/src/aig/dar/darCut.c b/abc70930/src/aig/dar/darCut.c
index 08bd77a9..08bd77a9 100644
--- a/src/aig/dar/darCut.c
+++ b/abc70930/src/aig/dar/darCut.c
diff --git a/src/aig/dar/darData.c b/abc70930/src/aig/dar/darData.c
index abf1d378..cb403b8a 100644
--- a/src/aig/dar/darData.c
+++ b/abc70930/src/aig/dar/darData.c
@@ -11141,7 +11141,7 @@ Vec_Int_t * Dar_LibReadPrios()
return vResult;
}
-//#if 0
+#if 0
#include "abc.h"
@@ -11274,7 +11274,7 @@ void Aig_NtkGenerateArrays( Abc_Ntk_t * pNtk )
}
-//#endif
+#endif
diff --git a/src/aig/dar/darInt.h b/abc70930/src/aig/dar/darInt.h
index 97331416..4da793f5 100644
--- a/src/aig/dar/darInt.h
+++ b/abc70930/src/aig/dar/darInt.h
@@ -35,10 +35,10 @@ extern "C" {
#include <assert.h>
#include <time.h>
+//#include "bar.h"
#include "vec.h"
#include "aig.h"
#include "dar.h"
-#include "bar.h"
////////////////////////////////////////////////////////////////////////
/// PARAMETERS ///
diff --git a/src/aig/dar/darLib.c b/abc70930/src/aig/dar/darLib.c
index 14a47cee..e0e97055 100644
--- a/src/aig/dar/darLib.c
+++ b/abc70930/src/aig/dar/darLib.c
@@ -121,7 +121,7 @@ Dar_Lib_t * Dar_LibAlloc( int nObjs )
{
unsigned uTruths[4] = { 0xAAAA, 0xCCCC, 0xF0F0, 0xFF00 };
Dar_Lib_t * p;
- int i, clk = clock();
+ int i;//, clk = clock();
p = ALLOC( Dar_Lib_t, 1 );
memset( p, 0, sizeof(Dar_Lib_t) );
// allocate objects
@@ -567,7 +567,7 @@ Dar_Lib_t * Dar_LibRead()
***********************************************************************/
void Dar_LibStart()
{
- int clk = clock();
+// int clk = clock();
assert( s_DarLib == NULL );
s_DarLib = Dar_LibRead();
// printf( "The 4-input library started with %d nodes and %d subgraphs. ", s_DarLib->nObjs - 4, s_DarLib->nSubgrTotal );
@@ -685,11 +685,11 @@ int Dar_LibCutMatch( Dar_Man_t * p, Dar_Cut_t * pCut )
assert( pCut->nLeaves == 4 );
// get the fanin permutation
uPhase = s_DarLib->pPhases[pCut->uTruth];
- pPerm = s_DarLib->pPerms4[ s_DarLib->pPerms[pCut->uTruth] ];
+ pPerm = s_DarLib->pPerms4[ (int)s_DarLib->pPerms[pCut->uTruth] ];
// collect fanins with the corresponding permutation/phase
for ( i = 0; i < (int)pCut->nLeaves; i++ )
{
- pFanin = Aig_ManObj( p->pAig, pCut->pLeaves[pPerm[i]] );
+ pFanin = Aig_ManObj( p->pAig, pCut->pLeaves[ (int)pPerm[i] ] );
if ( pFanin == NULL )
{
p->nCutsBad++;
@@ -745,7 +745,7 @@ void Dar_LibObjPrint_rec( Dar_LibObj_t * pObj )
{
if ( pObj->fTerm )
{
- printf( "%c", 'a' + pObj - s_DarLib->pObjs );
+ printf( "%c", 'a' + (int)(pObj - s_DarLib->pObjs) );
return;
}
printf( "(" );
diff --git a/src/aig/dar/darMan.c b/abc70930/src/aig/dar/darMan.c
index 155d48bd..0a5a36b1 100644
--- a/src/aig/dar/darMan.c
+++ b/abc70930/src/aig/dar/darMan.c
@@ -118,8 +118,9 @@ void Dar_ManPrintStats( Dar_Man_t * p )
printf( "G = %6d (%5.2f %%) ", p->ClassGains[i], Gain? 100.0*p->ClassGains[i]/Gain : 0.0 );
printf( "S = %8d (%5.2f %%) ", p->ClassSubgs[i], p->nTotalSubgs? 100.0*p->ClassSubgs[i]/p->nTotalSubgs : 0.0 );
printf( "R = %7d ", p->ClassGains[i]? p->ClassSubgs[i]/p->ClassGains[i] : 9999999 );
- Kit_DsdPrintFromTruth( pCanons + i, 4 );
+// Kit_DsdPrintFromTruth( pCanons + i, 4 );
// PRTP( "T", p->ClassTimes[i], p->timeEval );
+ printf( "\n" );
}
}
diff --git a/src/aig/dar/darPrec.c b/abc70930/src/aig/dar/darPrec.c
index c3e62389..8c3a4ce3 100644
--- a/src/aig/dar/darPrec.c
+++ b/abc70930/src/aig/dar/darPrec.c
@@ -28,7 +28,6 @@
/// FUNCTION DEFINITIONS ///
////////////////////////////////////////////////////////////////////////
-
/**Function*************************************************************
Synopsis [Allocated one-memory-chunk array.]
@@ -40,7 +39,7 @@
SeeAlso []
***********************************************************************/
-void ** Dar_ArrayAlloc( int nCols, int nRows, int Size )
+char ** Dar_ArrayAlloc( int nCols, int nRows, int Size )
{
char ** pRes;
char * pBuffer;
@@ -146,7 +145,7 @@ char ** Dar_Permutations( int n )
int nFact, i;
// allocate memory
nFact = Dar_Factorial( n );
- pRes = (char **)Dar_ArrayAlloc( nFact, n, sizeof(char) );
+ pRes = Dar_ArrayAlloc( nFact, n, sizeof(char) );
// fill in the permutations
for ( i = 0; i < n; i++ )
Array[i] = i;
diff --git a/src/aig/dar/darRefact.c b/abc70930/src/aig/dar/darRefact.c
index 142c7721..a765ec30 100644
--- a/src/aig/dar/darRefact.c
+++ b/abc70930/src/aig/dar/darRefact.c
@@ -297,7 +297,7 @@ printf( "\n" );
Aig_Obj_t * Dar_RefactBuildGraph( Aig_Man_t * pAig, Vec_Ptr_t * vCut, Kit_Graph_t * pGraph )
{
Aig_Obj_t * pAnd0, * pAnd1;
- Kit_Node_t * pNode;
+ Kit_Node_t * pNode = NULL;
int i;
// check for constant function
if ( Kit_GraphIsConst(pGraph) )
@@ -459,11 +459,11 @@ int Dar_ObjCutLevelAchieved( Vec_Ptr_t * vCut, int nLevelMin )
SideEffects []
SeeAlso []
-
+
***********************************************************************/
int Dar_ManRefactor( Aig_Man_t * pAig, Dar_RefPar_t * pPars )
{
- Bar_Progress_t * pProgress;
+// Bar_Progress_t * pProgress;
Ref_Man_t * p;
Vec_Ptr_t * vCut, * vCut2;
Aig_Obj_t * pObj, * pObjNew;
@@ -485,10 +485,10 @@ int Dar_ManRefactor( Aig_Man_t * pAig, Dar_RefPar_t * pPars )
vCut2 = Vec_VecEntry( p->vCuts, 1 );
p->nNodesInit = Aig_ManNodeNum(pAig);
nNodesOld = Vec_PtrSize( pAig->vObjs );
- pProgress = Bar_ProgressStart( stdout, nNodesOld );
+// pProgress = Bar_ProgressStart( stdout, nNodesOld );
Aig_ManForEachObj( pAig, pObj, i )
{
- Bar_ProgressUpdate( pProgress, i, NULL );
+// Bar_ProgressUpdate( pProgress, i, NULL );
if ( !Aig_ObjIsNode(pObj) )
continue;
if ( i > nNodesOld )
@@ -564,7 +564,7 @@ p->timeEval += clock() - clk;
p->timeTotal = clock() - clkStart;
p->timeOther = p->timeTotal - p->timeCuts - p->timeEval;
- Bar_ProgressStop( pProgress );
+// Bar_ProgressStop( pProgress );
// put the nodes into the DFS order and reassign their IDs
// Aig_NtkReassignIds( p );
// fix the levels
diff --git a/src/aig/dar/darResub.c b/abc70930/src/aig/dar/darResub.c
index f819934e..f819934e 100644
--- a/src/aig/dar/darResub.c
+++ b/abc70930/src/aig/dar/darResub.c
diff --git a/src/aig/dar/darScript.c b/abc70930/src/aig/dar/darScript.c
index 9eb5e280..bd1d7ac1 100644
--- a/src/aig/dar/darScript.c
+++ b/abc70930/src/aig/dar/darScript.c
@@ -19,7 +19,7 @@
***********************************************************************/
#include "darInt.h"
-#include "ioa.h"
+//#include "ioa.h"
////////////////////////////////////////////////////////////////////////
/// DECLARATIONS ///
@@ -135,33 +135,38 @@ Aig_Man_t * Dar_ManCompress( Aig_Man_t * pAig, int fBalance, int fUpdateLevel, i
pParsRwr->fUpdateLevel = fUpdateLevel;
pParsRef->fUpdateLevel = fUpdateLevel;
- pParsRwr->fVerbose = fVerbose;
- pParsRef->fVerbose = fVerbose;
+ pParsRwr->fVerbose = 0;//fVerbose;
+ pParsRef->fVerbose = 0;//fVerbose;
pAig = Aig_ManDup( pAig, 0 );
+ if ( fVerbose ) Aig_ManPrintStats( pAig );
// balance
if ( fBalance )
{
// pAig = Dar_ManBalance( pTemp = pAig, fUpdateLevel );
// Aig_ManStop( pTemp );
+// if ( fVerbose ) Aig_ManPrintStats( pAig );
}
// rewrite
Dar_ManRewrite( pAig, pParsRwr );
pAig = Aig_ManDup( pTemp = pAig, 0 );
Aig_ManStop( pTemp );
+ if ( fVerbose ) Aig_ManPrintStats( pAig );
// refactor
Dar_ManRefactor( pAig, pParsRef );
pAig = Aig_ManDup( pTemp = pAig, 0 );
Aig_ManStop( pTemp );
+ if ( fVerbose ) Aig_ManPrintStats( pAig );
// balance
if ( fBalance )
{
pAig = Dar_ManBalance( pTemp = pAig, fUpdateLevel );
Aig_ManStop( pTemp );
+ if ( fVerbose ) Aig_ManPrintStats( pAig );
}
pParsRwr->fUseZeros = 1;
@@ -171,6 +176,7 @@ Aig_Man_t * Dar_ManCompress( Aig_Man_t * pAig, int fBalance, int fUpdateLevel, i
Dar_ManRewrite( pAig, pParsRwr );
pAig = Aig_ManDup( pTemp = pAig, 0 );
Aig_ManStop( pTemp );
+ if ( fVerbose ) Aig_ManPrintStats( pAig );
return pAig;
}
@@ -200,39 +206,46 @@ Aig_Man_t * Dar_ManCompress2( Aig_Man_t * pAig, int fBalance, int fUpdateLevel,
pParsRwr->fUpdateLevel = fUpdateLevel;
pParsRef->fUpdateLevel = fUpdateLevel;
- pParsRwr->fVerbose = fVerbose;
- pParsRef->fVerbose = fVerbose;
+ pParsRwr->fVerbose = 0;//fVerbose;
+ pParsRef->fVerbose = 0;//fVerbose;
pAig = Aig_ManDup( pAig, 0 );
+ if ( fVerbose ) Aig_ManPrintStats( pAig );
// balance
if ( fBalance )
{
// pAig = Dar_ManBalance( pTemp = pAig, fUpdateLevel );
// Aig_ManStop( pTemp );
+// if ( fVerbose ) Aig_ManPrintStats( pAig );
}
+
// rewrite
Dar_ManRewrite( pAig, pParsRwr );
pAig = Aig_ManDup( pTemp = pAig, 0 );
Aig_ManStop( pTemp );
+ if ( fVerbose ) Aig_ManPrintStats( pAig );
// refactor
Dar_ManRefactor( pAig, pParsRef );
pAig = Aig_ManDup( pTemp = pAig, 0 );
Aig_ManStop( pTemp );
+ if ( fVerbose ) Aig_ManPrintStats( pAig );
// balance
// if ( fBalance )
{
pAig = Dar_ManBalance( pTemp = pAig, fUpdateLevel );
Aig_ManStop( pTemp );
+ if ( fVerbose ) Aig_ManPrintStats( pAig );
}
// rewrite
Dar_ManRewrite( pAig, pParsRwr );
pAig = Aig_ManDup( pTemp = pAig, 0 );
Aig_ManStop( pTemp );
+ if ( fVerbose ) Aig_ManPrintStats( pAig );
pParsRwr->fUseZeros = 1;
pParsRef->fUseZeros = 1;
@@ -241,29 +254,34 @@ Aig_Man_t * Dar_ManCompress2( Aig_Man_t * pAig, int fBalance, int fUpdateLevel,
Dar_ManRewrite( pAig, pParsRwr );
pAig = Aig_ManDup( pTemp = pAig, 0 );
Aig_ManStop( pTemp );
+ if ( fVerbose ) Aig_ManPrintStats( pAig );
// balance
if ( fBalance )
{
pAig = Dar_ManBalance( pTemp = pAig, fUpdateLevel );
Aig_ManStop( pTemp );
+ if ( fVerbose ) Aig_ManPrintStats( pAig );
}
// refactor
Dar_ManRefactor( pAig, pParsRef );
pAig = Aig_ManDup( pTemp = pAig, 0 );
Aig_ManStop( pTemp );
+ if ( fVerbose ) Aig_ManPrintStats( pAig );
// rewrite
Dar_ManRewrite( pAig, pParsRwr );
pAig = Aig_ManDup( pTemp = pAig, 0 );
Aig_ManStop( pTemp );
+ if ( fVerbose ) Aig_ManPrintStats( pAig );
// balance
if ( fBalance )
{
pAig = Dar_ManBalance( pTemp = pAig, fUpdateLevel );
Aig_ManStop( pTemp );
+ if ( fVerbose ) Aig_ManPrintStats( pAig );
}
return pAig;
}
@@ -305,6 +323,7 @@ Vec_Ptr_t * Dar_ManChoiceSynthesis( Aig_Man_t * pAig, int fBalance, int fUpdateL
SeeAlso []
***********************************************************************/
+/*
Vec_Ptr_t * Dar_ManChoiceSynthesisExt()
{
Vec_Ptr_t * vAigs;
@@ -318,6 +337,7 @@ Vec_Ptr_t * Dar_ManChoiceSynthesisExt()
Vec_PtrPush( vAigs, pMan );
return vAigs;
}
+*/
/**Function*************************************************************
@@ -332,6 +352,7 @@ Vec_Ptr_t * Dar_ManChoiceSynthesisExt()
***********************************************************************/
Aig_Man_t * Dar_ManChoice( Aig_Man_t * pAig, int fBalance, int fUpdateLevel, int fVerbose )
{
+/*
Aig_Man_t * pMan, * pTemp;
Vec_Ptr_t * vAigs;
int i, clk;
@@ -360,6 +381,8 @@ if ( fVerbose )
PRT( "Choicing time ", clock() - clk );
}
return pMan;
+*/
+ return NULL;
}
////////////////////////////////////////////////////////////////////////
diff --git a/src/aig/dar/dar_.c b/abc70930/src/aig/dar/dar_.c
index 12fd7d17..12fd7d17 100644
--- a/src/aig/dar/dar_.c
+++ b/abc70930/src/aig/dar/dar_.c
diff --git a/src/aig/dar/module.make b/abc70930/src/aig/dar/module.make
index 0a1f7687..b1b0332c 100644
--- a/src/aig/dar/module.make
+++ b/abc70930/src/aig/dar/module.make
@@ -7,5 +7,4 @@ SRC += src/aig/dar/darBalance.c \
src/aig/dar/darPrec.c \
src/aig/dar/darRefact.c \
src/aig/dar/darResub.c \
- src/aig/dar/darScript.c \
- src/aig/dar/darTruth.c
+ src/aig/dar/darScript.c
diff --git a/src/aig/deco/deco.h b/abc70930/src/aig/deco/deco.h
index 67126902..67126902 100644
--- a/src/aig/deco/deco.h
+++ b/abc70930/src/aig/deco/deco.h
diff --git a/src/aig/deco/module.make b/abc70930/src/aig/deco/module.make
index d6d908e7..d6d908e7 100644
--- a/src/aig/deco/module.make
+++ b/abc70930/src/aig/deco/module.make
diff --git a/src/aig/fra/fra.h b/abc70930/src/aig/fra/fra.h
index 210af244..210af244 100644
--- a/src/aig/fra/fra.h
+++ b/abc70930/src/aig/fra/fra.h
diff --git a/src/aig/fra/fraBmc.c b/abc70930/src/aig/fra/fraBmc.c
index 1140f3a4..1140f3a4 100644
--- a/src/aig/fra/fraBmc.c
+++ b/abc70930/src/aig/fra/fraBmc.c
diff --git a/src/aig/fra/fraCec.c b/abc70930/src/aig/fra/fraCec.c
index e705f4fc..e705f4fc 100644
--- a/src/aig/fra/fraCec.c
+++ b/abc70930/src/aig/fra/fraCec.c
diff --git a/src/aig/fra/fraClass.c b/abc70930/src/aig/fra/fraClass.c
index a03fa6e5..a03fa6e5 100644
--- a/src/aig/fra/fraClass.c
+++ b/abc70930/src/aig/fra/fraClass.c
diff --git a/src/aig/fra/fraCnf.c b/abc70930/src/aig/fra/fraCnf.c
index d56c0254..d56c0254 100644
--- a/src/aig/fra/fraCnf.c
+++ b/abc70930/src/aig/fra/fraCnf.c
diff --git a/src/aig/fra/fraCore.c b/abc70930/src/aig/fra/fraCore.c
index b390edbe..b390edbe 100644
--- a/src/aig/fra/fraCore.c
+++ b/abc70930/src/aig/fra/fraCore.c
diff --git a/src/aig/fra/fraImp.c b/abc70930/src/aig/fra/fraImp.c
index a5fc7d58..a5fc7d58 100644
--- a/src/aig/fra/fraImp.c
+++ b/abc70930/src/aig/fra/fraImp.c
diff --git a/src/aig/fra/fraInd.c b/abc70930/src/aig/fra/fraInd.c
index f45e8af0..f45e8af0 100644
--- a/src/aig/fra/fraInd.c
+++ b/abc70930/src/aig/fra/fraInd.c
diff --git a/src/aig/fra/fraLcr.c b/abc70930/src/aig/fra/fraLcr.c
index 50fd6687..50fd6687 100644
--- a/src/aig/fra/fraLcr.c
+++ b/abc70930/src/aig/fra/fraLcr.c
diff --git a/src/aig/fra/fraMan.c b/abc70930/src/aig/fra/fraMan.c
index f505b0c2..f505b0c2 100644
--- a/src/aig/fra/fraMan.c
+++ b/abc70930/src/aig/fra/fraMan.c
diff --git a/src/aig/fra/fraPart.c b/abc70930/src/aig/fra/fraPart.c
index 691b2b3d..691b2b3d 100644
--- a/src/aig/fra/fraPart.c
+++ b/abc70930/src/aig/fra/fraPart.c
diff --git a/src/aig/fra/fraSat.c b/abc70930/src/aig/fra/fraSat.c
index 11029b69..11029b69 100644
--- a/src/aig/fra/fraSat.c
+++ b/abc70930/src/aig/fra/fraSat.c
diff --git a/src/aig/fra/fraSec.c b/abc70930/src/aig/fra/fraSec.c
index f9bbfe44..eaa97709 100644
--- a/src/aig/fra/fraSec.c
+++ b/abc70930/src/aig/fra/fraSec.c
@@ -195,6 +195,7 @@ PRT( "Time", clock() - clk );
}
if ( RetValue != -1 )
break;
+
// perform rewriting
clk = clock();
pNew = Aig_ManDup( pTemp = pNew, 1 );
@@ -208,6 +209,8 @@ PRT( "Time", clock() - clk );
}
// perform retiming
+ if ( pNew->nRegs )
+ {
clk = clock();
pNew = Rtm_ManRetime( pTemp = pNew, 1, 1000, 0 );
Aig_ManStop( pTemp );
@@ -219,6 +222,7 @@ clk = clock();
Aig_ManRegNum(pNew), Aig_ManNodeNum(pNew) );
PRT( "Time", clock() - clk );
}
+ }
if ( pNew->nRegs )
pNew = Aig_ManConstReduce( pNew, 0 );
diff --git a/src/aig/fra/fraSim.c b/abc70930/src/aig/fra/fraSim.c
index b77c775a..b77c775a 100644
--- a/src/aig/fra/fraSim.c
+++ b/abc70930/src/aig/fra/fraSim.c
diff --git a/src/aig/fra/fra_.c b/abc70930/src/aig/fra/fra_.c
index 2b601587..2b601587 100644
--- a/src/aig/fra/fra_.c
+++ b/abc70930/src/aig/fra/fra_.c
diff --git a/src/aig/fra/module.make b/abc70930/src/aig/fra/module.make
index e7264fdc..e7264fdc 100644
--- a/src/aig/fra/module.make
+++ b/abc70930/src/aig/fra/module.make
diff --git a/src/aig/hop/cudd2.c b/abc70930/src/aig/hop/cudd2.c
index 28d13ce0..ac912663 100644
--- a/src/aig/hop/cudd2.c
+++ b/abc70930/src/aig/hop/cudd2.c
@@ -20,6 +20,7 @@
#include "hop.h"
#include "st.h"
+#include "aig.h"
////////////////////////////////////////////////////////////////////////
/// DECLARATIONS ///
diff --git a/src/aig/hop/cudd2.h b/abc70930/src/aig/hop/cudd2.h
index 69711c11..69711c11 100644
--- a/src/aig/hop/cudd2.h
+++ b/abc70930/src/aig/hop/cudd2.h
diff --git a/src/aig/hop/hop.h b/abc70930/src/aig/hop/hop.h
index e8e916cc..e8e916cc 100644
--- a/src/aig/hop/hop.h
+++ b/abc70930/src/aig/hop/hop.h
diff --git a/src/aig/hop/hopBalance.c b/abc70930/src/aig/hop/hopBalance.c
index 73c90685..73c90685 100644
--- a/src/aig/hop/hopBalance.c
+++ b/abc70930/src/aig/hop/hopBalance.c
diff --git a/src/aig/hop/hopCheck.c b/abc70930/src/aig/hop/hopCheck.c
index 9120906f..9120906f 100644
--- a/src/aig/hop/hopCheck.c
+++ b/abc70930/src/aig/hop/hopCheck.c
diff --git a/src/aig/hop/hopDfs.c b/abc70930/src/aig/hop/hopDfs.c
index 49e5f221..49e5f221 100644
--- a/src/aig/hop/hopDfs.c
+++ b/abc70930/src/aig/hop/hopDfs.c
diff --git a/src/aig/hop/hopMan.c b/abc70930/src/aig/hop/hopMan.c
index 99f5d316..99f5d316 100644
--- a/src/aig/hop/hopMan.c
+++ b/abc70930/src/aig/hop/hopMan.c
diff --git a/src/aig/hop/hopMem.c b/abc70930/src/aig/hop/hopMem.c
index 0665470a..0665470a 100644
--- a/src/aig/hop/hopMem.c
+++ b/abc70930/src/aig/hop/hopMem.c
diff --git a/src/aig/hop/hopObj.c b/abc70930/src/aig/hop/hopObj.c
index c8e70dd3..c8e70dd3 100644
--- a/src/aig/hop/hopObj.c
+++ b/abc70930/src/aig/hop/hopObj.c
diff --git a/src/aig/hop/hopOper.c b/abc70930/src/aig/hop/hopOper.c
index a31ca0f2..a31ca0f2 100644
--- a/src/aig/hop/hopOper.c
+++ b/abc70930/src/aig/hop/hopOper.c
diff --git a/src/aig/hop/hopTable.c b/abc70930/src/aig/hop/hopTable.c
index 76390054..76390054 100644
--- a/src/aig/hop/hopTable.c
+++ b/abc70930/src/aig/hop/hopTable.c
diff --git a/src/aig/hop/hopUtil.c b/abc70930/src/aig/hop/hopUtil.c
index 87fdb15e..87fdb15e 100644
--- a/src/aig/hop/hopUtil.c
+++ b/abc70930/src/aig/hop/hopUtil.c
diff --git a/src/aig/hop/hop_.c b/abc70930/src/aig/hop/hop_.c
index 468413fa..468413fa 100644
--- a/src/aig/hop/hop_.c
+++ b/abc70930/src/aig/hop/hop_.c
diff --git a/src/aig/hop/module.make b/abc70930/src/aig/hop/module.make
index b06d91fd..b06d91fd 100644
--- a/src/aig/hop/module.make
+++ b/abc70930/src/aig/hop/module.make
diff --git a/src/aig/ioa/ioa.h b/abc70930/src/aig/ioa/ioa.h
index 3458d12e..3458d12e 100644
--- a/src/aig/ioa/ioa.h
+++ b/abc70930/src/aig/ioa/ioa.h
diff --git a/src/aig/ioa/ioaReadAig.c b/abc70930/src/aig/ioa/ioaReadAig.c
index 937e446f..937e446f 100644
--- a/src/aig/ioa/ioaReadAig.c
+++ b/abc70930/src/aig/ioa/ioaReadAig.c
diff --git a/src/aig/ioa/ioaUtil.c b/abc70930/src/aig/ioa/ioaUtil.c
index 79dcca1e..79dcca1e 100644
--- a/src/aig/ioa/ioaUtil.c
+++ b/abc70930/src/aig/ioa/ioaUtil.c
diff --git a/src/aig/ioa/ioaWriteAig.c b/abc70930/src/aig/ioa/ioaWriteAig.c
index a6c23fd4..a6c23fd4 100644
--- a/src/aig/ioa/ioaWriteAig.c
+++ b/abc70930/src/aig/ioa/ioaWriteAig.c
diff --git a/src/aig/ioa/module.make b/abc70930/src/aig/ioa/module.make
index 66b4a0d5..66b4a0d5 100644
--- a/src/aig/ioa/module.make
+++ b/abc70930/src/aig/ioa/module.make
diff --git a/src/aig/ivy/attr.h b/abc70930/src/aig/ivy/attr.h
index 16cf0b84..16cf0b84 100644
--- a/src/aig/ivy/attr.h
+++ b/abc70930/src/aig/ivy/attr.h
diff --git a/src/aig/ivy/ivy.h b/abc70930/src/aig/ivy/ivy.h
index ed19d67c..ed19d67c 100644
--- a/src/aig/ivy/ivy.h
+++ b/abc70930/src/aig/ivy/ivy.h
diff --git a/src/aig/ivy/ivyBalance.c b/abc70930/src/aig/ivy/ivyBalance.c
index 5627039a..5627039a 100644
--- a/src/aig/ivy/ivyBalance.c
+++ b/abc70930/src/aig/ivy/ivyBalance.c
diff --git a/src/aig/ivy/ivyCanon.c b/abc70930/src/aig/ivy/ivyCanon.c
index 5768b87e..5768b87e 100644
--- a/src/aig/ivy/ivyCanon.c
+++ b/abc70930/src/aig/ivy/ivyCanon.c
diff --git a/src/aig/ivy/ivyCheck.c b/abc70930/src/aig/ivy/ivyCheck.c
index 55448f19..55448f19 100644
--- a/src/aig/ivy/ivyCheck.c
+++ b/abc70930/src/aig/ivy/ivyCheck.c
diff --git a/src/aig/ivy/ivyCut.c b/abc70930/src/aig/ivy/ivyCut.c
index e257d8a6..e257d8a6 100644
--- a/src/aig/ivy/ivyCut.c
+++ b/abc70930/src/aig/ivy/ivyCut.c
diff --git a/src/aig/ivy/ivyCutTrav.c b/abc70930/src/aig/ivy/ivyCutTrav.c
index ea57c9f5..ea57c9f5 100644
--- a/src/aig/ivy/ivyCutTrav.c
+++ b/abc70930/src/aig/ivy/ivyCutTrav.c
diff --git a/src/aig/ivy/ivyDfs.c b/abc70930/src/aig/ivy/ivyDfs.c
index c27cba31..c27cba31 100644
--- a/src/aig/ivy/ivyDfs.c
+++ b/abc70930/src/aig/ivy/ivyDfs.c
diff --git a/src/aig/ivy/ivyDsd.c b/abc70930/src/aig/ivy/ivyDsd.c
index 3b8a2e68..3b8a2e68 100644
--- a/src/aig/ivy/ivyDsd.c
+++ b/abc70930/src/aig/ivy/ivyDsd.c
diff --git a/src/aig/ivy/ivyFanout.c b/abc70930/src/aig/ivy/ivyFanout.c
index 3930186a..3930186a 100644
--- a/src/aig/ivy/ivyFanout.c
+++ b/abc70930/src/aig/ivy/ivyFanout.c
diff --git a/src/aig/ivy/ivyFastMap.c b/abc70930/src/aig/ivy/ivyFastMap.c
index c4a043f2..c4a043f2 100644
--- a/src/aig/ivy/ivyFastMap.c
+++ b/abc70930/src/aig/ivy/ivyFastMap.c
diff --git a/src/aig/ivy/ivyFraig.c b/abc70930/src/aig/ivy/ivyFraig.c
index 1ab91dd2..1ab91dd2 100644
--- a/src/aig/ivy/ivyFraig.c
+++ b/abc70930/src/aig/ivy/ivyFraig.c
diff --git a/src/aig/ivy/ivyHaig.c b/abc70930/src/aig/ivy/ivyHaig.c
index 87021600..87021600 100644
--- a/src/aig/ivy/ivyHaig.c
+++ b/abc70930/src/aig/ivy/ivyHaig.c
diff --git a/src/aig/ivy/ivyMan.c b/abc70930/src/aig/ivy/ivyMan.c
index 07faef85..07faef85 100644
--- a/src/aig/ivy/ivyMan.c
+++ b/abc70930/src/aig/ivy/ivyMan.c
diff --git a/src/aig/ivy/ivyMem.c b/abc70930/src/aig/ivy/ivyMem.c
index 2a96857c..2a96857c 100644
--- a/src/aig/ivy/ivyMem.c
+++ b/abc70930/src/aig/ivy/ivyMem.c
diff --git a/src/aig/ivy/ivyMulti.c b/abc70930/src/aig/ivy/ivyMulti.c
index a7970156..a7970156 100644
--- a/src/aig/ivy/ivyMulti.c
+++ b/abc70930/src/aig/ivy/ivyMulti.c
diff --git a/src/aig/ivy/ivyMulti8.c b/abc70930/src/aig/ivy/ivyMulti8.c
index 059d1500..059d1500 100644
--- a/src/aig/ivy/ivyMulti8.c
+++ b/abc70930/src/aig/ivy/ivyMulti8.c
diff --git a/src/aig/ivy/ivyObj.c b/abc70930/src/aig/ivy/ivyObj.c
index 59dda19c..59dda19c 100644
--- a/src/aig/ivy/ivyObj.c
+++ b/abc70930/src/aig/ivy/ivyObj.c
diff --git a/src/aig/ivy/ivyOper.c b/abc70930/src/aig/ivy/ivyOper.c
index 8115ce4f..8115ce4f 100644
--- a/src/aig/ivy/ivyOper.c
+++ b/abc70930/src/aig/ivy/ivyOper.c
diff --git a/src/aig/ivy/ivyResyn.c b/abc70930/src/aig/ivy/ivyResyn.c
index f42d7464..f42d7464 100644
--- a/src/aig/ivy/ivyResyn.c
+++ b/abc70930/src/aig/ivy/ivyResyn.c
diff --git a/src/aig/ivy/ivyRwr.c b/abc70930/src/aig/ivy/ivyRwr.c
index 3f8720ba..3f8720ba 100644
--- a/src/aig/ivy/ivyRwr.c
+++ b/abc70930/src/aig/ivy/ivyRwr.c
diff --git a/src/aig/ivy/ivyRwrAlg.c b/abc70930/src/aig/ivy/ivyRwrAlg.c
index fc48deb0..fc48deb0 100644
--- a/src/aig/ivy/ivyRwrAlg.c
+++ b/abc70930/src/aig/ivy/ivyRwrAlg.c
diff --git a/src/aig/ivy/ivySeq.c b/abc70930/src/aig/ivy/ivySeq.c
index 0ee29fee..0ee29fee 100644
--- a/src/aig/ivy/ivySeq.c
+++ b/abc70930/src/aig/ivy/ivySeq.c
diff --git a/src/aig/ivy/ivyShow.c b/abc70930/src/aig/ivy/ivyShow.c
index cd726e43..cd726e43 100644
--- a/src/aig/ivy/ivyShow.c
+++ b/abc70930/src/aig/ivy/ivyShow.c
diff --git a/src/aig/ivy/ivyTable.c b/abc70930/src/aig/ivy/ivyTable.c
index 2ac0ae49..2ac0ae49 100644
--- a/src/aig/ivy/ivyTable.c
+++ b/abc70930/src/aig/ivy/ivyTable.c
diff --git a/src/aig/ivy/ivyUtil.c b/abc70930/src/aig/ivy/ivyUtil.c
index ab62a276..ab62a276 100644
--- a/src/aig/ivy/ivyUtil.c
+++ b/abc70930/src/aig/ivy/ivyUtil.c
diff --git a/src/aig/ivy/ivy_.c b/abc70930/src/aig/ivy/ivy_.c
index 65689689..65689689 100644
--- a/src/aig/ivy/ivy_.c
+++ b/abc70930/src/aig/ivy/ivy_.c
diff --git a/src/aig/ivy/module.make b/abc70930/src/aig/ivy/module.make
index daef43df..daef43df 100644
--- a/src/aig/ivy/module.make
+++ b/abc70930/src/aig/ivy/module.make
diff --git a/src/aig/kit/cloud.c b/abc70930/src/aig/kit/cloud.c
index 6e6691f0..6e6691f0 100644
--- a/src/aig/kit/cloud.c
+++ b/abc70930/src/aig/kit/cloud.c
diff --git a/src/aig/kit/cloud.h b/abc70930/src/aig/kit/cloud.h
index ac9d45f4..ac9d45f4 100644
--- a/src/aig/kit/cloud.h
+++ b/abc70930/src/aig/kit/cloud.h
diff --git a/src/aig/kit/kit.h b/abc70930/src/aig/kit/kit.h
index 06a93cf0..06a93cf0 100644
--- a/src/aig/kit/kit.h
+++ b/abc70930/src/aig/kit/kit.h
diff --git a/src/aig/kit/kitAig.c b/abc70930/src/aig/kit/kitAig.c
index 0d5c1686..83012a8c 100644
--- a/src/aig/kit/kitAig.c
+++ b/abc70930/src/aig/kit/kitAig.c
@@ -42,7 +42,7 @@
***********************************************************************/
Aig_Obj_t * Kit_GraphToAigInternal( Aig_Man_t * pMan, Kit_Graph_t * pGraph )
{
- Kit_Node_t * pNode;
+ Kit_Node_t * pNode = NULL;
Aig_Obj_t * pAnd0, * pAnd1;
int i;
// check for constant function
@@ -75,7 +75,7 @@ Aig_Obj_t * Kit_GraphToAigInternal( Aig_Man_t * pMan, Kit_Graph_t * pGraph )
***********************************************************************/
Aig_Obj_t * Kit_GraphToAig( Aig_Man_t * pMan, Aig_Obj_t ** pFanins, Kit_Graph_t * pGraph )
{
- Kit_Node_t * pNode;
+ Kit_Node_t * pNode = NULL;
int i;
// collect the fanins
Kit_GraphForEachLeaf( pGraph, pNode, i )
diff --git a/src/aig/kit/kitBdd.c b/abc70930/src/aig/kit/kitBdd.c
index 9c8d4f7a..9c8d4f7a 100644
--- a/src/aig/kit/kitBdd.c
+++ b/abc70930/src/aig/kit/kitBdd.c
diff --git a/src/aig/kit/kitCloud.c b/abc70930/src/aig/kit/kitCloud.c
index 7b160fea..7b160fea 100644
--- a/src/aig/kit/kitCloud.c
+++ b/abc70930/src/aig/kit/kitCloud.c
diff --git a/src/aig/kit/kitDsd.c b/abc70930/src/aig/kit/kitDsd.c
index e24a9964..e24a9964 100644
--- a/src/aig/kit/kitDsd.c
+++ b/abc70930/src/aig/kit/kitDsd.c
diff --git a/src/aig/kit/kitFactor.c b/abc70930/src/aig/kit/kitFactor.c
index 4ef3fd94..e3288342 100644
--- a/src/aig/kit/kitFactor.c
+++ b/abc70930/src/aig/kit/kitFactor.c
@@ -51,11 +51,10 @@ extern int Kit_SopFactorVerify( Vec_Int_t * cSop, Kit_Graph_t * pFForm,
***********************************************************************/
Kit_Graph_t * Kit_SopFactor( Vec_Int_t * vCover, int fCompl, int nVars, Vec_Int_t * vMemory )
{
- Kit_Sop_t Sop, Res;
- Kit_Sop_t * cSop = &Sop, * cRes = &Res;
+ Kit_Sop_t Sop, * cSop = &Sop;
Kit_Graph_t * pFForm;
Kit_Edge_t eRoot;
- int nCubes = Vec_IntSize(vCover);
+// int nCubes;
// works for up to 15 variables because divisin procedure
// used the last bit for marking the cubes going to the remainder
@@ -64,7 +63,7 @@ Kit_Graph_t * Kit_SopFactor( Vec_Int_t * vCover, int fCompl, int nVars, Vec_Int_
// check for trivial functions
if ( Vec_IntSize(vCover) == 0 )
return Kit_GraphCreateConst0();
- if ( Vec_IntSize(vCover) == 1 && Vec_IntEntry(vCover, 0) == 0 ) //(int)Kit_CubeMask(2 * nVars) )
+ if ( Vec_IntSize(vCover) == 1 && Vec_IntEntry(vCover, 0) == 0 )
return Kit_GraphCreateConst1();
// prepare memory manager
@@ -84,6 +83,7 @@ Kit_Graph_t * Kit_SopFactor( Vec_Int_t * vCover, int fCompl, int nVars, Vec_Int_
Kit_GraphComplement( pFForm );
// verify the factored form
+// nCubes = Vec_IntSize(vCover);
// Vec_IntShrink( vCover, nCubes );
// if ( !Kit_SopFactorVerify( vCover, pFForm, nVars ) )
// printf( "Verification has failed.\n" );
@@ -196,7 +196,7 @@ Kit_Edge_t Kit_SopFactorLF_rec( Kit_Graph_t * pFForm, Kit_Sop_t * cSop, Kit_Sop_
Kit_Edge_t Kit_SopFactorTrivialCube_rec( Kit_Graph_t * pFForm, unsigned uCube, int nStart, int nFinish )
{
Kit_Edge_t eNode1, eNode2;
- int i, iLit, nLits, nLits1, nLits2;
+ int i, iLit = -1, nLits, nLits1, nLits2;
assert( uCube );
// count the number of literals in this interval
nLits = 0;
@@ -206,6 +206,7 @@ Kit_Edge_t Kit_SopFactorTrivialCube_rec( Kit_Graph_t * pFForm, unsigned uCube, i
iLit = i;
nLits++;
}
+ assert( iLit != -1 );
// quit if there is only one literal
if ( nLits == 1 )
return Kit_EdgeCreate( iLit/2, iLit%2 ); // CST
diff --git a/src/aig/kit/kitGraph.c b/abc70930/src/aig/kit/kitGraph.c
index 8bc7ca91..80dcbdc0 100644
--- a/src/aig/kit/kitGraph.c
+++ b/abc70930/src/aig/kit/kitGraph.c
@@ -303,7 +303,7 @@ Kit_Edge_t Kit_GraphAddNodeMux( Kit_Graph_t * pGraph, Kit_Edge_t eEdgeC, Kit_Edg
unsigned Kit_GraphToTruth( Kit_Graph_t * pGraph )
{
unsigned uTruths[5] = { 0xAAAAAAAA, 0xCCCCCCCC, 0xF0F0F0F0, 0xFF00FF00, 0xFFFF0000 };
- unsigned uTruth, uTruth0, uTruth1;
+ unsigned uTruth = 0, uTruth0, uTruth1;
Kit_Node_t * pNode;
int i;
@@ -321,17 +321,17 @@ unsigned Kit_GraphToTruth( Kit_Graph_t * pGraph )
// assign the elementary variables
Kit_GraphForEachLeaf( pGraph, pNode, i )
- pNode->pFunc = (void *)uTruths[i];
+ pNode->pFunc = (void *)(long)uTruths[i];
// compute the function for each internal node
Kit_GraphForEachNode( pGraph, pNode, i )
{
- uTruth0 = (unsigned)Kit_GraphNode(pGraph, pNode->eEdge0.Node)->pFunc;
- uTruth1 = (unsigned)Kit_GraphNode(pGraph, pNode->eEdge1.Node)->pFunc;
+ uTruth0 = (unsigned)(long)Kit_GraphNode(pGraph, pNode->eEdge0.Node)->pFunc;
+ uTruth1 = (unsigned)(long)Kit_GraphNode(pGraph, pNode->eEdge1.Node)->pFunc;
uTruth0 = pNode->eEdge0.fCompl? ~uTruth0 : uTruth0;
uTruth1 = pNode->eEdge1.fCompl? ~uTruth1 : uTruth1;
uTruth = uTruth0 & uTruth1;
- pNode->pFunc = (void *)uTruth;
+ pNode->pFunc = (void *)(long)uTruth;
}
// complement the result if necessary
diff --git a/src/aig/kit/kitHop.c b/abc70930/src/aig/kit/kitHop.c
index f914fbab..86ec5a88 100644
--- a/src/aig/kit/kitHop.c
+++ b/abc70930/src/aig/kit/kitHop.c
@@ -42,7 +42,7 @@
***********************************************************************/
Hop_Obj_t * Kit_GraphToHopInternal( Hop_Man_t * pMan, Kit_Graph_t * pGraph )
{
- Kit_Node_t * pNode;
+ Kit_Node_t * pNode = NULL;
Hop_Obj_t * pAnd0, * pAnd1;
int i;
// check for constant function
@@ -75,7 +75,7 @@ Hop_Obj_t * Kit_GraphToHopInternal( Hop_Man_t * pMan, Kit_Graph_t * pGraph )
***********************************************************************/
Hop_Obj_t * Kit_GraphToHop( Hop_Man_t * pMan, Kit_Graph_t * pGraph )
{
- Kit_Node_t * pNode;
+ Kit_Node_t * pNode = NULL;
int i;
// collect the fanins
Kit_GraphForEachLeaf( pGraph, pNode, i )
diff --git a/src/aig/kit/kitIsop.c b/abc70930/src/aig/kit/kitIsop.c
index 42fae2ea..42fae2ea 100644
--- a/src/aig/kit/kitIsop.c
+++ b/abc70930/src/aig/kit/kitIsop.c
diff --git a/src/aig/kit/kitSop.c b/abc70930/src/aig/kit/kitSop.c
index 3fa81351..20ad0651 100644
--- a/src/aig/kit/kitSop.c
+++ b/abc70930/src/aig/kit/kitSop.c
@@ -194,6 +194,7 @@ void Kit_SopDivideInternal( Kit_Sop_t * cSop, Kit_Sop_t * cDiv, Kit_Sop_t * vQuo
if ( Kit_CubeIsMarked(uCube) )
continue;
// find a matching cube in the divisor
+ uDiv = ~0;
Kit_SopForEachCube( cDiv, uDiv, k )
if ( Kit_CubeContains( uCube, uDiv ) )
break;
@@ -203,6 +204,7 @@ void Kit_SopDivideInternal( Kit_Sop_t * cSop, Kit_Sop_t * cDiv, Kit_Sop_t * vQuo
// the quotient cube exists
uQuo = Kit_CubeSharp( uCube, uDiv );
// find corresponding cubes for other cubes of the divisor
+ uDiv2 = ~0;
Kit_SopForEachCube( cDiv, uDiv2, k2 )
{
if ( k2 == k )
diff --git a/src/aig/kit/kitTruth.c b/abc70930/src/aig/kit/kitTruth.c
index 5360ad7f..dab60132 100644
--- a/src/aig/kit/kitTruth.c
+++ b/abc70930/src/aig/kit/kitTruth.c
@@ -24,14 +24,6 @@
/// DECLARATIONS ///
////////////////////////////////////////////////////////////////////////
-static unsigned s_VarMasks[5][2] = {
- { 0x33333333, 0xAAAAAAAA },
- { 0x55555555, 0xCCCCCCCC },
- { 0x0F0F0F0F, 0xF0F0F0F0 },
- { 0x00FF00FF, 0xFF00FF00 },
- { 0x0000FFFF, 0xFFFF0000 }
-};
-
////////////////////////////////////////////////////////////////////////
/// FUNCTION DEFINITIONS ///
////////////////////////////////////////////////////////////////////////
@@ -1374,7 +1366,7 @@ unsigned Kit_TruthSemiCanonicize( unsigned * pInOut, unsigned * pAux, int nVars,
{
// short pStore2[32];
unsigned * pIn = pInOut, * pOut = pAux, * pTemp;
- int nWords = Kit_TruthWordNum( nVars );
+// int nWords = Kit_TruthWordNum( nVars );
int i, Temp, fChange, Counter;//, nOnes;//, k, j, w, Limit;
unsigned uCanonPhase;
@@ -1715,7 +1707,7 @@ char * Kit_TruthDumpToFile( unsigned * pTruth, int nVars, int nFile )
sprintf( pFileName, "tt\\s%04d", nFile );
pFile = fopen( pFileName, "w" );
fprintf( pFile, "rt " );
- Extra_PrintHexadecimal( pFile, pTruth, nVars );
+ Kit_PrintHexadecimal( pFile, pTruth, nVars );
fprintf( pFile, "; bdd; sop; ps\n" );
fclose( pFile );
return pFileName;
diff --git a/src/aig/kit/kit_.c b/abc70930/src/aig/kit/kit_.c
index 5c68ee3c..5c68ee3c 100644
--- a/src/aig/kit/kit_.c
+++ b/abc70930/src/aig/kit/kit_.c
diff --git a/src/aig/kit/module.make b/abc70930/src/aig/kit/module.make
index ea62381b..ea62381b 100644
--- a/src/aig/kit/module.make
+++ b/abc70930/src/aig/kit/module.make
diff --git a/src/aig/mem/mem.c b/abc70930/src/aig/mem/mem.c
index f5bfbfd8..f5bfbfd8 100644
--- a/src/aig/mem/mem.c
+++ b/abc70930/src/aig/mem/mem.c
diff --git a/src/aig/mem/mem.h b/abc70930/src/aig/mem/mem.h
index d43e5fc3..d43e5fc3 100644
--- a/src/aig/mem/mem.h
+++ b/abc70930/src/aig/mem/mem.h
diff --git a/src/aig/mem/module.make b/abc70930/src/aig/mem/module.make
index ae6fcbe4..ae6fcbe4 100644
--- a/src/aig/mem/module.make
+++ b/abc70930/src/aig/mem/module.make
diff --git a/src/aig/rwt/module.make b/abc70930/src/aig/rwt/module.make
index 439d576f..439d576f 100644
--- a/src/aig/rwt/module.make
+++ b/abc70930/src/aig/rwt/module.make
diff --git a/src/aig/rwt/rwt.h b/abc70930/src/aig/rwt/rwt.h
index 9199ff2a..9199ff2a 100644
--- a/src/aig/rwt/rwt.h
+++ b/abc70930/src/aig/rwt/rwt.h
diff --git a/src/aig/rwt/rwtDec.c b/abc70930/src/aig/rwt/rwtDec.c
index 98019629..98019629 100644
--- a/src/aig/rwt/rwtDec.c
+++ b/abc70930/src/aig/rwt/rwtDec.c
diff --git a/src/aig/rwt/rwtMan.c b/abc70930/src/aig/rwt/rwtMan.c
index 869043a4..869043a4 100644
--- a/src/aig/rwt/rwtMan.c
+++ b/abc70930/src/aig/rwt/rwtMan.c
diff --git a/src/aig/rwt/rwtUtil.c b/abc70930/src/aig/rwt/rwtUtil.c
index af3e1893..af3e1893 100644
--- a/src/aig/rwt/rwtUtil.c
+++ b/abc70930/src/aig/rwt/rwtUtil.c
diff --git a/abc70930/src/base/.DS_Store b/abc70930/src/base/.DS_Store
new file mode 100644
index 00000000..a4d90d14
--- /dev/null
+++ b/abc70930/src/base/.DS_Store
Binary files differ
diff --git a/src/base/abc/abc.h b/abc70930/src/base/abc/abc.h
index 2e2b379b..2e2b379b 100644
--- a/src/base/abc/abc.h
+++ b/abc70930/src/base/abc/abc.h
diff --git a/src/base/abc/abcAig.c b/abc70930/src/base/abc/abcAig.c
index 16f66dc6..16f66dc6 100644
--- a/src/base/abc/abcAig.c
+++ b/abc70930/src/base/abc/abcAig.c
diff --git a/src/base/abc/abcBlifMv.c b/abc70930/src/base/abc/abcBlifMv.c
index 48ec58c0..48ec58c0 100644
--- a/src/base/abc/abcBlifMv.c
+++ b/abc70930/src/base/abc/abcBlifMv.c
diff --git a/src/base/abc/abcCheck.c b/abc70930/src/base/abc/abcCheck.c
index 3072e40f..3072e40f 100644
--- a/src/base/abc/abcCheck.c
+++ b/abc70930/src/base/abc/abcCheck.c
diff --git a/src/base/abc/abcDfs.c b/abc70930/src/base/abc/abcDfs.c
index 39e985c0..39e985c0 100644
--- a/src/base/abc/abcDfs.c
+++ b/abc70930/src/base/abc/abcDfs.c
diff --git a/src/base/abc/abcFanio.c b/abc70930/src/base/abc/abcFanio.c
index c8536695..c8536695 100644
--- a/src/base/abc/abcFanio.c
+++ b/abc70930/src/base/abc/abcFanio.c
diff --git a/src/base/abc/abcFunc.c b/abc70930/src/base/abc/abcFunc.c
index f3297d8f..f3297d8f 100644
--- a/src/base/abc/abcFunc.c
+++ b/abc70930/src/base/abc/abcFunc.c
diff --git a/src/base/abc/abcHie.c b/abc70930/src/base/abc/abcHie.c
index 56333a36..56333a36 100644
--- a/src/base/abc/abcHie.c
+++ b/abc70930/src/base/abc/abcHie.c
diff --git a/src/base/abc/abcInt.h b/abc70930/src/base/abc/abcInt.h
index 0e35e774..0e35e774 100644
--- a/src/base/abc/abcInt.h
+++ b/abc70930/src/base/abc/abcInt.h
diff --git a/src/base/abc/abcLatch.c b/abc70930/src/base/abc/abcLatch.c
index d96bbfac..d96bbfac 100644
--- a/src/base/abc/abcLatch.c
+++ b/abc70930/src/base/abc/abcLatch.c
diff --git a/src/base/abc/abcLib.c b/abc70930/src/base/abc/abcLib.c
index f5b90e90..f5b90e90 100644
--- a/src/base/abc/abcLib.c
+++ b/abc70930/src/base/abc/abcLib.c
diff --git a/src/base/abc/abcMinBase.c b/abc70930/src/base/abc/abcMinBase.c
index 13f422f4..13f422f4 100644
--- a/src/base/abc/abcMinBase.c
+++ b/abc70930/src/base/abc/abcMinBase.c
diff --git a/src/base/abc/abcNames.c b/abc70930/src/base/abc/abcNames.c
index 91964dfa..91964dfa 100644
--- a/src/base/abc/abcNames.c
+++ b/abc70930/src/base/abc/abcNames.c
diff --git a/src/base/abc/abcNetlist.c b/abc70930/src/base/abc/abcNetlist.c
index 26b88c68..26b88c68 100644
--- a/src/base/abc/abcNetlist.c
+++ b/abc70930/src/base/abc/abcNetlist.c
diff --git a/src/base/abc/abcNtk.c b/abc70930/src/base/abc/abcNtk.c
index cd87c05e..adaaf7be 100644
--- a/src/base/abc/abcNtk.c
+++ b/abc70930/src/base/abc/abcNtk.c
@@ -226,6 +226,11 @@ Abc_Ntk_t * Abc_NtkStartRead( char * pName )
// set the specs
pNtkNew->pName = Extra_FileNameGeneric(pName);
pNtkNew->pSpec = Extra_UtilStrsav(pName);
+ if ( pNtkNew->pName == NULL || strlen(pNtkNew->pName) == 0 )
+ {
+ FREE( pNtkNew->pName );
+ pNtkNew->pName = Extra_UtilStrsav("unknown");
+ }
return pNtkNew;
}
diff --git a/src/base/abc/abcObj.c b/abc70930/src/base/abc/abcObj.c
index 7a995c71..7a995c71 100644
--- a/src/base/abc/abcObj.c
+++ b/abc70930/src/base/abc/abcObj.c
diff --git a/src/base/abc/abcRefs.c b/abc70930/src/base/abc/abcRefs.c
index 604c5ffa..604c5ffa 100644
--- a/src/base/abc/abcRefs.c
+++ b/abc70930/src/base/abc/abcRefs.c
diff --git a/src/base/abc/abcShow.c b/abc70930/src/base/abc/abcShow.c
index 40d1dcad..40d1dcad 100644
--- a/src/base/abc/abcShow.c
+++ b/abc70930/src/base/abc/abcShow.c
diff --git a/src/base/abc/abcSop.c b/abc70930/src/base/abc/abcSop.c
index 106901ab..106901ab 100644
--- a/src/base/abc/abcSop.c
+++ b/abc70930/src/base/abc/abcSop.c
diff --git a/src/base/abc/abcUtil.c b/abc70930/src/base/abc/abcUtil.c
index b4a97223..b4a97223 100644
--- a/src/base/abc/abcUtil.c
+++ b/abc70930/src/base/abc/abcUtil.c
diff --git a/src/base/abc/abc_.c b/abc70930/src/base/abc/abc_.c
index 50558bdb..50558bdb 100644
--- a/src/base/abc/abc_.c
+++ b/abc70930/src/base/abc/abc_.c
diff --git a/src/base/abc/module.make b/abc70930/src/base/abc/module.make
index 7b34d8f6..7b34d8f6 100644
--- a/src/base/abc/module.make
+++ b/abc70930/src/base/abc/module.make
diff --git a/src/base/abci/abc.c b/abc70930/src/base/abci/abc.c
index 18fbad38..a331e7fa 100644
--- a/src/base/abci/abc.c
+++ b/abc70930/src/base/abci/abc.c
@@ -6335,7 +6335,8 @@ int Abc_CommandTest( Abc_Frame_t * pAbc, int argc, char ** argv )
// pNtkRes = Abc_NtkDar( pNtk );
// pNtkRes = Abc_NtkDarRetime( pNtk, nLevels, 1 );
- pNtkRes = Abc_NtkPcmTest( pNtk, fVerbose );
+// pNtkRes = Abc_NtkPcmTest( pNtk, fVerbose );
+ pNtkRes = NULL;
if ( pNtkRes == NULL )
{
fprintf( pErr, "Command has failed.\n" );
diff --git a/src/base/abci/abcAttach.c b/abc70930/src/base/abci/abcAttach.c
index d5d2aa16..d5d2aa16 100644
--- a/src/base/abci/abcAttach.c
+++ b/abc70930/src/base/abci/abcAttach.c
diff --git a/src/base/abci/abcAuto.c b/abc70930/src/base/abci/abcAuto.c
index 40212c17..40212c17 100644
--- a/src/base/abci/abcAuto.c
+++ b/abc70930/src/base/abci/abcAuto.c
diff --git a/src/base/abci/abcBalance.c b/abc70930/src/base/abci/abcBalance.c
index f9b3384e..f9b3384e 100644
--- a/src/base/abci/abcBalance.c
+++ b/abc70930/src/base/abci/abcBalance.c
diff --git a/src/base/abci/abcBmc.c b/abc70930/src/base/abci/abcBmc.c
index af6d237b..af6d237b 100644
--- a/src/base/abci/abcBmc.c
+++ b/abc70930/src/base/abci/abcBmc.c
diff --git a/src/base/abci/abcCas.c b/abc70930/src/base/abci/abcCas.c
index 4ed7a774..4ed7a774 100644
--- a/src/base/abci/abcCas.c
+++ b/abc70930/src/base/abci/abcCas.c
diff --git a/src/base/abci/abcClpBdd.c b/abc70930/src/base/abci/abcClpBdd.c
index 341ff5b0..341ff5b0 100644
--- a/src/base/abci/abcClpBdd.c
+++ b/abc70930/src/base/abci/abcClpBdd.c
diff --git a/src/base/abci/abcClpSop.c b/abc70930/src/base/abci/abcClpSop.c
index de92243f..de92243f 100644
--- a/src/base/abci/abcClpSop.c
+++ b/abc70930/src/base/abci/abcClpSop.c
diff --git a/src/base/abci/abcCut.c b/abc70930/src/base/abci/abcCut.c
index d399ce5f..d399ce5f 100644
--- a/src/base/abci/abcCut.c
+++ b/abc70930/src/base/abci/abcCut.c
diff --git a/src/base/abci/abcDar.c b/abc70930/src/base/abci/abcDar.c
index f7616abc..90fe35e9 100644
--- a/src/base/abci/abcDar.c
+++ b/abc70930/src/base/abci/abcDar.c
@@ -117,7 +117,7 @@ Aig_Man_t * Abc_NtkToDar( Abc_Ntk_t * pNtk, int fRegisters )
// remove dangling nodes
if ( nNodes = Aig_ManCleanup( pMan ) )
printf( "Abc_NtkToDar(): Unexpected %d dangling nodes when converting to AIG!\n", nNodes );
-Aig_ManDumpVerilog( pMan, "test.v" );
+//Aig_ManDumpVerilog( pMan, "test.v" );
if ( !Aig_ManCheck( pMan ) )
{
printf( "Abc_NtkToDar: AIG check has failed.\n" );
diff --git a/src/base/abci/abcDebug.c b/abc70930/src/base/abci/abcDebug.c
index 95b95d89..95b95d89 100644
--- a/src/base/abci/abcDebug.c
+++ b/abc70930/src/base/abci/abcDebug.c
diff --git a/src/base/abci/abcDress.c b/abc70930/src/base/abci/abcDress.c
index f8182532..f8182532 100644
--- a/src/base/abci/abcDress.c
+++ b/abc70930/src/base/abci/abcDress.c
diff --git a/src/base/abci/abcDsd.c b/abc70930/src/base/abci/abcDsd.c
index c00a7d7c..c00a7d7c 100644
--- a/src/base/abci/abcDsd.c
+++ b/abc70930/src/base/abci/abcDsd.c
diff --git a/src/base/abci/abcEspresso.c b/abc70930/src/base/abci/abcEspresso.c
index 8f9c7277..8f9c7277 100644
--- a/src/base/abci/abcEspresso.c
+++ b/abc70930/src/base/abci/abcEspresso.c
diff --git a/src/base/abci/abcExtract.c b/abc70930/src/base/abci/abcExtract.c
index 52ea03a3..52ea03a3 100644
--- a/src/base/abci/abcExtract.c
+++ b/abc70930/src/base/abci/abcExtract.c
diff --git a/src/base/abci/abcFpga.c b/abc70930/src/base/abci/abcFpga.c
index 3bc9fbed..3bc9fbed 100644
--- a/src/base/abci/abcFpga.c
+++ b/abc70930/src/base/abci/abcFpga.c
diff --git a/src/base/abci/abcFpgaFast.c b/abc70930/src/base/abci/abcFpgaFast.c
index 356b855e..356b855e 100644
--- a/src/base/abci/abcFpgaFast.c
+++ b/abc70930/src/base/abci/abcFpgaFast.c
diff --git a/src/base/abci/abcFraig.c b/abc70930/src/base/abci/abcFraig.c
index be8a25f1..be8a25f1 100644
--- a/src/base/abci/abcFraig.c
+++ b/abc70930/src/base/abci/abcFraig.c
diff --git a/src/base/abci/abcFxu.c b/abc70930/src/base/abci/abcFxu.c
index 45515dd1..45515dd1 100644
--- a/src/base/abci/abcFxu.c
+++ b/abc70930/src/base/abci/abcFxu.c
diff --git a/src/base/abci/abcGen.c b/abc70930/src/base/abci/abcGen.c
index bfb41374..bfb41374 100644
--- a/src/base/abci/abcGen.c
+++ b/abc70930/src/base/abci/abcGen.c
diff --git a/src/base/abci/abcHaig.c b/abc70930/src/base/abci/abcHaig.c
index d3513bbe..d3513bbe 100644
--- a/src/base/abci/abcHaig.c
+++ b/abc70930/src/base/abci/abcHaig.c
diff --git a/src/base/abci/abcIf.c b/abc70930/src/base/abci/abcIf.c
index bb56c22c..bb56c22c 100644
--- a/src/base/abci/abcIf.c
+++ b/abc70930/src/base/abci/abcIf.c
diff --git a/src/base/abci/abcIvy.c b/abc70930/src/base/abci/abcIvy.c
index b878091b..b878091b 100644
--- a/src/base/abci/abcIvy.c
+++ b/abc70930/src/base/abci/abcIvy.c
diff --git a/src/base/abci/abcLut.c b/abc70930/src/base/abci/abcLut.c
index afa76cc8..afa76cc8 100644
--- a/src/base/abci/abcLut.c
+++ b/abc70930/src/base/abci/abcLut.c
diff --git a/src/base/abci/abcMap.c b/abc70930/src/base/abci/abcMap.c
index d4d50923..d4d50923 100644
--- a/src/base/abci/abcMap.c
+++ b/abc70930/src/base/abci/abcMap.c
diff --git a/src/base/abci/abcMeasure.c b/abc70930/src/base/abci/abcMeasure.c
index 6604a0c4..6604a0c4 100644
--- a/src/base/abci/abcMeasure.c
+++ b/abc70930/src/base/abci/abcMeasure.c
diff --git a/src/base/abci/abcMini.c b/abc70930/src/base/abci/abcMini.c
index 92985423..92985423 100644
--- a/src/base/abci/abcMini.c
+++ b/abc70930/src/base/abci/abcMini.c
diff --git a/src/base/abci/abcMiter.c b/abc70930/src/base/abci/abcMiter.c
index adda6653..adda6653 100644
--- a/src/base/abci/abcMiter.c
+++ b/abc70930/src/base/abci/abcMiter.c
diff --git a/src/base/abci/abcMulti.c b/abc70930/src/base/abci/abcMulti.c
index e93360a0..e93360a0 100644
--- a/src/base/abci/abcMulti.c
+++ b/abc70930/src/base/abci/abcMulti.c
diff --git a/src/base/abci/abcMv.c b/abc70930/src/base/abci/abcMv.c
index 2858b8a7..2858b8a7 100644
--- a/src/base/abci/abcMv.c
+++ b/abc70930/src/base/abci/abcMv.c
diff --git a/src/base/abci/abcNtbdd.c b/abc70930/src/base/abci/abcNtbdd.c
index f127811e..f127811e 100644
--- a/src/base/abci/abcNtbdd.c
+++ b/abc70930/src/base/abci/abcNtbdd.c
diff --git a/src/base/abci/abcOdc.c b/abc70930/src/base/abci/abcOdc.c
index d6e59328..d6e59328 100644
--- a/src/base/abci/abcOdc.c
+++ b/abc70930/src/base/abci/abcOdc.c
diff --git a/src/base/abci/abcOrder.c b/abc70930/src/base/abci/abcOrder.c
index 04417f77..04417f77 100644
--- a/src/base/abci/abcOrder.c
+++ b/abc70930/src/base/abci/abcOrder.c
diff --git a/src/base/abci/abcPart.c b/abc70930/src/base/abci/abcPart.c
index 85c4e918..85c4e918 100644
--- a/src/base/abci/abcPart.c
+++ b/abc70930/src/base/abci/abcPart.c
diff --git a/src/base/abci/abcPlace.c b/abc70930/src/base/abci/abcPlace.c
index 87c99e99..87c99e99 100644
--- a/src/base/abci/abcPlace.c
+++ b/abc70930/src/base/abci/abcPlace.c
diff --git a/src/base/abci/abcPrint.c b/abc70930/src/base/abci/abcPrint.c
index 7c3fb33c..bfb380f3 100644
--- a/src/base/abci/abcPrint.c
+++ b/abc70930/src/base/abci/abcPrint.c
@@ -127,14 +127,18 @@ void Abc_NtkPrintStats( FILE * pFile, Abc_Ntk_t * pNtk, int fFactored )
/*
{
FILE * pTable;
- pTable = fopen( "xs/reg_stats.txt", "a+" );
- fprintf( pTable, "%s ", pNtk->pName );
- fprintf( pTable, "%d ", Abc_NtkLatchNum(pNtk) );
+ pTable = fopen( "ibm/seq_stats.txt", "a+" );
+// fprintf( pTable, "%s ", pNtk->pName );
+// fprintf( pTable, "%d ", Abc_NtkPiNum(pNtk) );
+// fprintf( pTable, "%d ", Abc_NtkPoNum(pNtk) );
fprintf( pTable, "%d ", Abc_NtkNodeNum(pNtk) );
+ fprintf( pTable, "%d ", Abc_NtkLatchNum(pNtk) );
+ fprintf( pTable, "%d ", Abc_NtkLevel(pNtk) );
fprintf( pTable, "\n" );
fclose( pTable );
}
*/
+
/*
// print the statistic into a file
{
diff --git a/src/base/abci/abcProve.c b/abc70930/src/base/abci/abcProve.c
index a4220216..a4220216 100644
--- a/src/base/abci/abcProve.c
+++ b/abc70930/src/base/abci/abcProve.c
diff --git a/src/base/abci/abcQbf.c b/abc70930/src/base/abci/abcQbf.c
index b839f812..b839f812 100644
--- a/src/base/abci/abcQbf.c
+++ b/abc70930/src/base/abci/abcQbf.c
diff --git a/src/base/abci/abcQuant.c b/abc70930/src/base/abci/abcQuant.c
index 0f2bd72f..0f2bd72f 100644
--- a/src/base/abci/abcQuant.c
+++ b/abc70930/src/base/abci/abcQuant.c
diff --git a/src/base/abci/abcRec.c b/abc70930/src/base/abci/abcRec.c
index a6ec6981..a6ec6981 100644
--- a/src/base/abci/abcRec.c
+++ b/abc70930/src/base/abci/abcRec.c
diff --git a/src/base/abci/abcReconv.c b/abc70930/src/base/abci/abcReconv.c
index e77f055a..e77f055a 100644
--- a/src/base/abci/abcReconv.c
+++ b/abc70930/src/base/abci/abcReconv.c
diff --git a/src/base/abci/abcRefactor.c b/abc70930/src/base/abci/abcRefactor.c
index d2b77ed2..d2b77ed2 100644
--- a/src/base/abci/abcRefactor.c
+++ b/abc70930/src/base/abci/abcRefactor.c
diff --git a/src/base/abci/abcRenode.c b/abc70930/src/base/abci/abcRenode.c
index 8e8e8719..8e8e8719 100644
--- a/src/base/abci/abcRenode.c
+++ b/abc70930/src/base/abci/abcRenode.c
diff --git a/src/base/abci/abcReorder.c b/abc70930/src/base/abci/abcReorder.c
index d6dee49b..d6dee49b 100644
--- a/src/base/abci/abcReorder.c
+++ b/abc70930/src/base/abci/abcReorder.c
diff --git a/src/base/abci/abcRestruct.c b/abc70930/src/base/abci/abcRestruct.c
index 326d1543..326d1543 100644
--- a/src/base/abci/abcRestruct.c
+++ b/abc70930/src/base/abci/abcRestruct.c
diff --git a/src/base/abci/abcResub.c b/abc70930/src/base/abci/abcResub.c
index 309c328d..309c328d 100644
--- a/src/base/abci/abcResub.c
+++ b/abc70930/src/base/abci/abcResub.c
diff --git a/src/base/abci/abcRewrite.c b/abc70930/src/base/abci/abcRewrite.c
index 89b79e5a..b615f47e 100644
--- a/src/base/abci/abcRewrite.c
+++ b/abc70930/src/base/abci/abcRewrite.c
@@ -78,11 +78,11 @@ int Abc_NtkRewrite( Abc_Ntk_t * pNtk, int fUpdateLevel, int fUseZeros, int fVerb
*/
// start placement package
- if ( fPlaceEnable )
- {
- Abc_PlaceBegin( pNtk );
- vAddedCells = Abc_AigUpdateStart( pNtk->pManFunc, &vUpdatedNets );
- }
+// if ( fPlaceEnable )
+// {
+// Abc_PlaceBegin( pNtk );
+// vAddedCells = Abc_AigUpdateStart( pNtk->pManFunc, &vUpdatedNets );
+// }
// start the rewriting manager
pManRwr = Rwr_ManStart( 0 );
@@ -139,8 +139,8 @@ Rwr_ManAddTimeUpdate( pManRwr, clock() - clk );
if ( fCompl ) Dec_GraphComplement( pGraph );
// use the array of changed nodes to update placement
- if ( fPlaceEnable )
- Abc_PlaceUpdate( vAddedCells, vUpdatedNets );
+// if ( fPlaceEnable )
+// Abc_PlaceUpdate( vAddedCells, vUpdatedNets );
}
Extra_ProgressBarStop( pProgress );
Rwr_ManAddTimeTotal( pManRwr, clock() - clkStart );
@@ -157,11 +157,11 @@ Rwr_ManAddTimeTotal( pManRwr, clock() - clkStart );
pNtk->pManCut = NULL;
// start placement package
- if ( fPlaceEnable )
- {
- Abc_PlaceEnd( pNtk );
- Abc_AigUpdateStop( pNtk->pManFunc );
- }
+// if ( fPlaceEnable )
+// {
+// Abc_PlaceEnd( pNtk );
+// Abc_AigUpdateStop( pNtk->pManFunc );
+// }
// put the nodes into the DFS order and reassign their IDs
{
diff --git a/src/base/abci/abcRr.c b/abc70930/src/base/abci/abcRr.c
index 92adc718..92adc718 100644
--- a/src/base/abci/abcRr.c
+++ b/abc70930/src/base/abci/abcRr.c
diff --git a/src/base/abci/abcSat.c b/abc70930/src/base/abci/abcSat.c
index 58614584..58614584 100644
--- a/src/base/abci/abcSat.c
+++ b/abc70930/src/base/abci/abcSat.c
diff --git a/src/base/abci/abcStrash.c b/abc70930/src/base/abci/abcStrash.c
index c77f8dea..c77f8dea 100644
--- a/src/base/abci/abcStrash.c
+++ b/abc70930/src/base/abci/abcStrash.c
diff --git a/src/base/abci/abcSweep.c b/abc70930/src/base/abci/abcSweep.c
index 1ae8745b..1ae8745b 100644
--- a/src/base/abci/abcSweep.c
+++ b/abc70930/src/base/abci/abcSweep.c
diff --git a/src/base/abci/abcSymm.c b/abc70930/src/base/abci/abcSymm.c
index 0f76065c..0f76065c 100644
--- a/src/base/abci/abcSymm.c
+++ b/abc70930/src/base/abci/abcSymm.c
diff --git a/src/base/abci/abcTiming.c b/abc70930/src/base/abci/abcTiming.c
index 967e4617..967e4617 100644
--- a/src/base/abci/abcTiming.c
+++ b/abc70930/src/base/abci/abcTiming.c
diff --git a/src/base/abci/abcUnate.c b/abc70930/src/base/abci/abcUnate.c
index 20804d19..20804d19 100644
--- a/src/base/abci/abcUnate.c
+++ b/abc70930/src/base/abci/abcUnate.c
diff --git a/src/base/abci/abcUnreach.c b/abc70930/src/base/abci/abcUnreach.c
index ea0a4cd2..ea0a4cd2 100644
--- a/src/base/abci/abcUnreach.c
+++ b/abc70930/src/base/abci/abcUnreach.c
diff --git a/src/base/abci/abcVerify.c b/abc70930/src/base/abci/abcVerify.c
index 9c9bbcfd..9c9bbcfd 100644
--- a/src/base/abci/abcVerify.c
+++ b/abc70930/src/base/abci/abcVerify.c
diff --git a/src/base/abci/abcXsim.c b/abc70930/src/base/abci/abcXsim.c
index 5d9e4634..5d9e4634 100644
--- a/src/base/abci/abcXsim.c
+++ b/abc70930/src/base/abci/abcXsim.c
diff --git a/src/base/abci/abc_.c b/abc70930/src/base/abci/abc_.c
index 75ec88c3..75ec88c3 100644
--- a/src/base/abci/abc_.c
+++ b/abc70930/src/base/abci/abc_.c
diff --git a/src/base/abci/module.make b/abc70930/src/base/abci/module.make
index f6c73102..4558119e 100644
--- a/src/base/abci/module.make
+++ b/abc70930/src/base/abci/module.make
@@ -30,7 +30,6 @@ SRC += src/base/abci/abc.c \
src/base/abci/abcOdc.c \
src/base/abci/abcOrder.c \
src/base/abci/abcPart.c \
- src/base/abci/abcPlace.c \
src/base/abci/abcPrint.c \
src/base/abci/abcProve.c \
src/base/abci/abcQbf.c \
diff --git a/src/base/cmd/cmd.c b/abc70930/src/base/cmd/cmd.c
index 2dc03d5c..2dc03d5c 100644
--- a/src/base/cmd/cmd.c
+++ b/abc70930/src/base/cmd/cmd.c
diff --git a/src/base/cmd/cmd.h b/abc70930/src/base/cmd/cmd.h
index 030b77e8..030b77e8 100644
--- a/src/base/cmd/cmd.h
+++ b/abc70930/src/base/cmd/cmd.h
diff --git a/src/base/cmd/cmdAlias.c b/abc70930/src/base/cmd/cmdAlias.c
index 0ec3feea..0ec3feea 100644
--- a/src/base/cmd/cmdAlias.c
+++ b/abc70930/src/base/cmd/cmdAlias.c
diff --git a/src/base/cmd/cmdApi.c b/abc70930/src/base/cmd/cmdApi.c
index 7167e22b..7167e22b 100644
--- a/src/base/cmd/cmdApi.c
+++ b/abc70930/src/base/cmd/cmdApi.c
diff --git a/src/base/cmd/cmdFlag.c b/abc70930/src/base/cmd/cmdFlag.c
index 993f2a49..993f2a49 100644
--- a/src/base/cmd/cmdFlag.c
+++ b/abc70930/src/base/cmd/cmdFlag.c
diff --git a/src/base/cmd/cmdHist.c b/abc70930/src/base/cmd/cmdHist.c
index fae9382d..fae9382d 100644
--- a/src/base/cmd/cmdHist.c
+++ b/abc70930/src/base/cmd/cmdHist.c
diff --git a/src/base/cmd/cmdInt.h b/abc70930/src/base/cmd/cmdInt.h
index c082bd94..c082bd94 100644
--- a/src/base/cmd/cmdInt.h
+++ b/abc70930/src/base/cmd/cmdInt.h
diff --git a/src/base/cmd/cmdUtils.c b/abc70930/src/base/cmd/cmdUtils.c
index 47e54bb3..47e54bb3 100644
--- a/src/base/cmd/cmdUtils.c
+++ b/abc70930/src/base/cmd/cmdUtils.c
diff --git a/src/base/cmd/module.make b/abc70930/src/base/cmd/module.make
index 1eca3f65..1eca3f65 100644
--- a/src/base/cmd/module.make
+++ b/abc70930/src/base/cmd/module.make
diff --git a/src/base/io/io.c b/abc70930/src/base/io/io.c
index ca4cab95..7a5e4a5d 100644
--- a/src/base/io/io.c
+++ b/abc70930/src/base/io/io.c
@@ -82,7 +82,7 @@ void Io_Init( Abc_Frame_t * pAbc )
Cmd_CommandAdd( pAbc, "I/O", "read_aiger", IoCommandReadAiger, 1 );
Cmd_CommandAdd( pAbc, "I/O", "read_baf", IoCommandReadBaf, 1 );
Cmd_CommandAdd( pAbc, "I/O", "read_blif", IoCommandReadBlif, 1 );
- Cmd_CommandAdd( pAbc, "I/O", "read_blif_mv", IoCommandReadBlif, 1 );
+ Cmd_CommandAdd( pAbc, "I/O", "read_blif_mv", IoCommandReadBlifMv, 1 );
Cmd_CommandAdd( pAbc, "I/O", "read_bench", IoCommandReadBench, 1 );
Cmd_CommandAdd( pAbc, "I/O", "read_dsd", IoCommandReadDsd, 1 );
// Cmd_CommandAdd( pAbc, "I/O", "read_edif", IoCommandReadEdif, 1 );
@@ -1341,7 +1341,7 @@ usage:
fprintf( pAbc->Err, "usage: write_blif_mv [-h] <file>\n" );
fprintf( pAbc->Err, "\t write the network into a BLIF-MV file\n" );
fprintf( pAbc->Err, "\t-h : print the help massage\n" );
- fprintf( pAbc->Err, "\tfile : the name of the file to write (extension .blif)\n" );
+ fprintf( pAbc->Err, "\tfile : the name of the file to write (extension .mv)\n" );
return 1;
}
diff --git a/src/base/io/io.h b/abc70930/src/base/io/io.h
index 45762b77..45762b77 100644
--- a/src/base/io/io.h
+++ b/abc70930/src/base/io/io.h
diff --git a/src/base/io/ioInt.h b/abc70930/src/base/io/ioInt.h
index 3daf3c75..3daf3c75 100644
--- a/src/base/io/ioInt.h
+++ b/abc70930/src/base/io/ioInt.h
diff --git a/src/base/io/ioReadAiger.c b/abc70930/src/base/io/ioReadAiger.c
index d3c4c878..d3c4c878 100644
--- a/src/base/io/ioReadAiger.c
+++ b/abc70930/src/base/io/ioReadAiger.c
diff --git a/src/base/io/ioReadBaf.c b/abc70930/src/base/io/ioReadBaf.c
index 8dce54af..8dce54af 100644
--- a/src/base/io/ioReadBaf.c
+++ b/abc70930/src/base/io/ioReadBaf.c
diff --git a/src/base/io/ioReadBench.c b/abc70930/src/base/io/ioReadBench.c
index 007147bc..007147bc 100644
--- a/src/base/io/ioReadBench.c
+++ b/abc70930/src/base/io/ioReadBench.c
diff --git a/src/base/io/ioReadBlif.c b/abc70930/src/base/io/ioReadBlif.c
index d0750178..d0750178 100644
--- a/src/base/io/ioReadBlif.c
+++ b/abc70930/src/base/io/ioReadBlif.c
diff --git a/src/base/io/ioReadBlifAig.c b/abc70930/src/base/io/ioReadBlifAig.c
index c448bab6..c448bab6 100644
--- a/src/base/io/ioReadBlifAig.c
+++ b/abc70930/src/base/io/ioReadBlifAig.c
diff --git a/src/base/io/ioReadBlifMv.c b/abc70930/src/base/io/ioReadBlifMv.c
index 18578cbb..18578cbb 100644
--- a/src/base/io/ioReadBlifMv.c
+++ b/abc70930/src/base/io/ioReadBlifMv.c
diff --git a/src/base/io/ioReadDsd.c b/abc70930/src/base/io/ioReadDsd.c
index 1ab726e5..1ab726e5 100644
--- a/src/base/io/ioReadDsd.c
+++ b/abc70930/src/base/io/ioReadDsd.c
diff --git a/src/base/io/ioReadEdif.c b/abc70930/src/base/io/ioReadEdif.c
index 188e5b8c..188e5b8c 100644
--- a/src/base/io/ioReadEdif.c
+++ b/abc70930/src/base/io/ioReadEdif.c
diff --git a/src/base/io/ioReadEqn.c b/abc70930/src/base/io/ioReadEqn.c
index e04f2b1a..e04f2b1a 100644
--- a/src/base/io/ioReadEqn.c
+++ b/abc70930/src/base/io/ioReadEqn.c
diff --git a/src/base/io/ioReadPla.c b/abc70930/src/base/io/ioReadPla.c
index fdfdb4f6..fdfdb4f6 100644
--- a/src/base/io/ioReadPla.c
+++ b/abc70930/src/base/io/ioReadPla.c
diff --git a/src/base/io/ioReadVerilog.c b/abc70930/src/base/io/ioReadVerilog.c
index c64e330c..c64e330c 100644
--- a/src/base/io/ioReadVerilog.c
+++ b/abc70930/src/base/io/ioReadVerilog.c
diff --git a/src/base/io/ioUtil.c b/abc70930/src/base/io/ioUtil.c
index 94ec4316..94ec4316 100644
--- a/src/base/io/ioUtil.c
+++ b/abc70930/src/base/io/ioUtil.c
diff --git a/src/base/io/ioWriteAiger.c b/abc70930/src/base/io/ioWriteAiger.c
index ff34b177..ff34b177 100644
--- a/src/base/io/ioWriteAiger.c
+++ b/abc70930/src/base/io/ioWriteAiger.c
diff --git a/src/base/io/ioWriteBaf.c b/abc70930/src/base/io/ioWriteBaf.c
index fc0229a4..fc0229a4 100644
--- a/src/base/io/ioWriteBaf.c
+++ b/abc70930/src/base/io/ioWriteBaf.c
diff --git a/src/base/io/ioWriteBench.c b/abc70930/src/base/io/ioWriteBench.c
index 4b766a47..4b766a47 100644
--- a/src/base/io/ioWriteBench.c
+++ b/abc70930/src/base/io/ioWriteBench.c
diff --git a/src/base/io/ioWriteBlif.c b/abc70930/src/base/io/ioWriteBlif.c
index c0c29d65..c0c29d65 100644
--- a/src/base/io/ioWriteBlif.c
+++ b/abc70930/src/base/io/ioWriteBlif.c
diff --git a/src/base/io/ioWriteBlifMv.c b/abc70930/src/base/io/ioWriteBlifMv.c
index 775a2e07..775a2e07 100644
--- a/src/base/io/ioWriteBlifMv.c
+++ b/abc70930/src/base/io/ioWriteBlifMv.c
diff --git a/src/base/io/ioWriteCnf.c b/abc70930/src/base/io/ioWriteCnf.c
index e1b2d956..e1b2d956 100644
--- a/src/base/io/ioWriteCnf.c
+++ b/abc70930/src/base/io/ioWriteCnf.c
diff --git a/src/base/io/ioWriteDot.c b/abc70930/src/base/io/ioWriteDot.c
index 8ae3cc42..8ae3cc42 100644
--- a/src/base/io/ioWriteDot.c
+++ b/abc70930/src/base/io/ioWriteDot.c
diff --git a/src/base/io/ioWriteEqn.c b/abc70930/src/base/io/ioWriteEqn.c
index 95c54577..95c54577 100644
--- a/src/base/io/ioWriteEqn.c
+++ b/abc70930/src/base/io/ioWriteEqn.c
diff --git a/src/base/io/ioWriteGml.c b/abc70930/src/base/io/ioWriteGml.c
index dc897300..dc897300 100644
--- a/src/base/io/ioWriteGml.c
+++ b/abc70930/src/base/io/ioWriteGml.c
diff --git a/src/base/io/ioWriteList.c b/abc70930/src/base/io/ioWriteList.c
index 71af7c53..71af7c53 100644
--- a/src/base/io/ioWriteList.c
+++ b/abc70930/src/base/io/ioWriteList.c
diff --git a/src/base/io/ioWritePla.c b/abc70930/src/base/io/ioWritePla.c
index b119751c..b119751c 100644
--- a/src/base/io/ioWritePla.c
+++ b/abc70930/src/base/io/ioWritePla.c
diff --git a/src/base/io/ioWriteVerilog.c b/abc70930/src/base/io/ioWriteVerilog.c
index a4eeb78f..9e71e3e4 100644
--- a/src/base/io/ioWriteVerilog.c
+++ b/abc70930/src/base/io/ioWriteVerilog.c
@@ -127,6 +127,9 @@ void Io_WriteVerilogInt( FILE * pFile, Abc_Ntk_t * pNtk )
if ( Abc_NtkPoNum(pNtk) > 0 )
Io_WriteVerilogPos( pFile, pNtk, 3 );
fprintf( pFile, " );\n" );
+ // add the clock signal if it does not exist
+ if ( Abc_NtkLatchNum(pNtk) > 0 && Nm_ManFindIdByName(pNtk->pManName, "clock", ABC_OBJ_PI) == -1 )
+ fprintf( pFile, " input clock;\n" );
// write inputs, outputs, registers, and wires
if ( Abc_NtkPiNum(pNtk) > 0 )
{
diff --git a/src/base/io/io_.c b/abc70930/src/base/io/io_.c
index 62dd60e5..62dd60e5 100644
--- a/src/base/io/io_.c
+++ b/abc70930/src/base/io/io_.c
diff --git a/src/base/io/module.make b/abc70930/src/base/io/module.make
index bb35a7fc..bb35a7fc 100644
--- a/src/base/io/module.make
+++ b/abc70930/src/base/io/module.make
diff --git a/src/base/main/libSupport.c b/abc70930/src/base/main/libSupport.c
index 471ea09e..471ea09e 100644
--- a/src/base/main/libSupport.c
+++ b/abc70930/src/base/main/libSupport.c
diff --git a/src/base/main/main.c b/abc70930/src/base/main/main.c
index 8f43c605..8f43c605 100644
--- a/src/base/main/main.c
+++ b/abc70930/src/base/main/main.c
diff --git a/src/base/main/main.h b/abc70930/src/base/main/main.h
index 4433a8b4..4433a8b4 100644
--- a/src/base/main/main.h
+++ b/abc70930/src/base/main/main.h
diff --git a/src/base/main/mainFrame.c b/abc70930/src/base/main/mainFrame.c
index eae8b7a6..eae8b7a6 100644
--- a/src/base/main/mainFrame.c
+++ b/abc70930/src/base/main/mainFrame.c
diff --git a/src/base/main/mainInit.c b/abc70930/src/base/main/mainInit.c
index 03953e5b..03953e5b 100644
--- a/src/base/main/mainInit.c
+++ b/abc70930/src/base/main/mainInit.c
diff --git a/src/base/main/mainInt.h b/abc70930/src/base/main/mainInt.h
index 09ad96f3..09ad96f3 100644
--- a/src/base/main/mainInt.h
+++ b/abc70930/src/base/main/mainInt.h
diff --git a/src/base/main/mainUtils.c b/abc70930/src/base/main/mainUtils.c
index 2cd4e337..58cc33ec 100644
--- a/src/base/main/mainUtils.c
+++ b/abc70930/src/base/main/mainUtils.c
@@ -147,32 +147,72 @@ void Abc_UtilsSource( Abc_Frame_t * pAbc )
printf( "Loaded \"abc.rc\" from the grandparent directory.\n" );
}
#else
+
+#if 0
{
- char * sPath1, * sPath2;
+ char * sPath1, * sPath2;
- // If .rc is present in both the home and current directories, then read
- // it from the home directory. Otherwise, read it from wherever it's located.
- sPath1 = Extra_UtilFileSearch(".rc", "~/", "r");
- sPath2 = Extra_UtilFileSearch(".rc", ".", "r");
+ // If .rc is present in both the home and current directories, then read
+ // it from the home directory. Otherwise, read it from wherever it's located.
+ sPath1 = Extra_UtilFileSearch(".rc", "~/", "r");
+ sPath2 = Extra_UtilFileSearch(".rc", ".", "r");
- if ( sPath1 && sPath2 ) {
- /* ~/.rc == .rc : Source the file only once */
- (void) Cmd_CommandExecute(pAbc, "source -s ~/.rc");
- }
- else {
- if (sPath1) {
+ if ( sPath1 && sPath2 ) {
+ /* ~/.rc == .rc : Source the file only once */
(void) Cmd_CommandExecute(pAbc, "source -s ~/.rc");
}
- if (sPath2) {
- (void) Cmd_CommandExecute(pAbc, "source -s .rc");
+ else {
+ if (sPath1) {
+ (void) Cmd_CommandExecute(pAbc, "source -s ~/.rc");
+ }
+ if (sPath2) {
+ (void) Cmd_CommandExecute(pAbc, "source -s .rc");
+ }
}
- }
- if ( sPath1 ) FREE(sPath1);
- if ( sPath2 ) FREE(sPath2);
+ if ( sPath1 ) FREE(sPath1);
+ if ( sPath2 ) FREE(sPath2);
- /* execute the abc script which can be open with the "open_path" */
- Cmd_CommandExecute( pAbc, "source -s abc.rc" );
+ /* execute the abc script which can be open with the "open_path" */
+ Cmd_CommandExecute( pAbc, "source -s abc.rc" );
+ }
+#endif
+
+ {
+ char * sPath1, * sPath2;
+ char * home;
+
+ // If .rc is present in both the home and current directories, then read
+ // it from the home directory. Otherwise, read it from wherever it's located.
+ home = getenv("HOME");
+ if (home){
+ char * sPath3 = ALLOC(char, strlen(home) + 2);
+ (void) sprintf(sPath3, "%s/", home);
+ sPath1 = Extra_UtilFileSearch(".abc.rc", sPath3, "r");
+ FREE(sPath3);
+ }else
+ sPath1 = NULL;
+
+ sPath2 = Extra_UtilFileSearch(".abc.rc", ".", "r");
+
+ if ( sPath1 && sPath2 ) {
+ /* ~/.rc == .rc : Source the file only once */
+ (void) Cmd_CommandExecute(pAbc, "source -s ~/.abc.rc");
+ }
+ else {
+ if (sPath1) {
+ (void) Cmd_CommandExecute(pAbc, "source -s ~/.abc.rc");
+ }
+ if (sPath2) {
+ (void) Cmd_CommandExecute(pAbc, "source -s .abc.rc");
+ }
+ }
+ if ( sPath1 ) FREE(sPath1);
+ if ( sPath2 ) FREE(sPath2);
+
+ /* execute the abc script which can be open with the "open_path" */
+ Cmd_CommandExecute( pAbc, "source -s abc.rc" );
}
+
#endif //WIN32
{
// reset command history
diff --git a/src/base/main/module.make b/abc70930/src/base/main/module.make
index 367f89f6..367f89f6 100644
--- a/src/base/main/module.make
+++ b/abc70930/src/base/main/module.make
diff --git a/src/base/temp.c b/abc70930/src/base/temp.c
index 55709247..55709247 100644
--- a/src/base/temp.c
+++ b/abc70930/src/base/temp.c
diff --git a/src/base/ver/module.make b/abc70930/src/base/ver/module.make
index 2cc37803..2cc37803 100644
--- a/src/base/ver/module.make
+++ b/abc70930/src/base/ver/module.make
diff --git a/src/base/ver/ver.h b/abc70930/src/base/ver/ver.h
index 9c538ac4..9c538ac4 100644
--- a/src/base/ver/ver.h
+++ b/abc70930/src/base/ver/ver.h
diff --git a/src/base/ver/verCore.c b/abc70930/src/base/ver/verCore.c
index 6d7d230e..7a217fd7 100644
--- a/src/base/ver/verCore.c
+++ b/abc70930/src/base/ver/verCore.c
@@ -2681,7 +2681,8 @@ int Ver_ParseAttachBoxes( Ver_Man_t * pMan )
int i, RetValue, Counter, nMaxBoxSize;
// print the log file
- Ver_ParsePrintLog( pMan );
+ if ( pMan->pDesign->vModules && Vec_PtrSize(pMan->pDesign->vModules) > 1 )
+ Ver_ParsePrintLog( pMan );
// connect defined boxes
RetValue = Ver_ParseConnectDefBoxes( pMan );
diff --git a/src/base/ver/verFormula.c b/abc70930/src/base/ver/verFormula.c
index 19a2c523..19a2c523 100644
--- a/src/base/ver/verFormula.c
+++ b/abc70930/src/base/ver/verFormula.c
diff --git a/src/base/ver/verParse.c b/abc70930/src/base/ver/verParse.c
index 9462fc8b..9462fc8b 100644
--- a/src/base/ver/verParse.c
+++ b/abc70930/src/base/ver/verParse.c
diff --git a/src/base/ver/verStream.c b/abc70930/src/base/ver/verStream.c
index eed322b9..9b56bb3f 100644
--- a/src/base/ver/verStream.c
+++ b/abc70930/src/base/ver/verStream.c
@@ -24,9 +24,9 @@
/// DECLARATIONS ///
////////////////////////////////////////////////////////////////////////
-#define VER_BUFFER_SIZE 1048576 // 1M - size of the data chunk stored in memory
-#define VER_OFFSET_SIZE 4096 // 4K - load new data when less than this is left
-#define VER_WORD_SIZE 4096 // 4K - the largest token that can be returned
+#define VER_BUFFER_SIZE 1048576 // 1M - size of the data chunk stored in memory
+#define VER_OFFSET_SIZE 65536 // 64K - load new data when less than this is left
+#define VER_WORD_SIZE 65536 // 64K - the largest token that can be returned
#define VER_MINIMUM(a,b) (((a) < (b))? (a) : (b))
@@ -410,7 +410,10 @@ char * Ver_StreamGetWord( Ver_Stream_t * p, char * pCharsToStop )
{
p->pChars[p->nChars++] = *pChar;
if ( p->nChars == VER_WORD_SIZE )
+ {
+ printf( "Ver_StreamGetWord(): The buffer size is exceeded.\n" );
return NULL;
+ }
// count the lines
if ( *pChar == '\n' )
p->nLineCounter++;
diff --git a/src/base/ver/verWords.c b/abc70930/src/base/ver/verWords.c
index f9d27010..f9d27010 100644
--- a/src/base/ver/verWords.c
+++ b/abc70930/src/base/ver/verWords.c
diff --git a/src/base/ver/ver_.c b/abc70930/src/base/ver/ver_.c
index 76599dac..76599dac 100644
--- a/src/base/ver/ver_.c
+++ b/abc70930/src/base/ver/ver_.c
diff --git a/src/bdd/cas/cas.h b/abc70930/src/bdd/cas/cas.h
index fcc9f890..fcc9f890 100644
--- a/src/bdd/cas/cas.h
+++ b/abc70930/src/bdd/cas/cas.h
diff --git a/src/bdd/cas/casCore.c b/abc70930/src/bdd/cas/casCore.c
index 579235b1..579235b1 100644
--- a/src/bdd/cas/casCore.c
+++ b/abc70930/src/bdd/cas/casCore.c
diff --git a/src/bdd/cas/casDec.c b/abc70930/src/bdd/cas/casDec.c
index a1eb5f36..a1eb5f36 100644
--- a/src/bdd/cas/casDec.c
+++ b/abc70930/src/bdd/cas/casDec.c
diff --git a/src/bdd/cas/module.make b/abc70930/src/bdd/cas/module.make
index 7830e47f..7830e47f 100644
--- a/src/bdd/cas/module.make
+++ b/abc70930/src/bdd/cas/module.make
diff --git a/src/bdd/cudd/cuBdd.make b/abc70930/src/bdd/cudd/cuBdd.make
index b16a27b3..b16a27b3 100644
--- a/src/bdd/cudd/cuBdd.make
+++ b/abc70930/src/bdd/cudd/cuBdd.make
diff --git a/src/bdd/cudd/cudd.h b/abc70930/src/bdd/cudd/cudd.h
index a31fcdae..a31fcdae 100644
--- a/src/bdd/cudd/cudd.h
+++ b/abc70930/src/bdd/cudd/cudd.h
diff --git a/src/bdd/cudd/cudd.make b/abc70930/src/bdd/cudd/cudd.make
index 7cb342a2..7cb342a2 100644
--- a/src/bdd/cudd/cudd.make
+++ b/abc70930/src/bdd/cudd/cudd.make
diff --git a/src/bdd/cudd/cuddAPI.c b/abc70930/src/bdd/cudd/cuddAPI.c
index a16b82cf..a16b82cf 100644
--- a/src/bdd/cudd/cuddAPI.c
+++ b/abc70930/src/bdd/cudd/cuddAPI.c
diff --git a/src/bdd/cudd/cuddAddAbs.c b/abc70930/src/bdd/cudd/cuddAddAbs.c
index b256ad0f..b256ad0f 100644
--- a/src/bdd/cudd/cuddAddAbs.c
+++ b/abc70930/src/bdd/cudd/cuddAddAbs.c
diff --git a/src/bdd/cudd/cuddAddApply.c b/abc70930/src/bdd/cudd/cuddAddApply.c
index 60c06de6..60c06de6 100644
--- a/src/bdd/cudd/cuddAddApply.c
+++ b/abc70930/src/bdd/cudd/cuddAddApply.c
diff --git a/src/bdd/cudd/cuddAddFind.c b/abc70930/src/bdd/cudd/cuddAddFind.c
index 0469b014..0469b014 100644
--- a/src/bdd/cudd/cuddAddFind.c
+++ b/abc70930/src/bdd/cudd/cuddAddFind.c
diff --git a/src/bdd/cudd/cuddAddInv.c b/abc70930/src/bdd/cudd/cuddAddInv.c
index fc4a340b..fc4a340b 100644
--- a/src/bdd/cudd/cuddAddInv.c
+++ b/abc70930/src/bdd/cudd/cuddAddInv.c
diff --git a/src/bdd/cudd/cuddAddIte.c b/abc70930/src/bdd/cudd/cuddAddIte.c
index 71f8070f..71f8070f 100644
--- a/src/bdd/cudd/cuddAddIte.c
+++ b/abc70930/src/bdd/cudd/cuddAddIte.c
diff --git a/src/bdd/cudd/cuddAddNeg.c b/abc70930/src/bdd/cudd/cuddAddNeg.c
index bdb08ddc..bdb08ddc 100644
--- a/src/bdd/cudd/cuddAddNeg.c
+++ b/abc70930/src/bdd/cudd/cuddAddNeg.c
diff --git a/src/bdd/cudd/cuddAddWalsh.c b/abc70930/src/bdd/cudd/cuddAddWalsh.c
index c6a67e34..c6a67e34 100644
--- a/src/bdd/cudd/cuddAddWalsh.c
+++ b/abc70930/src/bdd/cudd/cuddAddWalsh.c
diff --git a/src/bdd/cudd/cuddAndAbs.c b/abc70930/src/bdd/cudd/cuddAndAbs.c
index 5ec47beb..5ec47beb 100644
--- a/src/bdd/cudd/cuddAndAbs.c
+++ b/abc70930/src/bdd/cudd/cuddAndAbs.c
diff --git a/src/bdd/cudd/cuddAnneal.c b/abc70930/src/bdd/cudd/cuddAnneal.c
index 3d8b56b9..3d8b56b9 100644
--- a/src/bdd/cudd/cuddAnneal.c
+++ b/abc70930/src/bdd/cudd/cuddAnneal.c
diff --git a/src/bdd/cudd/cuddApa.c b/abc70930/src/bdd/cudd/cuddApa.c
index 47ab51e8..47ab51e8 100644
--- a/src/bdd/cudd/cuddApa.c
+++ b/abc70930/src/bdd/cudd/cuddApa.c
diff --git a/src/bdd/cudd/cuddApprox.c b/abc70930/src/bdd/cudd/cuddApprox.c
index debcf48b..debcf48b 100644
--- a/src/bdd/cudd/cuddApprox.c
+++ b/abc70930/src/bdd/cudd/cuddApprox.c
diff --git a/src/bdd/cudd/cuddBddAbs.c b/abc70930/src/bdd/cudd/cuddBddAbs.c
index 9552464e..9552464e 100644
--- a/src/bdd/cudd/cuddBddAbs.c
+++ b/abc70930/src/bdd/cudd/cuddBddAbs.c
diff --git a/src/bdd/cudd/cuddBddCorr.c b/abc70930/src/bdd/cudd/cuddBddCorr.c
index c99324a8..c99324a8 100644
--- a/src/bdd/cudd/cuddBddCorr.c
+++ b/abc70930/src/bdd/cudd/cuddBddCorr.c
diff --git a/src/bdd/cudd/cuddBddIte.c b/abc70930/src/bdd/cudd/cuddBddIte.c
index b44e40de..b44e40de 100644
--- a/src/bdd/cudd/cuddBddIte.c
+++ b/abc70930/src/bdd/cudd/cuddBddIte.c
diff --git a/src/bdd/cudd/cuddBridge.c b/abc70930/src/bdd/cudd/cuddBridge.c
index ccc0893f..ccc0893f 100644
--- a/src/bdd/cudd/cuddBridge.c
+++ b/abc70930/src/bdd/cudd/cuddBridge.c
diff --git a/src/bdd/cudd/cuddCache.c b/abc70930/src/bdd/cudd/cuddCache.c
index d9e40921..d9e40921 100644
--- a/src/bdd/cudd/cuddCache.c
+++ b/abc70930/src/bdd/cudd/cuddCache.c
diff --git a/src/bdd/cudd/cuddCheck.c b/abc70930/src/bdd/cudd/cuddCheck.c
index aec8246d..aec8246d 100644
--- a/src/bdd/cudd/cuddCheck.c
+++ b/abc70930/src/bdd/cudd/cuddCheck.c
diff --git a/src/bdd/cudd/cuddClip.c b/abc70930/src/bdd/cudd/cuddClip.c
index 4da296ef..4da296ef 100644
--- a/src/bdd/cudd/cuddClip.c
+++ b/abc70930/src/bdd/cudd/cuddClip.c
diff --git a/src/bdd/cudd/cuddCof.c b/abc70930/src/bdd/cudd/cuddCof.c
index f79e3f91..f79e3f91 100644
--- a/src/bdd/cudd/cuddCof.c
+++ b/abc70930/src/bdd/cudd/cuddCof.c
diff --git a/src/bdd/cudd/cuddCompose.c b/abc70930/src/bdd/cudd/cuddCompose.c
index 8c858051..8c858051 100644
--- a/src/bdd/cudd/cuddCompose.c
+++ b/abc70930/src/bdd/cudd/cuddCompose.c
diff --git a/src/bdd/cudd/cuddDecomp.c b/abc70930/src/bdd/cudd/cuddDecomp.c
index 4fde7392..4fde7392 100644
--- a/src/bdd/cudd/cuddDecomp.c
+++ b/abc70930/src/bdd/cudd/cuddDecomp.c
diff --git a/src/bdd/cudd/cuddEssent.c b/abc70930/src/bdd/cudd/cuddEssent.c
index db4b8b49..db4b8b49 100644
--- a/src/bdd/cudd/cuddEssent.c
+++ b/abc70930/src/bdd/cudd/cuddEssent.c
diff --git a/src/bdd/cudd/cuddExact.c b/abc70930/src/bdd/cudd/cuddExact.c
index 6852be68..6852be68 100644
--- a/src/bdd/cudd/cuddExact.c
+++ b/abc70930/src/bdd/cudd/cuddExact.c
diff --git a/src/bdd/cudd/cuddExport.c b/abc70930/src/bdd/cudd/cuddExport.c
index d148be42..d148be42 100644
--- a/src/bdd/cudd/cuddExport.c
+++ b/abc70930/src/bdd/cudd/cuddExport.c
diff --git a/src/bdd/cudd/cuddGenCof.c b/abc70930/src/bdd/cudd/cuddGenCof.c
index 142ee27e..142ee27e 100644
--- a/src/bdd/cudd/cuddGenCof.c
+++ b/abc70930/src/bdd/cudd/cuddGenCof.c
diff --git a/src/bdd/cudd/cuddGenetic.c b/abc70930/src/bdd/cudd/cuddGenetic.c
index 9fe03dad..9fe03dad 100644
--- a/src/bdd/cudd/cuddGenetic.c
+++ b/abc70930/src/bdd/cudd/cuddGenetic.c
diff --git a/src/bdd/cudd/cuddGroup.c b/abc70930/src/bdd/cudd/cuddGroup.c
index 81c05d2c..81c05d2c 100644
--- a/src/bdd/cudd/cuddGroup.c
+++ b/abc70930/src/bdd/cudd/cuddGroup.c
diff --git a/src/bdd/cudd/cuddHarwell.c b/abc70930/src/bdd/cudd/cuddHarwell.c
index 063f1922..063f1922 100644
--- a/src/bdd/cudd/cuddHarwell.c
+++ b/abc70930/src/bdd/cudd/cuddHarwell.c
diff --git a/src/bdd/cudd/cuddInit.c b/abc70930/src/bdd/cudd/cuddInit.c
index 8e06a425..8e06a425 100644
--- a/src/bdd/cudd/cuddInit.c
+++ b/abc70930/src/bdd/cudd/cuddInit.c
diff --git a/src/bdd/cudd/cuddInt.h b/abc70930/src/bdd/cudd/cuddInt.h
index a5d0cf16..a5d0cf16 100644
--- a/src/bdd/cudd/cuddInt.h
+++ b/abc70930/src/bdd/cudd/cuddInt.h
diff --git a/src/bdd/cudd/cuddInteract.c b/abc70930/src/bdd/cudd/cuddInteract.c
index 96613639..96613639 100644
--- a/src/bdd/cudd/cuddInteract.c
+++ b/abc70930/src/bdd/cudd/cuddInteract.c
diff --git a/src/bdd/cudd/cuddLCache.c b/abc70930/src/bdd/cudd/cuddLCache.c
index 8bd37ba0..8bd37ba0 100644
--- a/src/bdd/cudd/cuddLCache.c
+++ b/abc70930/src/bdd/cudd/cuddLCache.c
diff --git a/src/bdd/cudd/cuddLevelQ.c b/abc70930/src/bdd/cudd/cuddLevelQ.c
index 3cc8e8d8..3cc8e8d8 100644
--- a/src/bdd/cudd/cuddLevelQ.c
+++ b/abc70930/src/bdd/cudd/cuddLevelQ.c
diff --git a/src/bdd/cudd/cuddLinear.c b/abc70930/src/bdd/cudd/cuddLinear.c
index 7f6b3678..7f6b3678 100644
--- a/src/bdd/cudd/cuddLinear.c
+++ b/abc70930/src/bdd/cudd/cuddLinear.c
diff --git a/src/bdd/cudd/cuddLiteral.c b/abc70930/src/bdd/cudd/cuddLiteral.c
index 43740690..43740690 100644
--- a/src/bdd/cudd/cuddLiteral.c
+++ b/abc70930/src/bdd/cudd/cuddLiteral.c
diff --git a/src/bdd/cudd/cuddMatMult.c b/abc70930/src/bdd/cudd/cuddMatMult.c
index 345e7921..345e7921 100644
--- a/src/bdd/cudd/cuddMatMult.c
+++ b/abc70930/src/bdd/cudd/cuddMatMult.c
diff --git a/src/bdd/cudd/cuddPriority.c b/abc70930/src/bdd/cudd/cuddPriority.c
index 788fc712..788fc712 100644
--- a/src/bdd/cudd/cuddPriority.c
+++ b/abc70930/src/bdd/cudd/cuddPriority.c
diff --git a/src/bdd/cudd/cuddRead.c b/abc70930/src/bdd/cudd/cuddRead.c
index 2c4a86d8..2c4a86d8 100644
--- a/src/bdd/cudd/cuddRead.c
+++ b/abc70930/src/bdd/cudd/cuddRead.c
diff --git a/src/bdd/cudd/cuddRef.c b/abc70930/src/bdd/cudd/cuddRef.c
index a9241f3d..a9241f3d 100644
--- a/src/bdd/cudd/cuddRef.c
+++ b/abc70930/src/bdd/cudd/cuddRef.c
diff --git a/src/bdd/cudd/cuddReorder.c b/abc70930/src/bdd/cudd/cuddReorder.c
index 1387196f..1387196f 100644
--- a/src/bdd/cudd/cuddReorder.c
+++ b/abc70930/src/bdd/cudd/cuddReorder.c
diff --git a/src/bdd/cudd/cuddSat.c b/abc70930/src/bdd/cudd/cuddSat.c
index 1755a1c1..1755a1c1 100644
--- a/src/bdd/cudd/cuddSat.c
+++ b/abc70930/src/bdd/cudd/cuddSat.c
diff --git a/src/bdd/cudd/cuddSign.c b/abc70930/src/bdd/cudd/cuddSign.c
index fcaa65c4..fcaa65c4 100644
--- a/src/bdd/cudd/cuddSign.c
+++ b/abc70930/src/bdd/cudd/cuddSign.c
diff --git a/src/bdd/cudd/cuddSolve.c b/abc70930/src/bdd/cudd/cuddSolve.c
index d9c4a2e7..d9c4a2e7 100644
--- a/src/bdd/cudd/cuddSolve.c
+++ b/abc70930/src/bdd/cudd/cuddSolve.c
diff --git a/src/bdd/cudd/cuddSplit.c b/abc70930/src/bdd/cudd/cuddSplit.c
index e21ea7cb..e21ea7cb 100644
--- a/src/bdd/cudd/cuddSplit.c
+++ b/abc70930/src/bdd/cudd/cuddSplit.c
diff --git a/src/bdd/cudd/cuddSubsetHB.c b/abc70930/src/bdd/cudd/cuddSubsetHB.c
index 24d41ce5..24d41ce5 100644
--- a/src/bdd/cudd/cuddSubsetHB.c
+++ b/abc70930/src/bdd/cudd/cuddSubsetHB.c
diff --git a/src/bdd/cudd/cuddSubsetSP.c b/abc70930/src/bdd/cudd/cuddSubsetSP.c
index 55ee3470..55ee3470 100644
--- a/src/bdd/cudd/cuddSubsetSP.c
+++ b/abc70930/src/bdd/cudd/cuddSubsetSP.c
diff --git a/src/bdd/cudd/cuddSymmetry.c b/abc70930/src/bdd/cudd/cuddSymmetry.c
index e5488b17..e5488b17 100644
--- a/src/bdd/cudd/cuddSymmetry.c
+++ b/abc70930/src/bdd/cudd/cuddSymmetry.c
diff --git a/src/bdd/cudd/cuddTable.c b/abc70930/src/bdd/cudd/cuddTable.c
index 7f14aed1..7f14aed1 100644
--- a/src/bdd/cudd/cuddTable.c
+++ b/abc70930/src/bdd/cudd/cuddTable.c
diff --git a/src/bdd/cudd/cuddUtil.c b/abc70930/src/bdd/cudd/cuddUtil.c
index d5fa18e2..d5fa18e2 100644
--- a/src/bdd/cudd/cuddUtil.c
+++ b/abc70930/src/bdd/cudd/cuddUtil.c
diff --git a/src/bdd/cudd/cuddWindow.c b/abc70930/src/bdd/cudd/cuddWindow.c
index 9ceb79b2..9ceb79b2 100644
--- a/src/bdd/cudd/cuddWindow.c
+++ b/abc70930/src/bdd/cudd/cuddWindow.c
diff --git a/src/bdd/cudd/cuddZddCount.c b/abc70930/src/bdd/cudd/cuddZddCount.c
index 6c6ec1df..6c6ec1df 100644
--- a/src/bdd/cudd/cuddZddCount.c
+++ b/abc70930/src/bdd/cudd/cuddZddCount.c
diff --git a/src/bdd/cudd/cuddZddFuncs.c b/abc70930/src/bdd/cudd/cuddZddFuncs.c
index 9dc27a95..9dc27a95 100644
--- a/src/bdd/cudd/cuddZddFuncs.c
+++ b/abc70930/src/bdd/cudd/cuddZddFuncs.c
diff --git a/src/bdd/cudd/cuddZddGroup.c b/abc70930/src/bdd/cudd/cuddZddGroup.c
index 621fa43f..621fa43f 100644
--- a/src/bdd/cudd/cuddZddGroup.c
+++ b/abc70930/src/bdd/cudd/cuddZddGroup.c
diff --git a/src/bdd/cudd/cuddZddIsop.c b/abc70930/src/bdd/cudd/cuddZddIsop.c
index f4b057ea..f4b057ea 100644
--- a/src/bdd/cudd/cuddZddIsop.c
+++ b/abc70930/src/bdd/cudd/cuddZddIsop.c
diff --git a/src/bdd/cudd/cuddZddLin.c b/abc70930/src/bdd/cudd/cuddZddLin.c
index ef2cd298..ef2cd298 100644
--- a/src/bdd/cudd/cuddZddLin.c
+++ b/abc70930/src/bdd/cudd/cuddZddLin.c
diff --git a/src/bdd/cudd/cuddZddMisc.c b/abc70930/src/bdd/cudd/cuddZddMisc.c
index 6a4ddd09..6a4ddd09 100644
--- a/src/bdd/cudd/cuddZddMisc.c
+++ b/abc70930/src/bdd/cudd/cuddZddMisc.c
diff --git a/src/bdd/cudd/cuddZddPort.c b/abc70930/src/bdd/cudd/cuddZddPort.c
index 6d4a3236..6d4a3236 100644
--- a/src/bdd/cudd/cuddZddPort.c
+++ b/abc70930/src/bdd/cudd/cuddZddPort.c
diff --git a/src/bdd/cudd/cuddZddReord.c b/abc70930/src/bdd/cudd/cuddZddReord.c
index e2da37f2..e2da37f2 100644
--- a/src/bdd/cudd/cuddZddReord.c
+++ b/abc70930/src/bdd/cudd/cuddZddReord.c
diff --git a/src/bdd/cudd/cuddZddSetop.c b/abc70930/src/bdd/cudd/cuddZddSetop.c
index f1bd72f3..f1bd72f3 100644
--- a/src/bdd/cudd/cuddZddSetop.c
+++ b/abc70930/src/bdd/cudd/cuddZddSetop.c
diff --git a/src/bdd/cudd/cuddZddSymm.c b/abc70930/src/bdd/cudd/cuddZddSymm.c
index 54019892..54019892 100644
--- a/src/bdd/cudd/cuddZddSymm.c
+++ b/abc70930/src/bdd/cudd/cuddZddSymm.c
diff --git a/src/bdd/cudd/cuddZddUtil.c b/abc70930/src/bdd/cudd/cuddZddUtil.c
index 616d16d4..616d16d4 100644
--- a/src/bdd/cudd/cuddZddUtil.c
+++ b/abc70930/src/bdd/cudd/cuddZddUtil.c
diff --git a/src/bdd/cudd/module.make b/abc70930/src/bdd/cudd/module.make
index c526a50e..c526a50e 100644
--- a/src/bdd/cudd/module.make
+++ b/abc70930/src/bdd/cudd/module.make
diff --git a/src/bdd/cudd/r7x8.1.mat b/abc70930/src/bdd/cudd/r7x8.1.mat
index b0dd0a0a..b0dd0a0a 100644
--- a/src/bdd/cudd/r7x8.1.mat
+++ b/abc70930/src/bdd/cudd/r7x8.1.mat
diff --git a/src/bdd/cudd/testcudd.c b/abc70930/src/bdd/cudd/testcudd.c
index d8affadc..d8affadc 100644
--- a/src/bdd/cudd/testcudd.c
+++ b/abc70930/src/bdd/cudd/testcudd.c
diff --git a/src/bdd/dsd/dsd.h b/abc70930/src/bdd/dsd/dsd.h
index b73b81ab..b73b81ab 100644
--- a/src/bdd/dsd/dsd.h
+++ b/abc70930/src/bdd/dsd/dsd.h
diff --git a/src/bdd/dsd/dsdApi.c b/abc70930/src/bdd/dsd/dsdApi.c
index d1c90e23..d1c90e23 100644
--- a/src/bdd/dsd/dsdApi.c
+++ b/abc70930/src/bdd/dsd/dsdApi.c
diff --git a/src/bdd/dsd/dsdCheck.c b/abc70930/src/bdd/dsd/dsdCheck.c
index 58b824d2..58b824d2 100644
--- a/src/bdd/dsd/dsdCheck.c
+++ b/abc70930/src/bdd/dsd/dsdCheck.c
diff --git a/src/bdd/dsd/dsdInt.h b/abc70930/src/bdd/dsd/dsdInt.h
index 62ce7e99..62ce7e99 100644
--- a/src/bdd/dsd/dsdInt.h
+++ b/abc70930/src/bdd/dsd/dsdInt.h
diff --git a/src/bdd/dsd/dsdLocal.c b/abc70930/src/bdd/dsd/dsdLocal.c
index 6dd6e7d1..6dd6e7d1 100644
--- a/src/bdd/dsd/dsdLocal.c
+++ b/abc70930/src/bdd/dsd/dsdLocal.c
diff --git a/src/bdd/dsd/dsdMan.c b/abc70930/src/bdd/dsd/dsdMan.c
index 6e43f0f4..6e43f0f4 100644
--- a/src/bdd/dsd/dsdMan.c
+++ b/abc70930/src/bdd/dsd/dsdMan.c
diff --git a/src/bdd/dsd/dsdProc.c b/abc70930/src/bdd/dsd/dsdProc.c
index 543ad387..543ad387 100644
--- a/src/bdd/dsd/dsdProc.c
+++ b/abc70930/src/bdd/dsd/dsdProc.c
diff --git a/src/bdd/dsd/dsdTree.c b/abc70930/src/bdd/dsd/dsdTree.c
index 2855d68d..2855d68d 100644
--- a/src/bdd/dsd/dsdTree.c
+++ b/abc70930/src/bdd/dsd/dsdTree.c
diff --git a/src/bdd/dsd/module.make b/abc70930/src/bdd/dsd/module.make
index f5e6673d..f5e6673d 100644
--- a/src/bdd/dsd/module.make
+++ b/abc70930/src/bdd/dsd/module.make
diff --git a/src/bdd/epd/epd.c b/abc70930/src/bdd/epd/epd.c
index a80240bc..a80240bc 100644
--- a/src/bdd/epd/epd.c
+++ b/abc70930/src/bdd/epd/epd.c
diff --git a/src/bdd/epd/epd.h b/abc70930/src/bdd/epd/epd.h
index 66db80e3..66db80e3 100644
--- a/src/bdd/epd/epd.h
+++ b/abc70930/src/bdd/epd/epd.h
diff --git a/src/bdd/epd/module.make b/abc70930/src/bdd/epd/module.make
index a8084db1..a8084db1 100644
--- a/src/bdd/epd/module.make
+++ b/abc70930/src/bdd/epd/module.make
diff --git a/src/bdd/mtr/module.make b/abc70930/src/bdd/mtr/module.make
index d7fa63d9..d7fa63d9 100644
--- a/src/bdd/mtr/module.make
+++ b/abc70930/src/bdd/mtr/module.make
diff --git a/src/bdd/mtr/mtr.h b/abc70930/src/bdd/mtr/mtr.h
index 201329ae..201329ae 100644
--- a/src/bdd/mtr/mtr.h
+++ b/abc70930/src/bdd/mtr/mtr.h
diff --git a/src/bdd/mtr/mtrBasic.c b/abc70930/src/bdd/mtr/mtrBasic.c
index 94105282..94105282 100644
--- a/src/bdd/mtr/mtrBasic.c
+++ b/abc70930/src/bdd/mtr/mtrBasic.c
diff --git a/src/bdd/mtr/mtrGroup.c b/abc70930/src/bdd/mtr/mtrGroup.c
index 363b776b..363b776b 100644
--- a/src/bdd/mtr/mtrGroup.c
+++ b/abc70930/src/bdd/mtr/mtrGroup.c
diff --git a/src/bdd/mtr/mtrInt.h b/abc70930/src/bdd/mtr/mtrInt.h
index a8d5aa6c..a8d5aa6c 100644
--- a/src/bdd/mtr/mtrInt.h
+++ b/abc70930/src/bdd/mtr/mtrInt.h
diff --git a/src/bdd/parse/module.make b/abc70930/src/bdd/parse/module.make
index 4f590f01..4f590f01 100644
--- a/src/bdd/parse/module.make
+++ b/abc70930/src/bdd/parse/module.make
diff --git a/src/bdd/parse/parse.h b/abc70930/src/bdd/parse/parse.h
index 4923fbdd..4923fbdd 100644
--- a/src/bdd/parse/parse.h
+++ b/abc70930/src/bdd/parse/parse.h
diff --git a/src/bdd/parse/parseCore.c b/abc70930/src/bdd/parse/parseCore.c
index 21a37070..21a37070 100644
--- a/src/bdd/parse/parseCore.c
+++ b/abc70930/src/bdd/parse/parseCore.c
diff --git a/src/bdd/parse/parseEqn.c b/abc70930/src/bdd/parse/parseEqn.c
index 02d83966..02d83966 100644
--- a/src/bdd/parse/parseEqn.c
+++ b/abc70930/src/bdd/parse/parseEqn.c
diff --git a/src/bdd/parse/parseInt.h b/abc70930/src/bdd/parse/parseInt.h
index 17f48375..17f48375 100644
--- a/src/bdd/parse/parseInt.h
+++ b/abc70930/src/bdd/parse/parseInt.h
diff --git a/src/bdd/parse/parseStack.c b/abc70930/src/bdd/parse/parseStack.c
index cd7cd7e3..cd7cd7e3 100644
--- a/src/bdd/parse/parseStack.c
+++ b/abc70930/src/bdd/parse/parseStack.c
diff --git a/src/bdd/reo/module.make b/abc70930/src/bdd/reo/module.make
index 7eb41e0e..7eb41e0e 100644
--- a/src/bdd/reo/module.make
+++ b/abc70930/src/bdd/reo/module.make
diff --git a/src/bdd/reo/reo.h b/abc70930/src/bdd/reo/reo.h
index 1a31242a..1a31242a 100644
--- a/src/bdd/reo/reo.h
+++ b/abc70930/src/bdd/reo/reo.h
diff --git a/src/bdd/reo/reoApi.c b/abc70930/src/bdd/reo/reoApi.c
index e833dabd..e833dabd 100644
--- a/src/bdd/reo/reoApi.c
+++ b/abc70930/src/bdd/reo/reoApi.c
diff --git a/src/bdd/reo/reoCore.c b/abc70930/src/bdd/reo/reoCore.c
index 3782631c..3782631c 100644
--- a/src/bdd/reo/reoCore.c
+++ b/abc70930/src/bdd/reo/reoCore.c
diff --git a/src/bdd/reo/reoProfile.c b/abc70930/src/bdd/reo/reoProfile.c
index 84a0bc19..84a0bc19 100644
--- a/src/bdd/reo/reoProfile.c
+++ b/abc70930/src/bdd/reo/reoProfile.c
diff --git a/src/bdd/reo/reoSift.c b/abc70930/src/bdd/reo/reoSift.c
index 93d82f08..93d82f08 100644
--- a/src/bdd/reo/reoSift.c
+++ b/abc70930/src/bdd/reo/reoSift.c
diff --git a/src/bdd/reo/reoSwap.c b/abc70930/src/bdd/reo/reoSwap.c
index 4afa650c..4afa650c 100644
--- a/src/bdd/reo/reoSwap.c
+++ b/abc70930/src/bdd/reo/reoSwap.c
diff --git a/src/bdd/reo/reoTest.c b/abc70930/src/bdd/reo/reoTest.c
index 82f3d5f5..82f3d5f5 100644
--- a/src/bdd/reo/reoTest.c
+++ b/abc70930/src/bdd/reo/reoTest.c
diff --git a/src/bdd/reo/reoTransfer.c b/abc70930/src/bdd/reo/reoTransfer.c
index 65d31d01..65d31d01 100644
--- a/src/bdd/reo/reoTransfer.c
+++ b/abc70930/src/bdd/reo/reoTransfer.c
diff --git a/src/bdd/reo/reoUnits.c b/abc70930/src/bdd/reo/reoUnits.c
index aa86516e..aa86516e 100644
--- a/src/bdd/reo/reoUnits.c
+++ b/abc70930/src/bdd/reo/reoUnits.c
diff --git a/src/generic.c b/abc70930/src/generic.c
index f94c50e6..f94c50e6 100644
--- a/src/generic.c
+++ b/abc70930/src/generic.c
diff --git a/src/generic.h b/abc70930/src/generic.h
index e17d2edf..e17d2edf 100644
--- a/src/generic.h
+++ b/abc70930/src/generic.h
diff --git a/src/map/fpga/fpga.c b/abc70930/src/map/fpga/fpga.c
index 40423f4f..40423f4f 100644
--- a/src/map/fpga/fpga.c
+++ b/abc70930/src/map/fpga/fpga.c
diff --git a/src/map/fpga/fpga.h b/abc70930/src/map/fpga/fpga.h
index 188420b1..188420b1 100644
--- a/src/map/fpga/fpga.h
+++ b/abc70930/src/map/fpga/fpga.h
diff --git a/src/map/fpga/fpgaCore.c b/abc70930/src/map/fpga/fpgaCore.c
index 634a8eb1..634a8eb1 100644
--- a/src/map/fpga/fpgaCore.c
+++ b/abc70930/src/map/fpga/fpgaCore.c
diff --git a/src/map/fpga/fpgaCreate.c b/abc70930/src/map/fpga/fpgaCreate.c
index fa0f80d1..fa0f80d1 100644
--- a/src/map/fpga/fpgaCreate.c
+++ b/abc70930/src/map/fpga/fpgaCreate.c
diff --git a/src/map/fpga/fpgaCut.c b/abc70930/src/map/fpga/fpgaCut.c
index a5505e72..a5505e72 100644
--- a/src/map/fpga/fpgaCut.c
+++ b/abc70930/src/map/fpga/fpgaCut.c
diff --git a/src/map/fpga/fpgaCutUtils.c b/abc70930/src/map/fpga/fpgaCutUtils.c
index e60a1dee..e60a1dee 100644
--- a/src/map/fpga/fpgaCutUtils.c
+++ b/abc70930/src/map/fpga/fpgaCutUtils.c
diff --git a/src/map/fpga/fpgaFanout.c b/abc70930/src/map/fpga/fpgaFanout.c
index c28a8799..c28a8799 100644
--- a/src/map/fpga/fpgaFanout.c
+++ b/abc70930/src/map/fpga/fpgaFanout.c
diff --git a/src/map/fpga/fpgaGENERIC.c b/abc70930/src/map/fpga/fpgaGENERIC.c
index 4483c215..4483c215 100644
--- a/src/map/fpga/fpgaGENERIC.c
+++ b/abc70930/src/map/fpga/fpgaGENERIC.c
diff --git a/src/map/fpga/fpgaInt.h b/abc70930/src/map/fpga/fpgaInt.h
index b93eacab..b93eacab 100644
--- a/src/map/fpga/fpgaInt.h
+++ b/abc70930/src/map/fpga/fpgaInt.h
diff --git a/src/map/fpga/fpgaLib.c b/abc70930/src/map/fpga/fpgaLib.c
index e74def32..e74def32 100644
--- a/src/map/fpga/fpgaLib.c
+++ b/abc70930/src/map/fpga/fpgaLib.c
diff --git a/src/map/fpga/fpgaMatch.c b/abc70930/src/map/fpga/fpgaMatch.c
index 73fa1258..73fa1258 100644
--- a/src/map/fpga/fpgaMatch.c
+++ b/abc70930/src/map/fpga/fpgaMatch.c
diff --git a/src/map/fpga/fpgaSwitch.c b/abc70930/src/map/fpga/fpgaSwitch.c
index c93e0de4..c93e0de4 100644
--- a/src/map/fpga/fpgaSwitch.c
+++ b/abc70930/src/map/fpga/fpgaSwitch.c
diff --git a/src/map/fpga/fpgaTime.c b/abc70930/src/map/fpga/fpgaTime.c
index 879cad4d..879cad4d 100644
--- a/src/map/fpga/fpgaTime.c
+++ b/abc70930/src/map/fpga/fpgaTime.c
diff --git a/src/map/fpga/fpgaTruth.c b/abc70930/src/map/fpga/fpgaTruth.c
index e3eb487f..e3eb487f 100644
--- a/src/map/fpga/fpgaTruth.c
+++ b/abc70930/src/map/fpga/fpgaTruth.c
diff --git a/src/map/fpga/fpgaUtils.c b/abc70930/src/map/fpga/fpgaUtils.c
index b951fd8f..b951fd8f 100644
--- a/src/map/fpga/fpgaUtils.c
+++ b/abc70930/src/map/fpga/fpgaUtils.c
diff --git a/src/map/fpga/fpgaVec.c b/abc70930/src/map/fpga/fpgaVec.c
index 70a4a7ac..70a4a7ac 100644
--- a/src/map/fpga/fpgaVec.c
+++ b/abc70930/src/map/fpga/fpgaVec.c
diff --git a/src/map/fpga/module.make b/abc70930/src/map/fpga/module.make
index cc3a6573..cc3a6573 100644
--- a/src/map/fpga/module.make
+++ b/abc70930/src/map/fpga/module.make
diff --git a/src/map/if/if.h b/abc70930/src/map/if/if.h
index 706f8552..706f8552 100644
--- a/src/map/if/if.h
+++ b/abc70930/src/map/if/if.h
diff --git a/src/map/if/ifCore.c b/abc70930/src/map/if/ifCore.c
index 59ad5a1c..59ad5a1c 100644
--- a/src/map/if/ifCore.c
+++ b/abc70930/src/map/if/ifCore.c
diff --git a/src/map/if/ifCut.c b/abc70930/src/map/if/ifCut.c
index 1a7ecc2c..1a7ecc2c 100644
--- a/src/map/if/ifCut.c
+++ b/abc70930/src/map/if/ifCut.c
diff --git a/src/map/if/ifMan.c b/abc70930/src/map/if/ifMan.c
index b713d80d..b713d80d 100644
--- a/src/map/if/ifMan.c
+++ b/abc70930/src/map/if/ifMan.c
diff --git a/src/map/if/ifMap.c b/abc70930/src/map/if/ifMap.c
index e3f0dfda..06ed4d1e 100644
--- a/src/map/if/ifMap.c
+++ b/abc70930/src/map/if/ifMap.c
@@ -283,7 +283,7 @@ int If_ManPerformMappingRound( If_Man_t * p, int nCutsUsed, int Mode, int fPrepr
if ( p->pPars->fVerbose )
{
char Symb = fPreprocess? 'P' : ((Mode == 0)? 'D' : ((Mode == 1)? 'F' : 'A'));
- printf( "%c: Del = %7.2f. Ar = %9.1f. Net = %8d. Cut = %8d. ",
+ printf( "%c: Del = %7.2f. Ar = %9.1f. Edge = %8d. Cut = %8d. ",
Symb, p->RequiredGlo, p->AreaGlo, p->nNets, p->nCutsMerged );
PRT( "T", clock() - clk );
// printf( "Max number of cuts = %d. Average number of cuts = %5.2f.\n",
diff --git a/src/map/if/ifReduce.c b/abc70930/src/map/if/ifReduce.c
index 9728b3db..5dfda661 100644
--- a/src/map/if/ifReduce.c
+++ b/abc70930/src/map/if/ifReduce.c
@@ -55,11 +55,11 @@ void If_ManImproveMapping( If_Man_t * p )
If_ManComputeRequired( p );
if ( p->pPars->fVerbose )
{
- printf( "E: Del = %7.2f. Ar = %9.1f. Net = %8d. Cut = %8d. ",
+ printf( "E: Del = %7.2f. Ar = %9.1f. Edge = %8d. Cut = %8d. ",
p->RequiredGlo, p->AreaGlo, p->nNets, p->nCutsMerged );
PRT( "T", clock() - clk );
}
-
+
/*
clk = clock();
If_ManImproveReduce( p, p->pPars->nLutSize );
diff --git a/src/map/if/ifSeq.c b/abc70930/src/map/if/ifSeq.c
index 8d1de8c1..8d1de8c1 100644
--- a/src/map/if/ifSeq.c
+++ b/abc70930/src/map/if/ifSeq.c
diff --git a/src/map/if/ifTime.c b/abc70930/src/map/if/ifTime.c
index 60417c67..60417c67 100644
--- a/src/map/if/ifTime.c
+++ b/abc70930/src/map/if/ifTime.c
diff --git a/src/map/if/ifTruth.c b/abc70930/src/map/if/ifTruth.c
index 5587e3ff..5587e3ff 100644
--- a/src/map/if/ifTruth.c
+++ b/abc70930/src/map/if/ifTruth.c
diff --git a/src/map/if/ifUtil.c b/abc70930/src/map/if/ifUtil.c
index f3fa049e..f3fa049e 100644
--- a/src/map/if/ifUtil.c
+++ b/abc70930/src/map/if/ifUtil.c
diff --git a/src/map/if/if_.c b/abc70930/src/map/if/if_.c
index d2960077..d2960077 100644
--- a/src/map/if/if_.c
+++ b/abc70930/src/map/if/if_.c
diff --git a/src/map/if/module.make b/abc70930/src/map/if/module.make
index f3d189be..f3d189be 100644
--- a/src/map/if/module.make
+++ b/abc70930/src/map/if/module.make
diff --git a/src/map/mapper/mapper.c b/abc70930/src/map/mapper/mapper.c
index b18b68c0..b18b68c0 100644
--- a/src/map/mapper/mapper.c
+++ b/abc70930/src/map/mapper/mapper.c
diff --git a/src/map/mapper/mapper.h b/abc70930/src/map/mapper/mapper.h
index 8eade761..8eade761 100644
--- a/src/map/mapper/mapper.h
+++ b/abc70930/src/map/mapper/mapper.h
diff --git a/src/map/mapper/mapperCanon.c b/abc70930/src/map/mapper/mapperCanon.c
index 203c9142..203c9142 100644
--- a/src/map/mapper/mapperCanon.c
+++ b/abc70930/src/map/mapper/mapperCanon.c
diff --git a/src/map/mapper/mapperCore.c b/abc70930/src/map/mapper/mapperCore.c
index 5d4854e6..5d4854e6 100644
--- a/src/map/mapper/mapperCore.c
+++ b/abc70930/src/map/mapper/mapperCore.c
diff --git a/src/map/mapper/mapperCreate.c b/abc70930/src/map/mapper/mapperCreate.c
index 157d467b..157d467b 100644
--- a/src/map/mapper/mapperCreate.c
+++ b/abc70930/src/map/mapper/mapperCreate.c
diff --git a/src/map/mapper/mapperCut.c b/abc70930/src/map/mapper/mapperCut.c
index b05e9d0c..b05e9d0c 100644
--- a/src/map/mapper/mapperCut.c
+++ b/abc70930/src/map/mapper/mapperCut.c
diff --git a/src/map/mapper/mapperCutUtils.c b/abc70930/src/map/mapper/mapperCutUtils.c
index 4450cb04..4450cb04 100644
--- a/src/map/mapper/mapperCutUtils.c
+++ b/abc70930/src/map/mapper/mapperCutUtils.c
diff --git a/src/map/mapper/mapperFanout.c b/abc70930/src/map/mapper/mapperFanout.c
index 63cdbd2a..63cdbd2a 100644
--- a/src/map/mapper/mapperFanout.c
+++ b/abc70930/src/map/mapper/mapperFanout.c
diff --git a/src/map/mapper/mapperGENERIC.c b/abc70930/src/map/mapper/mapperGENERIC.c
index 823eb4f2..823eb4f2 100644
--- a/src/map/mapper/mapperGENERIC.c
+++ b/abc70930/src/map/mapper/mapperGENERIC.c
diff --git a/src/map/mapper/mapperInt.h b/abc70930/src/map/mapper/mapperInt.h
index 37cca3d3..37cca3d3 100644
--- a/src/map/mapper/mapperInt.h
+++ b/abc70930/src/map/mapper/mapperInt.h
diff --git a/src/map/mapper/mapperLib.c b/abc70930/src/map/mapper/mapperLib.c
index d916487e..d916487e 100644
--- a/src/map/mapper/mapperLib.c
+++ b/abc70930/src/map/mapper/mapperLib.c
diff --git a/src/map/mapper/mapperMatch.c b/abc70930/src/map/mapper/mapperMatch.c
index bfa72601..bfa72601 100644
--- a/src/map/mapper/mapperMatch.c
+++ b/abc70930/src/map/mapper/mapperMatch.c
diff --git a/src/map/mapper/mapperRefs.c b/abc70930/src/map/mapper/mapperRefs.c
index a50b134a..a50b134a 100644
--- a/src/map/mapper/mapperRefs.c
+++ b/abc70930/src/map/mapper/mapperRefs.c
diff --git a/src/map/mapper/mapperSuper.c b/abc70930/src/map/mapper/mapperSuper.c
index ce6a780f..ce6a780f 100644
--- a/src/map/mapper/mapperSuper.c
+++ b/abc70930/src/map/mapper/mapperSuper.c
diff --git a/src/map/mapper/mapperSwitch.c b/abc70930/src/map/mapper/mapperSwitch.c
index 9dd6e42b..9dd6e42b 100644
--- a/src/map/mapper/mapperSwitch.c
+++ b/abc70930/src/map/mapper/mapperSwitch.c
diff --git a/src/map/mapper/mapperTable.c b/abc70930/src/map/mapper/mapperTable.c
index d0cb7a01..d0cb7a01 100644
--- a/src/map/mapper/mapperTable.c
+++ b/abc70930/src/map/mapper/mapperTable.c
diff --git a/src/map/mapper/mapperTime.c b/abc70930/src/map/mapper/mapperTime.c
index cc4173cf..cc4173cf 100644
--- a/src/map/mapper/mapperTime.c
+++ b/abc70930/src/map/mapper/mapperTime.c
diff --git a/src/map/mapper/mapperTree.c b/abc70930/src/map/mapper/mapperTree.c
index ef66082d..ef66082d 100644
--- a/src/map/mapper/mapperTree.c
+++ b/abc70930/src/map/mapper/mapperTree.c
diff --git a/src/map/mapper/mapperTruth.c b/abc70930/src/map/mapper/mapperTruth.c
index 388b6dd3..388b6dd3 100644
--- a/src/map/mapper/mapperTruth.c
+++ b/abc70930/src/map/mapper/mapperTruth.c
diff --git a/src/map/mapper/mapperUtils.c b/abc70930/src/map/mapper/mapperUtils.c
index 11a3a683..11a3a683 100644
--- a/src/map/mapper/mapperUtils.c
+++ b/abc70930/src/map/mapper/mapperUtils.c
diff --git a/src/map/mapper/mapperVec.c b/abc70930/src/map/mapper/mapperVec.c
index f75138fb..f75138fb 100644
--- a/src/map/mapper/mapperVec.c
+++ b/abc70930/src/map/mapper/mapperVec.c
diff --git a/src/map/mapper/module.make b/abc70930/src/map/mapper/module.make
index bd6447d8..bd6447d8 100644
--- a/src/map/mapper/module.make
+++ b/abc70930/src/map/mapper/module.make
diff --git a/src/map/mio/mio.c b/abc70930/src/map/mio/mio.c
index 10a5af9d..10a5af9d 100644
--- a/src/map/mio/mio.c
+++ b/abc70930/src/map/mio/mio.c
diff --git a/src/map/mio/mio.h b/abc70930/src/map/mio/mio.h
index dbe2420b..dbe2420b 100644
--- a/src/map/mio/mio.h
+++ b/abc70930/src/map/mio/mio.h
diff --git a/src/map/mio/mioApi.c b/abc70930/src/map/mio/mioApi.c
index 73473f8b..73473f8b 100644
--- a/src/map/mio/mioApi.c
+++ b/abc70930/src/map/mio/mioApi.c
diff --git a/src/map/mio/mioFunc.c b/abc70930/src/map/mio/mioFunc.c
index 21a078f9..21a078f9 100644
--- a/src/map/mio/mioFunc.c
+++ b/abc70930/src/map/mio/mioFunc.c
diff --git a/src/map/mio/mioGENERIC.c b/abc70930/src/map/mio/mioGENERIC.c
index 972c4ffc..972c4ffc 100644
--- a/src/map/mio/mioGENERIC.c
+++ b/abc70930/src/map/mio/mioGENERIC.c
diff --git a/src/map/mio/mioInt.h b/abc70930/src/map/mio/mioInt.h
index 3f90b625..3f90b625 100644
--- a/src/map/mio/mioInt.h
+++ b/abc70930/src/map/mio/mioInt.h
diff --git a/src/map/mio/mioRead.c b/abc70930/src/map/mio/mioRead.c
index 13c2cdcd..13c2cdcd 100644
--- a/src/map/mio/mioRead.c
+++ b/abc70930/src/map/mio/mioRead.c
diff --git a/src/map/mio/mioUtils.c b/abc70930/src/map/mio/mioUtils.c
index bd3d01f7..bd3d01f7 100644
--- a/src/map/mio/mioUtils.c
+++ b/abc70930/src/map/mio/mioUtils.c
diff --git a/src/map/mio/module.make b/abc70930/src/map/mio/module.make
index 26a4561c..26a4561c 100644
--- a/src/map/mio/module.make
+++ b/abc70930/src/map/mio/module.make
diff --git a/src/map/super/module.make b/abc70930/src/map/super/module.make
index 19ce8228..19ce8228 100644
--- a/src/map/super/module.make
+++ b/abc70930/src/map/super/module.make
diff --git a/src/map/super/super.c b/abc70930/src/map/super/super.c
index 97420c5c..97420c5c 100644
--- a/src/map/super/super.c
+++ b/abc70930/src/map/super/super.c
diff --git a/src/map/super/super.h b/abc70930/src/map/super/super.h
index a7169924..a7169924 100644
--- a/src/map/super/super.h
+++ b/abc70930/src/map/super/super.h
diff --git a/src/map/super/superAnd.c b/abc70930/src/map/super/superAnd.c
index 52473fba..52473fba 100644
--- a/src/map/super/superAnd.c
+++ b/abc70930/src/map/super/superAnd.c
diff --git a/src/map/super/superGENERIC.c b/abc70930/src/map/super/superGENERIC.c
index 1f2b7651..1f2b7651 100644
--- a/src/map/super/superGENERIC.c
+++ b/abc70930/src/map/super/superGENERIC.c
diff --git a/src/map/super/superGate.c b/abc70930/src/map/super/superGate.c
index 91a1e513..91a1e513 100644
--- a/src/map/super/superGate.c
+++ b/abc70930/src/map/super/superGate.c
diff --git a/src/map/super/superInt.h b/abc70930/src/map/super/superInt.h
index ec6d0a38..ec6d0a38 100644
--- a/src/map/super/superInt.h
+++ b/abc70930/src/map/super/superInt.h
diff --git a/src/map/super/superWrite.c b/abc70930/src/map/super/superWrite.c
index 395ef145..395ef145 100644
--- a/src/map/super/superWrite.c
+++ b/abc70930/src/map/super/superWrite.c
diff --git a/src/misc/espresso/cofactor.c b/abc70930/src/misc/espresso/cofactor.c
index b851a639..b851a639 100644
--- a/src/misc/espresso/cofactor.c
+++ b/abc70930/src/misc/espresso/cofactor.c
diff --git a/src/misc/espresso/cols.c b/abc70930/src/misc/espresso/cols.c
index ec3797e6..ec3797e6 100644
--- a/src/misc/espresso/cols.c
+++ b/abc70930/src/misc/espresso/cols.c
diff --git a/src/misc/espresso/compl.c b/abc70930/src/misc/espresso/compl.c
index 8f1c6606..8f1c6606 100644
--- a/src/misc/espresso/compl.c
+++ b/abc70930/src/misc/espresso/compl.c
diff --git a/src/misc/espresso/contain.c b/abc70930/src/misc/espresso/contain.c
index 180dceb6..180dceb6 100644
--- a/src/misc/espresso/contain.c
+++ b/abc70930/src/misc/espresso/contain.c
diff --git a/src/misc/espresso/cubehack.c b/abc70930/src/misc/espresso/cubehack.c
index 8e1724fc..8e1724fc 100644
--- a/src/misc/espresso/cubehack.c
+++ b/abc70930/src/misc/espresso/cubehack.c
diff --git a/src/misc/espresso/cubestr.c b/abc70930/src/misc/espresso/cubestr.c
index 77389e73..77389e73 100644
--- a/src/misc/espresso/cubestr.c
+++ b/abc70930/src/misc/espresso/cubestr.c
diff --git a/src/misc/espresso/cvrin.c b/abc70930/src/misc/espresso/cvrin.c
index 7790b38b..7790b38b 100644
--- a/src/misc/espresso/cvrin.c
+++ b/abc70930/src/misc/espresso/cvrin.c
diff --git a/src/misc/espresso/cvrm.c b/abc70930/src/misc/espresso/cvrm.c
index 7d42d6e3..7d42d6e3 100644
--- a/src/misc/espresso/cvrm.c
+++ b/abc70930/src/misc/espresso/cvrm.c
diff --git a/src/misc/espresso/cvrmisc.c b/abc70930/src/misc/espresso/cvrmisc.c
index 0f3de195..0f3de195 100644
--- a/src/misc/espresso/cvrmisc.c
+++ b/abc70930/src/misc/espresso/cvrmisc.c
diff --git a/src/misc/espresso/cvrout.c b/abc70930/src/misc/espresso/cvrout.c
index 4bd1c53b..4bd1c53b 100644
--- a/src/misc/espresso/cvrout.c
+++ b/abc70930/src/misc/espresso/cvrout.c
diff --git a/src/misc/espresso/dominate.c b/abc70930/src/misc/espresso/dominate.c
index a930d453..a930d453 100644
--- a/src/misc/espresso/dominate.c
+++ b/abc70930/src/misc/espresso/dominate.c
diff --git a/src/misc/espresso/equiv.c b/abc70930/src/misc/espresso/equiv.c
index ba898a70..ba898a70 100644
--- a/src/misc/espresso/equiv.c
+++ b/abc70930/src/misc/espresso/equiv.c
diff --git a/src/misc/espresso/espresso.c b/abc70930/src/misc/espresso/espresso.c
index 8f05d43f..8f05d43f 100644
--- a/src/misc/espresso/espresso.c
+++ b/abc70930/src/misc/espresso/espresso.c
diff --git a/src/misc/espresso/espresso.h b/abc70930/src/misc/espresso/espresso.h
index 1c7a8646..1c7a8646 100644
--- a/src/misc/espresso/espresso.h
+++ b/abc70930/src/misc/espresso/espresso.h
diff --git a/src/misc/espresso/essen.c b/abc70930/src/misc/espresso/essen.c
index 6a46295d..6a46295d 100644
--- a/src/misc/espresso/essen.c
+++ b/abc70930/src/misc/espresso/essen.c
diff --git a/src/misc/espresso/exact.c b/abc70930/src/misc/espresso/exact.c
index b1943636..b1943636 100644
--- a/src/misc/espresso/exact.c
+++ b/abc70930/src/misc/espresso/exact.c
diff --git a/src/misc/espresso/expand.c b/abc70930/src/misc/espresso/expand.c
index 2765d71c..2765d71c 100644
--- a/src/misc/espresso/expand.c
+++ b/abc70930/src/misc/espresso/expand.c
diff --git a/src/misc/espresso/gasp.c b/abc70930/src/misc/espresso/gasp.c
index aa3254d3..aa3254d3 100644
--- a/src/misc/espresso/gasp.c
+++ b/abc70930/src/misc/espresso/gasp.c
diff --git a/src/misc/espresso/gimpel.c b/abc70930/src/misc/espresso/gimpel.c
index 648bb64a..648bb64a 100644
--- a/src/misc/espresso/gimpel.c
+++ b/abc70930/src/misc/espresso/gimpel.c
diff --git a/src/misc/espresso/globals.c b/abc70930/src/misc/espresso/globals.c
index d04771e9..d04771e9 100644
--- a/src/misc/espresso/globals.c
+++ b/abc70930/src/misc/espresso/globals.c
diff --git a/src/misc/espresso/hack.c b/abc70930/src/misc/espresso/hack.c
index 927f5341..927f5341 100644
--- a/src/misc/espresso/hack.c
+++ b/abc70930/src/misc/espresso/hack.c
diff --git a/src/misc/espresso/indep.c b/abc70930/src/misc/espresso/indep.c
index 10b363a0..10b363a0 100644
--- a/src/misc/espresso/indep.c
+++ b/abc70930/src/misc/espresso/indep.c
diff --git a/src/misc/espresso/irred.c b/abc70930/src/misc/espresso/irred.c
index 384e698f..384e698f 100644
--- a/src/misc/espresso/irred.c
+++ b/abc70930/src/misc/espresso/irred.c
diff --git a/src/misc/espresso/main.c b/abc70930/src/misc/espresso/main.c
index 0a511c0e..0a511c0e 100644
--- a/src/misc/espresso/main.c
+++ b/abc70930/src/misc/espresso/main.c
diff --git a/src/misc/espresso/main.h b/abc70930/src/misc/espresso/main.h
index 00657f39..00657f39 100644
--- a/src/misc/espresso/main.h
+++ b/abc70930/src/misc/espresso/main.h
diff --git a/src/misc/espresso/map.c b/abc70930/src/misc/espresso/map.c
index 5ccf264c..5ccf264c 100644
--- a/src/misc/espresso/map.c
+++ b/abc70930/src/misc/espresso/map.c
diff --git a/src/misc/espresso/matrix.c b/abc70930/src/misc/espresso/matrix.c
index 747fe54f..747fe54f 100644
--- a/src/misc/espresso/matrix.c
+++ b/abc70930/src/misc/espresso/matrix.c
diff --git a/src/misc/espresso/mincov.c b/abc70930/src/misc/espresso/mincov.c
index ee18a3f1..ee18a3f1 100644
--- a/src/misc/espresso/mincov.c
+++ b/abc70930/src/misc/espresso/mincov.c
diff --git a/src/misc/espresso/mincov.h b/abc70930/src/misc/espresso/mincov.h
index 95310774..95310774 100644
--- a/src/misc/espresso/mincov.h
+++ b/abc70930/src/misc/espresso/mincov.h
diff --git a/src/misc/espresso/mincov_int.h b/abc70930/src/misc/espresso/mincov_int.h
index e81850f2..e81850f2 100644
--- a/src/misc/espresso/mincov_int.h
+++ b/abc70930/src/misc/espresso/mincov_int.h
diff --git a/src/misc/espresso/module.make b/abc70930/src/misc/espresso/module.make
index 53ce982a..53ce982a 100644
--- a/src/misc/espresso/module.make
+++ b/abc70930/src/misc/espresso/module.make
diff --git a/src/misc/espresso/opo.c b/abc70930/src/misc/espresso/opo.c
index 8daa0771..8daa0771 100644
--- a/src/misc/espresso/opo.c
+++ b/abc70930/src/misc/espresso/opo.c
diff --git a/src/misc/espresso/pair.c b/abc70930/src/misc/espresso/pair.c
index a8077176..a8077176 100644
--- a/src/misc/espresso/pair.c
+++ b/abc70930/src/misc/espresso/pair.c
diff --git a/src/misc/espresso/part.c b/abc70930/src/misc/espresso/part.c
index 42843aeb..42843aeb 100644
--- a/src/misc/espresso/part.c
+++ b/abc70930/src/misc/espresso/part.c
diff --git a/src/misc/espresso/primes.c b/abc70930/src/misc/espresso/primes.c
index 3e40da27..3e40da27 100644
--- a/src/misc/espresso/primes.c
+++ b/abc70930/src/misc/espresso/primes.c
diff --git a/src/misc/espresso/reduce.c b/abc70930/src/misc/espresso/reduce.c
index 00e4507f..00e4507f 100644
--- a/src/misc/espresso/reduce.c
+++ b/abc70930/src/misc/espresso/reduce.c
diff --git a/src/misc/espresso/rows.c b/abc70930/src/misc/espresso/rows.c
index bf0c0baa..bf0c0baa 100644
--- a/src/misc/espresso/rows.c
+++ b/abc70930/src/misc/espresso/rows.c
diff --git a/src/misc/espresso/set.c b/abc70930/src/misc/espresso/set.c
index fce88288..fce88288 100644
--- a/src/misc/espresso/set.c
+++ b/abc70930/src/misc/espresso/set.c
diff --git a/src/misc/espresso/setc.c b/abc70930/src/misc/espresso/setc.c
index a6112ebc..a6112ebc 100644
--- a/src/misc/espresso/setc.c
+++ b/abc70930/src/misc/espresso/setc.c
diff --git a/src/misc/espresso/sharp.c b/abc70930/src/misc/espresso/sharp.c
index 53435078..53435078 100644
--- a/src/misc/espresso/sharp.c
+++ b/abc70930/src/misc/espresso/sharp.c
diff --git a/src/misc/espresso/sminterf.c b/abc70930/src/misc/espresso/sminterf.c
index 50a6db4e..50a6db4e 100644
--- a/src/misc/espresso/sminterf.c
+++ b/abc70930/src/misc/espresso/sminterf.c
diff --git a/src/misc/espresso/solution.c b/abc70930/src/misc/espresso/solution.c
index 26119185..26119185 100644
--- a/src/misc/espresso/solution.c
+++ b/abc70930/src/misc/espresso/solution.c
diff --git a/src/misc/espresso/sparse.c b/abc70930/src/misc/espresso/sparse.c
index 137ce7c1..137ce7c1 100644
--- a/src/misc/espresso/sparse.c
+++ b/abc70930/src/misc/espresso/sparse.c
diff --git a/src/misc/espresso/sparse.h b/abc70930/src/misc/espresso/sparse.h
index 212a32ed..212a32ed 100644
--- a/src/misc/espresso/sparse.h
+++ b/abc70930/src/misc/espresso/sparse.h
diff --git a/src/misc/espresso/sparse_int.h b/abc70930/src/misc/espresso/sparse_int.h
index 49b2509a..49b2509a 100644
--- a/src/misc/espresso/sparse_int.h
+++ b/abc70930/src/misc/espresso/sparse_int.h
diff --git a/src/misc/espresso/unate.c b/abc70930/src/misc/espresso/unate.c
index bd71207f..7f6a1524 100644
--- a/src/misc/espresso/unate.c
+++ b/abc70930/src/misc/espresso/unate.c
@@ -139,7 +139,7 @@ pset_family unate_complement(A)
pset_family A; /* disposes of A */
{
pset_family Abar;
- register pset p, p1, restrict;
+ register pset p, p1, x_code_restrict;
register int i;
int max_i, min_set_ord, j;
@@ -166,14 +166,14 @@ pset_family A; /* disposes of A */
/* Select splitting variable as the variable which belongs to a set
* of the smallest size, and which has greatest column count
*/
- restrict = set_new(A->sf_size);
+ x_code_restrict = set_new(A->sf_size);
min_set_ord = A->sf_size + 1;
foreachi_set(A, i, p) {
if (SIZE(p) < min_set_ord) {
- set_copy(restrict, p);
+ set_copy(x_code_restrict, p);
min_set_ord = SIZE(p);
} else if (SIZE(p) == min_set_ord) {
- set_or(restrict, restrict, p);
+ set_or(x_code_restrict, x_code_restrict, p);
}
}
@@ -184,15 +184,15 @@ pset_family A; /* disposes of A */
/* Check for "essential" columns */
} else if (min_set_ord == 1) {
- Abar = unate_complement(abs_covered_many(A, restrict));
+ Abar = unate_complement(abs_covered_many(A, x_code_restrict));
sf_free(A);
foreachi_set(Abar, i, p) {
- set_or(p, p, restrict);
+ set_or(p, p, x_code_restrict);
}
/* else, recur as usual */
} else {
- max_i = abs_select_restricted(A, restrict);
+ max_i = abs_select_restricted(A, x_code_restrict);
/* Select those rows of A which are not covered by max_i,
* recursively find all minimal covers of these rows, and
@@ -214,7 +214,7 @@ pset_family A; /* disposes of A */
Abar = sf_append(Abar, unate_complement(A));
}
- set_free(restrict);
+ set_free(x_code_restrict);
}
return Abar;
@@ -410,18 +410,18 @@ register pset pick_set;
/*
* abs_select_restricted -- select the column of maximum column count which
- * also belongs to the set "restrict"; weight each column of a set as
+ * also belongs to the set "x_code_restrict"; weight each column of a set as
* 1 / (set_ord(p) - 1).
*/
static int
-abs_select_restricted(A, restrict)
+abs_select_restricted(A, x_code_restrict)
pset_family A;
-pset restrict;
+pset x_code_restrict;
{
register int i, best_var, best_count, *count;
/* Sum the elements in these columns */
- count = sf_count_restricted(A, restrict);
+ count = sf_count_restricted(A, x_code_restrict);
/* Find which variable has maximum weight */
best_var = -1;
diff --git a/src/misc/espresso/util_old.h b/abc70930/src/misc/espresso/util_old.h
index 5451cbe9..5451cbe9 100644
--- a/src/misc/espresso/util_old.h
+++ b/abc70930/src/misc/espresso/util_old.h
diff --git a/src/misc/espresso/verify.c b/abc70930/src/misc/espresso/verify.c
index 64342787..64342787 100644
--- a/src/misc/espresso/verify.c
+++ b/abc70930/src/misc/espresso/verify.c
diff --git a/src/misc/extra/extra.h b/abc70930/src/misc/extra/extra.h
index 314257a2..314257a2 100644
--- a/src/misc/extra/extra.h
+++ b/abc70930/src/misc/extra/extra.h
diff --git a/src/misc/extra/extraBddAuto.c b/abc70930/src/misc/extra/extraBddAuto.c
index 21a969ba..21a969ba 100644
--- a/src/misc/extra/extraBddAuto.c
+++ b/abc70930/src/misc/extra/extraBddAuto.c
diff --git a/src/misc/extra/extraBddCas.c b/abc70930/src/misc/extra/extraBddCas.c
index 29382bfb..29382bfb 100644
--- a/src/misc/extra/extraBddCas.c
+++ b/abc70930/src/misc/extra/extraBddCas.c
diff --git a/src/misc/extra/extraBddKmap.c b/abc70930/src/misc/extra/extraBddKmap.c
index bb43db68..bb43db68 100644
--- a/src/misc/extra/extraBddKmap.c
+++ b/abc70930/src/misc/extra/extraBddKmap.c
diff --git a/src/misc/extra/extraBddMisc.c b/abc70930/src/misc/extra/extraBddMisc.c
index a3320ad3..a3320ad3 100644
--- a/src/misc/extra/extraBddMisc.c
+++ b/abc70930/src/misc/extra/extraBddMisc.c
diff --git a/src/misc/extra/extraBddSymm.c b/abc70930/src/misc/extra/extraBddSymm.c
index 358402b0..358402b0 100644
--- a/src/misc/extra/extraBddSymm.c
+++ b/abc70930/src/misc/extra/extraBddSymm.c
diff --git a/src/misc/extra/extraBddUnate.c b/abc70930/src/misc/extra/extraBddUnate.c
index b0297c77..b0297c77 100644
--- a/src/misc/extra/extraBddUnate.c
+++ b/abc70930/src/misc/extra/extraBddUnate.c
diff --git a/src/misc/extra/extraUtilBitMatrix.c b/abc70930/src/misc/extra/extraUtilBitMatrix.c
index b860a538..b860a538 100644
--- a/src/misc/extra/extraUtilBitMatrix.c
+++ b/abc70930/src/misc/extra/extraUtilBitMatrix.c
diff --git a/src/misc/extra/extraUtilCanon.c b/abc70930/src/misc/extra/extraUtilCanon.c
index fcc7d84d..fcc7d84d 100644
--- a/src/misc/extra/extraUtilCanon.c
+++ b/abc70930/src/misc/extra/extraUtilCanon.c
diff --git a/src/misc/extra/extraUtilFile.c b/abc70930/src/misc/extra/extraUtilFile.c
index 4c51b8b5..4c51b8b5 100644
--- a/src/misc/extra/extraUtilFile.c
+++ b/abc70930/src/misc/extra/extraUtilFile.c
diff --git a/src/misc/extra/extraUtilMemory.c b/abc70930/src/misc/extra/extraUtilMemory.c
index 6eccf015..6eccf015 100644
--- a/src/misc/extra/extraUtilMemory.c
+++ b/abc70930/src/misc/extra/extraUtilMemory.c
diff --git a/src/misc/extra/extraUtilMisc.c b/abc70930/src/misc/extra/extraUtilMisc.c
index dff774bc..dff774bc 100644
--- a/src/misc/extra/extraUtilMisc.c
+++ b/abc70930/src/misc/extra/extraUtilMisc.c
diff --git a/src/misc/extra/extraUtilProgress.c b/abc70930/src/misc/extra/extraUtilProgress.c
index 6b6d5132..6b6d5132 100644
--- a/src/misc/extra/extraUtilProgress.c
+++ b/abc70930/src/misc/extra/extraUtilProgress.c
diff --git a/src/misc/extra/extraUtilReader.c b/abc70930/src/misc/extra/extraUtilReader.c
index c165b989..c165b989 100644
--- a/src/misc/extra/extraUtilReader.c
+++ b/abc70930/src/misc/extra/extraUtilReader.c
diff --git a/src/misc/extra/extraUtilTruth.c b/abc70930/src/misc/extra/extraUtilTruth.c
index 3b0b16eb..3b0b16eb 100644
--- a/src/misc/extra/extraUtilTruth.c
+++ b/abc70930/src/misc/extra/extraUtilTruth.c
diff --git a/src/misc/extra/extraUtilUtil.c b/abc70930/src/misc/extra/extraUtilUtil.c
index ee0c400a..ee0c400a 100644
--- a/src/misc/extra/extraUtilUtil.c
+++ b/abc70930/src/misc/extra/extraUtilUtil.c
diff --git a/src/misc/extra/module.make b/abc70930/src/misc/extra/module.make
index ec8bca4d..ec8bca4d 100644
--- a/src/misc/extra/module.make
+++ b/abc70930/src/misc/extra/module.make
diff --git a/src/misc/hash/hash.h b/abc70930/src/misc/hash/hash.h
index 90e72868..90e72868 100644
--- a/src/misc/hash/hash.h
+++ b/abc70930/src/misc/hash/hash.h
diff --git a/src/misc/hash/hashFlt.h b/abc70930/src/misc/hash/hashFlt.h
index da20ee28..da20ee28 100644
--- a/src/misc/hash/hashFlt.h
+++ b/abc70930/src/misc/hash/hashFlt.h
diff --git a/src/misc/hash/hashInt.h b/abc70930/src/misc/hash/hashInt.h
index 3b91f5df..3b91f5df 100644
--- a/src/misc/hash/hashInt.h
+++ b/abc70930/src/misc/hash/hashInt.h
diff --git a/src/misc/hash/hashPtr.h b/abc70930/src/misc/hash/hashPtr.h
index 15398a8a..15398a8a 100644
--- a/src/misc/hash/hashPtr.h
+++ b/abc70930/src/misc/hash/hashPtr.h
diff --git a/src/misc/hash/module.make b/abc70930/src/misc/hash/module.make
index d6d908e7..d6d908e7 100644
--- a/src/misc/hash/module.make
+++ b/abc70930/src/misc/hash/module.make
diff --git a/src/misc/mvc/module.make b/abc70930/src/misc/mvc/module.make
index 23735ca2..23735ca2 100644
--- a/src/misc/mvc/module.make
+++ b/abc70930/src/misc/mvc/module.make
diff --git a/src/misc/mvc/mvc.c b/abc70930/src/misc/mvc/mvc.c
index 001b1c63..001b1c63 100644
--- a/src/misc/mvc/mvc.c
+++ b/abc70930/src/misc/mvc/mvc.c
diff --git a/src/misc/mvc/mvc.h b/abc70930/src/misc/mvc/mvc.h
index 70834e0a..70834e0a 100644
--- a/src/misc/mvc/mvc.h
+++ b/abc70930/src/misc/mvc/mvc.h
diff --git a/src/misc/mvc/mvcApi.c b/abc70930/src/misc/mvc/mvcApi.c
index eb942f93..eb942f93 100644
--- a/src/misc/mvc/mvcApi.c
+++ b/abc70930/src/misc/mvc/mvcApi.c
diff --git a/src/misc/mvc/mvcCompare.c b/abc70930/src/misc/mvc/mvcCompare.c
index 9cff99cd..9cff99cd 100644
--- a/src/misc/mvc/mvcCompare.c
+++ b/abc70930/src/misc/mvc/mvcCompare.c
diff --git a/src/misc/mvc/mvcContain.c b/abc70930/src/misc/mvc/mvcContain.c
index a9eae06e..a9eae06e 100644
--- a/src/misc/mvc/mvcContain.c
+++ b/abc70930/src/misc/mvc/mvcContain.c
diff --git a/src/misc/mvc/mvcCover.c b/abc70930/src/misc/mvc/mvcCover.c
index d8584446..d8584446 100644
--- a/src/misc/mvc/mvcCover.c
+++ b/abc70930/src/misc/mvc/mvcCover.c
diff --git a/src/misc/mvc/mvcCube.c b/abc70930/src/misc/mvc/mvcCube.c
index e157879f..e157879f 100644
--- a/src/misc/mvc/mvcCube.c
+++ b/abc70930/src/misc/mvc/mvcCube.c
diff --git a/src/misc/mvc/mvcDivide.c b/abc70930/src/misc/mvc/mvcDivide.c
index 03643dcf..03643dcf 100644
--- a/src/misc/mvc/mvcDivide.c
+++ b/abc70930/src/misc/mvc/mvcDivide.c
diff --git a/src/misc/mvc/mvcDivisor.c b/abc70930/src/misc/mvc/mvcDivisor.c
index ecdea75b..ecdea75b 100644
--- a/src/misc/mvc/mvcDivisor.c
+++ b/abc70930/src/misc/mvc/mvcDivisor.c
diff --git a/src/misc/mvc/mvcList.c b/abc70930/src/misc/mvc/mvcList.c
index 8a82f911..8a82f911 100644
--- a/src/misc/mvc/mvcList.c
+++ b/abc70930/src/misc/mvc/mvcList.c
diff --git a/src/misc/mvc/mvcLits.c b/abc70930/src/misc/mvc/mvcLits.c
index 910158e9..910158e9 100644
--- a/src/misc/mvc/mvcLits.c
+++ b/abc70930/src/misc/mvc/mvcLits.c
diff --git a/src/misc/mvc/mvcMan.c b/abc70930/src/misc/mvc/mvcMan.c
index 7b4ef2af..7b4ef2af 100644
--- a/src/misc/mvc/mvcMan.c
+++ b/abc70930/src/misc/mvc/mvcMan.c
diff --git a/src/misc/mvc/mvcOpAlg.c b/abc70930/src/misc/mvc/mvcOpAlg.c
index 65c02fa5..65c02fa5 100644
--- a/src/misc/mvc/mvcOpAlg.c
+++ b/abc70930/src/misc/mvc/mvcOpAlg.c
diff --git a/src/misc/mvc/mvcOpBool.c b/abc70930/src/misc/mvc/mvcOpBool.c
index 0b34f1de..0b34f1de 100644
--- a/src/misc/mvc/mvcOpBool.c
+++ b/abc70930/src/misc/mvc/mvcOpBool.c
diff --git a/src/misc/mvc/mvcPrint.c b/abc70930/src/misc/mvc/mvcPrint.c
index 52ac76b3..52ac76b3 100644
--- a/src/misc/mvc/mvcPrint.c
+++ b/abc70930/src/misc/mvc/mvcPrint.c
diff --git a/src/misc/mvc/mvcSort.c b/abc70930/src/misc/mvc/mvcSort.c
index 3c975cb3..3c975cb3 100644
--- a/src/misc/mvc/mvcSort.c
+++ b/abc70930/src/misc/mvc/mvcSort.c
diff --git a/src/misc/mvc/mvcUtils.c b/abc70930/src/misc/mvc/mvcUtils.c
index 4b13b23d..4b13b23d 100644
--- a/src/misc/mvc/mvcUtils.c
+++ b/abc70930/src/misc/mvc/mvcUtils.c
diff --git a/src/misc/nm/module.make b/abc70930/src/misc/nm/module.make
index 2a3820c7..2a3820c7 100644
--- a/src/misc/nm/module.make
+++ b/abc70930/src/misc/nm/module.make
diff --git a/src/misc/nm/nm.h b/abc70930/src/misc/nm/nm.h
index c6344bbf..c6344bbf 100644
--- a/src/misc/nm/nm.h
+++ b/abc70930/src/misc/nm/nm.h
diff --git a/src/misc/nm/nmApi.c b/abc70930/src/misc/nm/nmApi.c
index 9165922f..9165922f 100644
--- a/src/misc/nm/nmApi.c
+++ b/abc70930/src/misc/nm/nmApi.c
diff --git a/src/misc/nm/nmInt.h b/abc70930/src/misc/nm/nmInt.h
index 028316e1..028316e1 100644
--- a/src/misc/nm/nmInt.h
+++ b/abc70930/src/misc/nm/nmInt.h
diff --git a/src/misc/nm/nmTable.c b/abc70930/src/misc/nm/nmTable.c
index f97a2f0b..f97a2f0b 100644
--- a/src/misc/nm/nmTable.c
+++ b/abc70930/src/misc/nm/nmTable.c
diff --git a/src/misc/st/module.make b/abc70930/src/misc/st/module.make
index 33e442c0..33e442c0 100644
--- a/src/misc/st/module.make
+++ b/abc70930/src/misc/st/module.make
diff --git a/src/misc/st/st.c b/abc70930/src/misc/st/st.c
index 872fe51b..872fe51b 100644
--- a/src/misc/st/st.c
+++ b/abc70930/src/misc/st/st.c
diff --git a/src/misc/st/st.h b/abc70930/src/misc/st/st.h
index b15f3c83..b15f3c83 100644
--- a/src/misc/st/st.h
+++ b/abc70930/src/misc/st/st.h
diff --git a/src/misc/st/stmm.c b/abc70930/src/misc/st/stmm.c
index 8dfacfe4..8dfacfe4 100644
--- a/src/misc/st/stmm.c
+++ b/abc70930/src/misc/st/stmm.c
diff --git a/src/misc/st/stmm.h b/abc70930/src/misc/st/stmm.h
index 4330416e..4330416e 100644
--- a/src/misc/st/stmm.h
+++ b/abc70930/src/misc/st/stmm.h
diff --git a/src/misc/util/leaks.h b/abc70930/src/misc/util/leaks.h
index 1a32062a..1a32062a 100644
--- a/src/misc/util/leaks.h
+++ b/abc70930/src/misc/util/leaks.h
diff --git a/src/misc/util/module.make b/abc70930/src/misc/util/module.make
index d6d908e7..d6d908e7 100644
--- a/src/misc/util/module.make
+++ b/abc70930/src/misc/util/module.make
diff --git a/abc70930/src/misc/util/stdlib_hack.h b/abc70930/src/misc/util/stdlib_hack.h
new file mode 100644
index 00000000..e3190edd
--- /dev/null
+++ b/abc70930/src/misc/util/stdlib_hack.h
@@ -0,0 +1,471 @@
+/***
+*stdlib.h - declarations/definitions for commonly used library functions
+*
+* Copyright (c) 1985-1997, Microsoft Corporation. All rights reserved.
+*
+*Purpose:
+* This include file contains the function declarations for commonly
+* used library functions which either don't fit somewhere else, or,
+* cannot be declared in the normal place for other reasons.
+*
+* [ANSI]
+*
+* [Public]
+*
+****/
+
+#if _MSC_VER > 1000
+#pragma once
+#endif
+
+#ifndef _INC_STDLIB
+#define _INC_STDLIB
+
+#if !defined(_WIN32) && !defined(_MAC)
+#error ERROR: Only Mac or Win32 targets supported!
+#endif
+
+
+#ifdef _MSC_VER
+/*
+ * Currently, all MS C compilers for Win32 platforms default to 8 byte
+ * alignment.
+ */
+#pragma pack(push,8)
+#endif /* _MSC_VER */
+
+#ifdef __cplusplus
+extern "C" {
+#endif
+
+
+
+/* Define _CRTIMP */
+
+#ifndef _CRTIMP
+#ifdef _DLL
+#define _CRTIMP __declspec(dllimport)
+#else /* ndef _DLL */
+#define _CRTIMP
+#endif /* _DLL */
+#endif /* _CRTIMP */
+
+
+/* Define __cdecl for non-Microsoft compilers */
+
+#if ( !defined(_MSC_VER) && !defined(__cdecl) )
+#define __cdecl
+#endif
+
+/* Define _CRTAPI1 (for compatibility with the NT SDK) */
+
+#ifndef _CRTAPI1
+#if _MSC_VER >= 800 && _M_IX86 >= 300
+#define _CRTAPI1 __cdecl
+#else
+#define _CRTAPI1
+#endif
+#endif
+
+
+#ifndef _SIZE_T_DEFINED
+typedef unsigned int size_t;
+#define _SIZE_T_DEFINED
+#endif
+
+
+#ifndef _WCHAR_T_DEFINED
+typedef unsigned short wchar_t;
+#define _WCHAR_T_DEFINED
+#endif
+
+
+/* Define NULL pointer value */
+
+#ifndef NULL
+#ifdef __cplusplus
+#define NULL 0
+#else
+#define NULL ((void *)0)
+#endif
+#endif
+
+
+/* Definition of the argument values for the exit() function */
+
+#define EXIT_SUCCESS 0
+#define EXIT_FAILURE 1
+
+
+#ifndef _ONEXIT_T_DEFINED
+typedef int (__cdecl * _onexit_t)(void);
+#if !__STDC__
+/* Non-ANSI name for compatibility */
+#define onexit_t _onexit_t
+#endif
+#define _ONEXIT_T_DEFINED
+#endif
+
+
+/* Data structure definitions for div and ldiv runtimes. */
+
+#ifndef _DIV_T_DEFINED
+
+typedef struct _div_t {
+ int quot;
+ int rem;
+} div_t;
+
+typedef struct _ldiv_t {
+ long quot;
+ long rem;
+} ldiv_t;
+
+#define _DIV_T_DEFINED
+#endif
+
+
+/* Maximum value that can be returned by the rand function. */
+
+#define RAND_MAX 0x7fff
+
+/*
+ * Maximum number of bytes in multi-byte character in the current locale
+ * (also defined in ctype.h).
+ */
+#ifndef MB_CUR_MAX
+#define MB_CUR_MAX __mb_cur_max
+_CRTIMP extern int __mb_cur_max;
+#endif /* MB_CUR_MAX */
+
+
+/* Minimum and maximum macros */
+
+#define __max(a,b) (((a) > (b)) ? (a) : (b))
+#define __min(a,b) (((a) < (b)) ? (a) : (b))
+
+/*
+ * Sizes for buffers used by the _makepath() and _splitpath() functions.
+ * note that the sizes include space for 0-terminator
+ */
+#ifndef _MAC
+#define _MAX_PATH 260 /* max. length of full pathname */
+#define _MAX_DRIVE 3 /* max. length of drive component */
+#define _MAX_DIR 256 /* max. length of path component */
+#define _MAX_FNAME 256 /* max. length of file name component */
+#define _MAX_EXT 256 /* max. length of extension component */
+#else /* def _MAC */
+#define _MAX_PATH 256 /* max. length of full pathname */
+#define _MAX_DIR 32 /* max. length of path component */
+#define _MAX_FNAME 64 /* max. length of file name component */
+#endif /* _MAC */
+
+/*
+ * Argument values for _set_error_mode().
+ */
+#define _OUT_TO_DEFAULT 0
+#define _OUT_TO_STDERR 1
+#define _OUT_TO_MSGBOX 2
+#define _REPORT_ERRMODE 3
+
+
+/* External variable declarations */
+
+#if (defined(_MT) || defined(_DLL)) && !defined(_MAC)
+_CRTIMP int * __cdecl _errno(void);
+_CRTIMP unsigned long * __cdecl __doserrno(void);
+#define errno (*_errno())
+#define _doserrno (*__doserrno())
+#else /* ndef _MT && ndef _DLL */
+_CRTIMP extern int errno; /* XENIX style error number */
+_CRTIMP extern unsigned long _doserrno; /* OS system error value */
+#endif /* _MT || _DLL */
+
+
+#ifdef _MAC
+_CRTIMP extern int _macerrno; /* OS system error value */
+#endif
+
+
+_CRTIMP extern char * _sys_errlist[]; /* perror error message table */
+_CRTIMP extern int _sys_nerr; /* # of entries in sys_errlist table */
+
+
+#if defined(_DLL) && defined(_M_IX86)
+
+#define __argc (*__p___argc()) /* count of cmd line args */
+#define __argv (*__p___argv()) /* pointer to table of cmd line args */
+#define __wargv (*__p___wargv()) /* pointer to table of wide cmd line args */
+#define _environ (*__p__environ()) /* pointer to environment table */
+#ifdef _POSIX_
+extern char ** environ; /* pointer to environment table */
+#else
+#ifndef _MAC
+#define _wenviron (*__p__wenviron()) /* pointer to wide environment table */
+#endif /* ndef _MAC */
+#endif /* _POSIX_ */
+#define _pgmptr (*__p__pgmptr()) /* points to the module (EXE) name */
+#ifndef _MAC
+#define _wpgmptr (*__p__wpgmptr()) /* points to the module (EXE) wide name */
+#endif /* ndef _MAC */
+
+_CRTIMP int * __cdecl __p___argc(void);
+_CRTIMP char *** __cdecl __p___argv(void);
+_CRTIMP wchar_t *** __cdecl __p___wargv(void);
+_CRTIMP char *** __cdecl __p__environ(void);
+_CRTIMP wchar_t *** __cdecl __p__wenviron(void);
+_CRTIMP char ** __cdecl __p__pgmptr(void);
+_CRTIMP wchar_t ** __cdecl __p__wpgmptr(void);
+
+
+#else
+
+_CRTIMP extern int __argc; /* count of cmd line args */
+_CRTIMP extern char ** __argv; /* pointer to table of cmd line args */
+#ifndef _MAC
+_CRTIMP extern wchar_t ** __wargv; /* pointer to table of wide cmd line args */
+#endif /* ndef _MAC */
+
+#ifdef _POSIX_
+extern char ** environ; /* pointer to environment table */
+#else
+_CRTIMP extern char ** _environ; /* pointer to environment table */
+#ifndef _MAC
+_CRTIMP extern wchar_t ** _wenviron; /* pointer to wide environment table */
+#endif /* ndef _MAC */
+#endif /* _POSIX_ */
+
+_CRTIMP extern char * _pgmptr; /* points to the module (EXE) name */
+#ifndef _MAC
+_CRTIMP extern wchar_t * _wpgmptr; /* points to the module (EXE) wide name */
+#endif /* ndef _MAC */
+
+#endif
+
+
+_CRTIMP extern int _fmode; /* default file translation mode */
+_CRTIMP extern int _fileinfo; /* open file info mode (for spawn) */
+
+
+/* Windows major/minor and O.S. version numbers */
+
+_CRTIMP extern unsigned int _osver;
+_CRTIMP extern unsigned int _winver;
+_CRTIMP extern unsigned int _winmajor;
+_CRTIMP extern unsigned int _winminor;
+
+
+/* function prototypes */
+
+#if _MSC_VER >= 1200
+_CRTIMP __declspec(noreturn) void __cdecl abort(void);
+_CRTIMP __declspec(noreturn) void __cdecl exit(int);
+#else
+_CRTIMP void __cdecl abort(void);
+_CRTIMP void __cdecl exit(int);
+#endif
+
+#if defined(_M_MRX000)
+_CRTIMP int __cdecl abs(int);
+#else
+ int __cdecl abs(int);
+#endif
+ int __cdecl atexit(void (__cdecl *)(void));
+_CRTIMP double __cdecl atof(const char *);
+_CRTIMP int __cdecl atoi(const char *);
+_CRTIMP long __cdecl atol(const char *);
+#ifdef _M_M68K
+_CRTIMP long double __cdecl _atold(const char *);
+#endif
+_CRTIMP void * __cdecl bsearch(const void *, const void *, size_t, size_t,
+ int (__cdecl *)(const void *, const void *));
+
+#ifndef _CRTDBG_MAP_ALLOC
+_CRTIMP void * __cdecl calloc(size_t, size_t);
+#endif
+
+_CRTIMP div_t __cdecl div(int, int);
+_CRTIMP void __cdecl free(void *);
+_CRTIMP char * __cdecl getenv(const char *);
+_CRTIMP char * __cdecl _itoa(int, char *, int);
+#if _INTEGRAL_MAX_BITS >= 64
+_CRTIMP char * __cdecl _i64toa(__int64, char *, int);
+_CRTIMP char * __cdecl _ui64toa(unsigned __int64, char *, int);
+_CRTIMP __int64 __cdecl _atoi64(const char *);
+#endif
+#if defined(_M_MRX000)
+_CRTIMP long __cdecl labs(long);
+#else
+ long __cdecl labs(long);
+#endif
+_CRTIMP ldiv_t __cdecl ldiv(long, long);
+_CRTIMP char * __cdecl _ltoa(long, char *, int);
+
+#ifndef _CRTDBG_MAP_ALLOC
+_CRTIMP void * __cdecl malloc(size_t);
+#endif
+
+_CRTIMP int __cdecl mblen(const char *, size_t);
+_CRTIMP size_t __cdecl _mbstrlen(const char *s);
+_CRTIMP int __cdecl mbtowc(wchar_t *, const char *, size_t);
+_CRTIMP size_t __cdecl mbstowcs(wchar_t *, const char *, size_t);
+_CRTIMP void __cdecl qsort(void *, size_t, size_t, int (__cdecl *)
+ (const void *, const void *));
+_CRTIMP int __cdecl rand(void);
+
+#ifndef _CRTDBG_MAP_ALLOC
+_CRTIMP void * __cdecl realloc(void *, size_t);
+#endif
+
+_CRTIMP int __cdecl _set_error_mode(int);
+_CRTIMP void __cdecl srand(unsigned int);
+_CRTIMP double __cdecl strtod(const char *, char **);
+_CRTIMP long __cdecl strtol(const char *, char **, int);
+#ifdef _M_M68K
+_CRTIMP long double __cdecl _strtold(const char *, char **);
+#endif
+_CRTIMP unsigned long __cdecl strtoul(const char *, char **, int);
+#ifndef _MAC
+_CRTIMP int __cdecl system(const char *);
+#endif
+_CRTIMP char * __cdecl _ultoa(unsigned long, char *, int);
+_CRTIMP int __cdecl wctomb(char *, wchar_t);
+_CRTIMP size_t __cdecl wcstombs(char *, const wchar_t *, size_t);
+
+
+#ifndef _MAC
+#ifndef _WSTDLIB_DEFINED
+
+/* wide function prototypes, also declared in wchar.h */
+
+_CRTIMP wchar_t * __cdecl _itow (int, wchar_t *, int);
+_CRTIMP wchar_t * __cdecl _ltow (long, wchar_t *, int);
+_CRTIMP wchar_t * __cdecl _ultow (unsigned long, wchar_t *, int);
+_CRTIMP double __cdecl wcstod(const wchar_t *, wchar_t **);
+_CRTIMP long __cdecl wcstol(const wchar_t *, wchar_t **, int);
+_CRTIMP unsigned long __cdecl wcstoul(const wchar_t *, wchar_t **, int);
+_CRTIMP wchar_t * __cdecl _wgetenv(const wchar_t *);
+_CRTIMP int __cdecl _wsystem(const wchar_t *);
+_CRTIMP int __cdecl _wtoi(const wchar_t *);
+_CRTIMP long __cdecl _wtol(const wchar_t *);
+#if _INTEGRAL_MAX_BITS >= 64
+_CRTIMP wchar_t * __cdecl _i64tow(__int64, wchar_t *, int);
+_CRTIMP wchar_t * __cdecl _ui64tow(unsigned __int64, wchar_t *, int);
+_CRTIMP __int64 __cdecl _wtoi64(const wchar_t *);
+#endif
+
+#define _WSTDLIB_DEFINED
+#endif
+#endif /* ndef _MAC */
+
+
+#ifndef _POSIX_
+
+_CRTIMP char * __cdecl _ecvt(double, int, int *, int *);
+#if _MSC_VER >= 1200
+_CRTIMP __declspec(noreturn) void __cdecl _exit(int);
+#else
+_CRTIMP void __cdecl _exit(int);
+#endif
+_CRTIMP char * __cdecl _fcvt(double, int, int *, int *);
+_CRTIMP char * __cdecl _fullpath(char *, const char *, size_t);
+_CRTIMP char * __cdecl _gcvt(double, int, char *);
+ unsigned long __cdecl _lrotl(unsigned long, int);
+ unsigned long __cdecl _lrotr(unsigned long, int);
+#ifndef _MAC
+_CRTIMP void __cdecl _makepath(char *, const char *, const char *, const char *,
+ const char *);
+#endif
+ _onexit_t __cdecl _onexit(_onexit_t);
+_CRTIMP void __cdecl perror(const char *);
+_CRTIMP int __cdecl _putenv(const char *);
+ unsigned int __cdecl _rotl(unsigned int, int);
+ unsigned int __cdecl _rotr(unsigned int, int);
+_CRTIMP void __cdecl _searchenv(const char *, const char *, char *);
+#ifndef _MAC
+_CRTIMP void __cdecl _splitpath(const char *, char *, char *, char *, char *);
+#endif
+_CRTIMP void __cdecl _swab(char *, char *, int);
+
+#ifndef _MAC
+#ifndef _WSTDLIBP_DEFINED
+
+/* wide function prototypes, also declared in wchar.h */
+
+_CRTIMP wchar_t * __cdecl _wfullpath(wchar_t *, const wchar_t *, size_t);
+_CRTIMP void __cdecl _wmakepath(wchar_t *, const wchar_t *, const wchar_t *, const wchar_t *,
+ const wchar_t *);
+_CRTIMP void __cdecl _wperror(const wchar_t *);
+_CRTIMP int __cdecl _wputenv(const wchar_t *);
+_CRTIMP void __cdecl _wsearchenv(const wchar_t *, const wchar_t *, wchar_t *);
+_CRTIMP void __cdecl _wsplitpath(const wchar_t *, wchar_t *, wchar_t *, wchar_t *, wchar_t *);
+
+#define _WSTDLIBP_DEFINED
+#endif
+#endif /* ndef _MAC */
+
+/* --------- The following functions are OBSOLETE --------- */
+/* The Win32 API SetErrorMode, Beep and Sleep should be used instead. */
+#ifndef _MAC
+_CRTIMP void __cdecl _seterrormode(int);
+_CRTIMP void __cdecl _beep(unsigned, unsigned);
+_CRTIMP void __cdecl _sleep(unsigned long);
+#endif /* ndef _MAC */
+/* --------- The preceding functions are OBSOLETE --------- */
+
+#endif /* _POSIX_ */
+
+
+#if !__STDC__
+/* --------- The declarations below should not be in stdlib.h --------- */
+/* --------- and will be removed in a future release. Include --------- */
+/* --------- ctype.h to obtain these declarations. --------- */
+#ifndef tolower /* tolower has been undefined - use function */
+_CRTIMP int __cdecl tolower(int);
+#endif /* tolower */
+#ifndef toupper /* toupper has been undefined - use function */
+_CRTIMP int __cdecl toupper(int);
+#endif /* toupper */
+/* --------- The declarations above will be removed. --------- */
+#endif
+
+
+#if !__STDC__
+
+#ifndef _POSIX_
+
+/* Non-ANSI names for compatibility */
+
+#ifndef __cplusplus
+#define max(a,b) (((a) > (b)) ? (a) : (b))
+#define min(a,b) (((a) < (b)) ? (a) : (b))
+#endif
+
+#define sys_errlist _sys_errlist
+#define sys_nerr _sys_nerr
+#define environ _environ
+
+_CRTIMP char * __cdecl ecvt(double, int, int *, int *);
+_CRTIMP char * __cdecl fcvt(double, int, int *, int *);
+_CRTIMP char * __cdecl gcvt(double, int, char *);
+_CRTIMP char * __cdecl itoa(int, char *, int);
+_CRTIMP char * __cdecl ltoa(long, char *, int);
+ onexit_t __cdecl onexit(onexit_t);
+_CRTIMP int __cdecl putenv(const char *);
+_CRTIMP void __cdecl swab(char *, char *, int);
+_CRTIMP char * __cdecl ultoa(unsigned long, char *, int);
+
+#endif /* _POSIX_ */
+
+#endif /* __STDC__ */
+
+#ifdef __cplusplus
+}
+
+#endif
+
+#ifdef _MSC_VER
+#pragma pack(pop)
+#endif /* _MSC_VER */
+
+#endif /* _INC_STDLIB */
diff --git a/src/misc/util/util_hack.h b/abc70930/src/misc/util/util_hack.h
index 71c77321..71c77321 100644
--- a/src/misc/util/util_hack.h
+++ b/abc70930/src/misc/util/util_hack.h
diff --git a/src/misc/vec/module.make b/abc70930/src/misc/vec/module.make
index d6d908e7..d6d908e7 100644
--- a/src/misc/vec/module.make
+++ b/abc70930/src/misc/vec/module.make
diff --git a/src/misc/vec/vec.h b/abc70930/src/misc/vec/vec.h
index 6a97fcaa..6a97fcaa 100644
--- a/src/misc/vec/vec.h
+++ b/abc70930/src/misc/vec/vec.h
diff --git a/src/misc/vec/vecAtt.h b/abc70930/src/misc/vec/vecAtt.h
index da7a8445..da7a8445 100644
--- a/src/misc/vec/vecAtt.h
+++ b/abc70930/src/misc/vec/vecAtt.h
diff --git a/src/misc/vec/vecFlt.h b/abc70930/src/misc/vec/vecFlt.h
index 6b36ce84..6b36ce84 100644
--- a/src/misc/vec/vecFlt.h
+++ b/abc70930/src/misc/vec/vecFlt.h
diff --git a/src/misc/vec/vecInt.h b/abc70930/src/misc/vec/vecInt.h
index 3afa39af..082ebe70 100644
--- a/src/misc/vec/vecInt.h
+++ b/abc70930/src/misc/vec/vecInt.h
@@ -778,11 +778,11 @@ static inline int Vec_IntTwoCountCommon( Vec_Int_t * vArr1, Vec_Int_t * vArr2 )
while ( pBeg1 < pEnd1 && pBeg2 < pEnd2 )
{
if ( *pBeg1 == *pBeg2 )
- *pBeg1++, pBeg2++, Counter++;
+ pBeg1++, pBeg2++, Counter++;
else if ( *pBeg1 < *pBeg2 )
- *pBeg1++;
+ pBeg1++;
else
- *pBeg2++;
+ pBeg2++;
}
return Counter;
}
diff --git a/src/misc/vec/vecPtr.h b/abc70930/src/misc/vec/vecPtr.h
index 1862bc7c..1862bc7c 100644
--- a/src/misc/vec/vecPtr.h
+++ b/abc70930/src/misc/vec/vecPtr.h
diff --git a/src/misc/vec/vecStr.h b/abc70930/src/misc/vec/vecStr.h
index 47367bc6..47367bc6 100644
--- a/src/misc/vec/vecStr.h
+++ b/abc70930/src/misc/vec/vecStr.h
diff --git a/src/misc/vec/vecVec.h b/abc70930/src/misc/vec/vecVec.h
index 55ffdf4f..55ffdf4f 100644
--- a/src/misc/vec/vecVec.h
+++ b/abc70930/src/misc/vec/vecVec.h
diff --git a/src/opt/cut/abcCut.c b/abc70930/src/opt/cut/abcCut.c
index 9bbd5790..9bbd5790 100644
--- a/src/opt/cut/abcCut.c
+++ b/abc70930/src/opt/cut/abcCut.c
diff --git a/src/opt/cut/cut.h b/abc70930/src/opt/cut/cut.h
index dee05dfc..dee05dfc 100644
--- a/src/opt/cut/cut.h
+++ b/abc70930/src/opt/cut/cut.h
diff --git a/src/opt/cut/cutApi.c b/abc70930/src/opt/cut/cutApi.c
index 980c6b12..980c6b12 100644
--- a/src/opt/cut/cutApi.c
+++ b/abc70930/src/opt/cut/cutApi.c
diff --git a/src/opt/cut/cutCut.c b/abc70930/src/opt/cut/cutCut.c
index 94147278..94147278 100644
--- a/src/opt/cut/cutCut.c
+++ b/abc70930/src/opt/cut/cutCut.c
diff --git a/src/opt/cut/cutExpand.c b/abc70930/src/opt/cut/cutExpand.c
index d389ef7a..d389ef7a 100644
--- a/src/opt/cut/cutExpand.c
+++ b/abc70930/src/opt/cut/cutExpand.c
diff --git a/src/opt/cut/cutInt.h b/abc70930/src/opt/cut/cutInt.h
index 17f268c7..17f268c7 100644
--- a/src/opt/cut/cutInt.h
+++ b/abc70930/src/opt/cut/cutInt.h
diff --git a/src/opt/cut/cutList.h b/abc70930/src/opt/cut/cutList.h
index a03ec9d5..a03ec9d5 100644
--- a/src/opt/cut/cutList.h
+++ b/abc70930/src/opt/cut/cutList.h
diff --git a/src/opt/cut/cutMan.c b/abc70930/src/opt/cut/cutMan.c
index 8593ef93..8593ef93 100644
--- a/src/opt/cut/cutMan.c
+++ b/abc70930/src/opt/cut/cutMan.c
diff --git a/src/opt/cut/cutMerge.c b/abc70930/src/opt/cut/cutMerge.c
index d8a9989c..d8a9989c 100644
--- a/src/opt/cut/cutMerge.c
+++ b/abc70930/src/opt/cut/cutMerge.c
diff --git a/src/opt/cut/cutNode.c b/abc70930/src/opt/cut/cutNode.c
index 1f93b14b..1f93b14b 100644
--- a/src/opt/cut/cutNode.c
+++ b/abc70930/src/opt/cut/cutNode.c
diff --git a/src/opt/cut/cutOracle.c b/abc70930/src/opt/cut/cutOracle.c
index 3eb4462b..3eb4462b 100644
--- a/src/opt/cut/cutOracle.c
+++ b/abc70930/src/opt/cut/cutOracle.c
diff --git a/src/opt/cut/cutPre22.c b/abc70930/src/opt/cut/cutPre22.c
index 5cb87a9c..5cb87a9c 100644
--- a/src/opt/cut/cutPre22.c
+++ b/abc70930/src/opt/cut/cutPre22.c
diff --git a/src/opt/cut/cutSeq.c b/abc70930/src/opt/cut/cutSeq.c
index d36f94f7..d36f94f7 100644
--- a/src/opt/cut/cutSeq.c
+++ b/abc70930/src/opt/cut/cutSeq.c
diff --git a/src/opt/cut/cutTruth.c b/abc70930/src/opt/cut/cutTruth.c
index c3514ad7..c3514ad7 100644
--- a/src/opt/cut/cutTruth.c
+++ b/abc70930/src/opt/cut/cutTruth.c
diff --git a/src/opt/cut/module.make b/abc70930/src/opt/cut/module.make
index 132e730b..132e730b 100644
--- a/src/opt/cut/module.make
+++ b/abc70930/src/opt/cut/module.make
diff --git a/src/opt/dec/dec.h b/abc70930/src/opt/dec/dec.h
index 41d22649..41d22649 100644
--- a/src/opt/dec/dec.h
+++ b/abc70930/src/opt/dec/dec.h
diff --git a/src/opt/dec/decAbc.c b/abc70930/src/opt/dec/decAbc.c
index bd960c14..bd960c14 100644
--- a/src/opt/dec/decAbc.c
+++ b/abc70930/src/opt/dec/decAbc.c
diff --git a/src/opt/dec/decFactor.c b/abc70930/src/opt/dec/decFactor.c
index dca422ea..dca422ea 100644
--- a/src/opt/dec/decFactor.c
+++ b/abc70930/src/opt/dec/decFactor.c
diff --git a/src/opt/dec/decMan.c b/abc70930/src/opt/dec/decMan.c
index 65857461..65857461 100644
--- a/src/opt/dec/decMan.c
+++ b/abc70930/src/opt/dec/decMan.c
diff --git a/src/opt/dec/decPrint.c b/abc70930/src/opt/dec/decPrint.c
index 2d8f09b3..2d8f09b3 100644
--- a/src/opt/dec/decPrint.c
+++ b/abc70930/src/opt/dec/decPrint.c
diff --git a/src/opt/dec/decUtil.c b/abc70930/src/opt/dec/decUtil.c
index 463bc7e2..463bc7e2 100644
--- a/src/opt/dec/decUtil.c
+++ b/abc70930/src/opt/dec/decUtil.c
diff --git a/src/opt/dec/module.make b/abc70930/src/opt/dec/module.make
index 1e0722d5..1e0722d5 100644
--- a/src/opt/dec/module.make
+++ b/abc70930/src/opt/dec/module.make
diff --git a/src/opt/fxu/fxu.c b/abc70930/src/opt/fxu/fxu.c
index d11fd793..d11fd793 100644
--- a/src/opt/fxu/fxu.c
+++ b/abc70930/src/opt/fxu/fxu.c
diff --git a/src/opt/fxu/fxu.h b/abc70930/src/opt/fxu/fxu.h
index e6d0b69e..e6d0b69e 100644
--- a/src/opt/fxu/fxu.h
+++ b/abc70930/src/opt/fxu/fxu.h
diff --git a/src/opt/fxu/fxuCreate.c b/abc70930/src/opt/fxu/fxuCreate.c
index e3300df9..55026b27 100644
--- a/src/opt/fxu/fxuCreate.c
+++ b/abc70930/src/opt/fxu/fxuCreate.c
@@ -69,7 +69,7 @@ Fxu_Matrix * Fxu_CreateMatrix( Fxu_Data_t * pData )
nCubesTotal = 0;
nPairsTotal = 0;
nPairsStore = 0;
- nBitsMax = -1;
+ nBitsMax = -1;
for ( i = 0; i < pData->nNodesOld; i++ )
if ( pSopCover = pData->vSops->pArray[i] )
{
@@ -88,13 +88,13 @@ Fxu_Matrix * Fxu_CreateMatrix( Fxu_Data_t * pData )
printf( "The current network does not have SOPs to perform extraction.\n" );
return NULL;
}
-/*
- if ( nPairsStore > 10000000 )
+
+ if ( nPairsStore > 50000000 )
{
printf( "The problem is too large to be solved by \"fxu\" (%d cubes and %d cube pairs)\n", nCubesTotal, nPairsStore );
return NULL;
}
-*/
+
// start the matrix
p = Fxu_MatrixAllocate();
// create the column labels
diff --git a/src/opt/fxu/fxuHeapD.c b/abc70930/src/opt/fxu/fxuHeapD.c
index c81ad818..c81ad818 100644
--- a/src/opt/fxu/fxuHeapD.c
+++ b/abc70930/src/opt/fxu/fxuHeapD.c
diff --git a/src/opt/fxu/fxuHeapS.c b/abc70930/src/opt/fxu/fxuHeapS.c
index eaca8363..eaca8363 100644
--- a/src/opt/fxu/fxuHeapS.c
+++ b/abc70930/src/opt/fxu/fxuHeapS.c
diff --git a/src/opt/fxu/fxuInt.h b/abc70930/src/opt/fxu/fxuInt.h
index ea85cb79..ea85cb79 100644
--- a/src/opt/fxu/fxuInt.h
+++ b/abc70930/src/opt/fxu/fxuInt.h
diff --git a/src/opt/fxu/fxuList.c b/abc70930/src/opt/fxu/fxuList.c
index 52995804..52995804 100644
--- a/src/opt/fxu/fxuList.c
+++ b/abc70930/src/opt/fxu/fxuList.c
diff --git a/src/opt/fxu/fxuMatrix.c b/abc70930/src/opt/fxu/fxuMatrix.c
index 93ec7b90..93ec7b90 100644
--- a/src/opt/fxu/fxuMatrix.c
+++ b/abc70930/src/opt/fxu/fxuMatrix.c
diff --git a/src/opt/fxu/fxuPair.c b/abc70930/src/opt/fxu/fxuPair.c
index 3c031ce8..3c031ce8 100644
--- a/src/opt/fxu/fxuPair.c
+++ b/abc70930/src/opt/fxu/fxuPair.c
diff --git a/src/opt/fxu/fxuPrint.c b/abc70930/src/opt/fxu/fxuPrint.c
index 232b109a..232b109a 100644
--- a/src/opt/fxu/fxuPrint.c
+++ b/abc70930/src/opt/fxu/fxuPrint.c
diff --git a/src/opt/fxu/fxuReduce.c b/abc70930/src/opt/fxu/fxuReduce.c
index 0ab8a157..0ab8a157 100644
--- a/src/opt/fxu/fxuReduce.c
+++ b/abc70930/src/opt/fxu/fxuReduce.c
diff --git a/src/opt/fxu/fxuSelect.c b/abc70930/src/opt/fxu/fxuSelect.c
index b9265487..b9265487 100644
--- a/src/opt/fxu/fxuSelect.c
+++ b/abc70930/src/opt/fxu/fxuSelect.c
diff --git a/src/opt/fxu/fxuSingle.c b/abc70930/src/opt/fxu/fxuSingle.c
index 73d9a76c..73d9a76c 100644
--- a/src/opt/fxu/fxuSingle.c
+++ b/abc70930/src/opt/fxu/fxuSingle.c
diff --git a/src/opt/fxu/fxuUpdate.c b/abc70930/src/opt/fxu/fxuUpdate.c
index 274f79f6..274f79f6 100644
--- a/src/opt/fxu/fxuUpdate.c
+++ b/abc70930/src/opt/fxu/fxuUpdate.c
diff --git a/src/opt/fxu/module.make b/abc70930/src/opt/fxu/module.make
index dd8acd40..dd8acd40 100644
--- a/src/opt/fxu/module.make
+++ b/abc70930/src/opt/fxu/module.make
diff --git a/src/opt/lpk/lpk.h b/abc70930/src/opt/lpk/lpk.h
index 2a642db2..2a642db2 100644
--- a/src/opt/lpk/lpk.h
+++ b/abc70930/src/opt/lpk/lpk.h
diff --git a/src/opt/lpk/lpkAbcDec.c b/abc70930/src/opt/lpk/lpkAbcDec.c
index aa2d4bc0..aa2d4bc0 100644
--- a/src/opt/lpk/lpkAbcDec.c
+++ b/abc70930/src/opt/lpk/lpkAbcDec.c
diff --git a/src/opt/lpk/lpkAbcDsd.c b/abc70930/src/opt/lpk/lpkAbcDsd.c
index f4095914..f4095914 100644
--- a/src/opt/lpk/lpkAbcDsd.c
+++ b/abc70930/src/opt/lpk/lpkAbcDsd.c
diff --git a/src/opt/lpk/lpkAbcMux.c b/abc70930/src/opt/lpk/lpkAbcMux.c
index d6f579ee..d6f579ee 100644
--- a/src/opt/lpk/lpkAbcMux.c
+++ b/abc70930/src/opt/lpk/lpkAbcMux.c
diff --git a/src/opt/lpk/lpkAbcUtil.c b/abc70930/src/opt/lpk/lpkAbcUtil.c
index 3f917ce2..3f917ce2 100644
--- a/src/opt/lpk/lpkAbcUtil.c
+++ b/abc70930/src/opt/lpk/lpkAbcUtil.c
diff --git a/src/opt/lpk/lpkCore.c b/abc70930/src/opt/lpk/lpkCore.c
index 8b8028e3..8b8028e3 100644
--- a/src/opt/lpk/lpkCore.c
+++ b/abc70930/src/opt/lpk/lpkCore.c
diff --git a/src/opt/lpk/lpkCut.c b/abc70930/src/opt/lpk/lpkCut.c
index b2a743bd..b2a743bd 100644
--- a/src/opt/lpk/lpkCut.c
+++ b/abc70930/src/opt/lpk/lpkCut.c
diff --git a/src/opt/lpk/lpkInt.h b/abc70930/src/opt/lpk/lpkInt.h
index 960599e4..960599e4 100644
--- a/src/opt/lpk/lpkInt.h
+++ b/abc70930/src/opt/lpk/lpkInt.h
diff --git a/src/opt/lpk/lpkMan.c b/abc70930/src/opt/lpk/lpkMan.c
index af6a5307..af6a5307 100644
--- a/src/opt/lpk/lpkMan.c
+++ b/abc70930/src/opt/lpk/lpkMan.c
diff --git a/src/opt/lpk/lpkMap.c b/abc70930/src/opt/lpk/lpkMap.c
index 698aeea1..698aeea1 100644
--- a/src/opt/lpk/lpkMap.c
+++ b/abc70930/src/opt/lpk/lpkMap.c
diff --git a/src/opt/lpk/lpkMulti.c b/abc70930/src/opt/lpk/lpkMulti.c
index 82cf3578..82cf3578 100644
--- a/src/opt/lpk/lpkMulti.c
+++ b/abc70930/src/opt/lpk/lpkMulti.c
diff --git a/src/opt/lpk/lpkMux.c b/abc70930/src/opt/lpk/lpkMux.c
index ed046ad7..ed046ad7 100644
--- a/src/opt/lpk/lpkMux.c
+++ b/abc70930/src/opt/lpk/lpkMux.c
diff --git a/src/opt/lpk/lpkSets.c b/abc70930/src/opt/lpk/lpkSets.c
index 90e46863..90e46863 100644
--- a/src/opt/lpk/lpkSets.c
+++ b/abc70930/src/opt/lpk/lpkSets.c
diff --git a/src/opt/lpk/lpk_.c b/abc70930/src/opt/lpk/lpk_.c
index d8555e08..d8555e08 100644
--- a/src/opt/lpk/lpk_.c
+++ b/abc70930/src/opt/lpk/lpk_.c
diff --git a/src/opt/lpk/module.make b/abc70930/src/opt/lpk/module.make
index 26a54894..26a54894 100644
--- a/src/opt/lpk/module.make
+++ b/abc70930/src/opt/lpk/module.make
diff --git a/src/opt/res/module.make b/abc70930/src/opt/res/module.make
index 52d8a315..52d8a315 100644
--- a/src/opt/res/module.make
+++ b/abc70930/src/opt/res/module.make
diff --git a/src/opt/res/res.h b/abc70930/src/opt/res/res.h
index 3c3431bf..3c3431bf 100644
--- a/src/opt/res/res.h
+++ b/abc70930/src/opt/res/res.h
diff --git a/src/opt/res/resCore.c b/abc70930/src/opt/res/resCore.c
index 27e9b3ea..27e9b3ea 100644
--- a/src/opt/res/resCore.c
+++ b/abc70930/src/opt/res/resCore.c
diff --git a/src/opt/res/resDivs.c b/abc70930/src/opt/res/resDivs.c
index cc75b90f..cc75b90f 100644
--- a/src/opt/res/resDivs.c
+++ b/abc70930/src/opt/res/resDivs.c
diff --git a/src/opt/res/resFilter.c b/abc70930/src/opt/res/resFilter.c
index f2ca41d3..f2ca41d3 100644
--- a/src/opt/res/resFilter.c
+++ b/abc70930/src/opt/res/resFilter.c
diff --git a/src/opt/res/resInt.h b/abc70930/src/opt/res/resInt.h
index 5aae46cc..5aae46cc 100644
--- a/src/opt/res/resInt.h
+++ b/abc70930/src/opt/res/resInt.h
diff --git a/src/opt/res/resSat.c b/abc70930/src/opt/res/resSat.c
index dd0e7a23..dd0e7a23 100644
--- a/src/opt/res/resSat.c
+++ b/abc70930/src/opt/res/resSat.c
diff --git a/src/opt/res/resSim.c b/abc70930/src/opt/res/resSim.c
index 5c1dd2b6..5c1dd2b6 100644
--- a/src/opt/res/resSim.c
+++ b/abc70930/src/opt/res/resSim.c
diff --git a/src/opt/res/resSim_old.c b/abc70930/src/opt/res/resSim_old.c
index 23ce29e4..23ce29e4 100644
--- a/src/opt/res/resSim_old.c
+++ b/abc70930/src/opt/res/resSim_old.c
diff --git a/src/opt/res/resStrash.c b/abc70930/src/opt/res/resStrash.c
index fde842a4..fde842a4 100644
--- a/src/opt/res/resStrash.c
+++ b/abc70930/src/opt/res/resStrash.c
diff --git a/src/opt/res/resWin.c b/abc70930/src/opt/res/resWin.c
index a3648925..a3648925 100644
--- a/src/opt/res/resWin.c
+++ b/abc70930/src/opt/res/resWin.c
diff --git a/src/opt/res/res_.c b/abc70930/src/opt/res/res_.c
index a50affd7..a50affd7 100644
--- a/src/opt/res/res_.c
+++ b/abc70930/src/opt/res/res_.c
diff --git a/src/opt/ret/module.make b/abc70930/src/opt/ret/module.make
index 4b14365e..4b14365e 100644
--- a/src/opt/ret/module.make
+++ b/abc70930/src/opt/ret/module.make
diff --git a/src/opt/ret/retArea.c b/abc70930/src/opt/ret/retArea.c
index 5eec8e80..5eec8e80 100644
--- a/src/opt/ret/retArea.c
+++ b/abc70930/src/opt/ret/retArea.c
diff --git a/src/opt/ret/retCore.c b/abc70930/src/opt/ret/retCore.c
index 47b2cbbc..47b2cbbc 100644
--- a/src/opt/ret/retCore.c
+++ b/abc70930/src/opt/ret/retCore.c
diff --git a/src/opt/ret/retDelay.c b/abc70930/src/opt/ret/retDelay.c
index bcfe3a2e..bcfe3a2e 100644
--- a/src/opt/ret/retDelay.c
+++ b/abc70930/src/opt/ret/retDelay.c
diff --git a/src/opt/ret/retFlow.c b/abc70930/src/opt/ret/retFlow.c
index 47ee8516..47ee8516 100644
--- a/src/opt/ret/retFlow.c
+++ b/abc70930/src/opt/ret/retFlow.c
diff --git a/src/opt/ret/retIncrem.c b/abc70930/src/opt/ret/retIncrem.c
index ba8104be..ba8104be 100644
--- a/src/opt/ret/retIncrem.c
+++ b/abc70930/src/opt/ret/retIncrem.c
diff --git a/src/opt/ret/retInit.c b/abc70930/src/opt/ret/retInit.c
index dcb71c60..dcb71c60 100644
--- a/src/opt/ret/retInit.c
+++ b/abc70930/src/opt/ret/retInit.c
diff --git a/src/opt/ret/retInt.h b/abc70930/src/opt/ret/retInt.h
index 51428bce..51428bce 100644
--- a/src/opt/ret/retInt.h
+++ b/abc70930/src/opt/ret/retInt.h
diff --git a/src/opt/ret/retLvalue.c b/abc70930/src/opt/ret/retLvalue.c
index b4d9e946..b4d9e946 100644
--- a/src/opt/ret/retLvalue.c
+++ b/abc70930/src/opt/ret/retLvalue.c
diff --git a/src/opt/ret/ret_.c b/abc70930/src/opt/ret/ret_.c
index 89625e17..89625e17 100644
--- a/src/opt/ret/ret_.c
+++ b/abc70930/src/opt/ret/ret_.c
diff --git a/src/opt/rwr/module.make b/abc70930/src/opt/rwr/module.make
index 077a3c01..077a3c01 100644
--- a/src/opt/rwr/module.make
+++ b/abc70930/src/opt/rwr/module.make
diff --git a/src/opt/rwr/rwr.h b/abc70930/src/opt/rwr/rwr.h
index f24f9535..f24f9535 100644
--- a/src/opt/rwr/rwr.h
+++ b/abc70930/src/opt/rwr/rwr.h
diff --git a/src/opt/rwr/rwrDec.c b/abc70930/src/opt/rwr/rwrDec.c
index ef7af34f..ef7af34f 100644
--- a/src/opt/rwr/rwrDec.c
+++ b/abc70930/src/opt/rwr/rwrDec.c
diff --git a/src/opt/rwr/rwrEva.c b/abc70930/src/opt/rwr/rwrEva.c
index f46c16c0..0eb547f2 100644
--- a/src/opt/rwr/rwrEva.c
+++ b/abc70930/src/opt/rwr/rwrEva.c
@@ -250,7 +250,7 @@ Dec_Graph_t * Rwr_CutEvaluate( Rwr_Man_t * p, Abc_Obj_t * pRoot, Cut_Cut_t * pCu
Rwr_Node_t * pNode, * pFanin;
int nNodesAdded, GainBest, i, k;
unsigned uTruth;
- float CostBest, CostCur;
+ float CostBest;//, CostCur;
// find the matching class of subgraphs
uTruth = 0xFFFF & *Cut_CutReadTruth(pCut);
vSubgraphs = Vec_VecEntry( p->vClasses, p->pMap[uTruth] );
@@ -270,7 +270,7 @@ Dec_Graph_t * Rwr_CutEvaluate( Rwr_Man_t * p, Abc_Obj_t * pRoot, Cut_Cut_t * pCu
if ( nNodesAdded == -1 )
continue;
assert( nNodesSaved >= nNodesAdded );
-
+/*
// evaluate the cut
if ( fPlaceEnable )
{
@@ -306,6 +306,7 @@ Dec_Graph_t * Rwr_CutEvaluate( Rwr_Man_t * p, Abc_Obj_t * pRoot, Cut_Cut_t * pCu
}
}
else
+*/
{
// count the gain at this node
if ( GainBest < nNodesSaved - nNodesAdded )
diff --git a/src/opt/rwr/rwrExp.c b/abc70930/src/opt/rwr/rwrExp.c
index 2d00bb1c..2d00bb1c 100644
--- a/src/opt/rwr/rwrExp.c
+++ b/abc70930/src/opt/rwr/rwrExp.c
diff --git a/src/opt/rwr/rwrLib.c b/abc70930/src/opt/rwr/rwrLib.c
index 1cdf350e..1cdf350e 100644
--- a/src/opt/rwr/rwrLib.c
+++ b/abc70930/src/opt/rwr/rwrLib.c
diff --git a/src/opt/rwr/rwrMan.c b/abc70930/src/opt/rwr/rwrMan.c
index 87a080c7..87a080c7 100644
--- a/src/opt/rwr/rwrMan.c
+++ b/abc70930/src/opt/rwr/rwrMan.c
diff --git a/src/opt/rwr/rwrPrint.c b/abc70930/src/opt/rwr/rwrPrint.c
index 82ad2a90..82ad2a90 100644
--- a/src/opt/rwr/rwrPrint.c
+++ b/abc70930/src/opt/rwr/rwrPrint.c
diff --git a/src/opt/rwr/rwrTemp.c b/abc70930/src/opt/rwr/rwrTemp.c
index 3ffbd408..3ffbd408 100644
--- a/src/opt/rwr/rwrTemp.c
+++ b/abc70930/src/opt/rwr/rwrTemp.c
diff --git a/src/opt/rwr/rwrUtil.c b/abc70930/src/opt/rwr/rwrUtil.c
index b2add2bf..b2add2bf 100644
--- a/src/opt/rwr/rwrUtil.c
+++ b/abc70930/src/opt/rwr/rwrUtil.c
diff --git a/src/opt/sim/module.make b/abc70930/src/opt/sim/module.make
index 54058402..54058402 100644
--- a/src/opt/sim/module.make
+++ b/abc70930/src/opt/sim/module.make
diff --git a/src/opt/sim/sim.h b/abc70930/src/opt/sim/sim.h
index 7fcf5ae6..7fcf5ae6 100644
--- a/src/opt/sim/sim.h
+++ b/abc70930/src/opt/sim/sim.h
diff --git a/src/opt/sim/simMan.c b/abc70930/src/opt/sim/simMan.c
index 3b50ad84..3b50ad84 100644
--- a/src/opt/sim/simMan.c
+++ b/abc70930/src/opt/sim/simMan.c
diff --git a/src/opt/sim/simSat.c b/abc70930/src/opt/sim/simSat.c
index d514f7f2..d514f7f2 100644
--- a/src/opt/sim/simSat.c
+++ b/abc70930/src/opt/sim/simSat.c
diff --git a/src/opt/sim/simSeq.c b/abc70930/src/opt/sim/simSeq.c
index 49fb939f..49fb939f 100644
--- a/src/opt/sim/simSeq.c
+++ b/abc70930/src/opt/sim/simSeq.c
diff --git a/src/opt/sim/simSupp.c b/abc70930/src/opt/sim/simSupp.c
index f7048f4a..f7048f4a 100644
--- a/src/opt/sim/simSupp.c
+++ b/abc70930/src/opt/sim/simSupp.c
diff --git a/src/opt/sim/simSwitch.c b/abc70930/src/opt/sim/simSwitch.c
index 218d4d59..218d4d59 100644
--- a/src/opt/sim/simSwitch.c
+++ b/abc70930/src/opt/sim/simSwitch.c
diff --git a/src/opt/sim/simSym.c b/abc70930/src/opt/sim/simSym.c
index 71de5b05..71de5b05 100644
--- a/src/opt/sim/simSym.c
+++ b/abc70930/src/opt/sim/simSym.c
diff --git a/src/opt/sim/simSymSat.c b/abc70930/src/opt/sim/simSymSat.c
index 7690a891..7690a891 100644
--- a/src/opt/sim/simSymSat.c
+++ b/abc70930/src/opt/sim/simSymSat.c
diff --git a/src/opt/sim/simSymSim.c b/abc70930/src/opt/sim/simSymSim.c
index 2282825b..2282825b 100644
--- a/src/opt/sim/simSymSim.c
+++ b/abc70930/src/opt/sim/simSymSim.c
diff --git a/src/opt/sim/simSymStr.c b/abc70930/src/opt/sim/simSymStr.c
index d52c4328..d52c4328 100644
--- a/src/opt/sim/simSymStr.c
+++ b/abc70930/src/opt/sim/simSymStr.c
diff --git a/src/opt/sim/simUtils.c b/abc70930/src/opt/sim/simUtils.c
index b0660001..b0660001 100644
--- a/src/opt/sim/simUtils.c
+++ b/abc70930/src/opt/sim/simUtils.c
diff --git a/src/sat/bsat/module.make b/abc70930/src/sat/bsat/module.make
index 563c8dfc..563c8dfc 100644
--- a/src/sat/bsat/module.make
+++ b/abc70930/src/sat/bsat/module.make
diff --git a/src/sat/bsat/satInter.c b/abc70930/src/sat/bsat/satInter.c
index b52cd6c7..b52cd6c7 100644
--- a/src/sat/bsat/satInter.c
+++ b/abc70930/src/sat/bsat/satInter.c
diff --git a/src/sat/bsat/satMem.c b/abc70930/src/sat/bsat/satMem.c
index bb234f66..bb234f66 100644
--- a/src/sat/bsat/satMem.c
+++ b/abc70930/src/sat/bsat/satMem.c
diff --git a/src/sat/bsat/satMem.h b/abc70930/src/sat/bsat/satMem.h
index 5c5ddd9c..5c5ddd9c 100644
--- a/src/sat/bsat/satMem.h
+++ b/abc70930/src/sat/bsat/satMem.h
diff --git a/src/sat/bsat/satSolver.c b/abc70930/src/sat/bsat/satSolver.c
index 439d9e76..439d9e76 100644
--- a/src/sat/bsat/satSolver.c
+++ b/abc70930/src/sat/bsat/satSolver.c
diff --git a/src/sat/bsat/satSolver.h b/abc70930/src/sat/bsat/satSolver.h
index 542b9895..542b9895 100644
--- a/src/sat/bsat/satSolver.h
+++ b/abc70930/src/sat/bsat/satSolver.h
diff --git a/src/sat/bsat/satStore.c b/abc70930/src/sat/bsat/satStore.c
index 7c1d7132..7c1d7132 100644
--- a/src/sat/bsat/satStore.c
+++ b/abc70930/src/sat/bsat/satStore.c
diff --git a/src/sat/bsat/satStore.h b/abc70930/src/sat/bsat/satStore.h
index 346b59df..346b59df 100644
--- a/src/sat/bsat/satStore.h
+++ b/abc70930/src/sat/bsat/satStore.h
diff --git a/src/sat/bsat/satTrace.c b/abc70930/src/sat/bsat/satTrace.c
index 111e8dfb..111e8dfb 100644
--- a/src/sat/bsat/satTrace.c
+++ b/abc70930/src/sat/bsat/satTrace.c
diff --git a/src/sat/bsat/satUtil.c b/abc70930/src/sat/bsat/satUtil.c
index 62f3c208..62f3c208 100644
--- a/src/sat/bsat/satUtil.c
+++ b/abc70930/src/sat/bsat/satUtil.c
diff --git a/src/sat/bsat/satVec.h b/abc70930/src/sat/bsat/satVec.h
index d7fce5c0..d7fce5c0 100644
--- a/src/sat/bsat/satVec.h
+++ b/abc70930/src/sat/bsat/satVec.h
diff --git a/src/sat/csat/csat_apis.c b/abc70930/src/sat/csat/csat_apis.c
index 5872f5bc..5872f5bc 100644
--- a/src/sat/csat/csat_apis.c
+++ b/abc70930/src/sat/csat/csat_apis.c
diff --git a/src/sat/csat/csat_apis.h b/abc70930/src/sat/csat/csat_apis.h
index b80eddbf..b80eddbf 100644
--- a/src/sat/csat/csat_apis.h
+++ b/abc70930/src/sat/csat/csat_apis.h
diff --git a/src/sat/csat/module.make b/abc70930/src/sat/csat/module.make
index 5b71a03c..5b71a03c 100644
--- a/src/sat/csat/module.make
+++ b/abc70930/src/sat/csat/module.make
diff --git a/src/sat/fraig/fraig.h b/abc70930/src/sat/fraig/fraig.h
index 1dad21e2..1dad21e2 100644
--- a/src/sat/fraig/fraig.h
+++ b/abc70930/src/sat/fraig/fraig.h
diff --git a/src/sat/fraig/fraigApi.c b/abc70930/src/sat/fraig/fraigApi.c
index 79a7c224..79a7c224 100644
--- a/src/sat/fraig/fraigApi.c
+++ b/abc70930/src/sat/fraig/fraigApi.c
diff --git a/src/sat/fraig/fraigCanon.c b/abc70930/src/sat/fraig/fraigCanon.c
index 89bc924f..89bc924f 100644
--- a/src/sat/fraig/fraigCanon.c
+++ b/abc70930/src/sat/fraig/fraigCanon.c
diff --git a/src/sat/fraig/fraigChoice.c b/abc70930/src/sat/fraig/fraigChoice.c
index 896e5d2d..896e5d2d 100644
--- a/src/sat/fraig/fraigChoice.c
+++ b/abc70930/src/sat/fraig/fraigChoice.c
diff --git a/src/sat/fraig/fraigFanout.c b/abc70930/src/sat/fraig/fraigFanout.c
index 789bffca..789bffca 100644
--- a/src/sat/fraig/fraigFanout.c
+++ b/abc70930/src/sat/fraig/fraigFanout.c
diff --git a/src/sat/fraig/fraigFeed.c b/abc70930/src/sat/fraig/fraigFeed.c
index 8a3cc6c7..8a3cc6c7 100644
--- a/src/sat/fraig/fraigFeed.c
+++ b/abc70930/src/sat/fraig/fraigFeed.c
diff --git a/src/sat/fraig/fraigInt.h b/abc70930/src/sat/fraig/fraigInt.h
index 9c6e0d47..9c6e0d47 100644
--- a/src/sat/fraig/fraigInt.h
+++ b/abc70930/src/sat/fraig/fraigInt.h
diff --git a/src/sat/fraig/fraigMan.c b/abc70930/src/sat/fraig/fraigMan.c
index 7fd937d5..7fd937d5 100644
--- a/src/sat/fraig/fraigMan.c
+++ b/abc70930/src/sat/fraig/fraigMan.c
diff --git a/src/sat/fraig/fraigMem.c b/abc70930/src/sat/fraig/fraigMem.c
index 500431c6..500431c6 100644
--- a/src/sat/fraig/fraigMem.c
+++ b/abc70930/src/sat/fraig/fraigMem.c
diff --git a/src/sat/fraig/fraigNode.c b/abc70930/src/sat/fraig/fraigNode.c
index 6e3d3c7d..6e3d3c7d 100644
--- a/src/sat/fraig/fraigNode.c
+++ b/abc70930/src/sat/fraig/fraigNode.c
diff --git a/src/sat/fraig/fraigPrime.c b/abc70930/src/sat/fraig/fraigPrime.c
index 127ad478..127ad478 100644
--- a/src/sat/fraig/fraigPrime.c
+++ b/abc70930/src/sat/fraig/fraigPrime.c
diff --git a/src/sat/fraig/fraigSat.c b/abc70930/src/sat/fraig/fraigSat.c
index 53057fc3..53057fc3 100644
--- a/src/sat/fraig/fraigSat.c
+++ b/abc70930/src/sat/fraig/fraigSat.c
diff --git a/src/sat/fraig/fraigTable.c b/abc70930/src/sat/fraig/fraigTable.c
index b68bbe0e..b68bbe0e 100644
--- a/src/sat/fraig/fraigTable.c
+++ b/abc70930/src/sat/fraig/fraigTable.c
diff --git a/src/sat/fraig/fraigUtil.c b/abc70930/src/sat/fraig/fraigUtil.c
index 342a7111..342a7111 100644
--- a/src/sat/fraig/fraigUtil.c
+++ b/abc70930/src/sat/fraig/fraigUtil.c
diff --git a/src/sat/fraig/fraigVec.c b/abc70930/src/sat/fraig/fraigVec.c
index ba3feecd..ba3feecd 100644
--- a/src/sat/fraig/fraigVec.c
+++ b/abc70930/src/sat/fraig/fraigVec.c
diff --git a/src/sat/fraig/module.make b/abc70930/src/sat/fraig/module.make
index cc6eb9d3..cc6eb9d3 100644
--- a/src/sat/fraig/module.make
+++ b/abc70930/src/sat/fraig/module.make
diff --git a/src/sat/msat/module.make b/abc70930/src/sat/msat/module.make
index 0dadfbe1..0dadfbe1 100644
--- a/src/sat/msat/module.make
+++ b/abc70930/src/sat/msat/module.make
diff --git a/src/sat/msat/msat.h b/abc70930/src/sat/msat/msat.h
index 53353ba6..53353ba6 100644
--- a/src/sat/msat/msat.h
+++ b/abc70930/src/sat/msat/msat.h
diff --git a/src/sat/msat/msatActivity.c b/abc70930/src/sat/msat/msatActivity.c
index 1cd795bd..1cd795bd 100644
--- a/src/sat/msat/msatActivity.c
+++ b/abc70930/src/sat/msat/msatActivity.c
diff --git a/src/sat/msat/msatClause.c b/abc70930/src/sat/msat/msatClause.c
index 2ba8cd32..2ba8cd32 100644
--- a/src/sat/msat/msatClause.c
+++ b/abc70930/src/sat/msat/msatClause.c
diff --git a/src/sat/msat/msatClauseVec.c b/abc70930/src/sat/msat/msatClauseVec.c
index 04691cf2..04691cf2 100644
--- a/src/sat/msat/msatClauseVec.c
+++ b/abc70930/src/sat/msat/msatClauseVec.c
diff --git a/src/sat/msat/msatInt.h b/abc70930/src/sat/msat/msatInt.h
index 03903abe..03903abe 100644
--- a/src/sat/msat/msatInt.h
+++ b/abc70930/src/sat/msat/msatInt.h
diff --git a/src/sat/msat/msatMem.c b/abc70930/src/sat/msat/msatMem.c
index 30bf4a96..30bf4a96 100644
--- a/src/sat/msat/msatMem.c
+++ b/abc70930/src/sat/msat/msatMem.c
diff --git a/src/sat/msat/msatOrderH.c b/abc70930/src/sat/msat/msatOrderH.c
index 956e7fc6..956e7fc6 100644
--- a/src/sat/msat/msatOrderH.c
+++ b/abc70930/src/sat/msat/msatOrderH.c
diff --git a/src/sat/msat/msatOrderJ.c b/abc70930/src/sat/msat/msatOrderJ.c
index 4db7ff7b..4db7ff7b 100644
--- a/src/sat/msat/msatOrderJ.c
+++ b/abc70930/src/sat/msat/msatOrderJ.c
diff --git a/src/sat/msat/msatQueue.c b/abc70930/src/sat/msat/msatQueue.c
index 5938e042..5938e042 100644
--- a/src/sat/msat/msatQueue.c
+++ b/abc70930/src/sat/msat/msatQueue.c
diff --git a/src/sat/msat/msatRead.c b/abc70930/src/sat/msat/msatRead.c
index 738562ef..738562ef 100644
--- a/src/sat/msat/msatRead.c
+++ b/abc70930/src/sat/msat/msatRead.c
diff --git a/src/sat/msat/msatSolverApi.c b/abc70930/src/sat/msat/msatSolverApi.c
index ee3507a6..ee3507a6 100644
--- a/src/sat/msat/msatSolverApi.c
+++ b/abc70930/src/sat/msat/msatSolverApi.c
diff --git a/src/sat/msat/msatSolverCore.c b/abc70930/src/sat/msat/msatSolverCore.c
index f9fee73c..f9fee73c 100644
--- a/src/sat/msat/msatSolverCore.c
+++ b/abc70930/src/sat/msat/msatSolverCore.c
diff --git a/src/sat/msat/msatSolverIo.c b/abc70930/src/sat/msat/msatSolverIo.c
index 05b7f6a9..05b7f6a9 100644
--- a/src/sat/msat/msatSolverIo.c
+++ b/abc70930/src/sat/msat/msatSolverIo.c
diff --git a/src/sat/msat/msatSolverSearch.c b/abc70930/src/sat/msat/msatSolverSearch.c
index 11a6540c..11a6540c 100644
--- a/src/sat/msat/msatSolverSearch.c
+++ b/abc70930/src/sat/msat/msatSolverSearch.c
diff --git a/src/sat/msat/msatSort.c b/abc70930/src/sat/msat/msatSort.c
index 3b89d102..3b89d102 100644
--- a/src/sat/msat/msatSort.c
+++ b/abc70930/src/sat/msat/msatSort.c
diff --git a/src/sat/msat/msatVec.c b/abc70930/src/sat/msat/msatVec.c
index 75f53047..75f53047 100644
--- a/src/sat/msat/msatVec.c
+++ b/abc70930/src/sat/msat/msatVec.c
diff --git a/src/sat/proof/pr.c b/abc70930/src/sat/proof/pr.c
index 2d1ab2d1..2d1ab2d1 100644
--- a/src/sat/proof/pr.c
+++ b/abc70930/src/sat/proof/pr.c
diff --git a/src/sat/proof/pr.h b/abc70930/src/sat/proof/pr.h
index 1e71a2d3..1e71a2d3 100644
--- a/src/sat/proof/pr.h
+++ b/abc70930/src/sat/proof/pr.h
diff --git a/src/sat/proof/stats.txt b/abc70930/src/sat/proof/stats.txt
index 470b1630..470b1630 100644
--- a/src/sat/proof/stats.txt
+++ b/abc70930/src/sat/proof/stats.txt
diff --git a/src/aig/dar/darTruth.c b/src/aig/dar/darTruth.c
deleted file mode 100644
index 7fd47787..00000000
--- a/src/aig/dar/darTruth.c
+++ /dev/null
@@ -1,353 +0,0 @@
-/**CFile****************************************************************
-
- FileName [darTruth.c]
-
- SystemName [ABC: Logic synthesis and verification system.]
-
- PackageName [DAG-aware AIG rewriting.]
-
- Synopsis [Computes the truth table of a cut.]
-
- Author [Alan Mishchenko]
-
- Affiliation [UC Berkeley]
-
- Date [Ver. 1.0. Started - April 28, 2007.]
-
- Revision [$Id: darTruth.c,v 1.00 2007/04/28 00:00:00 alanmi Exp $]
-
-***********************************************************************/
-
-#include "darInt.h"
-
-////////////////////////////////////////////////////////////////////////
-/// DECLARATIONS ///
-////////////////////////////////////////////////////////////////////////
-
-////////////////////////////////////////////////////////////////////////
-/// FUNCTION DEFINITIONS ///
-////////////////////////////////////////////////////////////////////////
-
-#if 0
-
-/**Function*************************************************************
-
- Synopsis [Computes truth table of the cut.]
-
- Description []
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-void Aig_ManCollectCut_rec( Aig_Man_t * p, Aig_Obj_t * pNode, Vec_Int_t * vNodes )
-{
- if ( pNode->fMarkA )
- return;
- pNode->fMarkA = 1;
- assert( Aig_ObjIsAnd(pNode) || Aig_ObjIsExor(pNode) );
- Aig_ManCollectCut_rec( p, Aig_ObjFanin0(pNode), vNodes );
- Aig_ManCollectCut_rec( p, Aig_ObjFanin1(pNode), vNodes );
- Vec_IntPush( vNodes, pNode->Id );
-}
-
-/**Function*************************************************************
-
- Synopsis [Computes truth table of the cut.]
-
- Description [Does not modify the array of leaves. Uses array vTruth to store
- temporary truth tables. The returned pointer should be used immediately.]
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-void Aig_ManCollectCut( Aig_Man_t * p, Aig_Obj_t * pRoot, Vec_Int_t * vLeaves, Vec_Int_t * vNodes )
-{
- int i, Leaf;
- // collect and mark the leaves
- Vec_IntClear( vNodes );
- Vec_IntForEachEntry( vLeaves, Leaf, i )
- {
- Vec_IntPush( vNodes, Leaf );
- Aig_ManObj(p, Leaf)->fMarkA = 1;
- }
- // collect and mark the nodes
- Aig_ManCollectCut_rec( p, pRoot, vNodes );
- // clean the nodes
- Vec_IntForEachEntry( vNodes, Leaf, i )
- Aig_ManObj(p, Leaf)->fMarkA = 0;
-}
-
-/**Function*************************************************************
-
- Synopsis [Returns the pointer to the truth table.]
-
- Description []
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-unsigned * Aig_ObjGetTruthStore( int ObjNum, Vec_Int_t * vTruth )
-{
- return ((unsigned *)Vec_IntArray(vTruth)) + 8 * ObjNum;
-}
-
-/**Function*************************************************************
-
- Synopsis [Computes truth table of the cut.]
-
- Description []
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-void Aig_ManCutTruthOne( Aig_Man_t * p, Aig_Obj_t * pNode, Vec_Int_t * vTruth, int nWords )
-{
- unsigned * pTruth, * pTruth0, * pTruth1;
- int i;
- pTruth = Aig_ObjGetTruthStore( pNode->Level, vTruth );
- pTruth0 = Aig_ObjGetTruthStore( Aig_ObjFanin0(pNode)->Level, vTruth );
- pTruth1 = Aig_ObjGetTruthStore( Aig_ObjFanin1(pNode)->Level, vTruth );
- if ( Aig_ObjIsExor(pNode) )
- for ( i = 0; i < nWords; i++ )
- pTruth[i] = pTruth0[i] ^ pTruth1[i];
- else if ( !Aig_ObjFaninC0(pNode) && !Aig_ObjFaninC1(pNode) )
- for ( i = 0; i < nWords; i++ )
- pTruth[i] = pTruth0[i] & pTruth1[i];
- else if ( !Aig_ObjFaninC0(pNode) && Aig_ObjFaninC1(pNode) )
- for ( i = 0; i < nWords; i++ )
- pTruth[i] = pTruth0[i] & ~pTruth1[i];
- else if ( Aig_ObjFaninC0(pNode) && !Aig_ObjFaninC1(pNode) )
- for ( i = 0; i < nWords; i++ )
- pTruth[i] = ~pTruth0[i] & pTruth1[i];
- else // if ( Aig_ObjFaninC0(pNode) && Aig_ObjFaninC1(pNode) )
- for ( i = 0; i < nWords; i++ )
- pTruth[i] = ~pTruth0[i] & ~pTruth1[i];
-}
-
-/**Function*************************************************************
-
- Synopsis [Computes truth table of the cut.]
-
- Description [Does not modify the array of leaves. Uses array vTruth to store
- temporary truth tables. The returned pointer should be used immediately.]
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-unsigned * Aig_ManCutTruth( Aig_Man_t * p, Aig_Obj_t * pRoot, Vec_Int_t * vLeaves, Vec_Int_t * vNodes, Vec_Int_t * vTruth )
-{
- static unsigned uTruths[8][8] = { // elementary truth tables
- { 0xAAAAAAAA,0xAAAAAAAA,0xAAAAAAAA,0xAAAAAAAA,0xAAAAAAAA,0xAAAAAAAA,0xAAAAAAAA,0xAAAAAAAA },
- { 0xCCCCCCCC,0xCCCCCCCC,0xCCCCCCCC,0xCCCCCCCC,0xCCCCCCCC,0xCCCCCCCC,0xCCCCCCCC,0xCCCCCCCC },
- { 0xF0F0F0F0,0xF0F0F0F0,0xF0F0F0F0,0xF0F0F0F0,0xF0F0F0F0,0xF0F0F0F0,0xF0F0F0F0,0xF0F0F0F0 },
- { 0xFF00FF00,0xFF00FF00,0xFF00FF00,0xFF00FF00,0xFF00FF00,0xFF00FF00,0xFF00FF00,0xFF00FF00 },
- { 0xFFFF0000,0xFFFF0000,0xFFFF0000,0xFFFF0000,0xFFFF0000,0xFFFF0000,0xFFFF0000,0xFFFF0000 },
- { 0x00000000,0xFFFFFFFF,0x00000000,0xFFFFFFFF,0x00000000,0xFFFFFFFF,0x00000000,0xFFFFFFFF },
- { 0x00000000,0x00000000,0xFFFFFFFF,0xFFFFFFFF,0x00000000,0x00000000,0xFFFFFFFF,0xFFFFFFFF },
- { 0x00000000,0x00000000,0x00000000,0x00000000,0xFFFFFFFF,0xFFFFFFFF,0xFFFFFFFF,0xFFFFFFFF }
- };
- int i, Leaf;
- // collect the cut
-// Aig_ManCollectCut( p, pRoot, vLeaves, vNodes );
- // set the node numbers
- Vec_IntForEachEntry( vNodes, Leaf, i )
- Aig_ManObj(p, Leaf)->Level = i;
- // alloc enough memory
- Vec_IntClear( vTruth );
- Vec_IntGrow( vTruth, 8 * Vec_IntSize(vNodes) );
- // set the elementary truth tables
- Vec_IntForEachEntry( vLeaves, Leaf, i )
- memcpy( Aig_ObjGetTruthStore(i, vTruth), uTruths[i], 8 * sizeof(unsigned) );
- // compute truths for other nodes
- Vec_IntForEachEntryStart( vNodes, Leaf, i, Vec_IntSize(vLeaves) )
- Aig_ManCutTruthOne( p, Aig_ManObj(p, Leaf), vTruth, 8 );
- return Aig_ObjGetTruthStore( pRoot->Level, vTruth );
-}
-
-static inline int Kit_TruthWordNum( int nVars ) { return nVars <= 5 ? 1 : (1 << (nVars - 5)); }
-static inline void Kit_TruthNot( unsigned * pOut, unsigned * pIn, int nVars )
-{
- int w;
- for ( w = Kit_TruthWordNum(nVars)-1; w >= 0; w-- )
- pOut[w] = ~pIn[w];
-}
-
-/**Function*************************************************************
-
- Synopsis [Computes the cost based on two ISOPs.]
-
- Description []
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-int Aig_ManLargeCutEvalIsop( unsigned * pTruth, int nVars, Vec_Int_t * vMemory )
-{
- extern int Kit_TruthIsop( unsigned * puTruth, int nVars, Vec_Int_t * vMemory, int fTryBoth );
- int RetValue, nClauses;
- // compute ISOP for the positive phase
- RetValue = Kit_TruthIsop( pTruth, nVars, vMemory, 0 );
- if ( RetValue == -1 )
- return AIG_INFINITY;
- assert( RetValue == 0 || RetValue == 1 );
- nClauses = Vec_IntSize( vMemory );
- // compute ISOP for the negative phase
- Kit_TruthNot( pTruth, pTruth, nVars );
- RetValue = Kit_TruthIsop( pTruth, nVars, vMemory, 0 );
- if ( RetValue == -1 )
- return AIG_INFINITY;
- Kit_TruthNot( pTruth, pTruth, nVars );
- assert( RetValue == 0 || RetValue == 1 );
- nClauses += Vec_IntSize( vMemory );
- return nClauses;
-}
-
-/**Function*************************************************************
-
- Synopsis [Computes truth table of the cut.]
-
- Description []
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-void Aig_ManLargeCutCollect_rec( Aig_Man_t * p, Aig_Obj_t * pNode, Vec_Int_t * vLeaves, Vec_Int_t * vNodes )
-{
- if ( Aig_ObjIsTravIdCurrent(p, pNode) )
- return;
- if ( Aig_ObjIsTravIdPrevious(p, pNode) )
- {
- Vec_IntPush( vLeaves, pNode->Id );
-// Vec_IntPush( vNodes, pNode->Id );
- Aig_ObjSetTravIdCurrent( p, pNode );
- return;
- }
- assert( Aig_ObjIsAnd(pNode) || Aig_ObjIsExor(pNode) );
- Aig_ObjSetTravIdCurrent( p, pNode );
- Aig_ManLargeCutCollect_rec( p, Aig_ObjFanin0(pNode), vLeaves, vNodes );
- Aig_ManLargeCutCollect_rec( p, Aig_ObjFanin1(pNode), vLeaves, vNodes );
- Vec_IntPush( vNodes, pNode->Id );
-}
-
-/**Function*************************************************************
-
- Synopsis [Collect leaves and nodes.]
-
- Description []
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-void Aig_ManLargeCutCollect( Aig_Man_t * p, Aig_Obj_t * pRoot, Aig_Cut_t * pCutR, Aig_Cut_t * pCutL, int Leaf,
- Vec_Int_t * vLeaves, Vec_Int_t * vNodes )
-{
- Vec_Int_t * vTemp;
- Aig_Obj_t * pObj;
- int Node, i;
-
- Aig_ManIncrementTravId( p );
- Aig_CutForEachLeaf( p, pCutR, pObj, i )
- if ( pObj->Id != Leaf )
- Aig_ObjSetTravIdCurrent( p, pObj );
- Aig_CutForEachLeaf( p, pCutL, pObj, i )
- Aig_ObjSetTravIdCurrent( p, pObj );
-
- // collect the internal nodes and leaves
- Aig_ManIncrementTravId( p );
- vTemp = Vec_IntAlloc( 100 );
- Aig_ManLargeCutCollect_rec( p, pRoot, vLeaves, vTemp );
-
- Vec_IntForEachEntry( vLeaves, Node, i )
- Vec_IntPush( vNodes, Node );
- Vec_IntForEachEntry( vTemp, Node, i )
- Vec_IntPush( vNodes, Node );
-
- Vec_IntFree( vTemp );
-
-}
-
-/**Function*************************************************************
-
- Synopsis []
-
- Description []
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-int Aig_ManLargeCutEval( Aig_Man_t * p, Aig_Obj_t * pRoot, Aig_Cut_t * pCutR, Aig_Cut_t * pCutL, int Leaf )
-{
- Vec_Int_t * vLeaves, * vNodes, * vTruth, * vMemory;
- unsigned * pTruth;
- int RetValue;
-// Aig_Obj_t * pObj;
-
- vMemory = Vec_IntAlloc( 1 << 16 );
- vTruth = Vec_IntAlloc( 1 << 16 );
- vLeaves = Vec_IntAlloc( 100 );
- vNodes = Vec_IntAlloc( 100 );
-
- Aig_ManLargeCutCollect( p, pRoot, pCutR, pCutL, Leaf, vLeaves, vNodes );
-/*
- // collect the nodes
- Aig_CutForEachLeaf( p, pCutR, pObj, i )
- {
- if ( pObj->Id == Leaf )
- continue;
- if ( pObj->fMarkA )
- continue;
- pObj->fMarkA = 1;
- Vec_IntPush( vLeaves, pObj->Id );
- Vec_IntPush( vNodes, pObj->Id );
- }
- Aig_CutForEachLeaf( p, pCutL, pObj, i )
- {
- if ( pObj->fMarkA )
- continue;
- pObj->fMarkA = 1;
- Vec_IntPush( vLeaves, pObj->Id );
- Vec_IntPush( vNodes, pObj->Id );
- }
- // collect and mark the nodes
- Aig_ManCollectCut_rec( p, pRoot, vNodes );
- // clean the nodes
- Vec_IntForEachEntry( vNodes, Leaf, i )
- Aig_ManObj(p, Leaf)->fMarkA = 0;
-*/
-
- pTruth = Aig_ManCutTruth( p, pRoot, vLeaves, vNodes, vTruth );
- RetValue = Aig_ManLargeCutEvalIsop( pTruth, Vec_IntSize(vLeaves), vMemory );
-
- Vec_IntFree( vLeaves );
- Vec_IntFree( vNodes );
- Vec_IntFree( vTruth );
- Vec_IntFree( vMemory );
-
- return RetValue;
-}
-
-#endif
-
-////////////////////////////////////////////////////////////////////////
-/// END OF FILE ///
-////////////////////////////////////////////////////////////////////////
-
-
diff --git a/src/base/abci/abc_new.h b/src/base/abci/abc_new.h
deleted file mode 100644
index 3460bb38..00000000
--- a/src/base/abci/abc_new.h
+++ /dev/null
@@ -1,23 +0,0 @@
-struct Abc_Obj_t_ // 6 words
-{
- Abc_Obj_t * pCopy; // the copy of this object
- Abc_Ntk_t * pNtk; // the host network
- int Id; // the object ID
- int TravId; // the traversal ID
- int nRefs; // the number of fanouts
- unsigned Type : 4; // the object type
- unsigned fMarkA : 1; // the multipurpose mark
- unsigned fMarkB : 1; // the multipurpose mark
- unsigned fPhase : 1; // the flag to mark the phase of equivalent node
- unsigned fPersist: 1; // marks the persistant AIG node
- unsigned nFanins : 24; // the level of the node
- Abc_Obj_t * Fanins[0]; // the array of fanins
-};
-
-struct Abc_Pin_t_ // 4 words
-{
- Abc_Pin_t * pNext;
- Abc_Pin_t * pPrev;
- Abc_Obj_t * pFanin;
- Abc_Obj_t * pFanout;
-};
diff --git a/src/base/func/funcBlifMv.c b/src/base/func/funcBlifMv.c
deleted file mode 100644
index 0e395066..00000000
--- a/src/base/func/funcBlifMv.c
+++ /dev/null
@@ -1,62 +0,0 @@
-/**CFile****************************************************************
-
- FileName [funcBlifMv.c]
-
- SystemName [ABC: Logic synthesis and verification system.]
-
- PackageName [Network and node package.]
-
- Synopsis [Implementation of BLIF-MV representation of the nodes.]
-
- Author [Alan Mishchenko]
-
- Affiliation [UC Berkeley]
-
- Date [Ver. 1.0. Started - June 20, 2005.]
-
- Revision [$Id: funcBlifMv.c,v 1.00 2005/06/20 00:00:00 alanmi Exp $]
-
-***********************************************************************/
-
-#include "abc.h"
-
-/*
- The BLIF-MV tables are represented using char * strings.
- For example, the representation of the table
-
- .table c d0 d1 x
- .default 0
- 0 - - =d0
- 1 - 1 1
-
- is the string: "2 2 2 2\n0\n0 - - =1\n1 - 1 1\n" where '\n' is a single char.
-*/
-
-////////////////////////////////////////////////////////////////////////
-/// DECLARATIONS ///
-////////////////////////////////////////////////////////////////////////
-
-////////////////////////////////////////////////////////////////////////
-/// FUNCTION DEFINITIONS ///
-////////////////////////////////////////////////////////////////////////
-
-/**Function*************************************************************
-
- Synopsis []
-
- Description []
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-Hop_Obj_t * Abc_ConvertBlifMvToAig( Hop_Man_t * pMan, char * pSop )
-{
-}
-
-////////////////////////////////////////////////////////////////////////
-/// END OF FILE ///
-////////////////////////////////////////////////////////////////////////
-
-
diff --git a/src/base/io/ioWriteVerilog.zip b/src/base/io/ioWriteVerilog.zip
deleted file mode 100644
index 19e68a89..00000000
--- a/src/base/io/ioWriteVerilog.zip
+++ /dev/null
Binary files differ
diff --git a/src/base/seq/module.make b/src/base/seq/module.make
deleted file mode 100644
index c7716180..00000000
--- a/src/base/seq/module.make
+++ /dev/null
@@ -1,14 +0,0 @@
-SRC += src/base/seq/seqAigCore.c \
- src/base/seq/seqAigIter.c \
- src/base/seq/seqCreate.c \
- src/base/seq/seqFpgaCore.c \
- src/base/seq/seqFpgaIter.c \
- src/base/seq/seqLatch.c \
- src/base/seq/seqMan.c \
- src/base/seq/seqMapCore.c \
- src/base/seq/seqMapIter.c \
- src/base/seq/seqMaxMeanCycle.c \
- src/base/seq/seqRetCore.c \
- src/base/seq/seqRetIter.c \
- src/base/seq/seqShare.c \
- src/base/seq/seqUtil.c
diff --git a/src/base/seq/seq.h b/src/base/seq/seq.h
deleted file mode 100644
index d3c9abda..00000000
--- a/src/base/seq/seq.h
+++ /dev/null
@@ -1,101 +0,0 @@
-/**CFile****************************************************************
-
- FileName [seq.h]
-
- SystemName [ABC: Logic synthesis and verification system.]
-
- PackageName [Construction and manipulation of sequential AIGs.]
-
- Synopsis [External declarations.]
-
- Author [Alan Mishchenko]
-
- Affiliation [UC Berkeley]
-
- Date [Ver. 1.0. Started - June 20, 2005.]
-
- Revision [$Id: seq.h,v 1.00 2005/06/20 00:00:00 alanmi Exp $]
-
-***********************************************************************/
-
-#ifndef __SEQ_H__
-#define __SEQ_H__
-
-#ifdef __cplusplus
-extern "C" {
-#endif
-
-////////////////////////////////////////////////////////////////////////
-/// INCLUDES ///
-////////////////////////////////////////////////////////////////////////
-
-////////////////////////////////////////////////////////////////////////
-/// PARAMETERS ///
-////////////////////////////////////////////////////////////////////////
-
-////////////////////////////////////////////////////////////////////////
-/// BASIC TYPES ///
-////////////////////////////////////////////////////////////////////////
-
-typedef struct Abc_Seq_t_ Abc_Seq_t;
-
-////////////////////////////////////////////////////////////////////////
-/// MACRO DEFINITIONS ///
-////////////////////////////////////////////////////////////////////////
-
-////////////////////////////////////////////////////////////////////////
-/// FUNCTION DECLARATIONS ///
-////////////////////////////////////////////////////////////////////////
-
-/*=== seqAigCore.c ===========================================================*/
-extern void Seq_NtkSeqRetimeDelay( Abc_Ntk_t * pNtk, int nMaxIters, int fInitial, int fVerbose );
-extern void Seq_NtkSeqRetimeForward( Abc_Ntk_t * pNtk, int fInitial, int fVerbose );
-extern void Seq_NtkSeqRetimeBackward( Abc_Ntk_t * pNtk, int fInitial, int fVerbose );
-/*=== seqFpgaCore.c ===============================================================*/
-extern Abc_Ntk_t * Seq_NtkFpgaMapRetime( Abc_Ntk_t * pNtk, int nMaxIters, int fVerbose );
-/*=== seqMapCore.c ===============================================================*/
-extern Abc_Ntk_t * Seq_MapRetime( Abc_Ntk_t * pNtk, int nMaxIters, int fVerbose );
-/*=== seqRetCore.c ===========================================================*/
-extern Abc_Ntk_t * Seq_NtkRetime( Abc_Ntk_t * pNtk, int nMaxIters, int fInitial, int fVerbose );
-/*=== seqLatch.c ===============================================================*/
-extern void Seq_NodeDupLats( Abc_Obj_t * pObjNew, Abc_Obj_t * pObj, int Edge );
-extern int Seq_NodeCompareLats( Abc_Obj_t * pObj1, int Edge1, Abc_Obj_t * pObj2, int Edge2 );
-/*=== seqMan.c ===============================================================*/
-extern Abc_Seq_t * Seq_Create( Abc_Ntk_t * pNtk );
-extern void Seq_Resize( Abc_Seq_t * p, int nMaxId );
-extern void Seq_Delete( Abc_Seq_t * p );
-/*=== seqMaxMeanCycle.c ======================================================*/
-extern float Seq_NtkHoward( Abc_Ntk_t * pNtk, int fVerbose );
-extern void Seq_NtkSkewForward( Abc_Ntk_t * pNtk, float period, int fMinimize );
-/*=== abcSeq.c ===============================================================*/
-extern Abc_Ntk_t * Abc_NtkAigToSeq( Abc_Ntk_t * pNtk );
-extern Abc_Ntk_t * Abc_NtkSeqToLogicSop( Abc_Ntk_t * pNtk );
-extern bool Abc_NtkSeqCheck( Abc_Ntk_t * pNtk );
-/*=== seqShare.c =============================================================*/
-extern void Seq_NtkShareFanouts( Abc_Ntk_t * pNtk );
-extern void Seq_NtkShareLatches( Abc_Ntk_t * pNtkNew, Abc_Ntk_t * pNtk );
-extern void Seq_NtkShareLatchesMapping( Abc_Ntk_t * pNtkNew, Abc_Ntk_t * pNtk, Vec_Ptr_t * vMapAnds, int fFpga );
-extern void Seq_NtkShareLatchesClean( Abc_Ntk_t * pNtk );
-/*=== seqUtil.c ==============================================================*/
-extern char * Seq_ObjFaninGetInitPrintable( Abc_Obj_t * pObj, int Edge );
-extern void Seq_NtkLatchSetValues( Abc_Ntk_t * pNtk, Abc_InitType_t Init );
-extern int Seq_NtkLatchNum( Abc_Ntk_t * pNtk );
-extern int Seq_NtkLatchNumMax( Abc_Ntk_t * pNtk );
-extern int Seq_NtkLatchNumShared( Abc_Ntk_t * pNtk );
-extern void Seq_NtkLatchGetInitNums( Abc_Ntk_t * pNtk, int * pInits );
-extern int Seq_NtkLatchGetEqualFaninNum( Abc_Ntk_t * pNtk );
-extern int Seq_NtkCountNodesAboveLimit( Abc_Ntk_t * pNtk, int Limit );
-extern int Seq_MapComputeAreaFlows( Abc_Ntk_t * pNtk, int fVerbose );
-extern Vec_Ptr_t * Seq_NtkReachNodes( Abc_Ntk_t * pNtk, int fFromPos );
-extern int Seq_NtkCleanup( Abc_Ntk_t * pNtk, int fVerbose );
-
-#ifdef __cplusplus
-}
-#endif
-
-#endif
-
-////////////////////////////////////////////////////////////////////////
-/// END OF FILE ///
-////////////////////////////////////////////////////////////////////////
-
diff --git a/src/base/seq/seqAigCore.c b/src/base/seq/seqAigCore.c
deleted file mode 100644
index 42fa14a2..00000000
--- a/src/base/seq/seqAigCore.c
+++ /dev/null
@@ -1,977 +0,0 @@
-/**CFile****************************************************************
-
- FileName [seqRetCore.c]
-
- SystemName [ABC: Logic synthesis and verification system.]
-
- PackageName [Construction and manipulation of sequential AIGs.]
-
- Synopsis [The core of retiming procedures.]
-
- Author [Alan Mishchenko]
-
- Affiliation [UC Berkeley]
-
- Date [Ver. 1.0. Started - June 20, 2005.]
-
- Revision [$Id: seqRetCore.c,v 1.00 2005/06/20 00:00:00 alanmi Exp $]
-
-***********************************************************************/
-
-#include "seqInt.h"
-
-////////////////////////////////////////////////////////////////////////
-/// DECLARATIONS ///
-////////////////////////////////////////////////////////////////////////
-
-/*
- Retiming can be represented in three equivalent forms:
- - as a set of integer lags for each node (array of chars by node ID)
- - as a set of node numbers with lag for each, fwd and bwd (two arrays of Seq_RetStep_t_)
- - as a set of latch moves over the nodes, fwd and bwd (two arrays of node pointers Abc_Obj_t *)
-*/
-
-static void Abc_ObjRetimeForward( Abc_Obj_t * pObj );
-static int Abc_ObjRetimeBackward( Abc_Obj_t * pObj, Abc_Ntk_t * pNtk, stmm_table * tTable, Vec_Int_t * vValues );
-static void Abc_ObjRetimeBackwardUpdateEdge( Abc_Obj_t * pObj, int Edge, stmm_table * tTable );
-static void Abc_NtkRetimeSetInitialValues( Abc_Ntk_t * pNtk, stmm_table * tTable, int * pModel );
-
-static void Seq_NtkImplementRetimingForward( Abc_Ntk_t * pNtk, Vec_Ptr_t * vMoves );
-static int Seq_NtkImplementRetimingBackward( Abc_Ntk_t * pNtk, Vec_Ptr_t * vMoves, int fVerbose );
-static void Abc_ObjRetimeForward( Abc_Obj_t * pObj );
-static int Abc_ObjRetimeBackward( Abc_Obj_t * pObj, Abc_Ntk_t * pNtk, stmm_table * tTable, Vec_Int_t * vValues );
-static void Abc_ObjRetimeBackwardUpdateEdge( Abc_Obj_t * pObj, int Edge, stmm_table * tTable );
-static void Abc_NtkRetimeSetInitialValues( Abc_Ntk_t * pNtk, stmm_table * tTable, int * pModel );
-
-static Vec_Ptr_t * Abc_NtkUtilRetimingTry( Abc_Ntk_t * pNtk, bool fForward );
-static Vec_Ptr_t * Abc_NtkUtilRetimingGetMoves( Abc_Ntk_t * pNtk, Vec_Int_t * vSteps, bool fForward );
-static Vec_Int_t * Abc_NtkUtilRetimingSplit( Vec_Str_t * vLags, int fForward );
-static void Abc_ObjRetimeForwardTry( Abc_Obj_t * pObj, int nLatches );
-static void Abc_ObjRetimeBackwardTry( Abc_Obj_t * pObj, int nLatches );
-
-
-////////////////////////////////////////////////////////////////////////
-/// FUNCTION DEFINITIONS ///
-////////////////////////////////////////////////////////////////////////
-
-/**Function*************************************************************
-
- Synopsis [Performs performs optimal delay retiming.]
-
- Description []
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-void Seq_NtkSeqRetimeDelay( Abc_Ntk_t * pNtk, int nMaxIters, int fInitial, int fVerbose )
-{
- Abc_Seq_t * p = pNtk->pManFunc;
- int RetValue;
- if ( !fInitial )
- Seq_NtkLatchSetValues( pNtk, ABC_INIT_DC );
- // get the retiming lags
- p->nMaxIters = nMaxIters;
- if ( !Seq_AigRetimeDelayLags( pNtk, fVerbose ) )
- return;
- // implement this retiming
- RetValue = Seq_NtkImplementRetiming( pNtk, p->vLags, fVerbose );
- if ( RetValue == 0 )
- printf( "Retiming completed but initial state computation has failed.\n" );
-}
-
-/**Function*************************************************************
-
- Synopsis [Performs most forward retiming.]
-
- Description []
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-void Seq_NtkSeqRetimeForward( Abc_Ntk_t * pNtk, int fInitial, int fVerbose )
-{
- Vec_Ptr_t * vMoves;
- Abc_Obj_t * pNode;
- int i;
- if ( !fInitial )
- Seq_NtkLatchSetValues( pNtk, ABC_INIT_DC );
- // get the forward moves
- vMoves = Abc_NtkUtilRetimingTry( pNtk, 1 );
- // undo the forward moves
- Vec_PtrForEachEntryReverse( vMoves, pNode, i )
- Abc_ObjRetimeBackwardTry( pNode, 1 );
- // implement this forward retiming
- Seq_NtkImplementRetimingForward( pNtk, vMoves );
- Vec_PtrFree( vMoves );
-}
-
-/**Function*************************************************************
-
- Synopsis [Performs most backward retiming.]
-
- Description []
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-void Seq_NtkSeqRetimeBackward( Abc_Ntk_t * pNtk, int fInitial, int fVerbose )
-{
- Vec_Ptr_t * vMoves;
- Abc_Obj_t * pNode;
- int i, RetValue;
- if ( !fInitial )
- Seq_NtkLatchSetValues( pNtk, ABC_INIT_DC );
- // get the backward moves
- vMoves = Abc_NtkUtilRetimingTry( pNtk, 0 );
- // undo the backward moves
- Vec_PtrForEachEntryReverse( vMoves, pNode, i )
- Abc_ObjRetimeForwardTry( pNode, 1 );
- // implement this backward retiming
- RetValue = Seq_NtkImplementRetimingBackward( pNtk, vMoves, fVerbose );
- Vec_PtrFree( vMoves );
- if ( RetValue == 0 )
- printf( "Retiming completed but initial state computation has failed.\n" );
-}
-
-
-
-
-/**Function*************************************************************
-
- Synopsis [Implements the retiming on the sequential AIG.]
-
- Description [Split the retiming into forward and backward.]
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-int Seq_NtkImplementRetiming( Abc_Ntk_t * pNtk, Vec_Str_t * vLags, int fVerbose )
-{
- Vec_Int_t * vSteps;
- Vec_Ptr_t * vMoves;
- int RetValue;
-
- // forward retiming
- vSteps = Abc_NtkUtilRetimingSplit( vLags, 1 );
- // translate each set of steps into moves
- if ( fVerbose )
- printf( "The number of forward steps = %6d.\n", Vec_IntSize(vSteps) );
- vMoves = Abc_NtkUtilRetimingGetMoves( pNtk, vSteps, 1 );
- if ( fVerbose )
- printf( "The number of forward moves = %6d.\n", Vec_PtrSize(vMoves) );
- // implement this retiming
- Seq_NtkImplementRetimingForward( pNtk, vMoves );
- Vec_IntFree( vSteps );
- Vec_PtrFree( vMoves );
-
- // backward retiming
- vSteps = Abc_NtkUtilRetimingSplit( vLags, 0 );
- // translate each set of steps into moves
- if ( fVerbose )
- printf( "The number of backward steps = %6d.\n", Vec_IntSize(vSteps) );
- vMoves = Abc_NtkUtilRetimingGetMoves( pNtk, vSteps, 0 );
- if ( fVerbose )
- printf( "The number of backward moves = %6d.\n", Vec_PtrSize(vMoves) );
- // implement this retiming
- RetValue = Seq_NtkImplementRetimingBackward( pNtk, vMoves, fVerbose );
- Vec_IntFree( vSteps );
- Vec_PtrFree( vMoves );
- return RetValue;
-}
-
-/**Function*************************************************************
-
- Synopsis [Implements the given retiming on the sequential AIG.]
-
- Description []
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-void Seq_NtkImplementRetimingForward( Abc_Ntk_t * pNtk, Vec_Ptr_t * vMoves )
-{
- Abc_Obj_t * pNode;
- int i;
- Vec_PtrForEachEntry( vMoves, pNode, i )
- Abc_ObjRetimeForward( pNode );
-}
-
-/**Function*************************************************************
-
- Synopsis [Retimes node forward by one latch.]
-
- Description []
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-void Abc_ObjRetimeForward( Abc_Obj_t * pObj )
-{
- Abc_Obj_t * pFanout;
- int Init0, Init1, Init, i;
- assert( Abc_ObjFaninNum(pObj) == 2 );
- assert( Seq_ObjFaninL0(pObj) >= 1 );
- assert( Seq_ObjFaninL1(pObj) >= 1 );
- // remove the init values from the fanins
- Init0 = Seq_NodeDeleteFirst( pObj, 0 );
- Init1 = Seq_NodeDeleteFirst( pObj, 1 );
- assert( Init0 != ABC_INIT_NONE );
- assert( Init1 != ABC_INIT_NONE );
- // take into account the complements in the node
- if ( Abc_ObjFaninC0(pObj) )
- {
- if ( Init0 == ABC_INIT_ZERO )
- Init0 = ABC_INIT_ONE;
- else if ( Init0 == ABC_INIT_ONE )
- Init0 = ABC_INIT_ZERO;
- }
- if ( Abc_ObjFaninC1(pObj) )
- {
- if ( Init1 == ABC_INIT_ZERO )
- Init1 = ABC_INIT_ONE;
- else if ( Init1 == ABC_INIT_ONE )
- Init1 = ABC_INIT_ZERO;
- }
- // compute the value at the output of the node
- if ( Init0 == ABC_INIT_ZERO || Init1 == ABC_INIT_ZERO )
- Init = ABC_INIT_ZERO;
- else if ( Init0 == ABC_INIT_ONE && Init1 == ABC_INIT_ONE )
- Init = ABC_INIT_ONE;
- else
- Init = ABC_INIT_DC;
-
- // make sure the label is clean
- Abc_ObjForEachFanout( pObj, pFanout, i )
- assert( pFanout->fMarkC == 0 );
- // add the init values to the fanouts
- Abc_ObjForEachFanout( pObj, pFanout, i )
- {
- if ( pFanout->fMarkC )
- continue;
- pFanout->fMarkC = 1;
- if ( Abc_ObjFaninId0(pFanout) != Abc_ObjFaninId1(pFanout) )
- Seq_NodeInsertLast( pFanout, Abc_ObjFanoutEdgeNum(pObj, pFanout), Init );
- else
- {
- assert( Abc_ObjFanin0(pFanout) == pObj );
- Seq_NodeInsertLast( pFanout, 0, Init );
- Seq_NodeInsertLast( pFanout, 1, Init );
- }
- }
- // clean the label
- Abc_ObjForEachFanout( pObj, pFanout, i )
- pFanout->fMarkC = 0;
-}
-
-
-/**Function*************************************************************
-
- Synopsis [Implements the given retiming on the sequential AIG.]
-
- Description [Returns 0 of initial state computation fails.]
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-int Seq_NtkImplementRetimingBackward( Abc_Ntk_t * pNtk, Vec_Ptr_t * vMoves, int fVerbose )
-{
- Seq_RetEdge_t RetEdge;
- stmm_table * tTable;
- stmm_generator * gen;
- Vec_Int_t * vValues;
- Abc_Ntk_t * pNtkProb, * pNtkMiter, * pNtkCnf;
- Abc_Obj_t * pNode, * pNodeNew;
- int * pModel, RetValue, i, clk;
-
- // return if the retiming is trivial
- if ( Vec_PtrSize(vMoves) == 0 )
- return 1;
-
- // create the network for the initial state computation
- // start the table and the array of PO values
- pNtkProb = Abc_NtkAlloc( ABC_NTK_LOGIC, ABC_FUNC_SOP, 1 );
- tTable = stmm_init_table( stmm_numcmp, stmm_numhash );
- vValues = Vec_IntAlloc( 100 );
-
- // perform the backward moves and build the network for initial state computation
- RetValue = 0;
- Vec_PtrForEachEntry( vMoves, pNode, i )
- RetValue |= Abc_ObjRetimeBackward( pNode, pNtkProb, tTable, vValues );
-
- // add the PIs corresponding to the white spots
- stmm_foreach_item( tTable, gen, (char **)&RetEdge, (char **)&pNodeNew )
- Abc_ObjAddFanin( pNodeNew, Abc_NtkCreatePi(pNtkProb) );
-
- // add the PI/PO names
- Abc_NtkAddDummyPiNames( pNtkProb );
- Abc_NtkAddDummyPoNames( pNtkProb );
- Abc_NtkAddDummyAssertNames( pNtkProb );
-
- // make sure everything is okay with the network structure
- if ( !Abc_NtkDoCheck( pNtkProb ) )
- {
- printf( "Seq_NtkImplementRetimingBackward: The internal network check has failed.\n" );
- Abc_NtkRetimeSetInitialValues( pNtk, tTable, NULL );
- Abc_NtkDelete( pNtkProb );
- stmm_free_table( tTable );
- Vec_IntFree( vValues );
- return 0;
- }
-
- // check if conflict is found
- if ( RetValue )
- {
- printf( "Seq_NtkImplementRetimingBackward: A top level conflict is detected. DC latch values are used.\n" );
- Abc_NtkRetimeSetInitialValues( pNtk, tTable, NULL );
- Abc_NtkDelete( pNtkProb );
- stmm_free_table( tTable );
- Vec_IntFree( vValues );
- return 0;
- }
-
- // get the miter cone
- pNtkMiter = Abc_NtkCreateTarget( pNtkProb, pNtkProb->vCos, vValues );
- Abc_NtkDelete( pNtkProb );
- Vec_IntFree( vValues );
-
- if ( fVerbose )
- printf( "The number of ANDs in the AIG = %5d.\n", Abc_NtkNodeNum(pNtkMiter) );
-
- // transform the miter into a logic network for efficient CNF construction
-// pNtkCnf = Abc_Ntk_Renode( pNtkMiter, 0, 100, 1, 0, 0 );
-// Abc_NtkDelete( pNtkMiter );
- pNtkCnf = pNtkMiter;
-
- // solve the miter
-clk = clock();
-// RetValue = Abc_NtkMiterSat_OldAndRusty( pNtkCnf, 30, 0 );
- RetValue = Abc_NtkMiterSat( pNtkCnf, (sint64)500000, (sint64)50000000, 0, 0, NULL, NULL );
-if ( fVerbose )
-if ( clock() - clk > 100 )
-{
-PRT( "SAT solving time", clock() - clk );
-}
- pModel = pNtkCnf->pModel; pNtkCnf->pModel = NULL;
- Abc_NtkDelete( pNtkCnf );
-
- // analyze the result
- if ( RetValue == -1 || RetValue == 1 )
- {
- Abc_NtkRetimeSetInitialValues( pNtk, tTable, NULL );
- if ( RetValue == 1 )
- printf( "Seq_NtkImplementRetimingBackward: The problem is unsatisfiable. DC latch values are used.\n" );
- else
- printf( "Seq_NtkImplementRetimingBackward: The SAT problem timed out. DC latch values are used.\n" );
- stmm_free_table( tTable );
- return 0;
- }
-
- // set the values of the latches
- Abc_NtkRetimeSetInitialValues( pNtk, tTable, pModel );
- stmm_free_table( tTable );
- free( pModel );
- return 1;
-}
-
-/**Function*************************************************************
-
- Synopsis [Retimes node backward by one latch.]
-
- Description [Constructs the problem for initial state computation.
- Returns 1 if the conflict is found.]
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-int Abc_ObjRetimeBackward( Abc_Obj_t * pObj, Abc_Ntk_t * pNtkNew, stmm_table * tTable, Vec_Int_t * vValues )
-{
- Abc_Obj_t * pFanout;
- Abc_InitType_t Init, Value;
- Seq_RetEdge_t RetEdge;
- Abc_Obj_t * pNodeNew, * pFanoutNew, * pBuffer;
- int i, Edge, fMet0, fMet1, fMetN;
-
- // make sure the node can be retimed
- assert( Seq_ObjFanoutLMin(pObj) > 0 );
- // get the fanout values
- fMet0 = fMet1 = fMetN = 0;
- Abc_ObjForEachFanout( pObj, pFanout, i )
- {
- if ( Abc_ObjFaninId0(pFanout) == pObj->Id )
- {
- Init = Seq_NodeGetInitLast( pFanout, 0 );
- if ( Init == ABC_INIT_ZERO )
- fMet0 = 1;
- else if ( Init == ABC_INIT_ONE )
- fMet1 = 1;
- else if ( Init == ABC_INIT_NONE )
- fMetN = 1;
- }
- if ( Abc_ObjFaninId1(pFanout) == pObj->Id )
- {
- Init = Seq_NodeGetInitLast( pFanout, 1 );
- if ( Init == ABC_INIT_ZERO )
- fMet0 = 1;
- else if ( Init == ABC_INIT_ONE )
- fMet1 = 1;
- else if ( Init == ABC_INIT_NONE )
- fMetN = 1;
- }
- }
-
- // consider the case when all fanout latches have don't-care values
- // the new values on the fanin edges will be don't-cares
- if ( !fMet0 && !fMet1 && !fMetN )
- {
- // make sure the label is clean
- Abc_ObjForEachFanout( pObj, pFanout, i )
- assert( pFanout->fMarkC == 0 );
- // update the fanout edges
- Abc_ObjForEachFanout( pObj, pFanout, i )
- {
- if ( pFanout->fMarkC )
- continue;
- pFanout->fMarkC = 1;
- if ( Abc_ObjFaninId0(pFanout) == pObj->Id )
- Seq_NodeDeleteLast( pFanout, 0 );
- if ( Abc_ObjFaninId1(pFanout) == pObj->Id )
- Seq_NodeDeleteLast( pFanout, 1 );
- }
- // clean the label
- Abc_ObjForEachFanout( pObj, pFanout, i )
- pFanout->fMarkC = 0;
- // update the fanin edges
- Abc_ObjRetimeBackwardUpdateEdge( pObj, 0, tTable );
- Abc_ObjRetimeBackwardUpdateEdge( pObj, 1, tTable );
- Seq_NodeInsertFirst( pObj, 0, ABC_INIT_DC );
- Seq_NodeInsertFirst( pObj, 1, ABC_INIT_DC );
- return 0;
- }
- // the initial values on the fanout edges contain 0, 1, or unknown
- // the new values on the fanin edges will be unknown
-
- // add new AND-gate to the network
- pNodeNew = Abc_NtkCreateNode( pNtkNew );
- pNodeNew->pData = Abc_SopCreateAnd2( pNtkNew->pManFunc, Abc_ObjFaninC0(pObj), Abc_ObjFaninC1(pObj) );
-
- // add PO fanouts if any
- if ( fMet0 )
- {
- Abc_ObjAddFanin( Abc_NtkCreatePo(pNtkNew), pNodeNew );
- Vec_IntPush( vValues, 0 );
- }
- if ( fMet1 )
- {
- Abc_ObjAddFanin( Abc_NtkCreatePo(pNtkNew), pNodeNew );
- Vec_IntPush( vValues, 1 );
- }
-
- // make sure the label is clean
- Abc_ObjForEachFanout( pObj, pFanout, i )
- assert( pFanout->fMarkC == 0 );
- // perform the changes
- Abc_ObjForEachFanout( pObj, pFanout, i )
- {
- if ( pFanout->fMarkC )
- continue;
- pFanout->fMarkC = 1;
- if ( Abc_ObjFaninId0(pFanout) == pObj->Id )
- {
- Edge = 0;
- Value = Seq_NodeDeleteLast( pFanout, Edge );
- if ( Value == ABC_INIT_NONE )
- {
- // value is unknown, remove it from the table
- RetEdge.iNode = pFanout->Id;
- RetEdge.iEdge = Edge;
- RetEdge.iLatch = Seq_ObjFaninL( pFanout, Edge ); // after edge is removed
- if ( !stmm_delete( tTable, (char **)&RetEdge, (char **)&pFanoutNew ) )
- assert( 0 );
- // create the fanout of the AND gate
- Abc_ObjAddFanin( pFanoutNew, pNodeNew );
- }
- }
- if ( Abc_ObjFaninId1(pFanout) == pObj->Id )
- {
- Edge = 1;
- Value = Seq_NodeDeleteLast( pFanout, Edge );
- if ( Value == ABC_INIT_NONE )
- {
- // value is unknown, remove it from the table
- RetEdge.iNode = pFanout->Id;
- RetEdge.iEdge = Edge;
- RetEdge.iLatch = Seq_ObjFaninL( pFanout, Edge ); // after edge is removed
- if ( !stmm_delete( tTable, (char **)&RetEdge, (char **)&pFanoutNew ) )
- assert( 0 );
- // create the fanout of the AND gate
- Abc_ObjAddFanin( pFanoutNew, pNodeNew );
- }
- }
- }
- // clean the label
- Abc_ObjForEachFanout( pObj, pFanout, i )
- pFanout->fMarkC = 0;
-
- // update the fanin edges
- Abc_ObjRetimeBackwardUpdateEdge( pObj, 0, tTable );
- Abc_ObjRetimeBackwardUpdateEdge( pObj, 1, tTable );
- Seq_NodeInsertFirst( pObj, 0, ABC_INIT_NONE );
- Seq_NodeInsertFirst( pObj, 1, ABC_INIT_NONE );
-
- // add the buffer
- pBuffer = Abc_NtkCreateNode( pNtkNew );
- pBuffer->pData = Abc_SopCreateBuf( pNtkNew->pManFunc );
- Abc_ObjAddFanin( pNodeNew, pBuffer );
- // point to it from the table
- RetEdge.iNode = pObj->Id;
- RetEdge.iEdge = 0;
- RetEdge.iLatch = 0;
- if ( stmm_insert( tTable, (char *)Seq_RetEdge2Int(RetEdge), (char *)pBuffer ) )
- assert( 0 );
-
- // add the buffer
- pBuffer = Abc_NtkCreateNode( pNtkNew );
- pBuffer->pData = Abc_SopCreateBuf( pNtkNew->pManFunc );
- Abc_ObjAddFanin( pNodeNew, pBuffer );
- // point to it from the table
- RetEdge.iNode = pObj->Id;
- RetEdge.iEdge = 1;
- RetEdge.iLatch = 0;
- if ( stmm_insert( tTable, (char *)Seq_RetEdge2Int(RetEdge), (char *)pBuffer ) )
- assert( 0 );
-
- // report conflict is found
- return fMet0 && fMet1;
-}
-
-/**Function*************************************************************
-
- Synopsis [Generates the printable edge label with the initial state.]
-
- Description []
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-void Abc_ObjRetimeBackwardUpdateEdge( Abc_Obj_t * pObj, int Edge, stmm_table * tTable )
-{
- Abc_Obj_t * pFanoutNew;
- Seq_RetEdge_t RetEdge;
- Abc_InitType_t Init;
- int nLatches, i;
-
- // get the number of latches on the edge
- nLatches = Seq_ObjFaninL( pObj, Edge );
- for ( i = nLatches - 1; i >= 0; i-- )
- {
- // get the value of this latch
- Init = Seq_NodeGetInitOne( pObj, Edge, i );
- if ( Init != ABC_INIT_NONE )
- continue;
- // get the retiming edge
- RetEdge.iNode = pObj->Id;
- RetEdge.iEdge = Edge;
- RetEdge.iLatch = i;
- // remove entry from table and add it with a different key
- if ( !stmm_delete( tTable, (char **)&RetEdge, (char **)&pFanoutNew ) )
- assert( 0 );
- RetEdge.iLatch++;
- if ( stmm_insert( tTable, (char *)Seq_RetEdge2Int(RetEdge), (char *)pFanoutNew ) )
- assert( 0 );
- }
-}
-
-/**Function*************************************************************
-
- Synopsis [Sets the initial values.]
-
- Description []
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-void Abc_NtkRetimeSetInitialValues( Abc_Ntk_t * pNtk, stmm_table * tTable, int * pModel )
-{
- Abc_Obj_t * pNode;
- stmm_generator * gen;
- Seq_RetEdge_t RetEdge;
- Abc_InitType_t Init;
- int i;
-
- i = 0;
- stmm_foreach_item( tTable, gen, (char **)&RetEdge, NULL )
- {
- pNode = Abc_NtkObj( pNtk, RetEdge.iNode );
- Init = pModel? (pModel[i]? ABC_INIT_ONE : ABC_INIT_ZERO) : ABC_INIT_DC;
- Seq_NodeSetInitOne( pNode, RetEdge.iEdge, RetEdge.iLatch, Init );
- i++;
- }
-}
-
-
-
-/**Function*************************************************************
-
- Synopsis [Performs forward retiming of the sequential AIG.]
-
- Description []
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-Vec_Ptr_t * Abc_NtkUtilRetimingTry( Abc_Ntk_t * pNtk, bool fForward )
-{
- Vec_Ptr_t * vNodes, * vMoves;
- Abc_Obj_t * pNode, * pFanout, * pFanin;
- int i, k, nLatches;
- assert( Abc_NtkIsSeq( pNtk ) );
- // assume that all nodes can be retimed
- vNodes = Vec_PtrAlloc( 100 );
- Abc_AigForEachAnd( pNtk, pNode, i )
- {
- Vec_PtrPush( vNodes, pNode );
- pNode->fMarkA = 1;
- }
- // process the nodes
- vMoves = Vec_PtrAlloc( 100 );
- Vec_PtrForEachEntry( vNodes, pNode, i )
- {
-// printf( "(%d,%d) ", Seq_ObjFaninL0(pNode), Seq_ObjFaninL0(pNode) );
- // unmark the node as processed
- pNode->fMarkA = 0;
- // get the number of latches to retime
- if ( fForward )
- nLatches = Seq_ObjFaninLMin(pNode);
- else
- nLatches = Seq_ObjFanoutLMin(pNode);
- if ( nLatches == 0 )
- continue;
- assert( nLatches > 0 );
- // retime the latches forward
- if ( fForward )
- Abc_ObjRetimeForwardTry( pNode, nLatches );
- else
- Abc_ObjRetimeBackwardTry( pNode, nLatches );
- // write the moves
- for ( k = 0; k < nLatches; k++ )
- Vec_PtrPush( vMoves, pNode );
- // schedule fanouts for updating
- if ( fForward )
- {
- Abc_ObjForEachFanout( pNode, pFanout, k )
- {
- if ( Abc_ObjFaninNum(pFanout) != 2 || pFanout->fMarkA )
- continue;
- pFanout->fMarkA = 1;
- Vec_PtrPush( vNodes, pFanout );
- }
- }
- else
- {
- Abc_ObjForEachFanin( pNode, pFanin, k )
- {
- if ( Abc_ObjFaninNum(pFanin) != 2 || pFanin->fMarkA )
- continue;
- pFanin->fMarkA = 1;
- Vec_PtrPush( vNodes, pFanin );
- }
- }
- }
- Vec_PtrFree( vNodes );
- // make sure the marks are clean the the retiming is final
- Abc_AigForEachAnd( pNtk, pNode, i )
- {
- assert( pNode->fMarkA == 0 );
- if ( fForward )
- assert( Seq_ObjFaninLMin(pNode) == 0 );
- else
- assert( Seq_ObjFanoutLMin(pNode) == 0 );
- }
- return vMoves;
-}
-
-/**Function*************************************************************
-
- Synopsis [Translates retiming steps into retiming moves.]
-
- Description []
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-Vec_Ptr_t * Abc_NtkUtilRetimingGetMoves( Abc_Ntk_t * pNtk, Vec_Int_t * vSteps, bool fForward )
-{
- Seq_RetStep_t RetStep;
- Vec_Ptr_t * vMoves;
- Abc_Obj_t * pNode;
- int i, k, iNode, nLatches, Number;
- int fChange;
- assert( Abc_NtkIsSeq( pNtk ) );
-
-/*
- // try implementing all the moves at once
- Vec_IntForEachEntry( vSteps, Number, i )
- {
- // get the retiming step
- RetStep = Seq_Int2RetStep( Number );
- // get the node to be retimed
- pNode = Abc_NtkObj( pNtk, RetStep.iNode );
- assert( RetStep.nLatches > 0 );
- nLatches = RetStep.nLatches;
-
- if ( fForward )
- Abc_ObjRetimeForwardTry( pNode, nLatches );
- else
- Abc_ObjRetimeBackwardTry( pNode, nLatches );
- }
- // now look if any node has wrong number of latches
- Abc_AigForEachAnd( pNtk, pNode, i )
- {
- if ( Seq_ObjFaninL0(pNode) < 0 )
- printf( "Wrong 0node %d.\n", pNode->Id );
- if ( Seq_ObjFaninL1(pNode) < 0 )
- printf( "Wrong 1node %d.\n", pNode->Id );
- }
- // try implementing all the moves at once
- Vec_IntForEachEntry( vSteps, Number, i )
- {
- // get the retiming step
- RetStep = Seq_Int2RetStep( Number );
- // get the node to be retimed
- pNode = Abc_NtkObj( pNtk, RetStep.iNode );
- assert( RetStep.nLatches > 0 );
- nLatches = RetStep.nLatches;
-
- if ( !fForward )
- Abc_ObjRetimeForwardTry( pNode, nLatches );
- else
- Abc_ObjRetimeBackwardTry( pNode, nLatches );
- }
-*/
-
- // process the nodes
- vMoves = Vec_PtrAlloc( 100 );
- while ( Vec_IntSize(vSteps) > 0 )
- {
- iNode = 0;
- fChange = 0;
- Vec_IntForEachEntry( vSteps, Number, i )
- {
- // get the retiming step
- RetStep = Seq_Int2RetStep( Number );
- // get the node to be retimed
- pNode = Abc_NtkObj( pNtk, RetStep.iNode );
- assert( RetStep.nLatches > 0 );
- // get the number of latches that can be retimed
- if ( fForward )
- nLatches = Seq_ObjFaninLMin(pNode);
- else
- nLatches = Seq_ObjFanoutLMin(pNode);
- if ( nLatches == 0 )
- {
- Vec_IntWriteEntry( vSteps, iNode++, Seq_RetStep2Int(RetStep) );
- continue;
- }
- assert( nLatches > 0 );
- fChange = 1;
- // get the number of latches to be retimed over this node
- nLatches = ABC_MIN( nLatches, (int)RetStep.nLatches );
- // retime the latches forward
- if ( fForward )
- Abc_ObjRetimeForwardTry( pNode, nLatches );
- else
- Abc_ObjRetimeBackwardTry( pNode, nLatches );
- // write the moves
- for ( k = 0; k < nLatches; k++ )
- Vec_PtrPush( vMoves, pNode );
- // subtract the retiming performed
- RetStep.nLatches -= nLatches;
- // store the node if it is not retimed completely
- if ( RetStep.nLatches > 0 )
- Vec_IntWriteEntry( vSteps, iNode++, Seq_RetStep2Int(RetStep) );
- }
- // reduce the array
- Vec_IntShrink( vSteps, iNode );
- if ( !fChange )
- {
- printf( "Warning: %d strange steps (a minor bug to be fixed later).\n", Vec_IntSize(vSteps) );
-/*
- Vec_IntForEachEntry( vSteps, Number, i )
- {
- RetStep = Seq_Int2RetStep( Number );
- printf( "%d(%d) ", RetStep.iNode, RetStep.nLatches );
- }
- printf( "\n" );
-*/
- break;
- }
- }
- // undo the tentative retiming
- if ( fForward )
- {
- Vec_PtrForEachEntryReverse( vMoves, pNode, i )
- Abc_ObjRetimeBackwardTry( pNode, 1 );
- }
- else
- {
- Vec_PtrForEachEntryReverse( vMoves, pNode, i )
- Abc_ObjRetimeForwardTry( pNode, 1 );
- }
- return vMoves;
-}
-
-
-/**Function*************************************************************
-
- Synopsis [Splits retiming into forward and backward.]
-
- Description []
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-Vec_Int_t * Abc_NtkUtilRetimingSplit( Vec_Str_t * vLags, int fForward )
-{
- Vec_Int_t * vNodes;
- Seq_RetStep_t RetStep;
- int Value, i;
- vNodes = Vec_IntAlloc( 100 );
- Vec_StrForEachEntry( vLags, Value, i )
- {
- if ( Value < 0 && fForward )
- {
- RetStep.iNode = i;
- RetStep.nLatches = -Value;
- Vec_IntPush( vNodes, Seq_RetStep2Int(RetStep) );
- }
- else if ( Value > 0 && !fForward )
- {
- RetStep.iNode = i;
- RetStep.nLatches = Value;
- Vec_IntPush( vNodes, Seq_RetStep2Int(RetStep) );
- }
- }
- return vNodes;
-}
-
-/**Function*************************************************************
-
- Synopsis [Retime node forward without initial states.]
-
- Description []
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-void Abc_ObjRetimeForwardTry( Abc_Obj_t * pObj, int nLatches )
-{
- Abc_Obj_t * pFanout;
- int i;
- // make sure it is an AND gate
- assert( Abc_ObjFaninNum(pObj) == 2 );
- // make sure it has enough latches
-// assert( Seq_ObjFaninL0(pObj) >= nLatches );
-// assert( Seq_ObjFaninL1(pObj) >= nLatches );
- // subtract these latches on the fanin side
- Seq_ObjAddFaninL0( pObj, -nLatches );
- Seq_ObjAddFaninL1( pObj, -nLatches );
- // make sure the label is clean
- Abc_ObjForEachFanout( pObj, pFanout, i )
- assert( pFanout->fMarkC == 0 );
- // add these latches on the fanout side
- Abc_ObjForEachFanout( pObj, pFanout, i )
- {
- if ( pFanout->fMarkC )
- continue;
- pFanout->fMarkC = 1;
- if ( Abc_ObjFaninId0(pFanout) != Abc_ObjFaninId1(pFanout) )
- Seq_ObjAddFanoutL( pObj, pFanout, nLatches );
- else
- {
- assert( Abc_ObjFanin0(pFanout) == pObj );
- Seq_ObjAddFaninL0( pFanout, nLatches );
- Seq_ObjAddFaninL1( pFanout, nLatches );
- }
- }
- // clean the label
- Abc_ObjForEachFanout( pObj, pFanout, i )
- pFanout->fMarkC = 0;
-}
-
-/**Function*************************************************************
-
- Synopsis [Retime node backward without initial states.]
-
- Description []
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-void Abc_ObjRetimeBackwardTry( Abc_Obj_t * pObj, int nLatches )
-{
- Abc_Obj_t * pFanout;
- int i;
- // make sure it is an AND gate
- assert( Abc_ObjFaninNum(pObj) == 2 );
- // make sure the label is clean
- Abc_ObjForEachFanout( pObj, pFanout, i )
- assert( pFanout->fMarkC == 0 );
- // subtract these latches on the fanout side
- Abc_ObjForEachFanout( pObj, pFanout, i )
- {
- if ( pFanout->fMarkC )
- continue;
- pFanout->fMarkC = 1;
-// assert( Abc_ObjFanoutL(pObj, pFanout) >= nLatches );
- if ( Abc_ObjFaninId0(pFanout) != Abc_ObjFaninId1(pFanout) )
- Seq_ObjAddFanoutL( pObj, pFanout, -nLatches );
- else
- {
- assert( Abc_ObjFanin0(pFanout) == pObj );
- Seq_ObjAddFaninL0( pFanout, -nLatches );
- Seq_ObjAddFaninL1( pFanout, -nLatches );
- }
- }
- // clean the label
- Abc_ObjForEachFanout( pObj, pFanout, i )
- pFanout->fMarkC = 0;
- // add these latches on the fanin side
- Seq_ObjAddFaninL0( pObj, nLatches );
- Seq_ObjAddFaninL1( pObj, nLatches );
-}
-
-////////////////////////////////////////////////////////////////////////
-/// END OF FILE ///
-////////////////////////////////////////////////////////////////////////
-
-
diff --git a/src/base/seq/seqAigIter.c b/src/base/seq/seqAigIter.c
deleted file mode 100644
index 392638b8..00000000
--- a/src/base/seq/seqAigIter.c
+++ /dev/null
@@ -1,268 +0,0 @@
-/**CFile****************************************************************
-
- FileName [seqRetIter.c]
-
- SystemName [ABC: Logic synthesis and verification system.]
-
- PackageName [Construction and manipulation of sequential AIGs.]
-
- Synopsis [The iterative L-Value computation for retiming procedures.]
-
- Author [Alan Mishchenko]
-
- Affiliation [UC Berkeley]
-
- Date [Ver. 1.0. Started - June 20, 2005.]
-
- Revision [$Id: seqRetIter.c,v 1.00 2005/06/20 00:00:00 alanmi Exp $]
-
-***********************************************************************/
-
-#include "seqInt.h"
-
-////////////////////////////////////////////////////////////////////////
-/// DECLARATIONS ///
-////////////////////////////////////////////////////////////////////////
-
-// the internal procedures
-static int Seq_RetimeSearch_rec( Abc_Ntk_t * pNtk, int FiMin, int FiMax, int fVerbose );
-static int Seq_RetimeForPeriod( Abc_Ntk_t * pNtk, int Fi, int fVerbose );
-static int Seq_RetimeNodeUpdateLValue( Abc_Obj_t * pObj, int Fi );
-
-////////////////////////////////////////////////////////////////////////
-/// FUNCTION DEFINITIONS ///
-////////////////////////////////////////////////////////////////////////
-
-/**Function*************************************************************
-
- Synopsis [Retimes AIG for optimal delay using Pan's algorithm.]
-
- Description []
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-int Seq_AigRetimeDelayLags( Abc_Ntk_t * pNtk, int fVerbose )
-{
- Abc_Seq_t * p = pNtk->pManFunc;
- Abc_Obj_t * pNode;
- int i, FiMax, RetValue, clk, clkIter;
- char NodeLag;
-
- assert( Abc_NtkIsSeq( pNtk ) );
-
- // get the upper bound on the clock period
- FiMax = 2 + Seq_NtkLevelMax(pNtk);
-
- // make sure this clock period is feasible
- if ( !Seq_RetimeForPeriod( pNtk, FiMax, fVerbose ) )
- {
- Vec_StrFill( p->vLags, p->nSize, 0 );
- printf( "Error: The upper bound on the clock period cannot be computed.\n" );
- printf( "The reason for this error may be the presence in the circuit of logic\n" );
- printf( "that is not reachable from the PIs. Mapping/retiming is not performed.\n" );
- return 0;
- }
-
- // search for the optimal clock period between 0 and nLevelMax
-clk = clock();
- p->FiBestInt = Seq_RetimeSearch_rec( pNtk, 0, FiMax, fVerbose );
-clkIter = clock() - clk;
-
- // recompute the best l-values
- RetValue = Seq_RetimeForPeriod( pNtk, p->FiBestInt, fVerbose );
- assert( RetValue );
-
- // fix the problem with non-converged delays
- Abc_AigForEachAnd( pNtk, pNode, i )
- if ( Seq_NodeGetLValue(pNode) < -ABC_INFINITY/2 )
- Seq_NodeSetLValue( pNode, 0 );
-
- // write the retiming lags
- Vec_StrFill( p->vLags, p->nSize, 0 );
- Abc_AigForEachAnd( pNtk, pNode, i )
- {
- NodeLag = Seq_NodeComputeLag( Seq_NodeGetLValue(pNode), p->FiBestInt );
- Seq_NodeSetLag( pNode, NodeLag );
- }
-
- // print the result
- if ( fVerbose )
- printf( "The best clock period is %3d.\n", p->FiBestInt );
-
-/*
- printf( "lvalues and lags : " );
- Abc_AigForEachAnd( pNtk, pNode, i )
- printf( "%d=%d(%d) ", pNode->Id, Seq_NodeGetLValue(pNode), Seq_NodeGetLag(pNode) );
- printf( "\n" );
-*/
-/*
- {
- FILE * pTable;
- pTable = fopen( "stats.txt", "a+" );
- fprintf( pTable, "%s ", pNtk->pName );
- fprintf( pTable, "%d ", FiBest );
- fprintf( pTable, "\n" );
- fclose( pTable );
- }
-*/
-/*
- {
- FILE * pTable;
- pTable = fopen( "stats.txt", "a+" );
- fprintf( pTable, "%s ", pNtk->pName );
- fprintf( pTable, "%.2f ", (float)(p->timeCuts)/(float)(CLOCKS_PER_SEC) );
- fprintf( pTable, "%.2f ", (float)(clkIter)/(float)(CLOCKS_PER_SEC) );
- fprintf( pTable, "\n" );
- fclose( pTable );
- }
-*/
- return 1;
-
-}
-
-/**Function*************************************************************
-
- Synopsis [Performs binary search for the optimal clock period.]
-
- Description [Assumes that FiMin is infeasible while FiMax is feasible.]
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-int Seq_RetimeSearch_rec( Abc_Ntk_t * pNtk, int FiMin, int FiMax, int fVerbose )
-{
- int Median;
- assert( FiMin < FiMax );
- if ( FiMin + 1 == FiMax )
- return FiMax;
- Median = FiMin + (FiMax - FiMin)/2;
- if ( Seq_RetimeForPeriod( pNtk, Median, fVerbose ) )
- return Seq_RetimeSearch_rec( pNtk, FiMin, Median, fVerbose ); // Median is feasible
- else
- return Seq_RetimeSearch_rec( pNtk, Median, FiMax, fVerbose ); // Median is infeasible
-}
-
-/**Function*************************************************************
-
- Synopsis [Returns 1 if retiming with this clock period is feasible.]
-
- Description []
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-int Seq_RetimeForPeriod( Abc_Ntk_t * pNtk, int Fi, int fVerbose )
-{
- Abc_Seq_t * p = pNtk->pManFunc;
- Abc_Obj_t * pObj;
- int i, c, RetValue, fChange, Counter;
- char * pReason = "";
-
- // set l-values of all nodes to be minus infinity
- Vec_IntFill( p->vLValues, p->nSize, -ABC_INFINITY );
-
- // set l-values of constants and PIs
- pObj = Abc_NtkObj( pNtk, 0 );
- Seq_NodeSetLValue( pObj, 0 );
- Abc_NtkForEachPi( pNtk, pObj, i )
- Seq_NodeSetLValue( pObj, 0 );
-
- // update all values iteratively
- Counter = 0;
- for ( c = 0; c < p->nMaxIters; c++ )
- {
- fChange = 0;
- Abc_AigForEachAnd( pNtk, pObj, i )
- {
- Counter++;
- if ( Seq_NodeCutMan(pObj) )
- RetValue = Seq_FpgaNodeUpdateLValue( pObj, Fi );
- else
- RetValue = Seq_RetimeNodeUpdateLValue( pObj, Fi );
- if ( RetValue == SEQ_UPDATE_YES )
- fChange = 1;
- }
- Abc_NtkForEachPo( pNtk, pObj, i )
- {
- if ( Seq_NodeCutMan(pObj) )
- RetValue = Seq_FpgaNodeUpdateLValue( pObj, Fi );
- else
- RetValue = Seq_RetimeNodeUpdateLValue( pObj, Fi );
- if ( RetValue == SEQ_UPDATE_FAIL )
- break;
- }
- if ( RetValue == SEQ_UPDATE_FAIL )
- break;
- if ( fChange == 0 )
- break;
- }
- if ( c == p->nMaxIters )
- {
- RetValue = SEQ_UPDATE_FAIL;
- pReason = "(timeout)";
- }
- else
- c++;
- // report the results
- if ( fVerbose )
- {
- if ( RetValue == SEQ_UPDATE_FAIL )
- printf( "Period = %3d. Iterations = %3d. Updates = %10d. Infeasible %s\n", Fi, c, Counter, pReason );
- else
- printf( "Period = %3d. Iterations = %3d. Updates = %10d. Feasible\n", Fi, c, Counter );
- }
-/*
- // check if any AND gates have infinite delay
- Counter = 0;
- Abc_AigForEachAnd( pNtk, pObj, i )
- Counter += (Seq_NodeGetLValue(pObj) < -ABC_INFINITY/2);
- if ( Counter > 0 )
- printf( "Warning: %d internal nodes have wrong l-values!\n", Counter );
-*/
- return RetValue != SEQ_UPDATE_FAIL;
-}
-
-/**Function*************************************************************
-
- Synopsis [Computes the l-value of the node.]
-
- Description [The node can be internal or a PO.]
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-int Seq_RetimeNodeUpdateLValue( Abc_Obj_t * pObj, int Fi )
-{
- int lValueNew, lValueOld, lValue0, lValue1;
- assert( !Abc_ObjIsPi(pObj) );
- assert( Abc_ObjFaninNum(pObj) > 0 );
- lValue0 = Seq_NodeGetLValue(Abc_ObjFanin0(pObj)) - Fi * Seq_ObjFaninL0(pObj);
- if ( Abc_ObjIsPo(pObj) )
- return (lValue0 > Fi)? SEQ_UPDATE_FAIL : SEQ_UPDATE_NO;
- if ( Abc_ObjFaninNum(pObj) == 2 )
- lValue1 = Seq_NodeGetLValue(Abc_ObjFanin1(pObj)) - Fi * Seq_ObjFaninL1(pObj);
- else
- lValue1 = -ABC_INFINITY;
- lValueNew = 1 + ABC_MAX( lValue0, lValue1 );
- lValueOld = Seq_NodeGetLValue(pObj);
-// if ( lValueNew == lValueOld )
- if ( lValueNew <= lValueOld )
- return SEQ_UPDATE_NO;
- Seq_NodeSetLValue( pObj, lValueNew );
- return SEQ_UPDATE_YES;
-}
-
-////////////////////////////////////////////////////////////////////////
-/// END OF FILE ///
-////////////////////////////////////////////////////////////////////////
-
-
diff --git a/src/base/seq/seqCreate.c b/src/base/seq/seqCreate.c
deleted file mode 100644
index 16c7cc92..00000000
--- a/src/base/seq/seqCreate.c
+++ /dev/null
@@ -1,482 +0,0 @@
-/**CFile****************************************************************
-
- FileName [seqCreate.c]
-
- SystemName [ABC: Logic synthesis and verification system.]
-
- PackageName [Construction and manipulation of sequential AIGs.]
-
- Synopsis [Transformations to and from the sequential AIG.]
-
- Author [Alan Mishchenko]
-
- Affiliation [UC Berkeley]
-
- Date [Ver. 1.0. Started - June 20, 2005.]
-
- Revision [$Id: seqCreate.c,v 1.00 2005/06/20 00:00:00 alanmi Exp $]
-
-***********************************************************************/
-
-#include "seqInt.h"
-
-/*
- A sequential network is similar to AIG in that it contains only
- AND gates. However, the AND-gates are currently not hashed.
-
- When converting AIG into sequential AIG:
- - Const1/PIs/POs remain the same as in the original AIG.
- - Instead of the latches, a new cutset is added, which is currently
- defined as a set of AND gates that have a latch among their fanouts.
- - The edges of a sequential AIG are labeled with latch attributes
- in addition to the complementation attibutes.
- - The attributes contain information about the number of latches
- and their initial states.
- - The number of latches is stored directly on the edges. The initial
- states are stored in the sequential AIG manager.
-
- In the current version of the code, the sequential AIG is static
- in the sense that the new AIG nodes are never created.
- The retiming (or retiming/mapping) is performed by moving the
- latches over the static nodes of the AIG.
- The new initial state after backward retiming is computed
- by setting up and solving a SAT problem.
-*/
-
-////////////////////////////////////////////////////////////////////////
-/// DECLARATIONS ///
-////////////////////////////////////////////////////////////////////////
-
-static Abc_Obj_t * Abc_NodeAigToSeq( Abc_Obj_t * pObjNew, Abc_Obj_t * pObj, int Edge, Vec_Int_t * vInitValues );
-static void Abc_NtkAigCutsetCopy( Abc_Ntk_t * pNtk );
-static Abc_Obj_t * Abc_NodeSeqToLogic( Abc_Ntk_t * pNtkNew, Abc_Obj_t * pFanin, Seq_Lat_t * pRing, int nLatches );
-
-////////////////////////////////////////////////////////////////////////
-/// FUNCTION DEFINITIONS ///
-////////////////////////////////////////////////////////////////////////
-
-
-/**Function*************************************************************
-
- Synopsis [Converts combinational AIG with latches into sequential AIG.]
-
- Description [The const/PI/PO nodes are duplicated. The internal
- nodes are duplicated in the topological order. The dangling nodes
- are not duplicated. The choice nodes are duplicated.]
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-Abc_Ntk_t * Abc_NtkAigToSeq( Abc_Ntk_t * pNtk )
-{
- Abc_Ntk_t * pNtkNew;
- Abc_Obj_t * pObj, * pFaninNew;
- Vec_Int_t * vInitValues;
- Abc_InitType_t Init;
- int i, k, RetValue;
-
- // make sure it is an AIG without self-feeding latches
- assert( Abc_NtkIsStrash(pNtk) );
- assert( Abc_NtkIsDfsOrdered(pNtk) );
-
- if ( RetValue = Abc_NtkRemoveSelfFeedLatches(pNtk) )
- printf( "Modified %d self-feeding latches. The result may not verify.\n", RetValue );
- assert( Abc_NtkCountSelfFeedLatches(pNtk) == 0 );
-
- // start the network
- pNtkNew = Abc_NtkAlloc( ABC_NTK_SEQ, ABC_FUNC_AIG, 1 );
- // duplicate the name and the spec
- pNtkNew->pName = Extra_UtilStrsav(pNtk->pName);
- pNtkNew->pSpec = Extra_UtilStrsav(pNtk->pSpec);
-
- // map the constant nodes
- Abc_NtkCleanCopy( pNtk );
- Abc_AigConst1(pNtk)->pCopy = Abc_AigConst1(pNtkNew);
-
- // copy all objects, except the latches and constant
- Vec_PtrFill( pNtkNew->vObjs, Abc_NtkObjNumMax(pNtk), NULL );
- Vec_PtrWriteEntry( pNtkNew->vObjs, 0, Abc_AigConst1(pNtk)->pCopy );
- Abc_NtkForEachObj( pNtk, pObj, i )
- {
- if ( i == 0 || Abc_ObjIsLatch(pObj) )
- continue;
- pObj->pCopy = Abc_ObjAlloc( pNtkNew, pObj->Type );
- pObj->pCopy->Id = pObj->Id; // the ID is the same for both
- pObj->pCopy->fPhase = pObj->fPhase; // used to work with choices
- pObj->pCopy->Level = pObj->Level; // used for upper bound on clock cycle
- Vec_PtrWriteEntry( pNtkNew->vObjs, pObj->pCopy->Id, pObj->pCopy );
- pNtkNew->nObjs++;
- }
- pNtkNew->nObjCounts[ABC_OBJ_NODE] = pNtk->nObjCounts[ABC_OBJ_NODE];
-
- // create PI/PO and their names
- Abc_NtkForEachPi( pNtk, pObj, i )
- {
- Vec_PtrPush( pNtkNew->vPis, pObj->pCopy );
- Vec_PtrPush( pNtkNew->vCis, pObj->pCopy );
- Abc_ObjAssignName( pObj->pCopy, Abc_ObjName(pObj), NULL );
- }
- Abc_NtkForEachPo( pNtk, pObj, i )
- {
- Vec_PtrPush( pNtkNew->vPos, pObj->pCopy );
- Vec_PtrPush( pNtkNew->vCos, pObj->pCopy );
- Abc_ObjAssignName( pObj->pCopy, Abc_ObjName(pObj), NULL );
- }
- Abc_NtkForEachAssert( pNtk, pObj, i )
- {
- Vec_PtrPush( pNtkNew->vAsserts, pObj->pCopy );
- Vec_PtrPush( pNtkNew->vCos, pObj->pCopy );
- Abc_ObjAssignName( pObj->pCopy, Abc_ObjName(pObj), NULL );
- }
-
- // relink the choice nodes
- Abc_AigForEachAnd( pNtk, pObj, i )
- if ( pObj->pData )
- pObj->pCopy->pData = ((Abc_Obj_t *)pObj->pData)->pCopy;
-
- // start the storage for initial states
- Seq_Resize( pNtkNew->pManFunc, Abc_NtkObjNumMax(pNtkNew) );
- // reconnect the internal nodes
- vInitValues = Vec_IntAlloc( 100 );
- Abc_NtkForEachObj( pNtk, pObj, i )
- {
- // skip constants, PIs, and latches
- if ( Abc_ObjFaninNum(pObj) == 0 || Abc_ObjIsLatch(pObj) )
- continue;
- // process the first fanin
- Vec_IntClear( vInitValues );
- pFaninNew = Abc_NodeAigToSeq( pObj->pCopy, pObj, 0, vInitValues );
- Abc_ObjAddFanin( pObj->pCopy, pFaninNew );
- // store the initial values
- Vec_IntForEachEntry( vInitValues, Init, k )
- Seq_NodeInsertFirst( pObj->pCopy, 0, Init );
- // skip single-input nodes
- if ( Abc_ObjFaninNum(pObj) == 1 )
- continue;
- // process the second fanin
- Vec_IntClear( vInitValues );
- pFaninNew = Abc_NodeAigToSeq( pObj->pCopy, pObj, 1, vInitValues );
- Abc_ObjAddFanin( pObj->pCopy, pFaninNew );
- // store the initial values
- Vec_IntForEachEntry( vInitValues, Init, k )
- Seq_NodeInsertFirst( pObj->pCopy, 1, Init );
- }
- Vec_IntFree( vInitValues );
-
- // set the cutset composed of latch drivers
- Abc_NtkAigCutsetCopy( pNtk );
- Seq_NtkLatchGetEqualFaninNum( pNtkNew );
-
- // copy EXDC and check correctness
- if ( pNtk->pExdc )
- fprintf( stdout, "Warning: EXDC is not copied when converting to sequential AIG.\n" );
- if ( !Abc_NtkCheck( pNtkNew ) )
- fprintf( stdout, "Abc_NtkAigToSeq(): Network check has failed.\n" );
- return pNtkNew;
-}
-
-/**Function*************************************************************
-
- Synopsis [Determines the fanin that is transparent for latches.]
-
- Description []
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-Abc_Obj_t * Abc_NodeAigToSeq( Abc_Obj_t * pObjNew, Abc_Obj_t * pObj, int Edge, Vec_Int_t * vInitValues )
-{
- Abc_Obj_t * pFanin, * pFaninNew;
- Abc_InitType_t Init;
- // get the given fanin of the node
- pFanin = Abc_ObjFanin( pObj, Edge );
- // if fanin is the internal node, return its copy in the corresponding polarity
- if ( !Abc_ObjIsLatch(pFanin) )
- return Abc_ObjNotCond( pFanin->pCopy, Abc_ObjFaninC(pObj, Edge) );
- // fanin is a latch
- // get the new fanins
- pFaninNew = Abc_NodeAigToSeq( pObjNew, pFanin, 0, vInitValues );
- // get the initial state
- Init = Abc_LatchInit(pFanin);
- // complement the initial state if the inv is retimed over the latch
- if ( Abc_ObjIsComplement(pFaninNew) )
- {
- if ( Init == ABC_INIT_ZERO )
- Init = ABC_INIT_ONE;
- else if ( Init == ABC_INIT_ONE )
- Init = ABC_INIT_ZERO;
- else if ( Init != ABC_INIT_DC )
- assert( 0 );
- }
- // record the initial state
- Vec_IntPush( vInitValues, Init );
- return Abc_ObjNotCond( pFaninNew, Abc_ObjFaninC(pObj, Edge) );
-}
-
-/**Function*************************************************************
-
- Synopsis [Collects the cut set nodes.]
-
- Description [These are internal AND gates that have latch fanouts.]
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-void Abc_NtkAigCutsetCopy( Abc_Ntk_t * pNtk )
-{
- Abc_Obj_t * pLatch, * pDriver, * pDriverNew;
- int i;
- Abc_NtkIncrementTravId(pNtk);
- Abc_NtkForEachLatch( pNtk, pLatch, i )
- {
- pDriver = Abc_ObjFanin0(pLatch);
- if ( Abc_NodeIsTravIdCurrent(pDriver) || !Abc_AigNodeIsAnd(pDriver) )
- continue;
- Abc_NodeSetTravIdCurrent(pDriver);
- pDriverNew = pDriver->pCopy;
- Vec_PtrPush( pDriverNew->pNtk->vCutSet, pDriverNew );
- }
-}
-
-/**Function*************************************************************
-
- Synopsis [Converts a sequential AIG into a logic SOP network.]
-
- Description []
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-Abc_Ntk_t * Abc_NtkSeqToLogicSop( Abc_Ntk_t * pNtk )
-{
- Abc_Ntk_t * pNtkNew;
- Abc_Obj_t * pObj, * pFaninNew;
- Seq_Lat_t * pRing;
- int i;
-
- assert( Abc_NtkIsSeq(pNtk) );
- // start the network without latches
- pNtkNew = Abc_NtkStartFrom( pNtk, ABC_NTK_LOGIC, ABC_FUNC_SOP );
- // duplicate the nodes
- Abc_AigForEachAnd( pNtk, pObj, i )
- {
- Abc_NtkDupObj(pNtkNew, pObj, 0);
- pObj->pCopy->pData = Abc_SopCreateAnd2( pNtkNew->pManFunc, Abc_ObjFaninC0(pObj), Abc_ObjFaninC1(pObj) );
- }
- // share and create the latches
- Seq_NtkShareLatches( pNtkNew, pNtk );
- // connect the objects
- Abc_AigForEachAnd( pNtk, pObj, i )
- {
- if ( pRing = Seq_NodeGetRing(pObj,0) )
- pFaninNew = pRing->pLatch;
- else
- pFaninNew = Abc_ObjFanin0(pObj)->pCopy;
- Abc_ObjAddFanin( pObj->pCopy, pFaninNew );
-
- if ( pRing = Seq_NodeGetRing(pObj,1) )
- pFaninNew = pRing->pLatch;
- else
- pFaninNew = Abc_ObjFanin1(pObj)->pCopy;
- Abc_ObjAddFanin( pObj->pCopy, pFaninNew );
- }
- // connect the POs
- Abc_NtkForEachPo( pNtk, pObj, i )
- {
- if ( pRing = Seq_NodeGetRing(pObj,0) )
- pFaninNew = pRing->pLatch;
- else
- pFaninNew = Abc_ObjFanin0(pObj)->pCopy;
- pFaninNew = Abc_ObjNotCond( pFaninNew, Abc_ObjFaninC0(pObj) );
- Abc_ObjAddFanin( pObj->pCopy, pFaninNew );
- }
- // clean the latch pointers
- Seq_NtkShareLatchesClean( pNtk );
-
- // add the latches and their names
- Abc_NtkAddDummyBoxNames( pNtkNew );
- Abc_NtkOrderCisCos( pNtkNew );
- // fix the problem with complemented and duplicated CO edges
- Abc_NtkLogicMakeSimpleCos( pNtkNew, 0 );
- if ( !Abc_NtkCheck( pNtkNew ) )
- fprintf( stdout, "Abc_NtkSeqToLogicSop(): Network check has failed.\n" );
- return pNtkNew;
-}
-
-
-/**Function*************************************************************
-
- Synopsis [Converts a sequential AIG into a logic SOP network.]
-
- Description []
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-Abc_Ntk_t * Abc_NtkSeqToLogicSop_old( Abc_Ntk_t * pNtk )
-{
- Abc_Ntk_t * pNtkNew;
- Abc_Obj_t * pObj, * pFaninNew;
- int i;
-
- assert( Abc_NtkIsSeq(pNtk) );
- // start the network without latches
- pNtkNew = Abc_NtkStartFrom( pNtk, ABC_NTK_LOGIC, ABC_FUNC_SOP );
-
- // duplicate the nodes, create node functions
- Abc_NtkForEachNode( pNtk, pObj, i )
- {
- // skip the constant
- if ( Abc_ObjFaninNum(pObj) == 0 )
- continue;
- // duplicate the node
- Abc_NtkDupObj(pNtkNew, pObj, 0);
- if ( Abc_ObjFaninNum(pObj) == 1 )
- {
- assert( !Abc_ObjFaninC0(pObj) );
- pObj->pCopy->pData = Abc_SopCreateBuf( pNtkNew->pManFunc );
- continue;
- }
- pObj->pCopy->pData = Abc_SopCreateAnd2( pNtkNew->pManFunc, Abc_ObjFaninC0(pObj), Abc_ObjFaninC1(pObj) );
- }
- // connect the objects
- Abc_NtkForEachObj( pNtk, pObj, i )
- {
- assert( (int)pObj->Id == i );
- // skip PIs and the constant
- if ( Abc_ObjFaninNum(pObj) == 0 )
- continue;
- // create the edge
- pFaninNew = Abc_NodeSeqToLogic( pNtkNew, Abc_ObjFanin0(pObj), Seq_NodeGetRing(pObj,0), Seq_ObjFaninL0(pObj) );
- Abc_ObjAddFanin( pObj->pCopy, pFaninNew );
- if ( Abc_ObjFaninNum(pObj) == 1 )
- {
- // create the complemented edge
- if ( Abc_ObjFaninC0(pObj) )
- Abc_ObjSetFaninC( pObj->pCopy, 0 );
- continue;
- }
- // create the edge
- pFaninNew = Abc_NodeSeqToLogic( pNtkNew, Abc_ObjFanin1(pObj), Seq_NodeGetRing(pObj,1), Seq_ObjFaninL1(pObj) );
- Abc_ObjAddFanin( pObj->pCopy, pFaninNew );
- // the complemented edges are subsumed by the node function
- }
- // add the latches and their names
- Abc_NtkAddDummyBoxNames( pNtkNew );
- Abc_NtkOrderCisCos( pNtkNew );
- // fix the problem with complemented and duplicated CO edges
- Abc_NtkLogicMakeSimpleCos( pNtkNew, 0 );
- if ( !Abc_NtkCheck( pNtkNew ) )
- fprintf( stdout, "Abc_NtkSeqToLogicSop(): Network check has failed.\n" );
- return pNtkNew;
-}
-
-
-/**Function*************************************************************
-
- Synopsis [Creates latches on one edge.]
-
- Description []
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-Abc_Obj_t * Abc_NodeSeqToLogic( Abc_Ntk_t * pNtkNew, Abc_Obj_t * pFanin, Seq_Lat_t * pRing, int nLatches )
-{
- Abc_Obj_t * pLatch;
- if ( nLatches == 0 )
- {
- assert( pFanin->pCopy );
- return pFanin->pCopy;
- }
- pFanin = Abc_NodeSeqToLogic( pNtkNew, pFanin, Seq_LatNext(pRing), nLatches - 1 );
- pLatch = Abc_NtkCreateLatch( pNtkNew );
- pLatch->pData = (void *)Seq_LatInit( pRing );
- Abc_ObjAddFanin( pLatch, pFanin );
- return pLatch;
-}
-
-/**Function*************************************************************
-
- Synopsis [Makes sure that every node in the table is in the network and vice versa.]
-
- Description []
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-bool Abc_NtkSeqCheck( Abc_Ntk_t * pNtk )
-{
- Abc_Obj_t * pObj;
- int i, nFanins;
- Abc_NtkForEachNode( pNtk, pObj, i )
- {
- nFanins = Abc_ObjFaninNum(pObj);
- if ( nFanins == 0 )
- {
- if ( pObj != Abc_AigConst1(pNtk) )
- {
- printf( "Abc_SeqCheck: The AIG has non-standard constant nodes.\n" );
- return 0;
- }
- continue;
- }
- if ( nFanins == 1 )
- {
- printf( "Abc_SeqCheck: The AIG has single input nodes.\n" );
- return 0;
- }
- if ( nFanins > 2 )
- {
- printf( "Abc_SeqCheck: The AIG has non-standard nodes.\n" );
- return 0;
- }
- }
- // check the correctness of the internal representation of the initial states
- Abc_NtkForEachObj( pNtk, pObj, i )
- {
- nFanins = Abc_ObjFaninNum(pObj);
- if ( nFanins == 0 )
- continue;
- if ( nFanins == 1 )
- {
- if ( Seq_NodeCountLats(pObj, 0) != Seq_ObjFaninL0(pObj) )
- {
- printf( "Abc_SeqCheck: Node %d has mismatch in the number of latches.\n", Abc_ObjName(pObj) );
- return 0;
- }
- }
- // look at both inputs
- if ( Seq_NodeCountLats(pObj, 0) != Seq_ObjFaninL0(pObj) )
- {
- printf( "Abc_SeqCheck: The first fanin of node %d has mismatch in the number of latches.\n", Abc_ObjName(pObj) );
- return 0;
- }
- if ( Seq_NodeCountLats(pObj, 1) != Seq_ObjFaninL1(pObj) )
- {
- printf( "Abc_SeqCheck: The second fanin of node %d has mismatch in the number of latches.\n", Abc_ObjName(pObj) );
- return 0;
- }
- }
- return 1;
-}
-
-////////////////////////////////////////////////////////////////////////
-/// END OF FILE ///
-////////////////////////////////////////////////////////////////////////
-
-
diff --git a/src/base/seq/seqFpgaCore.c b/src/base/seq/seqFpgaCore.c
deleted file mode 100644
index b106ded2..00000000
--- a/src/base/seq/seqFpgaCore.c
+++ /dev/null
@@ -1,643 +0,0 @@
-/**CFile****************************************************************
-
- FileName [seqFpgaCore.c]
-
- SystemName [ABC: Logic synthesis and verification system.]
-
- PackageName [Construction and manipulation of sequential AIGs.]
-
- Synopsis [The core of FPGA mapping/retiming package.]
-
- Author [Alan Mishchenko]
-
- Affiliation [UC Berkeley]
-
- Date [Ver. 1.0. Started - June 20, 2005.]
-
- Revision [$Id: seqFpgaCore.c,v 1.00 2005/06/20 00:00:00 alanmi Exp $]
-
-***********************************************************************/
-
-#include "seqInt.h"
-
-////////////////////////////////////////////////////////////////////////
-/// DECLARATIONS ///
-////////////////////////////////////////////////////////////////////////
-
-static Abc_Ntk_t * Seq_NtkFpgaDup( Abc_Ntk_t * pNtk );
-static int Seq_NtkFpgaInitCompatible( Abc_Ntk_t * pNtk, int fVerbose );
-static Abc_Ntk_t * Seq_NtkSeqFpgaMapped( Abc_Ntk_t * pNtkNew );
-static int Seq_FpgaMappingCount( Abc_Ntk_t * pNtk );
-static int Seq_FpgaMappingCount_rec( Abc_Ntk_t * pNtk, unsigned SeqEdge, Vec_Ptr_t * vLeaves );
-static Abc_Obj_t * Seq_FpgaMappingBuild_rec( Abc_Ntk_t * pNtkNew, Abc_Ntk_t * pNtk, unsigned SeqEdge, int fTop, int LagCut, Vec_Ptr_t * vLeaves );
-static DdNode * Seq_FpgaMappingBdd_rec( DdManager * dd, Abc_Ntk_t * pNtk, unsigned SeqEdge, Vec_Ptr_t * vLeaves );
-static void Seq_FpgaMappingEdges_rec( Abc_Ntk_t * pNtk, unsigned SeqEdge, Abc_Obj_t * pPrev, Vec_Ptr_t * vLeaves, Vec_Vec_t * vMapEdges );
-static void Seq_FpgaMappingConnect_rec( Abc_Ntk_t * pNtk, unsigned SeqEdge, Abc_Obj_t * pPrev, int Edge, Abc_Obj_t * pRoot, Vec_Ptr_t * vLeaves );
-static DdNode * Seq_FpgaMappingConnectBdd_rec( Abc_Ntk_t * pNtk, unsigned SeqEdge, Abc_Obj_t * pPrev, int Edge, Abc_Obj_t * pRoot, Vec_Ptr_t * vLeaves );
-
-////////////////////////////////////////////////////////////////////////
-/// FUNCTION DEFINITIONS ///
-////////////////////////////////////////////////////////////////////////
-
-/**Function*************************************************************
-
- Synopsis [Performs FPGA mapping and retiming.]
-
- Description []
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-Abc_Ntk_t * Seq_NtkFpgaMapRetime( Abc_Ntk_t * pNtk, int nMaxIters, int fVerbose )
-{
- Abc_Seq_t * p = pNtk->pManFunc;
- Abc_Ntk_t * pNtkNew;
- Abc_Ntk_t * pNtkMap;
- int RetValue;
-
- // get the LUT library
- p->nVarsMax = Fpga_LutLibReadVarMax( Abc_FrameReadLibLut() );
- p->nMaxIters = nMaxIters;
-
- // find the best mapping and retiming for all nodes (p->vLValues, p->vBestCuts, p->vLags)
- if ( !Seq_FpgaMappingDelays( pNtk, fVerbose ) )
- return NULL;
- if ( RetValue = Abc_NtkGetChoiceNum(pNtk) )
- {
- printf( "The network has %d choices. The resulting network is not derived (this is temporary).\n", RetValue );
- printf( "The mininum clock period computed is %d.\n", p->FiBestInt );
- return NULL;
- }
-
- // duplicate the nodes contained in multiple cuts
- pNtkNew = Seq_NtkFpgaDup( pNtk );
-// return pNtkNew;
-
- // implement the retiming
- RetValue = Seq_NtkImplementRetiming( pNtkNew, ((Abc_Seq_t *)pNtkNew->pManFunc)->vLags, fVerbose );
- if ( RetValue == 0 )
- printf( "Retiming completed but initial state computation has failed.\n" );
-// return pNtkNew;
-
- // check the compatibility of initial states computed
- if ( RetValue = Seq_NtkFpgaInitCompatible( pNtkNew, fVerbose ) )
- printf( "The number of LUTs with incompatible edges = %d.\n", RetValue );
-
- // create the final mapped network
- pNtkMap = Seq_NtkSeqFpgaMapped( pNtkNew );
- Abc_NtkDelete( pNtkNew );
- if ( RetValue )
- printf( "The number of LUTs with more than %d inputs = %d.\n",
- p->nVarsMax, Seq_NtkCountNodesAboveLimit(pNtkMap, p->nVarsMax) );
- return pNtkMap;
-}
-
-/**Function*************************************************************
-
- Synopsis [Derives the network by duplicating some of the nodes.]
-
- Description [Information about mapping is given as mapping nodes (p->vMapAnds)
- and best cuts for each node (p->vMapCuts).]
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-Abc_Ntk_t * Seq_NtkFpgaDup( Abc_Ntk_t * pNtk )
-{
- Abc_Seq_t * pNew, * p = pNtk->pManFunc;
- Abc_Ntk_t * pNtkNew;
- Abc_Obj_t * pObj, * pLeaf;
- Vec_Ptr_t * vLeaves;
- unsigned SeqEdge;
- int i, k, nObjsNew, Lag;
-
- assert( Abc_NtkIsSeq(pNtk) );
-
- // start the expanded network
- pNtkNew = Abc_NtkStartFrom( pNtk, pNtk->ntkType, pNtk->ntkFunc );
-
- // start the new sequential AIG manager
- nObjsNew = 1 + Abc_NtkPiNum(pNtk) + Abc_NtkPoNum(pNtk) + Seq_FpgaMappingCount(pNtk);
- Seq_Resize( pNtkNew->pManFunc, nObjsNew );
-
- // duplicate the nodes in the mapping
- Vec_PtrForEachEntry( p->vMapAnds, pObj, i )
- Abc_NtkDupObj( pNtkNew, pObj, 0 );
-
- // recursively construct the internals of each node
- Vec_PtrForEachEntry( p->vMapAnds, pObj, i )
- {
- vLeaves = Vec_VecEntry( p->vMapCuts, i );
- Seq_FpgaMappingBuild_rec( pNtkNew, pNtk, pObj->Id << 8, 1, Seq_NodeGetLag(pObj), vLeaves );
- }
- assert( nObjsNew == pNtkNew->nObjs );
-
- // set the POs
- Abc_NtkFinalize( pNtk, pNtkNew );
- // duplicate the latches on the PO edges
- Abc_NtkForEachPo( pNtk, pObj, i )
- Seq_NodeDupLats( pObj->pCopy, pObj, 0 );
-
- // transfer the mapping info to the new manager
- Vec_PtrForEachEntry( p->vMapAnds, pObj, i )
- {
- // get the leaves of the cut
- vLeaves = Vec_VecEntry( p->vMapCuts, i );
- // convert the leaf nodes
- Vec_PtrForEachEntry( vLeaves, pLeaf, k )
- {
- SeqEdge = (unsigned)pLeaf;
- pLeaf = Abc_NtkObj( pNtk, SeqEdge >> 8 );
- Lag = (SeqEdge & 255) + Seq_NodeGetLag(pObj) - Seq_NodeGetLag(pLeaf);
- assert( Lag >= 0 );
- // translate the old leaf into the leaf in the new network
- Vec_PtrWriteEntry( vLeaves, k, (void *)((pLeaf->pCopy->Id << 8) | Lag) );
-// printf( "%d -> %d\n", pLeaf->Id, pLeaf->pCopy->Id );
- }
- // convert the root node
- Vec_PtrWriteEntry( p->vMapAnds, i, pObj->pCopy );
- }
- pNew = pNtkNew->pManFunc;
- pNew->nVarsMax = p->nVarsMax;
- pNew->vMapAnds = p->vMapAnds; p->vMapAnds = NULL;
- pNew->vMapCuts = p->vMapCuts; p->vMapCuts = NULL;
-
- if ( !Abc_NtkCheck( pNtkNew ) )
- fprintf( stdout, "Seq_NtkFpgaDup(): Network check has failed.\n" );
- return pNtkNew;
-}
-
-
-/**Function*************************************************************
-
- Synopsis [Checks if the initial states are compatible.]
-
- Description [Checks of all the initial states on the fanins edges
- of the cut have compatible number of latches and initial states.
- If this is not true, then the mapped network with the does not have initial
- state. Returns the number of LUTs with incompatible edges.]
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-int Seq_NtkFpgaInitCompatible( Abc_Ntk_t * pNtk, int fVerbose )
-{
- Abc_Seq_t * p = pNtk->pManFunc;
- Abc_Obj_t * pAnd, * pLeaf, * pFanout0, * pFanout1;
- Vec_Vec_t * vTotalEdges;
- Vec_Ptr_t * vLeaves, * vEdges;
- int i, k, m, Edge0, Edge1, nLatchAfter, nLatches1, nLatches2;
- unsigned SeqEdge;
- int CountBad = 0, CountAll = 0;
-
- vTotalEdges = Vec_VecStart( p->nVarsMax );
- // go through all the nodes (cuts) used in the mapping
- Vec_PtrForEachEntry( p->vMapAnds, pAnd, i )
- {
-// printf( "*** Node %d.\n", pAnd->Id );
-
- // get the cut of this gate
- vLeaves = Vec_VecEntry( p->vMapCuts, i );
-
- // get the edges pointing to the leaves
- Vec_VecClear( vTotalEdges );
- Seq_FpgaMappingEdges_rec( pNtk, pAnd->Id << 8, NULL, vLeaves, vTotalEdges );
-
- // for each leaf, consider its edges
- Vec_PtrForEachEntry( vLeaves, pLeaf, k )
- {
- SeqEdge = (unsigned)pLeaf;
- pLeaf = Abc_NtkObj( pNtk, SeqEdge >> 8 );
- nLatchAfter = SeqEdge & 255;
- if ( nLatchAfter == 0 )
- continue;
-
- // go through the edges
- vEdges = Vec_VecEntry( vTotalEdges, k );
- pFanout0 = NULL;
- Vec_PtrForEachEntry( vEdges, pFanout1, m )
- {
- Edge1 = Abc_ObjIsComplement(pFanout1);
- pFanout1 = Abc_ObjRegular(pFanout1);
-//printf( "Fanin = %d. Fanout = %d.\n", pLeaf->Id, pFanout1->Id );
-
- // make sure this is the same fanin
- if ( Edge1 )
- assert( pLeaf == Abc_ObjFanin1(pFanout1) );
- else
- assert( pLeaf == Abc_ObjFanin0(pFanout1) );
-
- // save the first one
- if ( pFanout0 == NULL )
- {
- pFanout0 = pFanout1;
- Edge0 = Edge1;
- continue;
- }
- // compare the rings
- // if they have different number of latches, this is the bug
- nLatches1 = Seq_NodeCountLats(pFanout0, Edge0);
- nLatches2 = Seq_NodeCountLats(pFanout1, Edge1);
- assert( nLatches1 == nLatches2 );
- assert( nLatches1 == nLatchAfter );
- assert( nLatches1 > 0 );
-
- // if they have different initial states, this is the problem
- if ( !Seq_NodeCompareLats(pFanout0, Edge0, pFanout1, Edge1) )
- {
- CountBad++;
- break;
- }
- CountAll++;
- }
- }
- }
- if ( fVerbose )
- printf( "The number of pairs of edges checked = %d.\n", CountAll );
- Vec_VecFree( vTotalEdges );
- return CountBad;
-}
-
-/**Function*************************************************************
-
- Synopsis [Derives the final mapped network.]
-
- Description []
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-Abc_Ntk_t * Seq_NtkSeqFpgaMapped( Abc_Ntk_t * pNtk )
-{
- Abc_Seq_t * p = pNtk->pManFunc;
- Abc_Ntk_t * pNtkMap;
- Vec_Ptr_t * vLeaves;
- Abc_Obj_t * pObj, * pFaninNew;
- Seq_Lat_t * pRing;
- int i;
-
- assert( Abc_NtkIsSeq(pNtk) );
-
- // start the network
- pNtkMap = Abc_NtkStartFrom( pNtk, ABC_NTK_LOGIC, ABC_FUNC_BDD );
-
- // duplicate the nodes used in the mapping
- Vec_PtrForEachEntry( p->vMapAnds, pObj, i )
- pObj->pCopy = Abc_NtkCreateNode( pNtkMap );
-
- // create and share the latches
- Seq_NtkShareLatchesMapping( pNtkMap, pNtk, p->vMapAnds, 1 );
-
- // connect the nodes
- Vec_PtrForEachEntry( p->vMapAnds, pObj, i )
- {
- // get the leaves of this gate
- vLeaves = Vec_VecEntry( p->vMapCuts, i );
- // get the BDD of the node
- pObj->pCopy->pData = Seq_FpgaMappingConnectBdd_rec( pNtk, pObj->Id << 8, NULL, -1, pObj, vLeaves );
- Cudd_Ref( pObj->pCopy->pData );
- // complement the BDD of the cut if it came from the opposite polarity choice cut
-// if ( Vec_StrEntry(p->vPhase, i) )
-// pObj->pCopy->pData = Cudd_Not( pObj->pCopy->pData );
- }
-
- // set the POs
- Abc_NtkForEachPo( pNtk, pObj, i )
- {
- if ( pRing = Seq_NodeGetRing(pObj,0) )
- pFaninNew = pRing->pLatch;
- else
- pFaninNew = Abc_ObjFanin0(pObj)->pCopy;
- pFaninNew = Abc_ObjNotCond( pFaninNew, Abc_ObjFaninC0(pObj) );
- Abc_ObjAddFanin( pObj->pCopy, pFaninNew );
- }
-
- // add the latches and their names
- Abc_NtkAddDummyBoxNames( pNtkMap );
- Abc_NtkOrderCisCos( pNtkMap );
- // fix the problem with complemented and duplicated CO edges
- Abc_NtkLogicMakeSimpleCos( pNtkMap, 1 );
- // make the network minimum base
- Abc_NtkMinimumBase( pNtkMap );
- if ( !Abc_NtkCheck( pNtkMap ) )
- fprintf( stdout, "Seq_NtkSeqFpgaMapped(): Network check has failed.\n" );
- return pNtkMap;
-}
-
-
-/**Function*************************************************************
-
- Synopsis [Counts the number of nodes in the bag.]
-
- Description []
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-int Seq_FpgaMappingCount( Abc_Ntk_t * pNtk )
-{
- Abc_Seq_t * p = pNtk->pManFunc;
- Vec_Ptr_t * vLeaves;
- Abc_Obj_t * pAnd;
- int i, Counter = 0;
- Vec_PtrForEachEntry( p->vMapAnds, pAnd, i )
- {
- vLeaves = Vec_VecEntry( p->vMapCuts, i );
- Counter += Seq_FpgaMappingCount_rec( pNtk, pAnd->Id << 8, vLeaves );
- }
- return Counter;
-}
-
-/**Function*************************************************************
-
- Synopsis [Counts the number of nodes in the bag.]
-
- Description []
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-int Seq_FpgaMappingCount_rec( Abc_Ntk_t * pNtk, unsigned SeqEdge, Vec_Ptr_t * vLeaves )
-{
- Abc_Obj_t * pObj, * pLeaf;
- unsigned SeqEdge0, SeqEdge1;
- int Lag, i;
- // get the object and the lag
- pObj = Abc_NtkObj( pNtk, SeqEdge >> 8 );
- Lag = SeqEdge & 255;
- // if the node is the fanin of the cut, return
- Vec_PtrForEachEntry( vLeaves, pLeaf, i )
- if ( SeqEdge == (unsigned)pLeaf )
- return 0;
- // continue unfolding
- assert( Abc_AigNodeIsAnd(pObj) );
- // get new sequential edges
- assert( Lag + Seq_ObjFaninL0(pObj) < 255 );
- assert( Lag + Seq_ObjFaninL1(pObj) < 255 );
- SeqEdge0 = (Abc_ObjFanin0(pObj)->Id << 8) + Lag + Seq_ObjFaninL0(pObj);
- SeqEdge1 = (Abc_ObjFanin1(pObj)->Id << 8) + Lag + Seq_ObjFaninL1(pObj);
- // call for the children
- return 1 + Seq_FpgaMappingCount_rec( pNtk, SeqEdge0, vLeaves ) +
- Seq_FpgaMappingCount_rec( pNtk, SeqEdge1, vLeaves );
-}
-
-/**Function*************************************************************
-
- Synopsis [Collects the edges pointing to the leaves of the cut.]
-
- Description []
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-Abc_Obj_t * Seq_FpgaMappingBuild_rec( Abc_Ntk_t * pNtkNew, Abc_Ntk_t * pNtk, unsigned SeqEdge, int fTop, int LagCut, Vec_Ptr_t * vLeaves )
-{
- Abc_Obj_t * pObj, * pObjNew, * pLeaf, * pFaninNew0, * pFaninNew1;
- unsigned SeqEdge0, SeqEdge1;
- int Lag, i;
- // get the object and the lag
- pObj = Abc_NtkObj( pNtk, SeqEdge >> 8 );
- Lag = SeqEdge & 255;
- // if the node is the fanin of the cut, return
- Vec_PtrForEachEntry( vLeaves, pLeaf, i )
- if ( SeqEdge == (unsigned)pLeaf )
- return pObj->pCopy;
- // continue unfolding
- assert( Abc_AigNodeIsAnd(pObj) );
- // get new sequential edges
- assert( Lag + Seq_ObjFaninL0(pObj) < 255 );
- assert( Lag + Seq_ObjFaninL1(pObj) < 255 );
- SeqEdge0 = (Abc_ObjFanin0(pObj)->Id << 8) + Lag + Seq_ObjFaninL0(pObj);
- SeqEdge1 = (Abc_ObjFanin1(pObj)->Id << 8) + Lag + Seq_ObjFaninL1(pObj);
- // call for the children
- pObjNew = fTop? pObj->pCopy : Abc_NtkCreateNode( pNtkNew );
- // solve subproblems
- pFaninNew0 = Seq_FpgaMappingBuild_rec( pNtkNew, pNtk, SeqEdge0, 0, LagCut, vLeaves );
- pFaninNew1 = Seq_FpgaMappingBuild_rec( pNtkNew, pNtk, SeqEdge1, 0, LagCut, vLeaves );
- // add the fanins to the node
- Abc_ObjAddFanin( pObjNew, Abc_ObjNotCond( pFaninNew0, Abc_ObjFaninC0(pObj) ) );
- Abc_ObjAddFanin( pObjNew, Abc_ObjNotCond( pFaninNew1, Abc_ObjFaninC1(pObj) ) );
- Seq_NodeDupLats( pObjNew, pObj, 0 );
- Seq_NodeDupLats( pObjNew, pObj, 1 );
- // set the lag of the new node equal to the internal lag plus mapping/retiming lag
- Seq_NodeSetLag( pObjNew, (char)(Lag + LagCut) );
-// Seq_NodeSetLag( pObjNew, (char)(Lag) );
- return pObjNew;
-}
-
-/**Function*************************************************************
-
- Synopsis [Derives the BDD of the selected cut.]
-
- Description []
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-DdNode * Seq_FpgaMappingBdd_rec( DdManager * dd, Abc_Ntk_t * pNtk, unsigned SeqEdge, Vec_Ptr_t * vLeaves )
-{
- Abc_Obj_t * pObj, * pLeaf;
- DdNode * bFunc0, * bFunc1, * bFunc;
- unsigned SeqEdge0, SeqEdge1;
- int Lag, i;
- // get the object and the lag
- pObj = Abc_NtkObj( pNtk, SeqEdge >> 8 );
- Lag = SeqEdge & 255;
- // if the node is the fanin of the cut, return
- Vec_PtrForEachEntry( vLeaves, pLeaf, i )
- if ( SeqEdge == (unsigned)pLeaf )
- return Cudd_bddIthVar( dd, i );
- // continue unfolding
- assert( Abc_AigNodeIsAnd(pObj) );
- // get new sequential edges
- assert( Lag + Seq_ObjFaninL0(pObj) < 255 );
- assert( Lag + Seq_ObjFaninL1(pObj) < 255 );
- SeqEdge0 = (Abc_ObjFanin0(pObj)->Id << 8) + Lag + Seq_ObjFaninL0(pObj);
- SeqEdge1 = (Abc_ObjFanin1(pObj)->Id << 8) + Lag + Seq_ObjFaninL1(pObj);
- // call for the children
- bFunc0 = Seq_FpgaMappingBdd_rec( dd, pNtk, SeqEdge0, vLeaves ); Cudd_Ref( bFunc0 );
- bFunc1 = Seq_FpgaMappingBdd_rec( dd, pNtk, SeqEdge1, vLeaves ); Cudd_Ref( bFunc1 );
- bFunc0 = Cudd_NotCond( bFunc0, Abc_ObjFaninC0(pObj) );
- bFunc1 = Cudd_NotCond( bFunc1, Abc_ObjFaninC1(pObj) );
- // get the BDD of the node
- bFunc = Cudd_bddAnd( dd, bFunc0, bFunc1 ); Cudd_Ref( bFunc );
- Cudd_RecursiveDeref( dd, bFunc0 );
- Cudd_RecursiveDeref( dd, bFunc1 );
- // return the BDD
- Cudd_Deref( bFunc );
- return bFunc;
-}
-
-/**Function*************************************************************
-
- Synopsis [Collects the edges pointing to the leaves of the cut.]
-
- Description []
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-void Seq_FpgaMappingEdges_rec( Abc_Ntk_t * pNtk, unsigned SeqEdge, Abc_Obj_t * pPrev, Vec_Ptr_t * vLeaves, Vec_Vec_t * vMapEdges )
-{
- Abc_Obj_t * pObj, * pLeaf;
- unsigned SeqEdge0, SeqEdge1;
- int Lag, i;
- // get the object and the lag
- pObj = Abc_NtkObj( pNtk, SeqEdge >> 8 );
- Lag = SeqEdge & 255;
- // if the node is the fanin of the cut, return
- Vec_PtrForEachEntry( vLeaves, pLeaf, i )
- {
- if ( SeqEdge == (unsigned)pLeaf )
- {
- assert( pPrev != NULL );
- Vec_VecPush( vMapEdges, i, pPrev );
- return;
- }
- }
- // continue unfolding
- assert( Abc_AigNodeIsAnd(pObj) );
- // get new sequential edges
- assert( Lag + Seq_ObjFaninL0(pObj) < 255 );
- assert( Lag + Seq_ObjFaninL1(pObj) < 255 );
- SeqEdge0 = (Abc_ObjFanin0(pObj)->Id << 8) + Lag + Seq_ObjFaninL0(pObj);
- SeqEdge1 = (Abc_ObjFanin1(pObj)->Id << 8) + Lag + Seq_ObjFaninL1(pObj);
- // call for the children
- Seq_FpgaMappingEdges_rec( pNtk, SeqEdge0, pObj , vLeaves, vMapEdges );
- Seq_FpgaMappingEdges_rec( pNtk, SeqEdge1, Abc_ObjNot(pObj), vLeaves, vMapEdges );
-}
-
-/**Function*************************************************************
-
- Synopsis [Collects the edges pointing to the leaves of the cut.]
-
- Description []
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-void Seq_FpgaMappingConnect_rec( Abc_Ntk_t * pNtk, unsigned SeqEdge, Abc_Obj_t * pPrev, int Edge, Abc_Obj_t * pRoot, Vec_Ptr_t * vLeaves )
-{
- Seq_Lat_t * pRing;
- Abc_Obj_t * pObj, * pLeaf, * pFanin, * pFaninNew;
- unsigned SeqEdge0, SeqEdge1;
- int Lag, i, k;
- // get the object and the lag
- pObj = Abc_NtkObj( pNtk, SeqEdge >> 8 );
- Lag = SeqEdge & 255;
- // if the node is the fanin of the cut, add the connection and return
- Vec_PtrForEachEntry( vLeaves, pLeaf, i )
- {
- if ( SeqEdge == (unsigned)pLeaf )
- {
- assert( pPrev != NULL );
- if ( pRing = Seq_NodeGetRing(pPrev,Edge) )
- pFaninNew = pRing->pLatch;
- else
- pFaninNew = Abc_ObjFanin(pPrev,Edge)->pCopy;
- // check if the root already has this fanin
- Abc_ObjForEachFanin( pRoot, pFanin, k )
- if ( pFanin == pFaninNew )
- return;
- Abc_ObjAddFanin( pRoot->pCopy, pFaninNew );
- return;
- }
- }
- // continue unfolding
- assert( Abc_AigNodeIsAnd(pObj) );
- // get new sequential edges
- assert( Lag + Seq_ObjFaninL0(pObj) < 255 );
- assert( Lag + Seq_ObjFaninL1(pObj) < 255 );
- SeqEdge0 = (Abc_ObjFanin0(pObj)->Id << 8) + Lag + Seq_ObjFaninL0(pObj);
- SeqEdge1 = (Abc_ObjFanin1(pObj)->Id << 8) + Lag + Seq_ObjFaninL1(pObj);
- // call for the children
- Seq_FpgaMappingConnect_rec( pNtk, SeqEdge0, pObj, 0, pRoot, vLeaves );
- Seq_FpgaMappingConnect_rec( pNtk, SeqEdge1, pObj, 1, pRoot, vLeaves );
-}
-
-/**Function*************************************************************
-
- Synopsis [Collects the edges pointing to the leaves of the cut.]
-
- Description []
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-DdNode * Seq_FpgaMappingConnectBdd_rec( Abc_Ntk_t * pNtk, unsigned SeqEdge, Abc_Obj_t * pPrev, int Edge, Abc_Obj_t * pRoot, Vec_Ptr_t * vLeaves )
-{
- Seq_Lat_t * pRing;
- Abc_Obj_t * pObj, * pLeaf, * pFanin, * pFaninNew;
- unsigned SeqEdge0, SeqEdge1;
- DdManager * dd = pRoot->pCopy->pNtk->pManFunc;
- DdNode * bFunc, * bFunc0, * bFunc1;
- int Lag, i, k;
- // get the object and the lag
- pObj = Abc_NtkObj( pNtk, SeqEdge >> 8 );
- Lag = SeqEdge & 255;
- // if the node is the fanin of the cut, add the connection and return
- Vec_PtrForEachEntry( vLeaves, pLeaf, i )
- {
- if ( SeqEdge == (unsigned)pLeaf )
- {
- assert( pPrev != NULL );
- if ( pRing = Seq_NodeGetRing(pPrev,Edge) )
- pFaninNew = pRing->pLatch;
- else
- pFaninNew = Abc_ObjFanin(pPrev,Edge)->pCopy;
- // check if the root already has this fanin
- Abc_ObjForEachFanin( pRoot->pCopy, pFanin, k )
- if ( pFanin == pFaninNew )
- return Cudd_bddIthVar( dd, k );
- Abc_ObjAddFanin( pRoot->pCopy, pFaninNew );
- return Cudd_bddIthVar( dd, k );
- }
- }
- // continue unfolding
- assert( Abc_AigNodeIsAnd(pObj) );
- // get new sequential edges
- assert( Lag + Seq_ObjFaninL0(pObj) < 255 );
- assert( Lag + Seq_ObjFaninL1(pObj) < 255 );
- SeqEdge0 = (Abc_ObjFanin0(pObj)->Id << 8) + Lag + Seq_ObjFaninL0(pObj);
- SeqEdge1 = (Abc_ObjFanin1(pObj)->Id << 8) + Lag + Seq_ObjFaninL1(pObj);
- // call for the children
- bFunc0 = Seq_FpgaMappingConnectBdd_rec( pNtk, SeqEdge0, pObj, 0, pRoot, vLeaves ); Cudd_Ref( bFunc0 );
- bFunc1 = Seq_FpgaMappingConnectBdd_rec( pNtk, SeqEdge1, pObj, 1, pRoot, vLeaves ); Cudd_Ref( bFunc1 );
- bFunc0 = Cudd_NotCond( bFunc0, Abc_ObjFaninC0(pObj) );
- bFunc1 = Cudd_NotCond( bFunc1, Abc_ObjFaninC1(pObj) );
- // get the BDD of the node
- bFunc = Cudd_bddAnd( dd, bFunc0, bFunc1 ); Cudd_Ref( bFunc );
- Cudd_RecursiveDeref( dd, bFunc0 );
- Cudd_RecursiveDeref( dd, bFunc1 );
- // return the BDD
- Cudd_Deref( bFunc );
- return bFunc;
-}
-
-////////////////////////////////////////////////////////////////////////
-/// END OF FILE ///
-////////////////////////////////////////////////////////////////////////
-
-
diff --git a/src/base/seq/seqFpgaIter.c b/src/base/seq/seqFpgaIter.c
deleted file mode 100644
index a300b362..00000000
--- a/src/base/seq/seqFpgaIter.c
+++ /dev/null
@@ -1,270 +0,0 @@
-/**CFile****************************************************************
-
- FileName [seqFpgaIter.c]
-
- SystemName [ABC: Logic synthesis and verification system.]
-
- PackageName [Construction and manipulation of sequential AIGs.]
-
- Synopsis [Iterative delay computation in FPGA mapping/retiming package.]
-
- Author [Alan Mishchenko]
-
- Affiliation [UC Berkeley]
-
- Date [Ver. 1.0. Started - June 20, 2005.]
-
- Revision [$Id: seqFpgaIter.c,v 1.00 2005/06/20 00:00:00 alanmi Exp $]
-
-***********************************************************************/
-
-#include "seqInt.h"
-#include "main.h"
-#include "fpga.h"
-
-////////////////////////////////////////////////////////////////////////
-/// DECLARATIONS ///
-////////////////////////////////////////////////////////////////////////
-
-static void Seq_FpgaMappingCollectNode_rec( Abc_Obj_t * pAnd, Vec_Ptr_t * vMapping, Vec_Vec_t * vMapCuts );
-static Cut_Cut_t * Seq_FpgaMappingSelectCut( Abc_Obj_t * pAnd );
-
-extern Cut_Man_t * Abc_NtkSeqCuts( Abc_Ntk_t * pNtk, Cut_Params_t * pParams );
-extern Cut_Man_t * Abc_NtkCuts( Abc_Ntk_t * pNtk, Cut_Params_t * pParams );
-
-////////////////////////////////////////////////////////////////////////
-/// FUNCTION DEFINITIONS ///
-////////////////////////////////////////////////////////////////////////
-
-/**Function*************************************************************
-
- Synopsis [Computes the retiming lags for FPGA mapping.]
-
- Description []
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-int Seq_FpgaMappingDelays( Abc_Ntk_t * pNtk, int fVerbose )
-{
- Abc_Seq_t * p = pNtk->pManFunc;
- Cut_Params_t Params, * pParams = &Params;
- Abc_Obj_t * pObj;
- int i, clk;
-
- // set defaults for cut computation
- memset( pParams, 0, sizeof(Cut_Params_t) );
- pParams->nVarsMax = p->nVarsMax; // the max cut size ("k" of the k-feasible cuts)
- pParams->nKeepMax = 1000; // the max number of cuts kept at a node
- pParams->fTruth = 0; // compute truth tables
- pParams->fFilter = 1; // filter dominated cuts
- pParams->fSeq = 1; // compute sequential cuts
- pParams->fVerbose = fVerbose; // the verbosiness flag
-
- // compute the cuts
-clk = clock();
- p->pCutMan = Abc_NtkSeqCuts( pNtk, pParams );
-// pParams->fSeq = 0;
-// p->pCutMan = Abc_NtkCuts( pNtk, pParams );
-p->timeCuts = clock() - clk;
-
- if ( fVerbose )
- Cut_ManPrintStats( p->pCutMan );
-
- // compute area flows
-// Seq_MapComputeAreaFlows( pNtk, fVerbose );
-
- // compute the delays
-clk = clock();
- if ( !Seq_AigRetimeDelayLags( pNtk, fVerbose ) )
- return 0;
- p->timeDelay = clock() - clk;
-
- // collect the nodes and cuts used in the mapping
- p->vMapAnds = Vec_PtrAlloc( 1000 );
- p->vMapCuts = Vec_VecAlloc( 1000 );
- Abc_NtkIncrementTravId( pNtk );
- Abc_NtkForEachPo( pNtk, pObj, i )
- Seq_FpgaMappingCollectNode_rec( Abc_ObjFanin0(pObj), p->vMapAnds, p->vMapCuts );
-
- if ( fVerbose )
- printf( "The number of LUTs = %d.\n", Vec_PtrSize(p->vMapAnds) );
-
- // remove the cuts
- Cut_ManStop( p->pCutMan );
- p->pCutMan = NULL;
- return 1;
-}
-
-/**Function*************************************************************
-
- Synopsis [Derives the parameters of the best mapping/retiming for one node.]
-
- Description []
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-void Seq_FpgaMappingCollectNode_rec( Abc_Obj_t * pAnd, Vec_Ptr_t * vMapping, Vec_Vec_t * vMapCuts )
-{
- Abc_Obj_t * pFanin;
- Cut_Cut_t * pCutBest;
- int k;
-
- // skip if this is a non-PI node
- if ( !Abc_AigNodeIsAnd(pAnd) )
- return;
- // skip a visited node
- if ( Abc_NodeIsTravIdCurrent(pAnd) )
- return;
- Abc_NodeSetTravIdCurrent(pAnd);
-
- // visit the fanins of the node
- pCutBest = Seq_FpgaMappingSelectCut( pAnd );
- for ( k = 0; k < (int)pCutBest->nLeaves; k++ )
- {
- pFanin = Abc_NtkObj( pAnd->pNtk, pCutBest->pLeaves[k] >> 8 );
- Seq_FpgaMappingCollectNode_rec( pFanin, vMapping, vMapCuts );
- }
-
- // add this node
- Vec_PtrPush( vMapping, pAnd );
- for ( k = 0; k < (int)pCutBest->nLeaves; k++ )
- Vec_VecPush( vMapCuts, Vec_PtrSize(vMapping)-1, (void *)pCutBest->pLeaves[k] );
-}
-
-/**Function*************************************************************
-
- Synopsis [Selects the best cut to represent the node in the mapping.]
-
- Description []
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-Cut_Cut_t * Seq_FpgaMappingSelectCut( Abc_Obj_t * pAnd )
-{
- Abc_Obj_t * pFanin;
- Cut_Cut_t * pCut, * pCutBest, * pList;
- float CostCur, CostMin = ABC_INFINITY;
- int ArrivalCut, ArrivalMin, i;
- // get the arrival time of the best non-trivial cut
- ArrivalMin = Seq_NodeGetLValue( pAnd );
- // iterate through the cuts and select the one with the minimum cost
- pList = Abc_NodeReadCuts( Seq_NodeCutMan(pAnd), pAnd );
- CostMin = ABC_INFINITY;
- pCutBest = NULL;
- for ( pCut = pList->pNext; pCut; pCut = pCut->pNext )
- {
- ArrivalCut = *((int *)&pCut->uSign);
-// assert( ArrivalCut >= ArrivalMin );
- if ( ArrivalCut > ArrivalMin )
- continue;
- CostCur = 0.0;
- for ( i = 0; i < (int)pCut->nLeaves; i++ )
- {
- pFanin = Abc_NtkObj( pAnd->pNtk, pCut->pLeaves[i] >> 8 );
- if ( Abc_ObjIsPi(pFanin) )
- continue;
- if ( Abc_NodeIsTravIdCurrent(pFanin) )
- continue;
- CostCur += (float)(1.0 / Abc_ObjFanoutNum(pFanin));
-// CostCur += Seq_NodeGetFlow( pFanin );
- }
- if ( CostMin > CostCur )
- {
- CostMin = CostCur;
- pCutBest = pCut;
- }
- }
- assert( pCutBest != NULL );
- return pCutBest;
-}
-
-
-/**Function*************************************************************
-
- Synopsis [Computes the l-value of the cut.]
-
- Description [The node should be internal.]
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-static inline int Seq_FpgaCutUpdateLValue( Cut_Cut_t * pCut, Abc_Obj_t * pObj, int Fi )
-{
- Abc_Obj_t * pFanin;
- int i, lValueMax, lValueCur;
- assert( Abc_AigNodeIsAnd(pObj) );
- lValueMax = -ABC_INFINITY;
- for ( i = 0; i < (int)pCut->nLeaves; i++ )
- {
-// lValue0 = Seq_NodeGetLValue(Abc_ObjFanin0(pObj)) - Fi * Abc_ObjFaninL0(pObj);
- pFanin = Abc_NtkObj(pObj->pNtk, pCut->pLeaves[i] >> 8);
- lValueCur = Seq_NodeGetLValue(pFanin) - Fi * (pCut->pLeaves[i] & 255);
- if ( lValueMax < lValueCur )
- lValueMax = lValueCur;
- }
- lValueMax += 1;
- *((int *)&pCut->uSign) = lValueMax;
- return lValueMax;
-}
-
-/**Function*************************************************************
-
- Synopsis [Computes the l-value of the node.]
-
- Description [The node can be internal or a PO.]
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-int Seq_FpgaNodeUpdateLValue( Abc_Obj_t * pObj, int Fi )
-{
- Cut_Cut_t * pCut, * pList;
- int lValueNew, lValueOld, lValueCut;
- assert( !Abc_ObjIsPi(pObj) );
- assert( Abc_ObjFaninNum(pObj) > 0 );
- if ( Abc_ObjIsPo(pObj) )
- {
- lValueNew = Seq_NodeGetLValue(Abc_ObjFanin0(pObj)) - Fi * Seq_ObjFaninL0(pObj);
- return (lValueNew > Fi)? SEQ_UPDATE_FAIL : SEQ_UPDATE_NO;
- }
- // get the arrival time of the best non-trivial cut
- pList = Abc_NodeReadCuts( Seq_NodeCutMan(pObj), pObj );
- // skip the choice nodes
- if ( pList == NULL )
- return SEQ_UPDATE_NO;
- lValueNew = ABC_INFINITY;
- for ( pCut = pList->pNext; pCut; pCut = pCut->pNext )
- {
- lValueCut = Seq_FpgaCutUpdateLValue( pCut, pObj, Fi );
- if ( lValueNew > lValueCut )
- lValueNew = lValueCut;
- }
- // compare the arrival time with the previous arrival time
- lValueOld = Seq_NodeGetLValue(pObj);
-// if ( lValueNew == lValueOld )
- if ( lValueNew <= lValueOld )
- return SEQ_UPDATE_NO;
- Seq_NodeSetLValue( pObj, lValueNew );
-//printf( "%d -> %d ", lValueOld, lValueNew );
- return SEQ_UPDATE_YES;
-}
-
-
-////////////////////////////////////////////////////////////////////////
-/// END OF FILE ///
-////////////////////////////////////////////////////////////////////////
-
-
diff --git a/src/base/seq/seqInt.h b/src/base/seq/seqInt.h
deleted file mode 100644
index 221efc91..00000000
--- a/src/base/seq/seqInt.h
+++ /dev/null
@@ -1,256 +0,0 @@
-/**CFile****************************************************************
-
- FileName [seqInt.h]
-
- SystemName [ABC: Logic synthesis and verification system.]
-
- PackageName [Construction and manipulation of sequential AIGs.]
-
- Synopsis [Internal declarations.]
-
- Author [Alan Mishchenko]
-
- Affiliation [UC Berkeley]
-
- Date [Ver. 1.0. Started - June 20, 2005.]
-
- Revision [$Id: seqInt.h,v 1.00 2005/06/20 00:00:00 alanmi Exp $]
-
-***********************************************************************/
-
-#ifndef __SEQ_INT_H__
-#define __SEQ_INT_H__
-
-#ifdef __cplusplus
-extern "C" {
-#endif
-
-////////////////////////////////////////////////////////////////////////
-/// INCLUDES ///
-////////////////////////////////////////////////////////////////////////
-
-#include "abc.h"
-#include "cut.h"
-#include "main.h"
-#include "mio.h"
-#include "mapper.h"
-#include "fpga.h"
-#include "seq.h"
-
-////////////////////////////////////////////////////////////////////////
-/// PARAMETERS ///
-////////////////////////////////////////////////////////////////////////
-
-#define SEQ_FULL_MASK 0xFFFFFFFF
-
-// node status after updating its arrival time
-enum { SEQ_UPDATE_FAIL, SEQ_UPDATE_NO, SEQ_UPDATE_YES };
-
-////////////////////////////////////////////////////////////////////////
-/// BASIC TYPES ///
-////////////////////////////////////////////////////////////////////////
-
-// manager of sequential AIG
-struct Abc_Seq_t_
-{
- // sequential information
- Abc_Ntk_t * pNtk; // the network
- int nSize; // the number of entries in all internal arrays
- Vec_Int_t * vNums; // the number of latches on each edge in the AIG
- Vec_Ptr_t * vInits; // the initial states for each edge in the AIG
- Extra_MmFixed_t * pMmInits; // memory manager for latch structures used to remember init states
- int fVerbose; // the verbose flag
- float fEpsilon; // the accuracy for delay computation
- int fStandCells; // the flag denoting standard cell mapping
- int nMaxIters; // the max number of iterations
- int FiBestInt; // the best clock period
- float FiBestFloat; // the best clock period
- // K-feasible cuts
- int nVarsMax; // the max cut size
- Cut_Man_t * pCutMan; // cut manager
- Map_SuperLib_t * pSuperLib; // the current supergate library
- // sequential arrival time computation
- Vec_Int_t * vAFlows; // the area flow of each cut
- Vec_Int_t * vLValues; // the arrival times (L-Values of nodes)
- Vec_Int_t * vLValuesN; // the arrival times (L-Values of nodes)
- Vec_Str_t * vLags; // the lags of the mapped nodes
- Vec_Str_t * vLagsN; // the lags of the mapped nodes
- Vec_Str_t * vUses; // the phase usage
- // representation of the mapping
- Vec_Ptr_t * vMapAnds; // nodes visible in the mapping
- Vec_Vec_t * vMapCuts; // best cuts for each node
- Vec_Vec_t * vMapDelays; // the delay of each fanin
- Vec_Vec_t * vMapFanins; // the delay of each fanin
- // runtime stats
- int timeCuts; // runtime to compute the cuts
- int timeDelay; // runtime to compute the L-values
- int timeRet; // runtime to retime the resulting network
- int timeNtk; // runtime to create the final network
-
-};
-
-// data structure to store initial state
-typedef struct Seq_Lat_t_ Seq_Lat_t;
-struct Seq_Lat_t_
-{
- Seq_Lat_t * pNext; // the next Lat in the ring
- Seq_Lat_t * pPrev; // the prev Lat in the ring
- Abc_Obj_t * pLatch; // the real latch corresponding to Lat
-};
-
-// representation of latch on the edge
-typedef struct Seq_RetEdge_t_ Seq_RetEdge_t;
-struct Seq_RetEdge_t_ // 1 word
-{
- unsigned iNode : 24; // the ID of the node
- unsigned iEdge : 1; // the edge of the node
- unsigned iLatch : 7; // the latch number counting from the node
-};
-
-// representation of one retiming step
-typedef struct Seq_RetStep_t_ Seq_RetStep_t;
-struct Seq_RetStep_t_ // 1 word
-{
- unsigned iNode : 24; // the ID of the node
- unsigned nLatches : 8; // the number of latches to retime
-};
-
-// representation of one mapping match
-typedef struct Seq_Match_t_ Seq_Match_t;
-struct Seq_Match_t_ // 3 words
-{
- Abc_Obj_t * pAnd; // the AND gate used in the mapping
- Cut_Cut_t * pCut; // the cut used to map it
- Map_Super_t * pSuper; // the supergate used to implement the cut
- unsigned fCompl : 1; // the polarity of the AND gate
- unsigned fCutInv : 1; // the polarity of the cut
- unsigned PolUse : 2; // the polarity use of this node
- unsigned uPhase : 14; // the phase assignment at the boundary
- unsigned uPhaseR : 14; // the real phase assignment at the boundary
-};
-
-////////////////////////////////////////////////////////////////////////
-/// MACRO DEFINITIONS ///
-////////////////////////////////////////////////////////////////////////
-
-// transforming retedges into ints and back
-static inline int Seq_RetEdge2Int( Seq_RetEdge_t Val ) { return *((int *)&Val); }
-static inline Seq_RetEdge_t Seq_Int2RetEdge( int Num ) { return *((Seq_RetEdge_t *)&Num); }
-// transforming retsteps into ints and back
-static inline int Seq_RetStep2Int( Seq_RetStep_t Val ) { return *((int *)&Val); }
-static inline Seq_RetStep_t Seq_Int2RetStep( int Num ) { return *((Seq_RetStep_t *)&Num); }
-
-// manipulating the number of latches on each edge
-static inline Vec_Int_t * Seq_ObjLNums( Abc_Obj_t * pObj ) { return ((Abc_Seq_t*)pObj->pNtk->pManFunc)->vNums; }
-static inline int Seq_ObjFaninL( Abc_Obj_t * pObj, int i ) { return Vec_IntEntry(Seq_ObjLNums(pObj), 2*pObj->Id + i); }
-static inline int Seq_ObjFaninL0( Abc_Obj_t * pObj ) { return Vec_IntEntry(Seq_ObjLNums(pObj), 2*pObj->Id + 0); }
-static inline int Seq_ObjFaninL1( Abc_Obj_t * pObj ) { return Vec_IntEntry(Seq_ObjLNums(pObj), 2*pObj->Id + 1); }
-static inline void Seq_ObjSetFaninL( Abc_Obj_t * pObj, int i, int nLats ) { Vec_IntWriteEntry(Seq_ObjLNums(pObj), 2*pObj->Id + i, nLats); }
-static inline void Seq_ObjSetFaninL0( Abc_Obj_t * pObj, int nLats ) { Vec_IntWriteEntry(Seq_ObjLNums(pObj), 2*pObj->Id + 0, nLats); }
-static inline void Seq_ObjSetFaninL1( Abc_Obj_t * pObj, int nLats ) { Vec_IntWriteEntry(Seq_ObjLNums(pObj), 2*pObj->Id + 1, nLats); }
-static inline void Seq_ObjAddFaninL( Abc_Obj_t * pObj, int i, int nLats ) { Vec_IntAddToEntry(Seq_ObjLNums(pObj), 2*pObj->Id + i, nLats); }
-static inline void Seq_ObjAddFaninL0( Abc_Obj_t * pObj, int nLats ) { Vec_IntAddToEntry(Seq_ObjLNums(pObj), 2*pObj->Id + 0, nLats); }
-static inline void Seq_ObjAddFaninL1( Abc_Obj_t * pObj, int nLats ) { Vec_IntAddToEntry(Seq_ObjLNums(pObj), 2*pObj->Id + 1, nLats); }
-static inline int Seq_ObjFanoutL( Abc_Obj_t * pObj, Abc_Obj_t * pFanout ) { return Seq_ObjFaninL( pFanout, Abc_ObjFanoutEdgeNum(pObj,pFanout) ); }
-static inline void Seq_ObjSetFanoutL( Abc_Obj_t * pObj, Abc_Obj_t * pFanout, int nLats ) { Seq_ObjSetFaninL( pFanout, Abc_ObjFanoutEdgeNum(pObj,pFanout), nLats ); }
-static inline void Seq_ObjAddFanoutL( Abc_Obj_t * pObj, Abc_Obj_t * pFanout, int nLats ) { Seq_ObjAddFaninL( pFanout, Abc_ObjFanoutEdgeNum(pObj,pFanout), nLats ); }
-static inline int Seq_ObjFaninLMin( Abc_Obj_t * pObj ) { assert( Abc_ObjIsNode(pObj) ); return ABC_MIN( Seq_ObjFaninL0(pObj), Seq_ObjFaninL1(pObj) ); }
-static inline int Seq_ObjFaninLMax( Abc_Obj_t * pObj ) { assert( Abc_ObjIsNode(pObj) ); return ABC_MAX( Seq_ObjFaninL0(pObj), Seq_ObjFaninL1(pObj) ); }
-
-// reading l-values and lags
-static inline Vec_Int_t * Seq_NodeLValues( Abc_Obj_t * pNode ) { return ((Abc_Seq_t *)(pNode)->pNtk->pManFunc)->vLValues; }
-static inline Vec_Int_t * Seq_NodeLValuesN( Abc_Obj_t * pNode ) { return ((Abc_Seq_t *)(pNode)->pNtk->pManFunc)->vLValuesN; }
-static inline int Seq_NodeGetLValue( Abc_Obj_t * pNode ) { return Vec_IntEntry( Seq_NodeLValues(pNode), (pNode)->Id ); }
-static inline void Seq_NodeSetLValue( Abc_Obj_t * pNode, int Value ) { Vec_IntWriteEntry( Seq_NodeLValues(pNode), (pNode)->Id, Value ); }
-static inline float Seq_NodeGetLValueP( Abc_Obj_t * pNode ) { return Abc_Int2Float( Vec_IntEntry( Seq_NodeLValues(pNode), (pNode)->Id ) ); }
-static inline float Seq_NodeGetLValueN( Abc_Obj_t * pNode ) { return Abc_Int2Float( Vec_IntEntry( Seq_NodeLValuesN(pNode), (pNode)->Id ) ); }
-static inline void Seq_NodeSetLValueP( Abc_Obj_t * pNode, float Value ) { Vec_IntWriteEntry( Seq_NodeLValues(pNode), (pNode)->Id, Abc_Float2Int(Value) ); }
-static inline void Seq_NodeSetLValueN( Abc_Obj_t * pNode, float Value ) { Vec_IntWriteEntry( Seq_NodeLValuesN(pNode), (pNode)->Id, Abc_Float2Int(Value) ); }
-
-// reading area flows
-static inline Vec_Int_t * Seq_NodeFlow( Abc_Obj_t * pNode ) { return ((Abc_Seq_t *)(pNode)->pNtk->pManFunc)->vAFlows; }
-static inline float Seq_NodeGetFlow( Abc_Obj_t * pNode ) { return Abc_Int2Float( Vec_IntEntry( Seq_NodeFlow(pNode), (pNode)->Id ) ); }
-static inline void Seq_NodeSetFlow( Abc_Obj_t * pNode, float Value ) { Vec_IntWriteEntry( Seq_NodeFlow(pNode), (pNode)->Id, Abc_Float2Int(Value) ); }
-
-// reading the contents of the lat
-static inline Abc_InitType_t Seq_LatInit( Seq_Lat_t * pLat ) { return ((unsigned)pLat->pPrev) & 3; }
-static inline Seq_Lat_t * Seq_LatNext( Seq_Lat_t * pLat ) { return pLat->pNext; }
-static inline Seq_Lat_t * Seq_LatPrev( Seq_Lat_t * pLat ) { return (void *)(((unsigned)pLat->pPrev) & (SEQ_FULL_MASK << 2)); }
-
-// setting the contents of the lat
-static inline void Seq_LatSetInit( Seq_Lat_t * pLat, Abc_InitType_t Init ) { pLat->pPrev = (void *)( (3 & Init) | (((unsigned)pLat->pPrev) & (SEQ_FULL_MASK << 2)) ); }
-static inline void Seq_LatSetNext( Seq_Lat_t * pLat, Seq_Lat_t * pNext ) { pLat->pNext = pNext; }
-static inline void Seq_LatSetPrev( Seq_Lat_t * pLat, Seq_Lat_t * pPrev ) { Abc_InitType_t Init = Seq_LatInit(pLat); pLat->pPrev = pPrev; Seq_LatSetInit(pLat, Init); }
-
-// accessing retiming lags
-static inline Cut_Man_t * Seq_NodeCutMan( Abc_Obj_t * pNode ) { return ((Abc_Seq_t *)(pNode)->pNtk->pManFunc)->pCutMan; }
-static inline Vec_Str_t * Seq_NodeLags( Abc_Obj_t * pNode ) { return ((Abc_Seq_t *)(pNode)->pNtk->pManFunc)->vLags; }
-static inline Vec_Str_t * Seq_NodeLagsN( Abc_Obj_t * pNode ) { return ((Abc_Seq_t *)(pNode)->pNtk->pManFunc)->vLagsN; }
-static inline char Seq_NodeGetLag( Abc_Obj_t * pNode ) { return Vec_StrEntry( Seq_NodeLags(pNode), (pNode)->Id ); }
-static inline char Seq_NodeGetLagN( Abc_Obj_t * pNode ) { return Vec_StrEntry( Seq_NodeLagsN(pNode), (pNode)->Id ); }
-static inline void Seq_NodeSetLag( Abc_Obj_t * pNode, char Value ) { Vec_StrWriteEntry( Seq_NodeLags(pNode), (pNode)->Id, (Value) ); }
-static inline void Seq_NodeSetLagN( Abc_Obj_t * pNode, char Value ) { Vec_StrWriteEntry( Seq_NodeLagsN(pNode), (pNode)->Id, (Value) ); }
-static inline int Seq_NodeComputeLag( int LValue, int Fi ) { return (LValue + 1024*Fi)/Fi - 1024 - (int)(LValue % Fi == 0); }
-static inline int Seq_NodeComputeLagFloat( float LValue, float Fi ) { return ((int)ceil(LValue/Fi)) - 1; }
-
-// phase usage
-static inline Vec_Str_t * Seq_NodeUses( Abc_Obj_t * pNode ) { return ((Abc_Seq_t *)(pNode)->pNtk->pManFunc)->vUses; }
-static inline char Seq_NodeGetUses( Abc_Obj_t * pNode ) { return Vec_StrEntry( Seq_NodeUses(pNode), (pNode)->Id ); }
-static inline void Seq_NodeSetUses( Abc_Obj_t * pNode, char Value ) { Vec_StrWriteEntry( Seq_NodeUses(pNode), (pNode)->Id, (Value) ); }
-
-// accessing initial states
-static inline Vec_Ptr_t * Seq_NodeLats( Abc_Obj_t * pObj ) { return ((Abc_Seq_t*)pObj->pNtk->pManFunc)->vInits; }
-static inline Seq_Lat_t * Seq_NodeGetRing( Abc_Obj_t * pObj, int Edge ) { return Vec_PtrEntry( Seq_NodeLats(pObj), (pObj->Id<<1)+Edge ); }
-static inline void Seq_NodeSetRing( Abc_Obj_t * pObj, int Edge, Seq_Lat_t * pLat ) { Vec_PtrWriteEntry( Seq_NodeLats(pObj), (pObj->Id<<1)+Edge, pLat ); }
-static inline Seq_Lat_t * Seq_NodeCreateLat( Abc_Obj_t * pObj ) { Seq_Lat_t * p = (Seq_Lat_t *)Extra_MmFixedEntryFetch( ((Abc_Seq_t*)pObj->pNtk->pManFunc)->pMmInits ); p->pNext = p->pPrev = NULL; p->pLatch = NULL; return p; }
-static inline void Seq_NodeRecycleLat( Abc_Obj_t * pObj, Seq_Lat_t * pLat ) { Extra_MmFixedEntryRecycle( ((Abc_Seq_t*)pObj->pNtk->pManFunc)->pMmInits, (char *)pLat ); }
-
-// getting hold of the structure storing initial states of the latches
-static inline Seq_Lat_t * Seq_NodeGetLatFirst( Abc_Obj_t * pObj, int Edge ) { return Seq_NodeGetRing(pObj, Edge); }
-static inline Seq_Lat_t * Seq_NodeGetLatLast( Abc_Obj_t * pObj, int Edge ) { return Seq_LatPrev( Seq_NodeGetRing(pObj, Edge) ); }
-static inline Seq_Lat_t * Seq_NodeGetLat( Abc_Obj_t * pObj, int Edge, int iLat ) { int c; Seq_Lat_t * pLat = Seq_NodeGetRing(pObj, Edge); for ( c = 0; c != iLat; c++ ) pLat = pLat->pNext; return pLat; }
-static inline int Seq_NodeCountLats( Abc_Obj_t * pObj, int Edge ) { int c; Seq_Lat_t * pLat, * pRing = Seq_NodeGetRing(pObj, Edge); if ( pRing == NULL ) return 0; for ( c = 0, pLat = pRing; !c || pLat != pRing; c++ ) pLat = pLat->pNext; return c; }
-static inline void Seq_NodeCleanLats( Abc_Obj_t * pObj, int Edge ) { int c; Seq_Lat_t * pLat, * pRing = Seq_NodeGetRing(pObj, Edge); if ( pRing == NULL ) return ; for ( c = 0, pLat = pRing; !c || pLat != pRing; c++ ) pLat->pLatch = NULL, pLat = pLat->pNext; return; }
-
-// getting/setting initial states of the latches
-static inline Abc_InitType_t Seq_NodeGetInitOne( Abc_Obj_t * pObj, int Edge, int iLat ) { return Seq_LatInit( Seq_NodeGetLat(pObj, Edge, iLat) ); }
-static inline Abc_InitType_t Seq_NodeGetInitFirst( Abc_Obj_t * pObj, int Edge ) { return Seq_LatInit( Seq_NodeGetLatFirst(pObj, Edge) ); }
-static inline Abc_InitType_t Seq_NodeGetInitLast( Abc_Obj_t * pObj, int Edge ) { return Seq_LatInit( Seq_NodeGetLatLast(pObj, Edge) ); }
-static inline void Seq_NodeSetInitOne( Abc_Obj_t * pObj, int Edge, int iLat, Abc_InitType_t Init ) { Seq_LatSetInit( Seq_NodeGetLat(pObj, Edge, iLat), Init ); }
-
-////////////////////////////////////////////////////////////////////////
-/// FUNCTION DECLARATIONS ///
-////////////////////////////////////////////////////////////////////////
-
-/*=== seqAigIter.c =============================================================*/
-extern int Seq_AigRetimeDelayLags( Abc_Ntk_t * pNtk, int fVerbose );
-extern int Seq_NtkImplementRetiming( Abc_Ntk_t * pNtk, Vec_Str_t * vLags, int fVerbose );
-/*=== seqFpgaIter.c ============================================================*/
-extern int Seq_FpgaMappingDelays( Abc_Ntk_t * pNtk, int fVerbose );
-extern int Seq_FpgaNodeUpdateLValue( Abc_Obj_t * pObj, int Fi );
-/*=== seqMapIter.c ============================================================*/
-extern int Seq_MapRetimeDelayLags( Abc_Ntk_t * pNtk, int fVerbose );
-/*=== seqRetIter.c =============================================================*/
-extern int Seq_NtkRetimeDelayLags( Abc_Ntk_t * pNtkOld, Abc_Ntk_t * pNtk, int fVerbose );
-/*=== seqLatch.c ===============================================================*/
-extern void Seq_NodeInsertFirst( Abc_Obj_t * pObj, int Edge, Abc_InitType_t Init );
-extern void Seq_NodeInsertLast( Abc_Obj_t * pObj, int Edge, Abc_InitType_t Init );
-extern Abc_InitType_t Seq_NodeDeleteFirst( Abc_Obj_t * pObj, int Edge );
-extern Abc_InitType_t Seq_NodeDeleteLast( Abc_Obj_t * pObj, int Edge );
-/*=== seqUtil.c ================================================================*/
-extern int Seq_NtkLevelMax( Abc_Ntk_t * pNtk );
-extern int Seq_ObjFanoutLMax( Abc_Obj_t * pObj );
-extern int Seq_ObjFanoutLMin( Abc_Obj_t * pObj );
-extern int Seq_ObjFanoutLSum( Abc_Obj_t * pObj );
-extern int Seq_ObjFaninLSum( Abc_Obj_t * pObj );
-
-#ifdef __cplusplus
-}
-#endif
-
-#endif
-
-////////////////////////////////////////////////////////////////////////
-/// END OF FILE ///
-////////////////////////////////////////////////////////////////////////
-
diff --git a/src/base/seq/seqLatch.c b/src/base/seq/seqLatch.c
deleted file mode 100644
index cb3e1e36..00000000
--- a/src/base/seq/seqLatch.c
+++ /dev/null
@@ -1,223 +0,0 @@
-/**CFile****************************************************************
-
- FileName [seqLatch.c]
-
- SystemName [ABC: Logic synthesis and verification system.]
-
- PackageName [Construction and manipulation of sequential AIGs.]
-
- Synopsis [Manipulation of latch data structures representing initial states.]
-
- Author [Alan Mishchenko]
-
- Affiliation [UC Berkeley]
-
- Date [Ver. 1.0. Started - June 20, 2005.]
-
- Revision [$Id: seqLatch.c,v 1.00 2005/06/20 00:00:00 alanmi Exp $]
-
-***********************************************************************/
-
-#include "seqInt.h"
-
-////////////////////////////////////////////////////////////////////////
-/// DECLARATIONS ///
-////////////////////////////////////////////////////////////////////////
-
-////////////////////////////////////////////////////////////////////////
-/// FUNCTION DEFINITIONS ///
-////////////////////////////////////////////////////////////////////////
-
-/**Function*************************************************************
-
- Synopsis [Insert the first Lat on the edge.]
-
- Description []
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-void Seq_NodeInsertFirst( Abc_Obj_t * pObj, int Edge, Abc_InitType_t Init )
-{
- Seq_Lat_t * pLat, * pRing, * pPrev;
- pRing = Seq_NodeGetRing( pObj, Edge );
- pLat = Seq_NodeCreateLat( pObj );
- if ( pRing == NULL )
- {
- Seq_LatSetPrev( pLat, pLat );
- Seq_LatSetNext( pLat, pLat );
- Seq_NodeSetRing( pObj, Edge, pLat );
- }
- else
- {
- pPrev = Seq_LatPrev( pRing );
- Seq_LatSetPrev( pLat, pPrev );
- Seq_LatSetNext( pPrev, pLat );
- Seq_LatSetPrev( pRing, pLat );
- Seq_LatSetNext( pLat, pRing );
- Seq_NodeSetRing( pObj, Edge, pLat ); // rotate the ring to make pLat the first
- }
- Seq_LatSetInit( pLat, Init );
- Seq_ObjAddFaninL( pObj, Edge, 1 );
- assert( pLat->pLatch == NULL );
-}
-
-/**Function*************************************************************
-
- Synopsis [Insert the last Lat on the edge.]
-
- Description []
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-void Seq_NodeInsertLast( Abc_Obj_t * pObj, int Edge, Abc_InitType_t Init )
-{
- Seq_Lat_t * pLat, * pRing, * pPrev;
- pRing = Seq_NodeGetRing( pObj, Edge );
- pLat = Seq_NodeCreateLat( pObj );
- if ( pRing == NULL )
- {
- Seq_LatSetPrev( pLat, pLat );
- Seq_LatSetNext( pLat, pLat );
- Seq_NodeSetRing( pObj, Edge, pLat );
- }
- else
- {
- pPrev = Seq_LatPrev( pRing );
- Seq_LatSetPrev( pLat, pPrev );
- Seq_LatSetNext( pPrev, pLat );
- Seq_LatSetPrev( pRing, pLat );
- Seq_LatSetNext( pLat, pRing );
- }
- Seq_LatSetInit( pLat, Init );
- Seq_ObjAddFaninL( pObj, Edge, 1 );
-}
-
-/**Function*************************************************************
-
- Synopsis [Delete the first Lat on the edge.]
-
- Description []
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-Abc_InitType_t Seq_NodeDeleteFirst( Abc_Obj_t * pObj, int Edge )
-{
- Abc_InitType_t Init;
- Seq_Lat_t * pLat, * pRing, * pPrev, * pNext;
- pRing = Seq_NodeGetRing( pObj, Edge );
- pLat = pRing; // consider the first latch
- if ( pLat->pNext == pLat )
- Seq_NodeSetRing( pObj, Edge, NULL );
- else
- {
- pPrev = Seq_LatPrev( pLat );
- pNext = Seq_LatNext( pLat );
- Seq_LatSetPrev( pNext, pPrev );
- Seq_LatSetNext( pPrev, pNext );
- Seq_NodeSetRing( pObj, Edge, pNext ); // rotate the ring
- }
- Init = Seq_LatInit( pLat );
- Seq_NodeRecycleLat( pObj, pLat );
- Seq_ObjAddFaninL( pObj, Edge, -1 );
- return Init;
-}
-
-/**Function*************************************************************
-
- Synopsis [Delete the last Lat on the edge.]
-
- Description []
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-Abc_InitType_t Seq_NodeDeleteLast( Abc_Obj_t * pObj, int Edge )
-{
- Abc_InitType_t Init;
- Seq_Lat_t * pLat, * pRing, * pPrev, * pNext;
- pRing = Seq_NodeGetRing( pObj, Edge );
- pLat = Seq_LatPrev( pRing ); // consider the last latch
- if ( pLat->pNext == pLat )
- Seq_NodeSetRing( pObj, Edge, NULL );
- else
- {
- pPrev = Seq_LatPrev( pLat );
- pNext = Seq_LatNext( pLat );
- Seq_LatSetPrev( pNext, pPrev );
- Seq_LatSetNext( pPrev, pNext );
- }
- Init = Seq_LatInit( pLat );
- Seq_NodeRecycleLat( pObj, pLat );
- Seq_ObjAddFaninL( pObj, Edge, -1 );
- return Init;
-}
-
-/**Function*************************************************************
-
- Synopsis [Insert the last Lat on the edge.]
-
- Description []
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-void Seq_NodeDupLats( Abc_Obj_t * pObjNew, Abc_Obj_t * pObj, int Edge )
-{
- Seq_Lat_t * pRing, * pLat;
- int i, nLatches;
- pRing = Seq_NodeGetRing( pObj, Edge );
- if ( pRing == NULL )
- return;
- nLatches = Seq_NodeCountLats( pObj, Edge );
- for ( i = 0, pLat = pRing; i < nLatches; i++, pLat = pLat->pNext )
- Seq_NodeInsertLast( pObjNew, Edge, Seq_LatInit(pLat) );
-}
-
-/**Function*************************************************************
-
- Synopsis [Insert the last Lat on the edge.]
-
- Description []
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-int Seq_NodeCompareLats( Abc_Obj_t * pObj1, int Edge1, Abc_Obj_t * pObj2, int Edge2 )
-{
- Seq_Lat_t * pRing1, * pRing2, * pLat1, * pLat2;
- int i, nLatches1, nLatches2;
-
- nLatches1 = Seq_NodeCountLats( pObj1, Edge1 );
- nLatches2 = Seq_NodeCountLats( pObj2, Edge2 );
- if ( nLatches1 != nLatches2 )
- return 0;
-
- pRing1 = Seq_NodeGetRing( pObj1, Edge1 );
- pRing2 = Seq_NodeGetRing( pObj2, Edge2 );
- for ( i = 0, pLat1 = pRing1, pLat2 = pRing2; i < nLatches1; i++, pLat1 = pLat1->pNext, pLat2 = pLat2->pNext )
- if ( Seq_LatInit(pLat1) != Seq_LatInit(pLat2) )
- return 0;
-
- return 1;
-}
-
-////////////////////////////////////////////////////////////////////////
-/// END OF FILE ///
-////////////////////////////////////////////////////////////////////////
-
-
diff --git a/src/base/seq/seqMan.c b/src/base/seq/seqMan.c
deleted file mode 100644
index bdfb2630..00000000
--- a/src/base/seq/seqMan.c
+++ /dev/null
@@ -1,133 +0,0 @@
-/**CFile****************************************************************
-
- FileName [seqMan.c]
-
- SystemName [ABC: Logic synthesis and verification system.]
-
- PackageName [Construction and manipulation of sequential AIGs.]
-
- Synopsis [Manager of sequential AIG containing.]
-
- Author [Alan Mishchenko]
-
- Affiliation [UC Berkeley]
-
- Date [Ver. 1.0. Started - June 20, 2005.]
-
- Revision [$Id: seqMan.c,v 1.00 2005/06/20 00:00:00 alanmi Exp $]
-
-***********************************************************************/
-
-#include "seqInt.h"
-
-////////////////////////////////////////////////////////////////////////
-/// DECLARATIONS ///
-////////////////////////////////////////////////////////////////////////
-
-////////////////////////////////////////////////////////////////////////
-/// FUNCTION DEFINITIONS ///
-////////////////////////////////////////////////////////////////////////
-
-/**Function*************************************************************
-
- Synopsis [Allocates sequential AIG manager.]
-
- Description [The manager contains all the data structures needed to
- represent sequential AIG and compute stand-alone retiming as well as
- the integrated mapping/retiming of the sequential AIG.]
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-Abc_Seq_t * Seq_Create( Abc_Ntk_t * pNtk )
-{
- Abc_Seq_t * p;
- // start the manager
- p = ALLOC( Abc_Seq_t, 1 );
- memset( p, 0, sizeof(Abc_Seq_t) );
- p->pNtk = pNtk;
- p->nSize = 1000;
- p->nMaxIters = 15;
- p->pMmInits = Extra_MmFixedStart( sizeof(Seq_Lat_t) );
- p->fEpsilon = (float)0.001;
- // create internal data structures
- p->vNums = Vec_IntStart( 2 * p->nSize );
- p->vInits = Vec_PtrStart( 2 * p->nSize );
- p->vLValues = Vec_IntStart( p->nSize );
- p->vLags = Vec_StrStart( p->nSize );
- p->vLValuesN = Vec_IntStart( p->nSize );
- p->vAFlows = Vec_IntStart( p->nSize );
- p->vLagsN = Vec_StrStart( p->nSize );
- p->vUses = Vec_StrStart( p->nSize );
- return p;
-}
-
-/**Function*************************************************************
-
- Synopsis [Deallocates sequential AIG manager.]
-
- Description []
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-void Seq_Resize( Abc_Seq_t * p, int nMaxId )
-{
- if ( p->nSize > nMaxId )
- return;
- p->nSize = nMaxId + 1;
- Vec_IntFill( p->vNums, 2 * p->nSize, 0 );
- Vec_PtrFill( p->vInits, 2 * p->nSize, NULL );
- Vec_IntFill( p->vLValues, p->nSize, 0 );
- Vec_StrFill( p->vLags, p->nSize, 0 );
- Vec_IntFill( p->vLValuesN, p->nSize, 0 );
- Vec_IntFill( p->vAFlows, p->nSize, 0 );
- Vec_StrFill( p->vLagsN, p->nSize, 0 );
- Vec_StrFill( p->vUses, p->nSize, 0 );
-}
-
-
-/**Function*************************************************************
-
- Synopsis [Deallocates sequential AIG manager.]
-
- Description []
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-void Seq_Delete( Abc_Seq_t * p )
-{
- if ( p->fStandCells && p->vMapAnds )
- {
- void * pVoid; int i;
- Vec_PtrForEachEntry( p->vMapAnds, pVoid, i )
- free( pVoid );
- }
- if ( p->vMapDelays ) Vec_VecFree( p->vMapDelays ); // the nodes used in the mapping
- if ( p->vMapFanins ) Vec_VecFree( p->vMapFanins ); // the cuts used in the mapping
- if ( p->vMapAnds ) Vec_PtrFree( p->vMapAnds ); // the nodes used in the mapping
- if ( p->vMapCuts ) Vec_VecFree( p->vMapCuts ); // the cuts used in the mapping
- if ( p->vLValues ) Vec_IntFree( p->vLValues ); // the arrival times (L-Values of nodes)
- if ( p->vLags ) Vec_StrFree( p->vLags ); // the lags of the mapped nodes
- if ( p->vLValuesN ) Vec_IntFree( p->vLValuesN ); // the arrival times (L-Values of nodes)
- if ( p->vAFlows ) Vec_IntFree( p->vAFlows ); // the arrival times (L-Values of nodes)
- if ( p->vLagsN ) Vec_StrFree( p->vLagsN ); // the lags of the mapped nodes
- if ( p->vUses ) Vec_StrFree( p->vUses ); // the uses of phases
- if ( p->vInits ) Vec_PtrFree( p->vInits ); // the initial values of the latches
- if ( p->vNums ) Vec_IntFree( p->vNums ); // the numbers of latches
- Extra_MmFixedStop( p->pMmInits );
- free( p );
-}
-
-////////////////////////////////////////////////////////////////////////
-/// END OF FILE ///
-////////////////////////////////////////////////////////////////////////
-
-
diff --git a/src/base/seq/seqMapCore.c b/src/base/seq/seqMapCore.c
deleted file mode 100644
index c465f31f..00000000
--- a/src/base/seq/seqMapCore.c
+++ /dev/null
@@ -1,652 +0,0 @@
-/**CFile****************************************************************
-
- FileName [seqMapCore.c]
-
- SystemName [ABC: Logic synthesis and verification system.]
-
- PackageName [Construction and manipulation of sequential AIGs.]
-
- Synopsis [The core of SC mapping/retiming package.]
-
- Author [Alan Mishchenko]
-
- Affiliation [UC Berkeley]
-
- Date [Ver. 1.0. Started - June 20, 2005.]
-
- Revision [$Id: seqMapCore.c,v 1.00 2005/06/20 00:00:00 alanmi Exp $]
-
-***********************************************************************/
-
-#include "seqInt.h"
-#include "main.h"
-#include "mio.h"
-#include "mapper.h"
-
-////////////////////////////////////////////////////////////////////////
-/// DECLARATIONS ///
-////////////////////////////////////////////////////////////////////////
-
-extern Abc_Ntk_t * Seq_NtkMapDup( Abc_Ntk_t * pNtk );
-extern int Seq_NtkMapInitCompatible( Abc_Ntk_t * pNtk, int fVerbose );
-extern Abc_Ntk_t * Seq_NtkSeqMapMapped( Abc_Ntk_t * pNtk );
-
-static int Seq_MapMappingCount( Abc_Ntk_t * pNtk );
-static int Seq_MapMappingCount_rec( Abc_Ntk_t * pNtk, unsigned SeqEdge, Vec_Ptr_t * vLeaves );
-static Abc_Obj_t * Seq_MapMappingBuild_rec( Abc_Ntk_t * pNtkNew, Abc_Ntk_t * pNtk, unsigned SeqEdge, int fTop, int fCompl, int LagCut, Vec_Ptr_t * vLeaves, unsigned uPhase );
-static DdNode * Seq_MapMappingBdd_rec( DdManager * dd, Abc_Ntk_t * pNtk, unsigned SeqEdge, Vec_Ptr_t * vLeaves );
-static void Seq_MapMappingEdges_rec( Abc_Ntk_t * pNtk, unsigned SeqEdge, Abc_Obj_t * pPrev, Vec_Ptr_t * vLeaves, Vec_Vec_t * vMapEdges );
-static void Seq_MapMappingConnect_rec( Abc_Ntk_t * pNtk, unsigned SeqEdge, Abc_Obj_t * pPrev, int Edge, Abc_Obj_t * pRoot, Vec_Ptr_t * vLeaves );
-static DdNode * Seq_MapMappingConnectBdd_rec( Abc_Ntk_t * pNtk, unsigned SeqEdge, Abc_Obj_t * pPrev, int Edge, Abc_Obj_t * pRoot, Vec_Ptr_t * vLeaves );
-
-////////////////////////////////////////////////////////////////////////
-/// FUNCTION DEFINITIONS ///
-////////////////////////////////////////////////////////////////////////
-
-/**Function*************************************************************
-
- Synopsis [Performs Map mapping and retiming.]
-
- Description []
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-Abc_Ntk_t * Seq_MapRetime( Abc_Ntk_t * pNtk, int nMaxIters, int fVerbose )
-{
- Abc_Seq_t * p = pNtk->pManFunc;
- Abc_Ntk_t * pNtkNew;
- Abc_Ntk_t * pNtkMap;
- int RetValue;
-
- // derive the supergate library
- if ( Abc_FrameReadLibSuper() == NULL && Abc_FrameReadLibGen() )
- {
- printf( "A simple supergate library is derived from gate library \"%s\".\n",
- Mio_LibraryReadName(Abc_FrameReadLibGen()) );
- Map_SuperLibDeriveFromGenlib( Abc_FrameReadLibGen() );
- }
- p->pSuperLib = Abc_FrameReadLibSuper();
- p->nVarsMax = Map_SuperLibReadVarsMax(p->pSuperLib);
- p->nMaxIters = nMaxIters;
- p->fStandCells = 1;
-
- // find the best mapping and retiming for all nodes (p->vLValues, p->vBestCuts, p->vLags)
- if ( !Seq_MapRetimeDelayLags( pNtk, fVerbose ) )
- return NULL;
- if ( RetValue = Abc_NtkGetChoiceNum(pNtk) )
- {
- printf( "The network has %d choices. The resulting network is not derived (this is temporary).\n", RetValue );
- printf( "The mininum clock period computed is %5.2f.\n", p->FiBestFloat );
- return NULL;
- }
- printf( "The mininum clock period computed is %5.2f.\n", p->FiBestFloat );
- printf( "The resulting network is derived as BDD logic network (this is temporary).\n" );
-
- // duplicate the nodes contained in multiple cuts
- pNtkNew = Seq_NtkMapDup( pNtk );
-
- // implement the retiming
- RetValue = Seq_NtkImplementRetiming( pNtkNew, ((Abc_Seq_t *)pNtkNew->pManFunc)->vLags, fVerbose );
- if ( RetValue == 0 )
- printf( "Retiming completed but initial state computation has failed.\n" );
-
- // check the compatibility of initial states computed
- if ( RetValue = Seq_NtkMapInitCompatible( pNtkNew, fVerbose ) )
- printf( "The number of LUTs with incompatible edges = %d.\n", RetValue );
-// return pNtkNew;
-
- // create the final mapped network
- pNtkMap = Seq_NtkSeqMapMapped( pNtkNew );
- Abc_NtkDelete( pNtkNew );
- return pNtkMap;
-}
-
-/**Function*************************************************************
-
- Synopsis [Derives the network by duplicating some of the nodes.]
-
- Description [Information about mapping is given as mapping nodes (p->vMapAnds)
- and best cuts for each node (p->vMapCuts).]
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-Abc_Ntk_t * Seq_NtkMapDup( Abc_Ntk_t * pNtk )
-{
- Abc_Seq_t * pNew, * p = pNtk->pManFunc;
- Seq_Match_t * pMatch;
- Abc_Ntk_t * pNtkNew;
- Abc_Obj_t * pObj, * pFanin, * pFaninNew, * pLeaf;
- Vec_Ptr_t * vLeaves;
- unsigned SeqEdge;
- int i, k, nObjsNew, Lag;
-
- assert( Abc_NtkIsSeq(pNtk) );
-
- // start the expanded network
- pNtkNew = Abc_NtkStartFrom( pNtk, pNtk->ntkType, pNtk->ntkFunc );
- Abc_NtkCleanNext(pNtk);
-
- // start the new sequential AIG manager
- nObjsNew = 1 + Abc_NtkPiNum(pNtk) + Abc_NtkPoNum(pNtk) + Seq_MapMappingCount(pNtk);
- Seq_Resize( pNtkNew->pManFunc, nObjsNew );
-
- // duplicate the nodes in the mapping
- Vec_PtrForEachEntry( p->vMapAnds, pMatch, i )
- {
-// Abc_NtkDupObj( pNtkNew, pMatch->pAnd );
- if ( !pMatch->fCompl )
- pMatch->pAnd->pCopy = Abc_NtkCreateNode( pNtkNew );
- else
- pMatch->pAnd->pNext = Abc_NtkCreateNode( pNtkNew );
- }
-
- // compute the real phase assignment
- Vec_PtrForEachEntry( p->vMapAnds, pMatch, i )
- {
- pMatch->uPhaseR = 0;
- // get the leaves of the cut
- vLeaves = Vec_VecEntry( p->vMapCuts, i );
- // convert the leaf nodes
- Vec_PtrForEachEntry( vLeaves, pLeaf, k )
- {
- SeqEdge = (unsigned)pLeaf;
- pLeaf = Abc_NtkObj( pNtk, SeqEdge >> 8 );
-
- // set the phase
- if ( pMatch->uPhase & (1 << k) ) // neg is required
- {
- if ( pLeaf->pNext ) // neg is available
- pMatch->uPhaseR |= (1 << k); // neg is used
-// else
-// Seq_NodeSetLag( pLeaf, Seq_NodeGetLagN(pLeaf) );
- }
- else // pos is required
- {
- if ( pLeaf->pCopy == NULL ) // pos is not available
- pMatch->uPhaseR |= (1 << k); // neg is used
-// else
-// Seq_NodeSetLagN( pLeaf, Seq_NodeGetLag(pLeaf) );
- }
- }
- }
-
-
- // recursively construct the internals of each node
- Vec_PtrForEachEntry( p->vMapAnds, pMatch, i )
- {
-// if ( pMatch->pSuper == NULL )
-// {
-// int x = 0;
-// }
- vLeaves = Vec_VecEntry( p->vMapCuts, i );
- if ( !pMatch->fCompl )
- Seq_MapMappingBuild_rec( pNtkNew, pNtk, pMatch->pAnd->Id << 8, 1, pMatch->fCompl, Seq_NodeGetLag(pMatch->pAnd), vLeaves, pMatch->uPhaseR );
- else
- Seq_MapMappingBuild_rec( pNtkNew, pNtk, pMatch->pAnd->Id << 8, 1, pMatch->fCompl, Seq_NodeGetLagN(pMatch->pAnd), vLeaves, pMatch->uPhaseR );
- }
- assert( nObjsNew == pNtkNew->nObjs );
-
- // set the POs
-// Abc_NtkFinalize( pNtk, pNtkNew );
- Abc_NtkForEachPo( pNtk, pObj, i )
- {
- pFanin = Abc_ObjFanin0(pObj);
- if ( Abc_ObjFaninC0(pObj) )
- pFaninNew = pFanin->pNext ? pFanin->pNext : pFanin->pCopy;
- else
- pFaninNew = pFanin->pCopy ? pFanin->pCopy : pFanin->pNext;
- pFaninNew = Abc_ObjNotCond( pFaninNew, Abc_ObjFaninC0(pObj) );
- Abc_ObjAddFanin( pObj->pCopy, pFaninNew );
- }
-
- // duplicate the latches on the PO edges
- Abc_NtkForEachPo( pNtk, pObj, i )
- Seq_NodeDupLats( pObj->pCopy, pObj, 0 );
-
- // transfer the mapping info to the new manager
- Vec_PtrForEachEntry( p->vMapAnds, pMatch, i )
- {
- // get the leaves of the cut
- vLeaves = Vec_VecEntry( p->vMapCuts, i );
- // convert the leaf nodes
- Vec_PtrForEachEntry( vLeaves, pLeaf, k )
- {
- SeqEdge = (unsigned)pLeaf;
- pLeaf = Abc_NtkObj( pNtk, SeqEdge >> 8 );
-
-// Lag = (SeqEdge & 255) + Seq_NodeGetLag(pMatch->pAnd) - Seq_NodeGetLag(pLeaf);
- Lag = (SeqEdge & 255) +
- (pMatch->fCompl? Seq_NodeGetLagN(pMatch->pAnd) : Seq_NodeGetLag(pMatch->pAnd)) -
- (((pMatch->uPhaseR & (1 << k)) > 0)? Seq_NodeGetLagN(pLeaf) : Seq_NodeGetLag(pLeaf) );
-
- assert( Lag >= 0 );
-
- // translate the old leaf into the leaf in the new network
-// if ( pMatch->uPhase & (1 << k) ) // negative phase is required
-// pFaninNew = pLeaf->pNext? pLeaf->pNext : pLeaf->pCopy;
-// else // positive phase is required
-// pFaninNew = pLeaf->pCopy? pLeaf->pCopy : pLeaf->pNext;
-
- // translate the old leaf into the leaf in the new network
- if ( pMatch->uPhaseR & (1 << k) ) // negative phase is required
- pFaninNew = pLeaf->pNext;
- else // positive phase is required
- pFaninNew = pLeaf->pCopy;
-
- Vec_PtrWriteEntry( vLeaves, k, (void *)((pFaninNew->Id << 8) | Lag) );
-// printf( "%d -> %d\n", pLeaf->Id, pLeaf->pCopy->Id );
-
- // UPDATE PHASE!!! leaving only those bits that require inverters
- }
- // convert the root node
-// Vec_PtrWriteEntry( p->vMapAnds, i, pObj->pCopy );
- pMatch->pAnd = pMatch->fCompl? pMatch->pAnd->pNext : pMatch->pAnd->pCopy;
- }
- pNew = pNtkNew->pManFunc;
- pNew->nVarsMax = p->nVarsMax;
- pNew->vMapAnds = p->vMapAnds; p->vMapAnds = NULL;
- pNew->vMapCuts = p->vMapCuts; p->vMapCuts = NULL;
- pNew->fStandCells = p->fStandCells; p->fStandCells = 0;
-
- if ( !Abc_NtkCheck( pNtkNew ) )
- fprintf( stdout, "Seq_NtkMapDup(): Network check has failed.\n" );
- return pNtkNew;
-}
-
-/**Function*************************************************************
-
- Synopsis [Checks if the initial states are compatible.]
-
- Description [Checks of all the initial states on the fanins edges
- of the cut have compatible number of latches and initial states.
- If this is not true, then the mapped network with the does not have initial
- state. Returns the number of LUTs with incompatible edges.]
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-int Seq_NtkMapInitCompatible( Abc_Ntk_t * pNtk, int fVerbose )
-{
- Abc_Seq_t * p = pNtk->pManFunc;
- Seq_Match_t * pMatch;
- Abc_Obj_t * pAnd, * pLeaf, * pFanout0, * pFanout1;
- Vec_Vec_t * vTotalEdges;
- Vec_Ptr_t * vLeaves, * vEdges;
- int i, k, m, Edge0, Edge1, nLatchAfter, nLatches1, nLatches2;
- unsigned SeqEdge;
- int CountBad = 0, CountAll = 0;
-
- vTotalEdges = Vec_VecStart( p->nVarsMax );
- // go through all the nodes (cuts) used in the mapping
- Vec_PtrForEachEntry( p->vMapAnds, pMatch, i )
- {
- pAnd = pMatch->pAnd;
-// printf( "*** Node %d.\n", pAnd->Id );
-
- // get the cut of this gate
- vLeaves = Vec_VecEntry( p->vMapCuts, i );
-
- // get the edges pointing to the leaves
- Vec_VecClear( vTotalEdges );
- Seq_MapMappingEdges_rec( pNtk, pAnd->Id << 8, NULL, vLeaves, vTotalEdges );
-
- // for each leaf, consider its edges
- Vec_PtrForEachEntry( vLeaves, pLeaf, k )
- {
- SeqEdge = (unsigned)pLeaf;
- pLeaf = Abc_NtkObj( pNtk, SeqEdge >> 8 );
- nLatchAfter = SeqEdge & 255;
- if ( nLatchAfter == 0 )
- continue;
-
- // go through the edges
- vEdges = Vec_VecEntry( vTotalEdges, k );
- pFanout0 = NULL;
- Vec_PtrForEachEntry( vEdges, pFanout1, m )
- {
- Edge1 = Abc_ObjIsComplement(pFanout1);
- pFanout1 = Abc_ObjRegular(pFanout1);
-//printf( "Fanin = %d. Fanout = %d.\n", pLeaf->Id, pFanout1->Id );
-
- // make sure this is the same fanin
- if ( Edge1 )
- assert( pLeaf == Abc_ObjFanin1(pFanout1) );
- else
- assert( pLeaf == Abc_ObjFanin0(pFanout1) );
-
- // save the first one
- if ( pFanout0 == NULL )
- {
- pFanout0 = pFanout1;
- Edge0 = Edge1;
- continue;
- }
- // compare the rings
- // if they have different number of latches, this is the bug
- nLatches1 = Seq_NodeCountLats(pFanout0, Edge0);
- nLatches2 = Seq_NodeCountLats(pFanout1, Edge1);
- assert( nLatches1 == nLatches2 );
- assert( nLatches1 == nLatchAfter );
- assert( nLatches1 > 0 );
-
- // if they have different initial states, this is the problem
- if ( !Seq_NodeCompareLats(pFanout0, Edge0, pFanout1, Edge1) )
- {
- CountBad++;
- break;
- }
- CountAll++;
- }
- }
- }
- if ( fVerbose )
- printf( "The number of pairs of edges checked = %d.\n", CountAll );
- Vec_VecFree( vTotalEdges );
- return CountBad;
-}
-
-/**Function*************************************************************
-
- Synopsis [Derives the final mapped network.]
-
- Description []
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-Abc_Ntk_t * Seq_NtkSeqMapMapped( Abc_Ntk_t * pNtk )
-{
- Abc_Seq_t * p = pNtk->pManFunc;
- Seq_Match_t * pMatch;
- Abc_Ntk_t * pNtkMap;
- Vec_Ptr_t * vLeaves;
- Abc_Obj_t * pObj, * pFaninNew;
- Seq_Lat_t * pRing;
- int i;
-
- assert( Abc_NtkIsSeq(pNtk) );
-
- // start the network
- pNtkMap = Abc_NtkStartFrom( pNtk, ABC_NTK_LOGIC, ABC_FUNC_BDD );
-
- // duplicate the nodes used in the mapping
- Vec_PtrForEachEntry( p->vMapAnds, pMatch, i )
- pMatch->pAnd->pCopy = Abc_NtkCreateNode( pNtkMap );
-
- // create and share the latches
- Seq_NtkShareLatchesMapping( pNtkMap, pNtk, p->vMapAnds, 0 );
-
- // connect the nodes
- Vec_PtrForEachEntry( p->vMapAnds, pMatch, i )
- {
- pObj = pMatch->pAnd;
- // get the leaves of this gate
- vLeaves = Vec_VecEntry( p->vMapCuts, i );
- // get the BDD of the node
- pObj->pCopy->pData = Seq_MapMappingConnectBdd_rec( pNtk, pObj->Id << 8, NULL, -1, pObj, vLeaves );
- Cudd_Ref( pObj->pCopy->pData );
- // complement the BDD of the cut if it came from the opposite polarity choice cut
-// if ( Vec_StrEntry(p->vPhase, i) )
-// pObj->pCopy->pData = Cudd_Not( pObj->pCopy->pData );
- }
-
- // set the POs
- Abc_NtkForEachPo( pNtk, pObj, i )
- {
- if ( pRing = Seq_NodeGetRing(pObj,0) )
- pFaninNew = pRing->pLatch;
- else
- pFaninNew = Abc_ObjFanin0(pObj)->pCopy;
- pFaninNew = Abc_ObjNotCond( pFaninNew, Abc_ObjFaninC0(pObj) );
- Abc_ObjAddFanin( pObj->pCopy, pFaninNew );
- }
-
- // add the latches and their names
- Abc_NtkAddDummyBoxNames( pNtkMap );
- Abc_NtkOrderCisCos( pNtkMap );
- // fix the problem with complemented and duplicated CO edges
- Abc_NtkLogicMakeSimpleCos( pNtkMap, 1 );
- // make the network minimum base
- Abc_NtkMinimumBase( pNtkMap );
- if ( !Abc_NtkCheck( pNtkMap ) )
- fprintf( stdout, "Seq_NtkSeqFpgaMapped(): Network check has failed.\n" );
- return pNtkMap;
-}
-
-
-
-/**Function*************************************************************
-
- Synopsis [Counts the number of nodes in the bag.]
-
- Description []
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-int Seq_MapMappingCount( Abc_Ntk_t * pNtk )
-{
- Abc_Seq_t * p = pNtk->pManFunc;
- Vec_Ptr_t * vLeaves;
- Seq_Match_t * pMatch;
- int i, Counter = 0;
- Vec_PtrForEachEntry( p->vMapAnds, pMatch, i )
- {
- vLeaves = Vec_VecEntry( p->vMapCuts, i );
- Counter += Seq_MapMappingCount_rec( pNtk, pMatch->pAnd->Id << 8, vLeaves );
- }
- return Counter;
-}
-
-/**Function*************************************************************
-
- Synopsis [Counts the number of nodes in the bag.]
-
- Description []
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-int Seq_MapMappingCount_rec( Abc_Ntk_t * pNtk, unsigned SeqEdge, Vec_Ptr_t * vLeaves )
-{
- Abc_Obj_t * pObj, * pLeaf;
- unsigned SeqEdge0, SeqEdge1;
- int Lag, i;
- // get the object and the lag
- pObj = Abc_NtkObj( pNtk, SeqEdge >> 8 );
- Lag = SeqEdge & 255;
- // if the node is the fanin of the cut, return
- Vec_PtrForEachEntry( vLeaves, pLeaf, i )
- if ( SeqEdge == (unsigned)pLeaf )
- return 0;
- // continue unfolding
- assert( Abc_AigNodeIsAnd(pObj) );
- // get new sequential edges
- assert( Lag + Seq_ObjFaninL0(pObj) < 255 );
- assert( Lag + Seq_ObjFaninL1(pObj) < 255 );
- SeqEdge0 = (Abc_ObjFanin0(pObj)->Id << 8) + Lag + Seq_ObjFaninL0(pObj);
- SeqEdge1 = (Abc_ObjFanin1(pObj)->Id << 8) + Lag + Seq_ObjFaninL1(pObj);
- // call for the children
- return 1 + Seq_MapMappingCount_rec( pNtk, SeqEdge0, vLeaves ) +
- Seq_MapMappingCount_rec( pNtk, SeqEdge1, vLeaves );
-}
-
-/**Function*************************************************************
-
- Synopsis [Collects the edges pointing to the leaves of the cut.]
-
- Description []
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-Abc_Obj_t * Seq_MapMappingBuild_rec( Abc_Ntk_t * pNtkNew, Abc_Ntk_t * pNtk, unsigned SeqEdge, int fTop, int fCompl, int LagCut, Vec_Ptr_t * vLeaves, unsigned uPhase )
-{
- Abc_Obj_t * pObj, * pObjNew, * pLeaf, * pFaninNew0, * pFaninNew1;
- unsigned SeqEdge0, SeqEdge1;
- int Lag, i;
- // get the object and the lag
- pObj = Abc_NtkObj( pNtk, SeqEdge >> 8 );
- Lag = SeqEdge & 255;
- // if the node is the fanin of the cut, return
- Vec_PtrForEachEntry( vLeaves, pLeaf, i )
- if ( SeqEdge == (unsigned)pLeaf )
- {
-// if ( uPhase & (1 << i) ) // negative phase is required
-// return pObj->pNext? pObj->pNext : pObj->pCopy;
-// else // positive phase is required
-// return pObj->pCopy? pObj->pCopy : pObj->pNext;
-
- if ( uPhase & (1 << i) ) // negative phase is required
- return pObj->pNext;
- else // positive phase is required
- return pObj->pCopy;
- }
- // continue unfolding
- assert( Abc_AigNodeIsAnd(pObj) );
- // get new sequential edges
- assert( Lag + Seq_ObjFaninL0(pObj) < 255 );
- assert( Lag + Seq_ObjFaninL1(pObj) < 255 );
- SeqEdge0 = (Abc_ObjFanin0(pObj)->Id << 8) + Lag + Seq_ObjFaninL0(pObj);
- SeqEdge1 = (Abc_ObjFanin1(pObj)->Id << 8) + Lag + Seq_ObjFaninL1(pObj);
- // call for the children
- pObjNew = fTop? (fCompl? pObj->pNext : pObj->pCopy) : Abc_NtkCreateNode( pNtkNew );
- // solve subproblems
- pFaninNew0 = Seq_MapMappingBuild_rec( pNtkNew, pNtk, SeqEdge0, 0, fCompl, LagCut, vLeaves, uPhase );
- pFaninNew1 = Seq_MapMappingBuild_rec( pNtkNew, pNtk, SeqEdge1, 0, fCompl, LagCut, vLeaves, uPhase );
- // add the fanins to the node
- Abc_ObjAddFanin( pObjNew, Abc_ObjNotCond( pFaninNew0, Abc_ObjFaninC0(pObj) ) );
- Abc_ObjAddFanin( pObjNew, Abc_ObjNotCond( pFaninNew1, Abc_ObjFaninC1(pObj) ) );
- Seq_NodeDupLats( pObjNew, pObj, 0 );
- Seq_NodeDupLats( pObjNew, pObj, 1 );
- // set the lag of the new node equal to the internal lag plus mapping/retiming lag
- Seq_NodeSetLag( pObjNew, (char)(Lag + LagCut) );
-// Seq_NodeSetLag( pObjNew, (char)(Lag) );
- return pObjNew;
-}
-
-
-/**Function*************************************************************
-
- Synopsis [Collects the edges pointing to the leaves of the cut.]
-
- Description []
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-void Seq_MapMappingEdges_rec( Abc_Ntk_t * pNtk, unsigned SeqEdge, Abc_Obj_t * pPrev, Vec_Ptr_t * vLeaves, Vec_Vec_t * vMapEdges )
-{
- Abc_Obj_t * pObj, * pLeaf;
- unsigned SeqEdge0, SeqEdge1;
- int Lag, i;
- // get the object and the lag
- pObj = Abc_NtkObj( pNtk, SeqEdge >> 8 );
- Lag = SeqEdge & 255;
- // if the node is the fanin of the cut, return
- Vec_PtrForEachEntry( vLeaves, pLeaf, i )
- {
- if ( SeqEdge == (unsigned)pLeaf )
- {
- assert( pPrev != NULL );
- Vec_VecPush( vMapEdges, i, pPrev );
- return;
- }
- }
- // continue unfolding
- assert( Abc_AigNodeIsAnd(pObj) );
- // get new sequential edges
- assert( Lag + Seq_ObjFaninL0(pObj) < 255 );
- assert( Lag + Seq_ObjFaninL1(pObj) < 255 );
- SeqEdge0 = (Abc_ObjFanin0(pObj)->Id << 8) + Lag + Seq_ObjFaninL0(pObj);
- SeqEdge1 = (Abc_ObjFanin1(pObj)->Id << 8) + Lag + Seq_ObjFaninL1(pObj);
- // call for the children
- Seq_MapMappingEdges_rec( pNtk, SeqEdge0, pObj , vLeaves, vMapEdges );
- Seq_MapMappingEdges_rec( pNtk, SeqEdge1, Abc_ObjNot(pObj), vLeaves, vMapEdges );
-}
-
-/**Function*************************************************************
-
- Synopsis [Collects the edges pointing to the leaves of the cut.]
-
- Description []
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-DdNode * Seq_MapMappingConnectBdd_rec( Abc_Ntk_t * pNtk, unsigned SeqEdge, Abc_Obj_t * pPrev, int Edge, Abc_Obj_t * pRoot, Vec_Ptr_t * vLeaves )
-{
- Seq_Lat_t * pRing;
- Abc_Obj_t * pObj, * pLeaf, * pFanin, * pFaninNew;
- unsigned SeqEdge0, SeqEdge1;
- DdManager * dd = pRoot->pCopy->pNtk->pManFunc;
- DdNode * bFunc, * bFunc0, * bFunc1;
- int Lag, i, k;
- // get the object and the lag
- pObj = Abc_NtkObj( pNtk, SeqEdge >> 8 );
- Lag = SeqEdge & 255;
- // if the node is the fanin of the cut, add the connection and return
- Vec_PtrForEachEntry( vLeaves, pLeaf, i )
- {
- if ( SeqEdge == (unsigned)pLeaf )
- {
- assert( pPrev != NULL );
- if ( pRing = Seq_NodeGetRing(pPrev,Edge) )
- pFaninNew = pRing->pLatch;
- else
- pFaninNew = Abc_ObjFanin(pPrev,Edge)->pCopy;
-
- // check if the root already has this fanin
- Abc_ObjForEachFanin( pRoot->pCopy, pFanin, k )
- if ( pFanin == pFaninNew )
- return Cudd_bddIthVar( dd, k );
- Abc_ObjAddFanin( pRoot->pCopy, pFaninNew );
- return Cudd_bddIthVar( dd, k );
- }
- }
- // continue unfolding
- assert( Abc_AigNodeIsAnd(pObj) );
- // get new sequential edges
- assert( Lag + Seq_ObjFaninL0(pObj) < 255 );
- assert( Lag + Seq_ObjFaninL1(pObj) < 255 );
- SeqEdge0 = (Abc_ObjFanin0(pObj)->Id << 8) + Lag + Seq_ObjFaninL0(pObj);
- SeqEdge1 = (Abc_ObjFanin1(pObj)->Id << 8) + Lag + Seq_ObjFaninL1(pObj);
- // call for the children
- bFunc0 = Seq_MapMappingConnectBdd_rec( pNtk, SeqEdge0, pObj, 0, pRoot, vLeaves ); Cudd_Ref( bFunc0 );
- bFunc1 = Seq_MapMappingConnectBdd_rec( pNtk, SeqEdge1, pObj, 1, pRoot, vLeaves ); Cudd_Ref( bFunc1 );
- bFunc0 = Cudd_NotCond( bFunc0, Abc_ObjFaninC0(pObj) );
- bFunc1 = Cudd_NotCond( bFunc1, Abc_ObjFaninC1(pObj) );
- // get the BDD of the node
- bFunc = Cudd_bddAnd( dd, bFunc0, bFunc1 ); Cudd_Ref( bFunc );
- Cudd_RecursiveDeref( dd, bFunc0 );
- Cudd_RecursiveDeref( dd, bFunc1 );
- // return the BDD
- Cudd_Deref( bFunc );
- return bFunc;
-}
-
-////////////////////////////////////////////////////////////////////////
-/// END OF FILE ///
-////////////////////////////////////////////////////////////////////////
-
-
diff --git a/src/base/seq/seqMapIter.c b/src/base/seq/seqMapIter.c
deleted file mode 100644
index 30333cea..00000000
--- a/src/base/seq/seqMapIter.c
+++ /dev/null
@@ -1,623 +0,0 @@
-/**CFile****************************************************************
-
- FileName [seqMapIter.c]
-
- SystemName [ABC: Logic synthesis and verification system.]
-
- PackageName [Construction and manipulation of sequential AIGs.]
-
- Synopsis [Iterative delay computation in SC mapping/retiming package.]
-
- Author [Alan Mishchenko]
-
- Affiliation [UC Berkeley]
-
- Date [Ver. 1.0. Started - June 20, 2005.]
-
- Revision [$Id: seqMapIter.c,v 1.00 2005/06/20 00:00:00 alanmi Exp $]
-
-***********************************************************************/
-
-#include "seqInt.h"
-#include "main.h"
-#include "mio.h"
-#include "mapperInt.h"
-
-// the internal procedures
-static float Seq_MapRetimeDelayLagsInternal( Abc_Ntk_t * pNtk, int fVerbose );
-static float Seq_MapRetimeSearch_rec( Abc_Ntk_t * pNtk, float FiMin, float FiMax, float Delta, int fVerbose );
-static int Seq_MapRetimeForPeriod( Abc_Ntk_t * pNtk, float Fi, int fVerbose );
-static int Seq_MapNodeUpdateLValue( Abc_Obj_t * pObj, float Fi, float DelayInv );
-static float Seq_MapCollectNode_rec( Abc_Obj_t * pAnd, float FiBest, Vec_Ptr_t * vMapping, Vec_Vec_t * vMapCuts );
-static void Seq_MapCanonicizeTruthTables( Abc_Ntk_t * pNtk );
-
-extern Cut_Man_t * Abc_NtkSeqCuts( Abc_Ntk_t * pNtk, Cut_Params_t * pParams );
-
-////////////////////////////////////////////////////////////////////////
-/// FUNCTION DEFINITIONS ///
-////////////////////////////////////////////////////////////////////////
-
-/**Function*************************************************************
-
- Synopsis [Computes the retiming lags for FPGA mapping.]
-
- Description []
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-int Seq_MapRetimeDelayLags( Abc_Ntk_t * pNtk, int fVerbose )
-{
- Abc_Seq_t * p = pNtk->pManFunc;
- Cut_Params_t Params, * pParams = &Params;
- Abc_Obj_t * pObj;
- float TotalArea;
- int i, clk;
-
- // set defaults for cut computation
- memset( pParams, 0, sizeof(Cut_Params_t) );
- pParams->nVarsMax = p->nVarsMax; // the max cut size ("k" of the k-feasible cuts)
- pParams->nKeepMax = 1000; // the max number of cuts kept at a node
- pParams->fTruth = 1; // compute truth tables
- pParams->fFilter = 1; // filter dominated cuts
- pParams->fSeq = 1; // compute sequential cuts
- pParams->fVerbose = fVerbose; // the verbosiness flag
-
- // compute the cuts
-clk = clock();
- p->pCutMan = Abc_NtkSeqCuts( pNtk, pParams );
-p->timeCuts = clock() - clk;
- if ( fVerbose )
- Cut_ManPrintStats( p->pCutMan );
-
- // compute canonical forms of the truth tables of the cuts
- Seq_MapCanonicizeTruthTables( pNtk );
-
- // compute area flows
-// Seq_MapComputeAreaFlows( pNtk, fVerbose );
-
- // compute the delays
-clk = clock();
- p->FiBestFloat = Seq_MapRetimeDelayLagsInternal( pNtk, fVerbose );
- if ( p->FiBestFloat == 0.0 )
- return 0;
-p->timeDelay = clock() - clk;
-/*
- {
- FILE * pTable;
- pTable = fopen( "stats.txt", "a+" );
- fprintf( pTable, "%s ", pNtk->pName );
- fprintf( pTable, "%.2f ", p->FiBestFloat );
- fprintf( pTable, "%.2f ", (float)(p->timeCuts)/(float)(CLOCKS_PER_SEC) );
- fprintf( pTable, "%.2f ", (float)(p->timeDelay)/(float)(CLOCKS_PER_SEC) );
- fprintf( pTable, "\n" );
- fclose( pTable );
- }
-*/
- // clean the marks
- Abc_NtkForEachObj( pNtk, pObj, i )
- assert( !pObj->fMarkA && !pObj->fMarkB );
-
- // collect the nodes and cuts used in the mapping
- p->vMapAnds = Vec_PtrAlloc( 1000 );
- p->vMapCuts = Vec_VecAlloc( 1000 );
- TotalArea = 0.0;
- Abc_NtkForEachPo( pNtk, pObj, i )
- TotalArea += Seq_MapCollectNode_rec( Abc_ObjChild0(pObj), p->FiBestFloat, p->vMapAnds, p->vMapCuts );
-
- // clean the marks
- Abc_NtkForEachObj( pNtk, pObj, i )
- pObj->fMarkA = pObj->fMarkB = 0;
-
- if ( fVerbose )
- printf( "Total area = %6.2f.\n", TotalArea );
-
- // remove the cuts
- Cut_ManStop( p->pCutMan );
- p->pCutMan = NULL;
- return 1;
-}
-
-/**Function*************************************************************
-
- Synopsis [Retimes AIG for optimal delay using Pan's algorithm.]
-
- Description []
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-float Seq_MapRetimeDelayLagsInternal( Abc_Ntk_t * pNtk, int fVerbose )
-{
- Abc_Seq_t * p = pNtk->pManFunc;
- Abc_Obj_t * pNode;
- float FiMax, FiBest, Delta;
- int i, RetValue;
- char NodeLag;
-
- assert( Abc_NtkIsSeq( pNtk ) );
-
- // assign the accuracy for min-period computation
- Delta = Mio_LibraryReadDelayNand2Max(Abc_FrameReadLibGen());
- if ( Delta == 0.0 )
- {
- Delta = Mio_LibraryReadDelayAnd2Max(Abc_FrameReadLibGen());
- if ( Delta == 0.0 )
- {
- printf( "Cannot retime/map if the library does not have NAND2 or AND2.\n" );
- return 0.0;
- }
- }
-
- // get the upper bound on the clock period
- FiMax = Delta * (5 + Seq_NtkLevelMax(pNtk));
- Delta /= 2;
-
- // make sure this clock period is feasible
- if ( !Seq_MapRetimeForPeriod( pNtk, FiMax, fVerbose ) )
- {
- Vec_StrFill( p->vLags, p->nSize, 0 );
- Vec_StrFill( p->vLagsN, p->nSize, 0 );
- printf( "Error: The upper bound on the clock period cannot be computed.\n" );
- printf( "The reason for this error may be the presence in the circuit of logic\n" );
- printf( "that is not reachable from the PIs. Mapping/retiming is not performed.\n" );
- return 0;
- }
-
- // search for the optimal clock period between 0 and nLevelMax
- FiBest = Seq_MapRetimeSearch_rec( pNtk, 0.0, FiMax, Delta, fVerbose );
-
- // recompute the best l-values
- RetValue = Seq_MapRetimeForPeriod( pNtk, FiBest, fVerbose );
- assert( RetValue );
-
- // fix the problem with non-converged delays
- Abc_AigForEachAnd( pNtk, pNode, i )
- {
- if ( Seq_NodeGetLValueP(pNode) < -ABC_INFINITY/2 )
- Seq_NodeSetLValueP( pNode, 0 );
- if ( Seq_NodeGetLValueN(pNode) < -ABC_INFINITY/2 )
- Seq_NodeSetLValueN( pNode, 0 );
- }
-
- // write the retiming lags for both phases of each node
- Vec_StrFill( p->vLags, p->nSize, 0 );
- Vec_StrFill( p->vLagsN, p->nSize, 0 );
- Abc_AigForEachAnd( pNtk, pNode, i )
- {
- NodeLag = Seq_NodeComputeLagFloat( Seq_NodeGetLValueP(pNode), FiBest );
- Seq_NodeSetLag( pNode, NodeLag );
- NodeLag = Seq_NodeComputeLagFloat( Seq_NodeGetLValueN(pNode), FiBest );
- Seq_NodeSetLagN( pNode, NodeLag );
-//printf( "%6d=(%d,%d) ", pNode->Id, Seq_NodeGetLag(pNode), Seq_NodeGetLagN(pNode) );
-// if ( Seq_NodeGetLag(pNode) != Seq_NodeGetLagN(pNode) )
-// {
-//printf( "%6d=(%d,%d) ", pNode->Id, Seq_NodeGetLag(pNode), Seq_NodeGetLagN(pNode) );
-// }
- }
-//printf( "\n\n" );
-
- // print the result
- if ( fVerbose )
- printf( "The best clock period after mapping/retiming is %6.2f.\n", FiBest );
- return FiBest;
-}
-
-/**Function*************************************************************
-
- Synopsis [Performs binary search for the optimal clock period.]
-
- Description [Assumes that FiMin is infeasible while FiMax is feasible.]
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-float Seq_MapRetimeSearch_rec( Abc_Ntk_t * pNtk, float FiMin, float FiMax, float Delta, int fVerbose )
-{
- float Median;
- assert( FiMin < FiMax );
- if ( FiMin + Delta >= FiMax )
- return FiMax;
- Median = FiMin + (FiMax - FiMin)/2;
- if ( Seq_MapRetimeForPeriod( pNtk, Median, fVerbose ) )
- return Seq_MapRetimeSearch_rec( pNtk, FiMin, Median, Delta, fVerbose ); // Median is feasible
- else
- return Seq_MapRetimeSearch_rec( pNtk, Median, FiMax, Delta, fVerbose ); // Median is infeasible
-}
-
-/**Function*************************************************************
-
- Synopsis [Returns 1 if retiming with this clock period is feasible.]
-
- Description []
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-int Seq_MapRetimeForPeriod( Abc_Ntk_t * pNtk, float Fi, int fVerbose )
-{
- Abc_Seq_t * p = pNtk->pManFunc;
- Abc_Obj_t * pObj;
- float DelayInv = Mio_LibraryReadDelayInvMax(Abc_FrameReadLibGen());
- int i, c, RetValue, fChange, Counter;
- char * pReason = "";
-
- // set l-values of all nodes to be minus infinity
- Vec_IntFill( p->vLValues, p->nSize, Abc_Float2Int( (float)-ABC_INFINITY ) );
- Vec_IntFill( p->vLValuesN, p->nSize, Abc_Float2Int( (float)-ABC_INFINITY ) );
- Vec_StrFill( p->vUses, p->nSize, 0 );
-
- // set l-values of constants and PIs
- pObj = Abc_NtkObj( pNtk, 0 );
- Seq_NodeSetLValueP( pObj, 0.0 );
- Seq_NodeSetLValueN( pObj, 0.0 );
- Abc_NtkForEachPi( pNtk, pObj, i )
- {
- Seq_NodeSetLValueP( pObj, 0.0 );
- Seq_NodeSetLValueN( pObj, DelayInv );
- }
-
- // update all values iteratively
- Counter = 0;
- for ( c = 0; c < p->nMaxIters; c++ )
- {
- fChange = 0;
- Abc_AigForEachAnd( pNtk, pObj, i )
- {
- Counter++;
- RetValue = Seq_MapNodeUpdateLValue( pObj, Fi, DelayInv );
- if ( RetValue == SEQ_UPDATE_YES )
- fChange = 1;
- }
- Abc_NtkForEachPo( pNtk, pObj, i )
- {
- RetValue = Seq_MapNodeUpdateLValue( pObj, Fi, DelayInv );
- if ( RetValue == SEQ_UPDATE_FAIL )
- break;
- }
- if ( RetValue == SEQ_UPDATE_FAIL )
- break;
- if ( fChange == 0 )
- break;
-//printf( "\n\n" );
- }
- if ( c == p->nMaxIters )
- {
- RetValue = SEQ_UPDATE_FAIL;
- pReason = "(timeout)";
- }
- else
- c++;
-
- // report the results
- if ( fVerbose )
- {
- if ( RetValue == SEQ_UPDATE_FAIL )
- printf( "Period = %6.2f. Iterations = %3d. Updates = %10d. Infeasible %s\n", Fi, c, Counter, pReason );
- else
- printf( "Period = %6.2f. Iterations = %3d. Updates = %10d. Feasible\n", Fi, c, Counter );
- }
- return RetValue != SEQ_UPDATE_FAIL;
-}
-
-
-
-/**Function*************************************************************
-
- Synopsis [Computes the l-value of the cut.]
-
- Description []
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-float Seq_MapSuperGetArrival( Abc_Obj_t * pObj, float Fi, Seq_Match_t * pMatch, float DelayMax )
-{
- Abc_Seq_t * p = pObj->pNtk->pManFunc;
- Abc_Obj_t * pFanin;
- float lValueCur, lValueMax;
- int i;
- lValueMax = -ABC_INFINITY;
- for ( i = pMatch->pCut->nLeaves - 1; i >= 0; i-- )
- {
- // get the arrival time of the fanin
- pFanin = Abc_NtkObj( pObj->pNtk, pMatch->pCut->pLeaves[i] >> 8 );
- if ( pMatch->uPhase & (1 << i) )
- lValueCur = Seq_NodeGetLValueN(pFanin) - Fi * (pMatch->pCut->pLeaves[i] & 255);
- else
- lValueCur = Seq_NodeGetLValueP(pFanin) - Fi * (pMatch->pCut->pLeaves[i] & 255);
- // add the arrival time of this pin
- if ( lValueMax < lValueCur + pMatch->pSuper->tDelaysR[i].Worst )
- lValueMax = lValueCur + pMatch->pSuper->tDelaysR[i].Worst;
- if ( lValueMax < lValueCur + pMatch->pSuper->tDelaysF[i].Worst )
- lValueMax = lValueCur + pMatch->pSuper->tDelaysF[i].Worst;
- if ( lValueMax > DelayMax + p->fEpsilon )
- return ABC_INFINITY;
- }
- return lValueMax;
-}
-
-/**Function*************************************************************
-
- Synopsis [Computes the l-value of the cut.]
-
- Description []
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-float Seq_MapNodeComputeCut( Abc_Obj_t * pObj, Cut_Cut_t * pCut, int fCompl, float Fi, Seq_Match_t * pMatchBest )
-{
- Seq_Match_t Match, * pMatchCur = &Match;
- Abc_Seq_t * p = pObj->pNtk->pManFunc;
- Map_Super_t * pSuper, * pSuperList;
- unsigned uCanon[2];
- float lValueBest, lValueCur;
- int i;
- assert( pCut->nLeaves < 6 );
- // get the canonical truth table of this cut
- uCanon[0] = uCanon[1] = (fCompl? pCut->uCanon0 : pCut->uCanon1);
- if ( uCanon[0] == 0 || ~uCanon[0] == 0 )
- {
- if ( pMatchBest )
- {
- memset( pMatchBest, 0, sizeof(Seq_Match_t) );
- pMatchBest->pCut = pCut;
- }
- return (float)0.0;
- }
- // match the given phase of the cut
- pSuperList = Map_SuperTableLookupC( p->pSuperLib, uCanon );
- // compute the arrival times of each supergate
- lValueBest = ABC_INFINITY;
- for ( pSuper = pSuperList; pSuper; pSuper = pSuper->pNext )
- {
- // create the match
- pMatchCur->pCut = pCut;
- pMatchCur->pSuper = pSuper;
- // get the phase
- for ( i = 0; i < (int)pSuper->nPhases; i++ )
- {
- pMatchCur->uPhase = (fCompl? pCut->Num0 : pCut->Num1) ^ pSuper->uPhases[i];
- // find the arrival time of this match
- lValueCur = Seq_MapSuperGetArrival( pObj, Fi, pMatchCur, lValueBest );
- if ( lValueBest > lValueCur )//&& lValueCur > -ABC_INFINITY/2 )
- {
- lValueBest = lValueCur;
- if ( pMatchBest )
- *pMatchBest = *pMatchCur;
- }
- }
- }
-// assert( lValueBest < ABC_INFINITY/2 );
- return lValueBest;
-}
-
-/**Function*************************************************************
-
- Synopsis [Computes the l-value of the node.]
-
- Description [The node can be internal or a PO.]
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-float Seq_MapNodeComputePhase( Abc_Obj_t * pObj, int fCompl, float Fi, Seq_Match_t * pMatchBest )
-{
- Seq_Match_t Match, * pMatchCur = &Match;
- Cut_Cut_t * pList, * pCut;
- float lValueBest, lValueCut;
- // get the list of cuts
- pList = Abc_NodeReadCuts( Seq_NodeCutMan(pObj), pObj );
- // get the arrival time of the best non-trivial cut
- lValueBest = ABC_INFINITY;
- for ( pCut = pList->pNext; pCut; pCut = pCut->pNext )
- {
- lValueCut = Seq_MapNodeComputeCut( pObj, pCut, fCompl, Fi, pMatchBest? pMatchCur : NULL );
- if ( lValueBest > lValueCut )
- {
- lValueBest = lValueCut;
- if ( pMatchBest )
- *pMatchBest = *pMatchCur;
- }
- }
-// assert( lValueBest < ABC_INFINITY/2 );
- return lValueBest;
-}
-
-/**Function*************************************************************
-
- Synopsis [Computes the l-value of the node.]
-
- Description [The node can be internal or a PO.]
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-int Seq_MapNodeUpdateLValue( Abc_Obj_t * pObj, float Fi, float DelayInv )
-{
- Abc_Seq_t * p = pObj->pNtk->pManFunc;
- Cut_Cut_t * pList;
- char Use;
- float lValueOld0, lValueOld1, lValue0, lValue1, lValue;
- assert( !Abc_ObjIsPi(pObj) );
- assert( Abc_ObjFaninNum(pObj) > 0 );
- // consider the case of the PO
- if ( Abc_ObjIsPo(pObj) )
- {
- if ( Abc_ObjFaninC0(pObj) ) // PO requires negative polarity
- lValue = Seq_NodeGetLValueN(Abc_ObjFanin0(pObj)) - Fi * Seq_ObjFaninL0(pObj);
- else
- lValue = Seq_NodeGetLValueP(Abc_ObjFanin0(pObj)) - Fi * Seq_ObjFaninL0(pObj);
- return (lValue > Fi + p->fEpsilon)? SEQ_UPDATE_FAIL : SEQ_UPDATE_NO;
- }
- // get the cuts
- pList = Abc_NodeReadCuts( Seq_NodeCutMan(pObj), pObj );
- if ( pList == NULL )
- return SEQ_UPDATE_NO;
- // compute the arrival time of both phases
- lValue0 = Seq_MapNodeComputePhase( pObj, 1, Fi, NULL );
- lValue1 = Seq_MapNodeComputePhase( pObj, 0, Fi, NULL );
- // consider the case when negative phase is too slow
- if ( lValue0 > lValue1 + DelayInv + p->fEpsilon )
- lValue0 = lValue1 + DelayInv, Use = 2;
- else if ( lValue1 > lValue0 + DelayInv + p->fEpsilon )
- lValue1 = lValue0 + DelayInv, Use = 1;
- else
- Use = 3;
- // set the uses of the phases
- Seq_NodeSetUses( pObj, Use );
- // get the old arrival times
- lValueOld0 = Seq_NodeGetLValueN(pObj);
- lValueOld1 = Seq_NodeGetLValueP(pObj);
- // compare
- if ( lValue0 <= lValueOld0 + p->fEpsilon && lValue1 <= lValueOld1 + p->fEpsilon )
- return SEQ_UPDATE_NO;
- assert( lValue0 < ABC_INFINITY/2 );
- assert( lValue1 < ABC_INFINITY/2 );
- // update the values
- if ( lValue0 > lValueOld0 + p->fEpsilon )
- Seq_NodeSetLValueN( pObj, lValue0 );
- if ( lValue1 > lValueOld1 + p->fEpsilon )
- Seq_NodeSetLValueP( pObj, lValue1 );
-//printf( "%6d=(%4.2f,%4.2f) ", pObj->Id, Seq_NodeGetLValueP(pObj), Seq_NodeGetLValueN(pObj) );
- return SEQ_UPDATE_YES;
-}
-
-
-
-/**Function*************************************************************
-
- Synopsis [Derives the parameters of the best mapping/retiming for one node.]
-
- Description []
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-float Seq_MapCollectNode_rec( Abc_Obj_t * pAnd, float FiBest, Vec_Ptr_t * vMapping, Vec_Vec_t * vMapCuts )
-{
- Seq_Match_t * pMatch;
- Abc_Obj_t * pFanin;
- int k, fCompl, Use;
- float AreaInv = Mio_LibraryReadAreaInv(Abc_FrameReadLibGen());
- float Area;
-
- // get the polarity of the node
- fCompl = Abc_ObjIsComplement(pAnd);
- pAnd = Abc_ObjRegular(pAnd);
-
- // skip visited nodes
- if ( !fCompl )
- { // need the positive polarity
- if ( pAnd->fMarkA )
- return 0.0;
- pAnd->fMarkA = 1;
- }
- else
- { // need the negative polarity
- if ( pAnd->fMarkB )
- return 0.0;
- pAnd->fMarkB = 1;
- }
-
- // skip if this is a PI or a constant
- if ( !Abc_AigNodeIsAnd(pAnd) )
- {
- if ( Abc_ObjIsPi(pAnd) && fCompl )
- return AreaInv;
- return 0.0;
- }
-
- // check the uses of this node
- Use = Seq_NodeGetUses( pAnd );
- if ( !fCompl && Use == 1 ) // the pos phase is required; only the neg phase is used
- {
- Area = Seq_MapCollectNode_rec( Abc_ObjNot(pAnd), FiBest, vMapping, vMapCuts );
- return Area + AreaInv;
- }
- if ( fCompl && Use == 2 ) // the neg phase is required; only the pos phase is used
- {
- Area = Seq_MapCollectNode_rec( pAnd, FiBest, vMapping, vMapCuts );
- return Area + AreaInv;
- }
- // both phases are used; the needed one can be selected
-
- // get the best match
- pMatch = ALLOC( Seq_Match_t, 1 );
- memset( pMatch, 1, sizeof(Seq_Match_t) );
- Seq_MapNodeComputePhase( pAnd, fCompl, FiBest, pMatch );
- pMatch->pAnd = pAnd;
- pMatch->fCompl = fCompl;
- pMatch->fCutInv = pMatch->pCut->fCompl;
- pMatch->PolUse = Use;
-
- // call for the fanin cuts
- Area = pMatch->pSuper? pMatch->pSuper->Area : (float)0.0;
- for ( k = 0; k < (int)pMatch->pCut->nLeaves; k++ )
- {
- pFanin = Abc_NtkObj( pAnd->pNtk, pMatch->pCut->pLeaves[k] >> 8 );
- if ( pMatch->uPhase & (1 << k) )
- pFanin = Abc_ObjNot( pFanin );
- Area += Seq_MapCollectNode_rec( pFanin, FiBest, vMapping, vMapCuts );
- }
-
- // add this node
- Vec_PtrPush( vMapping, pMatch );
- for ( k = 0; k < (int)pMatch->pCut->nLeaves; k++ )
- Vec_VecPush( vMapCuts, Vec_PtrSize(vMapping)-1, (void *)pMatch->pCut->pLeaves[k] );
-
- // the cut will become unavailable when the cuts are deallocated
- pMatch->pCut = NULL;
-
- return Area;
-}
-
-/**Function*************************************************************
-
- Synopsis [Computes the canonical versions of the truth tables.]
-
- Description []
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-void Seq_MapCanonicizeTruthTables( Abc_Ntk_t * pNtk )
-{
- Abc_Obj_t * pObj;
- Cut_Cut_t * pCut, * pList;
- int i;
- Abc_AigForEachAnd( pNtk, pObj, i )
- {
- pList = Abc_NodeReadCuts( Seq_NodeCutMan(pObj), pObj );
- if ( pList == NULL )
- continue;
- for ( pCut = pList->pNext; pCut; pCut = pCut->pNext )
- Cut_TruthNCanonicize( pCut );
- }
-}
-
-
-////////////////////////////////////////////////////////////////////////
-/// END OF FILE ///
-////////////////////////////////////////////////////////////////////////
diff --git a/src/base/seq/seqMaxMeanCycle.c b/src/base/seq/seqMaxMeanCycle.c
deleted file mode 100644
index 46d73cbd..00000000
--- a/src/base/seq/seqMaxMeanCycle.c
+++ /dev/null
@@ -1,567 +0,0 @@
-/**CFile****************************************************************
-
- FileName [seqMaxMeanCycle.c]
-
- SystemName [ABC: Logic synthesis and verification system.]
-
- PackageName [Construction and manipulation of sequential AIGs.]
-
- Synopsis [Efficient computation of maximum mean cycle times.]
-
- Author [Aaron P. Hurst]
-
- Affiliation [UC Berkeley]
-
- Date [Ver. 1.0. Started - May 15, 2006.]
-
- Revision [$Id: seqMaxMeanCycle.c,v 1.00 2005/05/15 00:00:00 ahurst Exp $]
-
-***********************************************************************/
-
-#include "seqInt.h"
-#include "hash.h"
-
-////////////////////////////////////////////////////////////////////////
-/// DECLARATIONS ///
-////////////////////////////////////////////////////////////////////////
-
-struct Abc_ManTime_t_
-{
- Abc_Time_t tArrDef;
- Abc_Time_t tReqDef;
- Vec_Ptr_t * vArrs;
- Vec_Ptr_t * vReqs;
-};
-
-typedef struct Seq_HowardData_t_
-{
- char visited;
- int mark;
- int policy;
- float cycle;
- float skew;
- float delay;
-} Seq_HowardData_t;
-
-// accessing the arrival and required times of a node
-static inline Abc_Time_t * Abc_NodeArrival( Abc_Obj_t * pNode ) { return pNode->pNtk->pManTime->vArrs->pArray[pNode->Id]; }
-static inline Abc_Time_t * Abc_NodeRequired( Abc_Obj_t * pNode ) { return pNode->pNtk->pManTime->vReqs->pArray[pNode->Id]; }
-
-Hash_Ptr_t * Seq_NtkPathDelays( Abc_Ntk_t * pNtk, int fVerbose );
-void Seq_NtkMergePios( Abc_Ntk_t * pNtk, Hash_Ptr_t * hFwdDelays, int fVerbose );
-
-void Seq_NtkHowardLoop( Abc_Ntk_t * pNtk, Hash_Ptr_t * hFwdDelays,
- Hash_Ptr_t * hNodeData, int node,
- int *howardDepth, float *howardDelay, int *howardSink,
- float *maxMeanCycle);
-void Abc_NtkDfsReverse_rec2( Abc_Obj_t * pNode, Vec_Ptr_t * vNodes, Vec_Ptr_t * vEndpoints );
-
-#define Seq_NtkGetPathDelay( hFwdDelays, from, to ) \
- (Hash_PtrExists(hFwdDelays, from)?Hash_FltEntry( ((Hash_Flt_t *)Hash_PtrEntry(hFwdDelays, from, 0)), to, 0):0 )
-
-#define HOWARD_EPSILON 1e-3
-#define ZERO_SLOP 1e-5
-#define REMOVE_ZERO_SLOP( x ) \
- (x = (x > -ZERO_SLOP && x < ZERO_SLOP)?0:x)
-
-////////////////////////////////////////////////////////////////////////
-/// FUNCTION DEFINITIONS ///
-////////////////////////////////////////////////////////////////////////
-
-/**Function*************************************************************
-
- Synopsis [Computes maximum mean cycle time.]
-
- Description [Uses Howard's algorithm.]
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-float Seq_NtkHoward( Abc_Ntk_t * pNtk, int fVerbose ) {
-
- Abc_Obj_t * pObj;
- Hash_Ptr_t * hFwdDelays;
- Hash_Flt_t * hOutgoing;
- Hash_Ptr_Entry_t * pSourceEntry, * pNodeEntry;
- Hash_Flt_Entry_t * pSinkEntry;
- int i, j, iteration = 0;
- int source, sink;
- int fChanged;
- int howardDepth, howardSink = 0;
- float delay, howardDelay, t;
- float maxMeanCycle = -ABC_INFINITY;
- Hash_Ptr_t * hNodeData;
- Seq_HowardData_t * pNodeData, * pSourceData, * pSinkData;
-
- // gather timing constraints
- hFwdDelays = Seq_NtkPathDelays( pNtk, fVerbose );
- Seq_NtkMergePios( pNtk, hFwdDelays, fVerbose );
-
- // initialize data, create initial policy
- hNodeData = Hash_PtrAlloc( hFwdDelays->nSize );
- Hash_PtrForEachEntry( hFwdDelays, pSourceEntry, i ) {
- Hash_PtrWriteEntry( hNodeData, pSourceEntry->key,
- (pNodeData = ALLOC(Seq_HowardData_t, 1)) );
- pNodeData->skew = 0.0;
- pNodeData->policy = 0;
- hOutgoing = (Hash_Flt_t *)(pSourceEntry->data);
- assert(hOutgoing);
-
- Hash_FltForEachEntry( hOutgoing, pSinkEntry, j ) {
- sink = pSinkEntry->key;
- delay = pSinkEntry->data;
- if (delay > pNodeData->skew) {
- pNodeData->policy = sink;
- pNodeData->skew = delay;
- }
- }
- }
-
- // iteratively refine policy
- do {
- iteration++;
- fChanged = 0;
- howardDelay = 0.0;
- howardDepth = 0;
-
- // reset data
- Hash_PtrForEachEntry( hNodeData, pNodeEntry, i ) {
- pNodeData = (Seq_HowardData_t *)pNodeEntry->data;
- pNodeData->skew = -ABC_INFINITY;
- pNodeData->cycle = -ABC_INFINITY;
- pNodeData->mark = 0;
- pNodeData->visited = 0;
- }
-
- // find loops in policy graph
- Hash_PtrForEachEntry( hNodeData, pNodeEntry, i ) {
- pNodeData = (Seq_HowardData_t *)(pNodeEntry->data);
- assert(pNodeData);
- if (!pNodeData->visited)
- Seq_NtkHowardLoop( pNtk, hFwdDelays,
- hNodeData, pNodeEntry->key,
- &howardDepth, &howardDelay, &howardSink, &maxMeanCycle);
- }
-
- if (!howardSink) {
- return -1;
- }
-
- // improve policy by tightening loops
- Hash_PtrForEachEntry( hFwdDelays, pSourceEntry, i ) {
- source = pSourceEntry->key;
- pSourceData = (Seq_HowardData_t *)Hash_PtrEntry( hNodeData, source, 0 );
- assert(pSourceData);
- hOutgoing = (Hash_Flt_t *)(pSourceEntry->data);
- assert(hOutgoing);
- Hash_FltForEachEntry( hOutgoing, pSinkEntry, j ) {
- sink = pSinkEntry->key;
- pSinkData = (Seq_HowardData_t *)Hash_PtrEntry( hNodeData, sink, 0 );
- assert(pSinkData);
- delay = pSinkEntry->data;
-
- if (pSinkData->cycle > pSourceData->cycle + HOWARD_EPSILON) {
- fChanged = 1;
- pSourceData->cycle = pSinkData->cycle;
- pSourceData->policy = sink;
- }
- }
- }
-
- // improve policy by correcting skews
- if (!fChanged) {
- Hash_PtrForEachEntry( hFwdDelays, pSourceEntry, i ) {
- source = pSourceEntry->key;
- pSourceData = (Seq_HowardData_t *)Hash_PtrEntry( hNodeData, source, 0 );
- assert(pSourceData);
- hOutgoing = (Hash_Flt_t *)(pSourceEntry->data);
- assert(hOutgoing);
- Hash_FltForEachEntry( hOutgoing, pSinkEntry, j ) {
- sink = pSinkEntry->key;
- pSinkData = (Seq_HowardData_t *)Hash_PtrEntry( hNodeData, sink, 0 );
- assert(pSinkData);
- delay = pSinkEntry->data;
-
- if (pSinkData->cycle < 0.0 || pSinkData->cycle < pSourceData->cycle)
- continue;
-
- t = delay - pSinkData->cycle + pSinkData->skew;
- if (t > pSourceData->skew + HOWARD_EPSILON) {
- fChanged = 1;
- pSourceData->skew = t;
- pSourceData->policy = sink;
- }
- }
- }
- }
-
- if (fVerbose) printf("Iteration %d \t Period = %.2f\n", iteration, maxMeanCycle);
- } while (fChanged);
-
- // set global skew, mmct
- pNodeData = Hash_PtrEntry( hNodeData, -1, 0 );
- pNtk->globalSkew = -pNodeData->skew;
- pNtk->maxMeanCycle = maxMeanCycle;
-
- // set endpoint skews
- Vec_FltGrow( pNtk->vSkews, Abc_NtkLatchNum( pNtk ) );
- pNtk->vSkews->nSize = Abc_NtkLatchNum( pNtk );
- Abc_NtkForEachLatch( pNtk, pObj, i ) {
- pNodeData = Hash_PtrEntry( hNodeData, pObj->Id, 0 );
- // skews are set based on latch # NOT id #
- Abc_NtkSetLatSkew( pNtk, i, pNodeData->skew );
- }
-
- // free node data
- Hash_PtrForEachEntry( hNodeData, pNodeEntry, i ) {
- pNodeData = (Seq_HowardData_t *)(pNodeEntry->data);
- FREE( pNodeData );
- }
- Hash_PtrFree(hNodeData);
-
- // free delay data
- Hash_PtrForEachEntry( hFwdDelays, pSourceEntry, i ) {
- Hash_FltFree( (Hash_Flt_t *)(pSourceEntry->data) );
- }
- Hash_PtrFree(hFwdDelays);
-
- return maxMeanCycle;
-}
-
-/**Function*************************************************************
-
- Synopsis [Computes the mean cycle times of current policy graph.]
-
- Description []
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-void Seq_NtkHowardLoop( Abc_Ntk_t * pNtk, Hash_Ptr_t * hFwdDelays,
- Hash_Ptr_t * hNodeData, int node,
- int *howardDepth, float *howardDelay, int *howardSink,
- float *maxMeanCycle) {
-
- Seq_HowardData_t * pNodeData, *pToData;
- float delay, t;
-
- pNodeData = (Seq_HowardData_t *)Hash_PtrEntry( hNodeData, node, 0 );
- assert(pNodeData);
- pNodeData->visited = 1;
- pNodeData->mark = ++(*howardDepth);
- pNodeData->delay = (*howardDelay);
- if (pNodeData->policy) {
- pToData = (Seq_HowardData_t *)Hash_PtrEntry( hNodeData, pNodeData->policy, 0 );
- assert(pToData);
- delay = Seq_NtkGetPathDelay( hFwdDelays, node, pNodeData->policy );
- assert(delay > 0.0);
- (*howardDelay) += delay;
- if (pToData->mark) {
- t = (*howardDelay - pToData->delay) / (*howardDepth - pToData->mark + 1);
- pNodeData->cycle = t;
- pNodeData->skew = 0.0;
- if (*maxMeanCycle < t) {
- *maxMeanCycle = t;
- *howardSink = pNodeData->policy;
- }
- } else {
- if(!pToData->visited) {
- Seq_NtkHowardLoop(pNtk, hFwdDelays, hNodeData, pNodeData->policy,
- howardDepth, howardDelay, howardSink, maxMeanCycle);
- }
- if(pToData->cycle > 0) {
- t = delay - pToData->cycle + pToData->skew;
- pNodeData->skew = t;
- pNodeData->cycle = pToData->cycle;
- }
- }
- }
- *howardDelay = pNodeData->delay;
- pNodeData->mark = 0;
- --(*howardDepth);
-}
-
-/**Function*************************************************************
-
- Synopsis [Computes the register-to-register delays.]
-
- Description []
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-Hash_Ptr_t * Seq_NtkPathDelays( Abc_Ntk_t * pNtk, int fVerbose ) {
-
- Abc_Time_t * pTime, ** ppTimes;
- Abc_Obj_t * pObj, * pDriver, * pStart, * pFanout;
- Vec_Ptr_t * vNodes, * vEndpoints;
- int i, j, nPaths = 0;
- Hash_Flt_t * hOutgoing;
- Hash_Ptr_t * hFwdDelays;
- float nMaxPath = 0, nSumPath = 0;
-
- extern void Abc_NtkTimePrepare( Abc_Ntk_t * pNtk );
- extern void Abc_NodeDelayTraceArrival( Abc_Obj_t * pNode );
-
- if (fVerbose) printf("Gathering path delays...\n");
-
- hFwdDelays = Hash_PtrAlloc( Abc_NtkCiNum( pNtk ) );
-
- assert( Abc_NtkIsMappedLogic(pNtk) );
-
- Abc_NtkTimePrepare( pNtk );
- ppTimes = (Abc_Time_t **)pNtk->pManTime->vArrs->pArray;
- vNodes = Vec_PtrAlloc( 100 );
- vEndpoints = Vec_PtrAlloc( 100 );
-
- // set the initial times (i.e. ignore all inputs)
- Abc_NtkForEachObj( pNtk, pObj, i) {
- pTime = ppTimes[pObj->Id];
- pTime->Fall = pTime->Rise = pTime->Worst = -ABC_INFINITY;
- }
-
- // starting at each Ci, compute timing forward
- Abc_NtkForEachCi( pNtk, pStart, j ) {
-
- hOutgoing = Hash_FltAlloc( 10 );
- Hash_PtrWriteEntry( hFwdDelays, pStart->Id, (void *)(hOutgoing) );
-
- // seed the starting point of interest
- pTime = ppTimes[pStart->Id];
- pTime->Fall = pTime->Rise = pTime->Worst = 0.0;
-
- // find a DFS ordering from the start
- Abc_NtkIncrementTravId( pNtk );
- Abc_NodeSetTravIdCurrent( pStart );
- pObj = Abc_ObjFanout0Ntk(pStart);
- Abc_ObjForEachFanout( pObj, pFanout, i )
- Abc_NtkDfsReverse_rec2( pFanout, vNodes, vEndpoints );
- if ( Abc_ObjIsCo( pStart ) )
- Vec_PtrPush( vEndpoints, pStart );
-
- // do timing analysis
- for ( i = vNodes->nSize-1; i >= 0; --i )
- Abc_NodeDelayTraceArrival( vNodes->pArray[i] );
-
- // there is a path to each set of Co endpoints
- Vec_PtrForEachEntry( vEndpoints, pObj, i )
- {
- assert(pObj);
- assert( Abc_ObjIsCo( pObj ) );
- pDriver = Abc_ObjFanin0(pObj);
- pTime = Abc_NodeArrival(pDriver);
- if ( pTime->Worst > 0 ) {
- Hash_FltWriteEntry( hOutgoing, pObj->Id, pTime->Worst );
- nPaths++;
- // if (fVerbose) printf("\tpath %d,%d delay = %f\n", pStart->Id, pObj->Id, pTime->Worst);
- nSumPath += pTime->Worst;
- if (pTime->Worst > nMaxPath)
- nMaxPath = pTime->Worst;
- }
- }
-
- // clear the times that were altered
- for ( i = 0; i < vNodes->nSize; i++ ) {
- pObj = (Abc_Obj_t *)(vNodes->pArray[i]);
- pTime = ppTimes[pObj->Id];
- pTime->Fall = pTime->Rise = pTime->Worst = -ABC_INFINITY;
- }
- pTime = ppTimes[pStart->Id];
- pTime->Fall = pTime->Rise = pTime->Worst = -ABC_INFINITY;
-
- Vec_PtrClear( vNodes );
- Vec_PtrClear( vEndpoints );
- }
-
- Vec_PtrFree( vNodes );
-
- // rezero Cis (note: these should be restored to values if they were nonzero)
- Abc_NtkForEachCi( pNtk, pObj, i) {
- pTime = ppTimes[pObj->Id];
- pTime->Fall = pTime->Rise = pTime->Worst = 0.0;
- }
-
- if (fVerbose) printf("Num. paths = %d\tMax. Path Delay = %.2f\tAvg. Path Delay = %.2f\n", nPaths, nMaxPath, nSumPath / nPaths);
- return hFwdDelays;
-}
-
-
-/**Function*************************************************************
-
- Synopsis [Merges all the Pios together into one ID = -1.]
-
- Description []
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-void Seq_NtkMergePios( Abc_Ntk_t * pNtk, Hash_Ptr_t * hFwdDelays,
- int fVerbose ) {
-
- Abc_Obj_t * pObj;
- Hash_Flt_Entry_t * pSinkEntry;
- Hash_Ptr_Entry_t * pSourceEntry;
- Hash_Flt_t * hOutgoing, * hPioSource;
- int i, j;
- int source, sink, nMerges = 0;
- float delay = 0, max_delay = 0;
- Vec_Int_t * vFreeList;
-
- vFreeList = Vec_IntAlloc( 10 );
-
- // create a new "-1" source entry for the Pios
- hPioSource = Hash_FltAlloc( 100 );
- Hash_PtrWriteEntry( hFwdDelays, -1, (void *)(hPioSource) );
-
- // merge all edges with a Pio as a source
- Abc_NtkForEachPi( pNtk, pObj, i ) {
- source = pObj->Id;
- hOutgoing = (Hash_Flt_t *)Hash_PtrEntry( hFwdDelays, source, 0 );
- if (!hOutgoing) continue;
-
- Hash_PtrForEachEntry( hOutgoing, pSinkEntry, j ) {
- nMerges++;
- sink = pSinkEntry->key;
- delay = pSinkEntry->data;
- if (Hash_FltEntry( hPioSource, sink, 1 ) < delay) {
- Hash_FltWriteEntry( hPioSource, sink, delay );
- }
- }
-
- Hash_FltFree( hOutgoing );
- Hash_PtrRemove( hFwdDelays, source );
- }
-
- // merge all edges with a Pio as a sink
- Hash_PtrForEachEntry( hFwdDelays, pSourceEntry, i ) {
- hOutgoing = (Hash_Flt_t *)(pSourceEntry->data);
- Hash_FltForEachEntry( hOutgoing, pSinkEntry, j ) {
- sink = pSinkEntry->key;
- delay = pSinkEntry->data;
-
- max_delay = -ABC_INFINITY;
- if (Abc_ObjIsPo( Abc_NtkObj( pNtk, sink ) )) {
- nMerges++;
- if (delay > max_delay)
- max_delay = delay;
- Vec_IntPush( vFreeList, sink );
- }
- }
- if (max_delay != -ABC_INFINITY)
- Hash_FltWriteEntry( hOutgoing, -1, delay );
- // do freeing
- while( vFreeList->nSize > 0 ) {
- Hash_FltRemove( hOutgoing, Vec_IntPop( vFreeList ) );
- }
- }
-
- if (fVerbose) printf("Merged %d paths into one Pio node\n", nMerges);
-
-}
-
-/**Function*************************************************************
-
- Synopsis [This is a modification of routine from abcDfs.c]
-
- Description [Recursive DFS from a starting point. Keeps the endpoints.]
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-void Abc_NtkDfsReverse_rec2( Abc_Obj_t * pNode, Vec_Ptr_t * vNodes, Vec_Ptr_t * vEndpoints )
-{
- Abc_Obj_t * pFanout;
- int i;
- assert( !Abc_ObjIsNet(pNode) );
- // if this node is already visited, skip
- if ( Abc_NodeIsTravIdCurrent( pNode ) )
- return;
- // mark the node as visited
- Abc_NodeSetTravIdCurrent( pNode );
- // terminate at the Co
- if ( Abc_ObjIsCo(pNode) ) {
- Vec_PtrPush( vEndpoints, pNode );
- return;
- }
- assert( Abc_ObjIsNode( pNode ) );
- // visit the transitive fanin of the node
- pNode = Abc_ObjFanout0Ntk(pNode);
- Abc_ObjForEachFanout( pNode, pFanout, i )
- Abc_NtkDfsReverse_rec2( pFanout, vNodes, vEndpoints );
- // add the node after the fanins have been added
- Vec_PtrPush( vNodes, pNode );
-}
-
-/**Function*************************************************************
-
- Synopsis [Converts all skews into forward skews 0<skew<T.]
-
- Description [Can also minimize total skew by changing global skew.]
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-void Seq_NtkSkewForward( Abc_Ntk_t * pNtk, float period, int fMinimize ) {
-
- Abc_Obj_t * pObj;
- int i;
- float skew;
- float currentSum = 0, bestSum = ABC_INFINITY;
- float currentOffset = 0, nextStep, bestOffset = 0;
-
- assert( pNtk->vSkews->nSize >= Abc_NtkLatchNum( pNtk )-1 );
-
- if (fMinimize) {
- // search all offsets for the one that minimizes sum of skews
- while(currentOffset < period) {
- currentSum = 0;
- nextStep = period;
- Abc_NtkForEachLatch( pNtk, pObj, i ) {
- skew = Abc_NtkGetLatSkew( pNtk, i ) + currentOffset;
- skew = (float)(skew - period*floor(skew/period));
- currentSum += skew;
- if (skew > ZERO_SLOP && skew < nextStep) {
- nextStep = skew;
- }
- }
-
- if (currentSum < bestSum) {
- bestSum = currentSum;
- bestOffset = currentOffset;
- }
- currentOffset += nextStep;
- }
- printf("Offseting all skews by %.2f\n", bestOffset);
- }
-
- // convert global skew into forward skew
- pNtk->globalSkew = pNtk->globalSkew - bestOffset;
- pNtk->globalSkew = (float)(pNtk->globalSkew - period*floor(pNtk->globalSkew/period));
- assert(pNtk->globalSkew>= 0 && pNtk->globalSkew < period);
-
- // convert endpoint skews into forward skews
- Abc_NtkForEachLatch( pNtk, pObj, i ) {
- skew = Abc_NtkGetLatSkew( pNtk, i ) + bestOffset;
- skew = (float)(skew - period*floor(skew/period));
- REMOVE_ZERO_SLOP( skew );
- assert(skew >=0 && skew < period);
-
- Abc_NtkSetLatSkew( pNtk, i, skew );
- }
-}
-
-////////////////////////////////////////////////////////////////////////
-/// END OF FILE ///
-////////////////////////////////////////////////////////////////////////
diff --git a/src/base/seq/seqRetCore.c b/src/base/seq/seqRetCore.c
deleted file mode 100644
index 27638644..00000000
--- a/src/base/seq/seqRetCore.c
+++ /dev/null
@@ -1,492 +0,0 @@
-/**CFile****************************************************************
-
- FileName [seqRetCore.c]
-
- SystemName [ABC: Logic synthesis and verification system.]
-
- PackageName [Construction and manipulation of sequential AIGs.]
-
- Synopsis [The core of FPGA mapping/retiming package.]
-
- Author [Alan Mishchenko]
-
- Affiliation [UC Berkeley]
-
- Date [Ver. 1.0. Started - June 20, 2005.]
-
- Revision [$Id: seqRetCore.c,v 1.00 2005/06/20 00:00:00 alanmi Exp $]
-
-***********************************************************************/
-
-#include "seqInt.h"
-#include "dec.h"
-
-////////////////////////////////////////////////////////////////////////
-/// DECLARATIONS ///
-////////////////////////////////////////////////////////////////////////
-
-static Abc_Ntk_t * Seq_NtkRetimeDerive( Abc_Ntk_t * pNtk, int fVerbose );
-static Abc_Obj_t * Seq_NodeRetimeDerive( Abc_Ntk_t * pNtkNew, Abc_Obj_t * pNode, char * pSop, Vec_Ptr_t * vFanins );
-static Abc_Ntk_t * Seq_NtkRetimeReconstruct( Abc_Ntk_t * pNtkOld, Abc_Ntk_t * pNtkSeq );
-static Abc_Obj_t * Seq_EdgeReconstruct_rec( Abc_Obj_t * pGoal, Abc_Obj_t * pNode );
-static Abc_Obj_t * Seq_EdgeReconstructPO( Abc_Obj_t * pNode );
-
-////////////////////////////////////////////////////////////////////////
-/// FUNCTION DEFINITIONS ///
-////////////////////////////////////////////////////////////////////////
-
-/**Function*************************************************************
-
- Synopsis [Performs FPGA mapping and retiming.]
-
- Description []
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-Abc_Ntk_t * Seq_NtkRetime( Abc_Ntk_t * pNtk, int nMaxIters, int fInitial, int fVerbose )
-{
- Abc_Seq_t * p;
- Abc_Ntk_t * pNtkSeq, * pNtkNew;
- int RetValue;
- assert( !Abc_NtkHasAig(pNtk) );
- // derive the isomorphic seq AIG
- pNtkSeq = Seq_NtkRetimeDerive( pNtk, fVerbose );
- p = pNtkSeq->pManFunc;
- p->nMaxIters = nMaxIters;
-
- if ( !fInitial )
- Seq_NtkLatchSetValues( pNtkSeq, ABC_INIT_DC );
- // find the best mapping and retiming
- if ( !Seq_NtkRetimeDelayLags( pNtk, pNtkSeq, fVerbose ) )
- return NULL;
-
- // implement the retiming
- RetValue = Seq_NtkImplementRetiming( pNtkSeq, p->vLags, fVerbose );
- if ( RetValue == 0 )
- printf( "Retiming completed but initial state computation has failed.\n" );
-//return pNtkSeq;
-
- // create the final mapped network
- pNtkNew = Seq_NtkRetimeReconstruct( pNtk, pNtkSeq );
- Abc_NtkDelete( pNtkSeq );
- return pNtkNew;
-}
-
-/**Function*************************************************************
-
- Synopsis [Derives the isomorphic seq AIG.]
-
- Description []
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-Abc_Ntk_t * Seq_NtkRetimeDerive( Abc_Ntk_t * pNtk, int fVerbose )
-{
- Abc_Seq_t * p;
- Abc_Ntk_t * pNtkNew;
- Abc_Obj_t * pObj, * pFanin, * pMirror;
- Vec_Ptr_t * vMapAnds, * vMirrors;
- Vec_Vec_t * vMapFanins;
- int i, k, RetValue, fHasBdds;
- char * pSop;
-
- // make sure it is an AIG without self-feeding latches
- assert( !Abc_NtkHasAig(pNtk) );
- if ( RetValue = Abc_NtkRemoveSelfFeedLatches(pNtk) )
- printf( "Modified %d self-feeding latches. The result may not verify.\n", RetValue );
- assert( Abc_NtkCountSelfFeedLatches(pNtk) == 0 );
-
- // remove the dangling nodes
- Abc_NtkCleanup( pNtk, fVerbose );
-
- // transform logic functions from BDD to SOP
- if ( fHasBdds = Abc_NtkIsBddLogic(pNtk) )
- {
- if ( !Abc_NtkBddToSop(pNtk, 0) )
- {
- printf( "Seq_NtkRetimeDerive(): Converting to SOPs has failed.\n" );
- return NULL;
- }
- }
-
- // start the network
- pNtkNew = Abc_NtkAlloc( ABC_NTK_SEQ, ABC_FUNC_AIG, 1 );
- // duplicate the name and the spec
- pNtkNew->pName = Extra_UtilStrsav(pNtk->pName);
- pNtkNew->pSpec = Extra_UtilStrsav(pNtk->pSpec);
-
- // map the constant nodes
- Abc_NtkCleanCopy( pNtk );
- // clone the PIs/POs/latches
- Abc_NtkForEachPi( pNtk, pObj, i )
- Abc_NtkDupObj( pNtkNew, pObj, 0 );
- Abc_NtkForEachPo( pNtk, pObj, i )
- Abc_NtkDupObj( pNtkNew, pObj, 0 );
- // copy the names
- Abc_NtkForEachPi( pNtk, pObj, i )
- Abc_ObjAssignName( pObj->pCopy, Abc_ObjName(pObj), NULL );
- Abc_NtkForEachPo( pNtk, pObj, i )
- Abc_ObjAssignName( pObj->pCopy, Abc_ObjName(pObj), NULL );
-
- // create one AND for each logic node in the topological order
- vMapAnds = Abc_NtkDfs( pNtk, 0 );
- Vec_PtrForEachEntry( vMapAnds, pObj, i )
- {
- if ( pObj->Id == 0 )
- {
- pObj->pCopy = Abc_AigConst1(pNtkNew);
- continue;
- }
- pObj->pCopy = Abc_NtkCreateNode( pNtkNew );
- }
-
- // make the new seq AIG point to the old network through pNext
- Abc_NtkForEachObj( pNtk, pObj, i )
- if ( pObj->pCopy ) pObj->pCopy->pNext = pObj;
-
- // make latches point to the latch fanins
- Abc_NtkForEachLatch( pNtk, pObj, i )
- {
- assert( !Abc_ObjIsLatch(Abc_ObjFanin0(pObj)) );
- pObj->pCopy = Abc_ObjFanin0(pObj)->pCopy;
- }
-
- // create internal AND nodes w/o strashing for each logic node (including constants)
- vMapFanins = Vec_VecStart( Vec_PtrSize(vMapAnds) );
- Vec_PtrForEachEntry( vMapAnds, pObj, i )
- {
- // get the SOP of the node
- if ( Abc_NtkHasMapping(pNtk) )
- pSop = Mio_GateReadSop(pObj->pData);
- else
- pSop = pObj->pData;
- pFanin = Seq_NodeRetimeDerive( pNtkNew, pObj, pSop, Vec_VecEntry(vMapFanins, i) );
- Abc_ObjAddFanin( pObj->pCopy, pFanin );
- Abc_ObjAddFanin( pObj->pCopy, pFanin );
- }
- // connect the POs
- Abc_NtkForEachPo( pNtk, pObj, i )
- Abc_ObjAddFanin( pObj->pCopy, Abc_ObjFanin0(pObj)->pCopy );
-
- // start the storage for initial states
- p = pNtkNew->pManFunc;
- Seq_Resize( p, Abc_NtkObjNumMax(pNtkNew) );
-
- // add the sequential edges
- Vec_PtrForEachEntry( vMapAnds, pObj, i )
- {
- vMirrors = Vec_VecEntry( vMapFanins, i );
- Abc_ObjForEachFanin( pObj, pFanin, k )
- {
- pMirror = Vec_PtrEntry( vMirrors, k );
- if ( Abc_ObjIsLatch(pFanin) )
- {
- Seq_NodeInsertFirst( pMirror, 0, Abc_LatchInit(pFanin) );
- Seq_NodeInsertFirst( pMirror, 1, Abc_LatchInit(pFanin) );
- }
- }
- }
- // add the sequential edges to the POs
- Abc_NtkForEachPo( pNtk, pObj, i )
- {
- pFanin = Abc_ObjFanin0(pObj);
- if ( Abc_ObjIsLatch(pFanin) )
- Seq_NodeInsertFirst( pObj->pCopy, 0, Abc_LatchInit(pFanin) );
- }
-
-
- // save the fanin/delay info
- p->vMapAnds = vMapAnds;
- p->vMapFanins = vMapFanins;
- p->vMapCuts = Vec_VecStart( Vec_PtrSize(p->vMapAnds) );
- p->vMapDelays = Vec_VecStart( Vec_PtrSize(p->vMapAnds) );
- Vec_PtrForEachEntry( p->vMapAnds, pObj, i )
- {
- // change the node to be the new one
- Vec_PtrWriteEntry( p->vMapAnds, i, pObj->pCopy );
- // collect the new fanins of this node
- Abc_ObjForEachFanin( pObj, pFanin, k )
- Vec_VecPush( p->vMapCuts, i, (void *)( (pFanin->pCopy->Id << 8) | Abc_ObjIsLatch(pFanin) ) );
- // collect the delay info
- if ( !Abc_NtkHasMapping(pNtk) )
- {
- Abc_ObjForEachFanin( pObj, pFanin, k )
- Vec_VecPush( p->vMapDelays, i, (void *)Abc_Float2Int(1.0) );
- }
- else
- {
- Mio_Pin_t * pPin = Mio_GateReadPins(pObj->pData);
- float Max, tDelayBlockRise, tDelayBlockFall;
- Abc_ObjForEachFanin( pObj, pFanin, k )
- {
- tDelayBlockRise = (float)Mio_PinReadDelayBlockRise( pPin );
- tDelayBlockFall = (float)Mio_PinReadDelayBlockFall( pPin );
- Max = ABC_MAX( tDelayBlockRise, tDelayBlockFall );
- Vec_VecPush( p->vMapDelays, i, (void *)Abc_Float2Int(Max) );
- pPin = Mio_PinReadNext(pPin);
- }
- }
- }
-
- // set the cutset composed of latch drivers
-// Abc_NtkAigCutsetCopy( pNtk );
-// Seq_NtkLatchGetEqualFaninNum( pNtkNew );
-
- // convert the network back into BDDs if this is how it was
- if ( fHasBdds )
- Abc_NtkSopToBdd(pNtk);
-
- // copy EXDC and check correctness
- if ( pNtk->pExdc )
- fprintf( stdout, "Warning: EXDC is not copied when converting to sequential AIG.\n" );
- if ( !Abc_NtkCheck( pNtkNew ) )
- fprintf( stdout, "Seq_NtkRetimeDerive(): Network check has failed.\n" );
- return pNtkNew;
-}
-
-
-/**Function*************************************************************
-
- Synopsis [Strashes one logic node using its SOP.]
-
- Description []
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-Abc_Obj_t * Seq_NodeRetimeDerive( Abc_Ntk_t * pNtkNew, Abc_Obj_t * pRoot, char * pSop, Vec_Ptr_t * vFanins )
-{
- Dec_Graph_t * pFForm;
- Dec_Node_t * pNode;
- Abc_Obj_t * pResult, * pFanin, * pMirror;
- int i, nFanins;
-
- // get the number of node's fanins
- nFanins = Abc_ObjFaninNum( pRoot );
- assert( nFanins == Abc_SopGetVarNum(pSop) );
- if ( nFanins < 2 )
- {
- if ( Abc_SopIsConst1(pSop) )
- pFanin = Abc_AigConst1(pNtkNew);
- else if ( Abc_SopIsConst0(pSop) )
- pFanin = Abc_ObjNot( Abc_AigConst1(pNtkNew) );
- else if ( Abc_SopIsBuf(pSop) )
- pFanin = Abc_ObjFanin0(pRoot)->pCopy;
- else if ( Abc_SopIsInv(pSop) )
- pFanin = Abc_ObjNot( Abc_ObjFanin0(pRoot)->pCopy );
- else
- assert( 0 );
- // create the node with these fanins
- pMirror = Abc_NtkCreateNode( pNtkNew );
- Abc_ObjAddFanin( pMirror, pFanin );
- Abc_ObjAddFanin( pMirror, pFanin );
- Vec_PtrPush( vFanins, pMirror );
- return pMirror;
- }
-
- // perform factoring
- pFForm = Dec_Factor( pSop );
- // collect the fanins
- Dec_GraphForEachLeaf( pFForm, pNode, i )
- {
- pFanin = Abc_ObjFanin(pRoot,i)->pCopy;
- pMirror = Abc_NtkCreateNode( pNtkNew );
- Abc_ObjAddFanin( pMirror, pFanin );
- Abc_ObjAddFanin( pMirror, pFanin );
- Vec_PtrPush( vFanins, pMirror );
- pNode->pFunc = pMirror;
- }
- // perform strashing
- pResult = Dec_GraphToNetworkNoStrash( pNtkNew, pFForm );
- Dec_GraphFree( pFForm );
- return pResult;
-}
-
-
-/**Function*************************************************************
-
- Synopsis [Reconstructs the network after retiming.]
-
- Description []
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-Abc_Ntk_t * Seq_NtkRetimeReconstruct( Abc_Ntk_t * pNtkOld, Abc_Ntk_t * pNtkSeq )
-{
- Abc_Seq_t * p = pNtkSeq->pManFunc;
- Seq_Lat_t * pRing0, * pRing1;
- Abc_Ntk_t * pNtkNew;
- Abc_Obj_t * pObj, * pFanin, * pFaninNew, * pMirror;
- Vec_Ptr_t * vMirrors;
- int i, k;
-
- assert( !Abc_NtkIsSeq(pNtkOld) );
- assert( Abc_NtkIsSeq(pNtkSeq) );
-
- // transfer the pointers pNtkOld->pNtkSeq from pCopy to pNext
- Abc_NtkForEachObj( pNtkOld, pObj, i )
- pObj->pNext = pObj->pCopy;
-
- // start the final network
- pNtkNew = Abc_NtkStartFrom( pNtkSeq, pNtkOld->ntkType, pNtkOld->ntkFunc );
-
- // transfer the pointers to the old network
- if ( Abc_AigConst1(pNtkOld) )
- Abc_AigConst1(pNtkOld)->pCopy = Abc_AigConst1(pNtkNew);
- Abc_NtkForEachPi( pNtkOld, pObj, i )
- pObj->pCopy = pObj->pNext->pCopy;
- Abc_NtkForEachPo( pNtkOld, pObj, i )
- pObj->pCopy = pObj->pNext->pCopy;
-
- // copy the internal nodes of the old network into the new network
- // transfer the pointers pNktOld->pNtkNew to pNtkSeq->pNtkNew
- Abc_NtkForEachNode( pNtkOld, pObj, i )
- {
- if ( i == 0 ) continue;
- Abc_NtkDupObj( pNtkNew, pObj, 0 );
- pObj->pNext->pCopy = pObj->pCopy;
- }
- Abc_NtkForEachLatch( pNtkOld, pObj, i )
- pObj->pCopy = Abc_ObjFanin0(pObj)->pCopy;
-
- // share the latches
- Seq_NtkShareLatches( pNtkNew, pNtkSeq );
-
- // connect the objects
-// Abc_NtkForEachNode( pNtkOld, pObj, i )
- Vec_PtrForEachEntry( p->vMapAnds, pObj, i )
- {
- // pObj is from pNtkSeq - transform to pNtkOld
- pObj = pObj->pNext;
- // iterate through the fanins of this node in the old network
- vMirrors = Vec_VecEntry( p->vMapFanins, i );
- Abc_ObjForEachFanin( pObj, pFanin, k )
- {
- pMirror = Vec_PtrEntry( vMirrors, k );
- assert( Seq_ObjFaninL0(pMirror) == Seq_ObjFaninL1(pMirror) );
- pRing0 = Seq_NodeGetRing( pMirror, 0 );
- pRing1 = Seq_NodeGetRing( pMirror, 1 );
- if ( pRing0 == NULL )
- {
- Abc_ObjAddFanin( pObj->pCopy, pFanin->pCopy );
- continue;
- }
-// assert( pRing0->pLatch == pRing1->pLatch );
- if ( pRing0->pLatch->pData > pRing1->pLatch->pData )
- Abc_ObjAddFanin( pObj->pCopy, pRing0->pLatch );
- else
- Abc_ObjAddFanin( pObj->pCopy, pRing1->pLatch );
- }
- }
-
- // connect the POs
- Abc_NtkForEachPo( pNtkOld, pObj, i )
- {
- pFanin = Abc_ObjFanin0(pObj);
- pRing0 = Seq_NodeGetRing( Abc_NtkPo(pNtkSeq, i), 0 );
- if ( pRing0 )
- pFaninNew = pRing0->pLatch;
- else
- pFaninNew = pFanin->pCopy;
- assert( pFaninNew != NULL );
- Abc_ObjAddFanin( pObj->pCopy, pFaninNew );
- }
-
- // clean the result of latch sharing
- Seq_NtkShareLatchesClean( pNtkSeq );
-
- // add the latches and their names
- Abc_NtkAddDummyBoxNames( pNtkNew );
- Abc_NtkOrderCisCos( pNtkNew );
- // fix the problem with complemented and duplicated CO edges
- Abc_NtkLogicMakeSimpleCos( pNtkNew, 1 );
- if ( !Abc_NtkCheck( pNtkNew ) )
- fprintf( stdout, "Seq_NtkRetimeReconstruct(): Network check has failed.\n" );
- return pNtkNew;
-
-}
-
-/**Function*************************************************************
-
- Synopsis [Reconstructs the network after retiming.]
-
- Description []
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-Abc_Obj_t * Seq_EdgeReconstruct_rec( Abc_Obj_t * pGoal, Abc_Obj_t * pNode )
-{
- Seq_Lat_t * pRing;
- Abc_Obj_t * pFanin, * pRes = NULL;
-
- if ( !Abc_AigNodeIsAnd(pNode) )
- return NULL;
-
- // consider the first fanin
- pFanin = Abc_ObjFanin0(pNode);
- if ( pFanin->pCopy == NULL ) // internal node
- pRes = Seq_EdgeReconstruct_rec( pGoal, pFanin );
- else if ( pFanin == pGoal )
- {
- if ( pRing = Seq_NodeGetRing( pNode, 0 ) )
- pRes = pRing->pLatch;
- else
- pRes = pFanin->pCopy;
- }
- if ( pRes != NULL )
- return pRes;
-
- // consider the second fanin
- pFanin = Abc_ObjFanin1(pNode);
- if ( pFanin->pCopy == NULL ) // internal node
- pRes = Seq_EdgeReconstruct_rec( pGoal, pFanin );
- else if ( pFanin == pGoal )
- {
- if ( pRing = Seq_NodeGetRing( pNode, 1 ) )
- pRes = pRing->pLatch;
- else
- pRes = pFanin->pCopy;
- }
- return pRes;
-}
-
-/**Function*************************************************************
-
- Synopsis [Reconstructs the network after retiming.]
-
- Description []
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-Abc_Obj_t * Seq_EdgeReconstructPO( Abc_Obj_t * pNode )
-{
- Seq_Lat_t * pRing;
- assert( Abc_ObjIsPo(pNode) );
- if ( pRing = Seq_NodeGetRing( pNode, 0 ) )
- return pRing->pLatch;
- else
- return Abc_ObjFanin0(pNode)->pCopy;
-}
-
-////////////////////////////////////////////////////////////////////////
-/// END OF FILE ///
-////////////////////////////////////////////////////////////////////////
-
-
diff --git a/src/base/seq/seqRetIter.c b/src/base/seq/seqRetIter.c
deleted file mode 100644
index 99c50914..00000000
--- a/src/base/seq/seqRetIter.c
+++ /dev/null
@@ -1,403 +0,0 @@
-/**CFile****************************************************************
-
- FileName [seqRetIter.c]
-
- SystemName [ABC: Logic synthesis and verification system.]
-
- PackageName [Construction and manipulation of sequential AIGs.]
-
- Synopsis [Iterative delay computation in FPGA mapping/retiming package.]
-
- Author [Alan Mishchenko]
-
- Affiliation [UC Berkeley]
-
- Date [Ver. 1.0. Started - June 20, 2005.]
-
- Revision [$Id: seqRetIter.c,v 1.00 2005/06/20 00:00:00 alanmi Exp $]
-
-***********************************************************************/
-
-#include "seqInt.h"
-#include "main.h"
-#include "fpga.h"
-
-////////////////////////////////////////////////////////////////////////
-/// DECLARATIONS ///
-////////////////////////////////////////////////////////////////////////
-
-static float Seq_NtkMappingSearch_rec( Abc_Ntk_t * pNtk, float FiMin, float FiMax, float Delta, int fVerbose );
-static int Seq_NtkMappingForPeriod( Abc_Ntk_t * pNtk, float Fi, int fVerbose );
-static int Seq_NtkNodeUpdateLValue( Abc_Obj_t * pObj, float Fi, Vec_Ptr_t * vLeaves, Vec_Ptr_t * vDelays );
-static void Seq_NodeRetimeSetLag_rec( Abc_Obj_t * pNode, char Lag );
-
-static void Seq_NodePrintInfo( Abc_Obj_t * pNode );
-static void Seq_NodePrintInfoPlus( Abc_Obj_t * pNode );
-
-////////////////////////////////////////////////////////////////////////
-/// FUNCTION DEFINITIONS ///
-////////////////////////////////////////////////////////////////////////
-
-/**Function*************************************************************
-
- Synopsis [Computes the retiming lags for arbitrary network.]
-
- Description []
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-int Seq_NtkRetimeDelayLags( Abc_Ntk_t * pNtkOld, Abc_Ntk_t * pNtk, int fVerbose )
-{
- Abc_Seq_t * p = pNtk->pManFunc;
- Abc_Obj_t * pNode;
- float FiMax, Delta;
- int i, RetValue;
- char NodeLag;
-
- assert( Abc_NtkIsSeq( pNtk ) );
-
- // the root AND gates and node delay should be assigned
- assert( p->vMapAnds );
- assert( p->vMapCuts );
- assert( p->vMapDelays );
- assert( p->vMapFanins );
-
- // guess the upper bound on the clock period
- if ( Abc_NtkHasMapping(pNtkOld) )
- {
- // assign the accuracy for min-period computation
- Delta = Mio_LibraryReadDelayNand2Max(Abc_FrameReadLibGen());
- if ( Delta == 0.0 )
- {
- Delta = Mio_LibraryReadDelayAnd2Max(Abc_FrameReadLibGen());
- if ( Delta == 0.0 )
- {
- printf( "Cannot retime/map if the library does not have NAND2 or AND2.\n" );
- return 0;
- }
- }
- // get the upper bound on the clock period
- FiMax = Delta * 2 + Abc_NtkDelayTrace(pNtkOld);
- Delta /= 2;
- }
- else
- {
- FiMax = (float)2.0 + Abc_NtkGetLevelNum(pNtkOld);
- Delta = 1;
- }
-
- // make sure this clock period is feasible
- if ( !Seq_NtkMappingForPeriod( pNtk, FiMax, fVerbose ) )
- {
- printf( "Error: The upper bound on the clock period cannot be computed.\n" );
- printf( "The reason for this error may be the presence in the circuit of logic\n" );
- printf( "that is not reachable from the PIs. Mapping/retiming is not performed.\n" );
- return 0;
- }
-
- // search for the optimal clock period between 0 and nLevelMax
- p->FiBestFloat = Seq_NtkMappingSearch_rec( pNtk, 0.0, FiMax, Delta, fVerbose );
-
- // recompute the best l-values
- RetValue = Seq_NtkMappingForPeriod( pNtk, p->FiBestFloat, fVerbose );
- assert( RetValue );
-
- // fix the problem with non-converged delays
- Vec_PtrForEachEntry( p->vMapAnds, pNode, i )
- if ( Seq_NodeGetLValueP(pNode) < -ABC_INFINITY/2 )
- Seq_NodeSetLValueP( pNode, 0 );
-
- // experiment by adding an epsilon to all LValues
-// Vec_PtrForEachEntry( p->vMapAnds, pNode, i )
-// Seq_NodeSetLValueP( pNode, Seq_NodeGetLValueP(pNode) - p->fEpsilon );
-
- // save the retiming lags
- // mark the nodes
- Vec_PtrForEachEntry( p->vMapAnds, pNode, i )
- pNode->fMarkA = 1;
- // process the nodes
- Vec_StrFill( p->vLags, p->nSize, 0 );
- Vec_PtrForEachEntry( p->vMapAnds, pNode, i )
- {
- if ( Vec_PtrSize( Vec_VecEntry(p->vMapCuts, i) ) == 0 )
- {
- Seq_NodeSetLag( pNode, 0 );
- continue;
- }
- NodeLag = Seq_NodeComputeLagFloat( Seq_NodeGetLValueP(pNode), p->FiBestFloat );
- Seq_NodeRetimeSetLag_rec( pNode, NodeLag );
- }
- // unmark the nodes
- Vec_PtrForEachEntry( p->vMapAnds, pNode, i )
- pNode->fMarkA = 0;
-
- // print the result
- if ( fVerbose )
- printf( "The best clock period is %6.2f.\n", p->FiBestFloat );
-/*
- {
- FILE * pTable;
- pTable = fopen( "stats.txt", "a+" );
- fprintf( pTable, "%s ", pNtk->pName );
- fprintf( pTable, "%.2f ", FiBest );
- fprintf( pTable, "\n" );
- fclose( pTable );
- }
-*/
-// Seq_NodePrintInfo( Abc_NtkObj(pNtk, 847) );
- return 1;
-}
-
-/**Function*************************************************************
-
- Synopsis [Performs binary search for the optimal clock period.]
-
- Description [Assumes that FiMin is infeasible while FiMax is feasible.]
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-float Seq_NtkMappingSearch_rec( Abc_Ntk_t * pNtk, float FiMin, float FiMax, float Delta, int fVerbose )
-{
- float Median;
- assert( FiMin < FiMax );
- if ( FiMin + Delta >= FiMax )
- return FiMax;
- Median = FiMin + (FiMax - FiMin)/2;
- if ( Seq_NtkMappingForPeriod( pNtk, Median, fVerbose ) )
- return Seq_NtkMappingSearch_rec( pNtk, FiMin, Median, Delta, fVerbose ); // Median is feasible
- else
- return Seq_NtkMappingSearch_rec( pNtk, Median, FiMax, Delta, fVerbose ); // Median is infeasible
-}
-
-/**Function*************************************************************
-
- Synopsis [Returns 1 if retiming with this clock period is feasible.]
-
- Description []
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-int Seq_NtkMappingForPeriod( Abc_Ntk_t * pNtk, float Fi, int fVerbose )
-{
- Abc_Seq_t * p = pNtk->pManFunc;
- Vec_Ptr_t * vLeaves, * vDelays;
- Abc_Obj_t * pObj;
- int i, c, RetValue, fChange, Counter;
- char * pReason = "";
-
- // set l-values of all nodes to be minus infinity
- Vec_IntFill( p->vLValues, p->nSize, Abc_Float2Int( (float)-ABC_INFINITY ) );
-
- // set l-values of constants and PIs
- pObj = Abc_NtkObj( pNtk, 0 );
- Seq_NodeSetLValueP( pObj, 0.0 );
- Abc_NtkForEachPi( pNtk, pObj, i )
- Seq_NodeSetLValueP( pObj, 0.0 );
-
- // update all values iteratively
- Counter = 0;
- for ( c = 0; c < p->nMaxIters; c++ )
- {
- fChange = 0;
- Vec_PtrForEachEntry( p->vMapAnds, pObj, i )
- {
- Counter++;
- vLeaves = Vec_VecEntry( p->vMapCuts, i );
- vDelays = Vec_VecEntry( p->vMapDelays, i );
- if ( Vec_PtrSize(vLeaves) == 0 )
- {
- Seq_NodeSetLValueP( pObj, 0.0 );
- continue;
- }
- RetValue = Seq_NtkNodeUpdateLValue( pObj, Fi, vLeaves, vDelays );
- if ( RetValue == SEQ_UPDATE_YES )
- fChange = 1;
- }
- Abc_NtkForEachPo( pNtk, pObj, i )
- {
- RetValue = Seq_NtkNodeUpdateLValue( pObj, Fi, NULL, NULL );
- if ( RetValue == SEQ_UPDATE_FAIL )
- break;
- }
- if ( RetValue == SEQ_UPDATE_FAIL )
- break;
- if ( fChange == 0 )
- break;
- }
- if ( c == p->nMaxIters )
- {
- RetValue = SEQ_UPDATE_FAIL;
- pReason = "(timeout)";
- }
- else
- c++;
-
- // report the results
- if ( fVerbose )
- {
- if ( RetValue == SEQ_UPDATE_FAIL )
- printf( "Period = %6.2f. Iterations = %3d. Updates = %10d. Infeasible %s\n", Fi, c, Counter, pReason );
- else
- printf( "Period = %6.2f. Iterations = %3d. Updates = %10d. Feasible\n", Fi, c, Counter );
- }
- return RetValue != SEQ_UPDATE_FAIL;
-}
-
-/**Function*************************************************************
-
- Synopsis [Computes the l-value of the node.]
-
- Description [The node can be internal or a PO.]
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-int Seq_NtkNodeUpdateLValue( Abc_Obj_t * pObj, float Fi, Vec_Ptr_t * vLeaves, Vec_Ptr_t * vDelays )
-{
- Abc_Seq_t * p = pObj->pNtk->pManFunc;
- float lValueOld, lValueNew, lValueCur, lValuePin;
- unsigned SeqEdge;
- Abc_Obj_t * pLeaf;
- int i;
-
- assert( !Abc_ObjIsPi(pObj) );
- assert( Abc_ObjFaninNum(pObj) > 0 );
- // consider the case of the PO
- if ( Abc_ObjIsPo(pObj) )
- {
- lValueCur = Seq_NodeGetLValueP(Abc_ObjFanin0(pObj)) - Fi * Seq_ObjFaninL0(pObj);
- return (lValueCur > Fi + p->fEpsilon)? SEQ_UPDATE_FAIL : SEQ_UPDATE_NO;
- }
- // get the new arrival time of the cut output
- lValueNew = -ABC_INFINITY;
- Vec_PtrForEachEntry( vLeaves, pLeaf, i )
- {
- SeqEdge = (unsigned)pLeaf;
- pLeaf = Abc_NtkObj( pObj->pNtk, SeqEdge >> 8 );
- lValueCur = Seq_NodeGetLValueP(pLeaf) - Fi * (SeqEdge & 255);
- lValuePin = Abc_Int2Float( (int)Vec_PtrEntry(vDelays, i) );
- if ( lValueNew < lValuePin + lValueCur )
- lValueNew = lValuePin + lValueCur;
- }
- // compare
- lValueOld = Seq_NodeGetLValueP( pObj );
- if ( lValueNew <= lValueOld + p->fEpsilon )
- return SEQ_UPDATE_NO;
- // update the values
- if ( lValueNew > lValueOld + p->fEpsilon )
- Seq_NodeSetLValueP( pObj, lValueNew );
- return SEQ_UPDATE_YES;
-}
-
-
-
-/**Function*************************************************************
-
- Synopsis [Add sequential edges.]
-
- Description []
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-void Seq_NodeRetimeSetLag_rec( Abc_Obj_t * pNode, char Lag )
-{
- Abc_Obj_t * pFanin;
- if ( !Abc_AigNodeIsAnd(pNode) )
- return;
- Seq_NodeSetLag( pNode, Lag );
- // consider the first fanin
- pFanin = Abc_ObjFanin0(pNode);
- if ( pFanin->fMarkA == 0 ) // internal node
- Seq_NodeRetimeSetLag_rec( pFanin, Lag );
- // consider the second fanin
- pFanin = Abc_ObjFanin1(pNode);
- if ( pFanin->fMarkA == 0 ) // internal node
- Seq_NodeRetimeSetLag_rec( pFanin, Lag );
-}
-
-
-/**Function*************************************************************
-
- Synopsis [Add sequential edges.]
-
- Description []
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-void Seq_NodePrintInfo( Abc_Obj_t * pNode )
-{
- Abc_Seq_t * p = pNode->pNtk->pManFunc;
- Abc_Obj_t * pFanin, * pObj, * pLeaf;
- Vec_Ptr_t * vLeaves;
- unsigned SeqEdge;
- int i, Number;
-
- // print the node
- printf( " Node = %6d. LValue = %7.2f. Lag = %2d.\n",
- pNode->Id, Seq_NodeGetLValueP(pNode), Seq_NodeGetLag(pNode) );
-
- // find the number
- Vec_PtrForEachEntry( p->vMapAnds, pObj, Number )
- if ( pObj == pNode )
- break;
-
- // get the leaves
- vLeaves = Vec_VecEntry( p->vMapCuts, Number );
-
- // print the leaves
- Vec_PtrForEachEntry( vLeaves, pLeaf, i )
- {
- SeqEdge = (unsigned)pLeaf;
- pFanin = Abc_NtkObj( pNode->pNtk, SeqEdge >> 8 );
- // print the leaf
- printf( " Fanin%d(%d) = %6d. LValue = %7.2f. Lag = %2d.\n", i, SeqEdge & 255,
- pFanin->Id, Seq_NodeGetLValueP(pFanin), Seq_NodeGetLag(pFanin) );
- }
-}
-
-/**Function*************************************************************
-
- Synopsis [Add sequential edges.]
-
- Description []
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-void Seq_NodePrintInfoPlus( Abc_Obj_t * pNode )
-{
- Abc_Obj_t * pFanout;
- int i;
- printf( "CENTRAL NODE:\n" );
- Seq_NodePrintInfo( pNode );
- Abc_ObjForEachFanout( pNode, pFanout, i )
- {
- printf( "FANOUT%d:\n", i );
- Seq_NodePrintInfo( pFanout );
- }
-}
-
-////////////////////////////////////////////////////////////////////////
-/// END OF FILE ///
-////////////////////////////////////////////////////////////////////////
-
-
diff --git a/src/base/seq/seqShare.c b/src/base/seq/seqShare.c
deleted file mode 100644
index 742de46b..00000000
--- a/src/base/seq/seqShare.c
+++ /dev/null
@@ -1,388 +0,0 @@
-/**CFile****************************************************************
-
- FileName [seqShare.c]
-
- SystemName [ABC: Logic synthesis and verification system.]
-
- PackageName [Construction and manipulation of sequential AIGs.]
-
- Synopsis [Latch sharing at the fanout stems.]
-
- Author [Alan Mishchenko]
-
- Affiliation [UC Berkeley]
-
- Date [Ver. 1.0. Started - June 20, 2005.]
-
- Revision [$Id: seqShare.c,v 1.00 2005/06/20 00:00:00 alanmi Exp $]
-
-***********************************************************************/
-
-#include "seqInt.h"
-
-////////////////////////////////////////////////////////////////////////
-/// DECLARATIONS ///
-////////////////////////////////////////////////////////////////////////
-
-static void Seq_NodeShareFanouts( Abc_Obj_t * pNode, Vec_Ptr_t * vNodes );
-static void Seq_NodeShareOne( Abc_Obj_t * pNode, Abc_InitType_t Init, Vec_Ptr_t * vNodes );
-
-////////////////////////////////////////////////////////////////////////
-/// FUNCTION DEFINITIONS ///
-////////////////////////////////////////////////////////////////////////
-
-/**Function*************************************************************
-
- Synopsis [Transforms the sequential AIG to take fanout sharing into account.]
-
- Description []
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-void Seq_NtkShareFanouts( Abc_Ntk_t * pNtk )
-{
- Vec_Ptr_t * vNodes;
- Abc_Obj_t * pObj;
- int i;
- vNodes = Vec_PtrAlloc( 10 );
- // share the PI latches
- Abc_NtkForEachPi( pNtk, pObj, i )
- Seq_NodeShareFanouts( pObj, vNodes );
- // share the node latches
- Abc_NtkForEachNode( pNtk, pObj, i )
- Seq_NodeShareFanouts( pObj, vNodes );
- Vec_PtrFree( vNodes );
-}
-
-/**Function*************************************************************
-
- Synopsis [Transforms the node to take fanout sharing into account.]
-
- Description []
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-void Seq_NodeShareFanouts( Abc_Obj_t * pNode, Vec_Ptr_t * vNodes )
-{
- Abc_Obj_t * pFanout;
- Abc_InitType_t Type;
- int nLatches[4], i;
- // skip the node with only one fanout
- if ( Abc_ObjFanoutNum(pNode) < 2 )
- return;
- // clean the the fanout counters
- for ( i = 0; i < 4; i++ )
- nLatches[i] = 0;
- // find the number of fanouts having latches of each type
- Abc_ObjForEachFanout( pNode, pFanout, i )
- {
- if ( Seq_ObjFanoutL(pNode, pFanout) == 0 )
- continue;
- Type = Seq_NodeGetInitLast( pFanout, Abc_ObjFanoutEdgeNum(pNode, pFanout) );
- nLatches[Type]++;
- }
- // decide what to do
- if ( nLatches[ABC_INIT_ZERO] > 1 && nLatches[ABC_INIT_ONE] > 1 ) // 0-group and 1-group
- {
- Seq_NodeShareOne( pNode, ABC_INIT_ZERO, vNodes ); // shares 0 and DC
- Seq_NodeShareOne( pNode, ABC_INIT_ONE, vNodes ); // shares 1 and DC
- }
- else if ( nLatches[ABC_INIT_ZERO] > 1 ) // 0-group
- Seq_NodeShareOne( pNode, ABC_INIT_ZERO, vNodes ); // shares 0 and DC
- else if ( nLatches[ABC_INIT_ONE] > 1 ) // 1-group
- Seq_NodeShareOne( pNode, ABC_INIT_ONE, vNodes ); // shares 1 and DC
- else if ( nLatches[ABC_INIT_DC] > 1 ) // DC-group
- {
- if ( nLatches[ABC_INIT_ZERO] > 0 )
- Seq_NodeShareOne( pNode, ABC_INIT_ZERO, vNodes ); // shares 0 and DC
- else
- Seq_NodeShareOne( pNode, ABC_INIT_ONE, vNodes ); // shares 1 and DC
- }
-}
-
-/**Function*************************************************************
-
- Synopsis [Transforms the node to take fanout sharing into account.]
-
- Description []
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-void Seq_NodeShareOne( Abc_Obj_t * pNode, Abc_InitType_t Init, Vec_Ptr_t * vNodes )
-{
- Vec_Int_t * vNums = Seq_ObjLNums( pNode );
- Vec_Ptr_t * vInits = Seq_NodeLats( pNode );
- Abc_Obj_t * pFanout, * pBuffer;
- Abc_InitType_t Type, InitNew;
- int i;
- // collect the fanouts that satisfy the property (have initial value Init or DC)
- InitNew = ABC_INIT_DC;
- Vec_PtrClear( vNodes );
- Abc_ObjForEachFanout( pNode, pFanout, i )
- {
- if ( Seq_ObjFanoutL(pNode, pFanout) == 0 )
- continue;
- Type = Seq_NodeGetInitLast( pFanout, Abc_ObjFanoutEdgeNum(pNode, pFanout) );
- if ( Type == Init )
- InitNew = Init;
- if ( Type == Init || Type == ABC_INIT_DC )
- {
- Vec_PtrPush( vNodes, pFanout );
- Seq_NodeDeleteLast( pFanout, Abc_ObjFanoutEdgeNum(pNode, pFanout) );
- }
- }
- // create the new buffer
- pBuffer = Abc_NtkCreateNode( pNode->pNtk );
- Abc_ObjAddFanin( pBuffer, pNode );
-
- // grow storage for initial states
- Vec_PtrGrow( vInits, 2 * pBuffer->Id + 2 );
- for ( i = Vec_PtrSize(vInits); i < 2 * (int)pBuffer->Id + 2; i++ )
- Vec_PtrPush( vInits, NULL );
- // grow storage for numbers of latches
- Vec_IntGrow( vNums, 2 * pBuffer->Id + 2 );
- for ( i = Vec_IntSize(vNums); i < 2 * (int)pBuffer->Id + 2; i++ )
- Vec_IntPush( vNums, 0 );
- // insert the new latch
- Seq_NodeInsertFirst( pBuffer, 0, InitNew );
-
- // redirect the fanouts
- Vec_PtrForEachEntry( vNodes, pFanout, i )
- Abc_ObjPatchFanin( pFanout, pNode, pBuffer );
-}
-
-
-
-
-
-/**Function*************************************************************
-
- Synopsis [Maps virtual latches into real latches.]
-
- Description []
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-static inline unsigned Seq_NtkShareLatchesKey( Abc_Obj_t * pObj, Abc_InitType_t Init )
-{
- return (pObj->Id << 2) | Init;
-}
-
-/**Function*************************************************************
-
- Synopsis [Maps virtual latches into real latches.]
-
- Description []
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-Abc_Obj_t * Seq_NtkShareLatches_rec( Abc_Ntk_t * pNtk, Abc_Obj_t * pObj, Seq_Lat_t * pRing, int nLatch, stmm_table * tLatchMap )
-{
- Abc_Obj_t * pLatch, * pFanin;
- Abc_InitType_t Init;
- unsigned Key;
- if ( nLatch == 0 )
- return pObj;
- assert( pRing->pLatch == NULL );
- // get the latch on the previous level
- pFanin = Seq_NtkShareLatches_rec( pNtk, pObj, Seq_LatNext(pRing), nLatch - 1, tLatchMap );
-
- // get the initial state
- Init = Seq_LatInit( pRing );
- // check if the latch with this initial state exists
- Key = Seq_NtkShareLatchesKey( pFanin, Init );
- if ( stmm_lookup( tLatchMap, (char *)Key, (char **)&pLatch ) )
- return pRing->pLatch = pLatch;
-
- // does not exist
- if ( Init != ABC_INIT_DC )
- {
- // check if the don't-care exists
- Key = Seq_NtkShareLatchesKey( pFanin, ABC_INIT_DC );
- if ( stmm_lookup( tLatchMap, (char *)Key, (char **)&pLatch ) ) // yes
- {
- // update the table
- stmm_delete( tLatchMap, (char **)&Key, (char **)&pLatch );
- Key = Seq_NtkShareLatchesKey( pFanin, Init );
- stmm_insert( tLatchMap, (char *)Key, (char *)pLatch );
- // change don't-care to the given value
- pLatch->pData = (void *)Init;
- return pRing->pLatch = pLatch;
- }
-
- // add the latch with this value
- pLatch = Abc_NtkCreateLatch( pNtk );
- pLatch->pData = (void *)Init;
- Abc_ObjAddFanin( pLatch, pFanin );
- // add it to the table
- Key = Seq_NtkShareLatchesKey( pFanin, Init );
- stmm_insert( tLatchMap, (char *)Key, (char *)pLatch );
- return pRing->pLatch = pLatch;
- }
- // the init value is the don't-care
-
- // check if care values exist
- Key = Seq_NtkShareLatchesKey( pFanin, ABC_INIT_ZERO );
- if ( stmm_lookup( tLatchMap, (char *)Key, (char **)&pLatch ) )
- {
- Seq_LatSetInit( pRing, ABC_INIT_ZERO );
- return pRing->pLatch = pLatch;
- }
- Key = Seq_NtkShareLatchesKey( pFanin, ABC_INIT_ONE );
- if ( stmm_lookup( tLatchMap, (char *)Key, (char **)&pLatch ) )
- {
- Seq_LatSetInit( pRing, ABC_INIT_ONE );
- return pRing->pLatch = pLatch;
- }
-
- // create the don't-care latch
- pLatch = Abc_NtkCreateLatch( pNtk );
- pLatch->pData = (void *)ABC_INIT_DC;
- Abc_ObjAddFanin( pLatch, pFanin );
- // add it to the table
- Key = Seq_NtkShareLatchesKey( pFanin, ABC_INIT_DC );
- stmm_insert( tLatchMap, (char *)Key, (char *)pLatch );
- return pRing->pLatch = pLatch;
-}
-
-/**Function*************************************************************
-
- Synopsis [Maps virtual latches into real latches.]
-
- Description [Creates new latches and assigns them to virtual latches
- on the edges of a sequential AIG. The nodes of the new network should
- be created before this procedure is called.]
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-void Seq_NtkShareLatches( Abc_Ntk_t * pNtkNew, Abc_Ntk_t * pNtk )
-{
- Abc_Obj_t * pObj, * pFanin;
- stmm_table * tLatchMap;
- int i;
- assert( Abc_NtkIsSeq( pNtk ) );
- tLatchMap = stmm_init_table( stmm_ptrcmp, stmm_ptrhash );
- Abc_AigForEachAnd( pNtk, pObj, i )
- {
- pFanin = Abc_ObjFanin0(pObj);
- Seq_NtkShareLatches_rec( pNtkNew, pFanin->pCopy, Seq_NodeGetRing(pObj,0), Seq_NodeCountLats(pObj,0), tLatchMap );
- pFanin = Abc_ObjFanin1(pObj);
- Seq_NtkShareLatches_rec( pNtkNew, pFanin->pCopy, Seq_NodeGetRing(pObj,1), Seq_NodeCountLats(pObj,1), tLatchMap );
- }
- Abc_NtkForEachPo( pNtk, pObj, i )
- Seq_NtkShareLatches_rec( pNtkNew, Abc_ObjFanin0(pObj)->pCopy, Seq_NodeGetRing(pObj,0), Seq_NodeCountLats(pObj,0), tLatchMap );
- stmm_free_table( tLatchMap );
-}
-
-/**Function*************************************************************
-
- Synopsis [Maps virtual latches into real latches.]
-
- Description [Creates new latches and assigns them to virtual latches
- on the edges of a sequential AIG. The nodes of the new network should
- be created before this procedure is called.]
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-void Seq_NtkShareLatchesMapping( Abc_Ntk_t * pNtkNew, Abc_Ntk_t * pNtk, Vec_Ptr_t * vMapAnds, int fFpga )
-{
- Seq_Match_t * pMatch;
- Abc_Obj_t * pObj, * pFanout;
- stmm_table * tLatchMap;
- Vec_Ptr_t * vNodes;
- int i, k;
- assert( Abc_NtkIsSeq( pNtk ) );
-
- // start the table
- tLatchMap = stmm_init_table( stmm_ptrcmp, stmm_ptrhash );
-
- // create the array of all nodes with sharable fanouts
- vNodes = Vec_PtrAlloc( 100 );
- Vec_PtrPush( vNodes, Abc_AigConst1(pNtk) );
- Abc_NtkForEachPi( pNtk, pObj, i )
- Vec_PtrPush( vNodes, pObj );
- if ( fFpga )
- {
- Vec_PtrForEachEntry( vMapAnds, pObj, i )
- Vec_PtrPush( vNodes, pObj );
- }
- else
- {
- Vec_PtrForEachEntry( vMapAnds, pMatch, i )
- Vec_PtrPush( vNodes, pMatch->pAnd );
- }
-
- // process nodes used in the mapping
- Vec_PtrForEachEntry( vNodes, pObj, i )
- {
- // make sure the label is clean
- Abc_ObjForEachFanout( pObj, pFanout, k )
- assert( pFanout->fMarkC == 0 );
- Abc_ObjForEachFanout( pObj, pFanout, k )
- {
- if ( pFanout->fMarkC )
- continue;
- pFanout->fMarkC = 1;
- if ( Abc_ObjFaninId0(pFanout) == pObj->Id )
- Seq_NtkShareLatches_rec( pNtkNew, pObj->pCopy, Seq_NodeGetRing(pFanout,0), Seq_NodeCountLats(pFanout,0), tLatchMap );
- if ( Abc_ObjFaninId1(pFanout) == pObj->Id )
- Seq_NtkShareLatches_rec( pNtkNew, pObj->pCopy, Seq_NodeGetRing(pFanout,1), Seq_NodeCountLats(pFanout,1), tLatchMap );
- }
- // clean the label
- Abc_ObjForEachFanout( pObj, pFanout, k )
- pFanout->fMarkC = 0;
- }
- stmm_free_table( tLatchMap );
- // return to the old array
- Vec_PtrFree( vNodes );
-}
-
-/**Function*************************************************************
-
- Synopsis [Clean the latches after sharing them.]
-
- Description []
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-void Seq_NtkShareLatchesClean( Abc_Ntk_t * pNtk )
-{
- Abc_Obj_t * pObj;
- int i;
- assert( Abc_NtkIsSeq( pNtk ) );
- Abc_AigForEachAnd( pNtk, pObj, i )
- {
- Seq_NodeCleanLats( pObj, 0 );
- Seq_NodeCleanLats( pObj, 1 );
- }
- Abc_NtkForEachPo( pNtk, pObj, i )
- Seq_NodeCleanLats( pObj, 0 );
-}
-
-////////////////////////////////////////////////////////////////////////
-/// END OF FILE ///
-////////////////////////////////////////////////////////////////////////
diff --git a/src/base/seq/seqUtil.c b/src/base/seq/seqUtil.c
deleted file mode 100644
index 55b9df8e..00000000
--- a/src/base/seq/seqUtil.c
+++ /dev/null
@@ -1,597 +0,0 @@
-/**CFile****************************************************************
-
- FileName [seqUtil.c]
-
- SystemName [ABC: Logic synthesis and verification system.]
-
- PackageName [Construction and manipulation of sequential AIGs.]
-
- Synopsis [Various utilities working with sequential AIGs.]
-
- Author [Alan Mishchenko]
-
- Affiliation [UC Berkeley]
-
- Date [Ver. 1.0. Started - June 20, 2005.]
-
- Revision [$Id: seqUtil.c,v 1.00 2005/06/20 00:00:00 alanmi Exp $]
-
-***********************************************************************/
-
-#include "seqInt.h"
-
-////////////////////////////////////////////////////////////////////////
-/// DECLARATIONS ///
-////////////////////////////////////////////////////////////////////////
-
-////////////////////////////////////////////////////////////////////////
-/// FUNCTION DEFINITIONS ///
-////////////////////////////////////////////////////////////////////////
-
-/**Function*************************************************************
-
- Synopsis [Returns the maximum latch number on any of the fanouts.]
-
- Description []
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-int Seq_NtkLevelMax( Abc_Ntk_t * pNtk )
-{
- Abc_Obj_t * pNode;
- int i, Result;
- assert( Abc_NtkIsSeq(pNtk) );
- Result = 0;
- Abc_NtkForEachPo( pNtk, pNode, i )
- {
- pNode = Abc_ObjFanin0(pNode);
- if ( Result < (int)pNode->Level )
- Result = pNode->Level;
- }
- Abc_SeqForEachCutsetNode( pNtk, pNode, i )
- {
- if ( Result < (int)pNode->Level )
- Result = pNode->Level;
- }
- return Result;
-}
-
-/**Function*************************************************************
-
- Synopsis [Returns the maximum latch number on any of the fanouts.]
-
- Description []
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-int Seq_ObjFanoutLMax( Abc_Obj_t * pObj )
-{
- Abc_Obj_t * pFanout;
- int i, nLatchCur, nLatchRes;
- if ( Abc_ObjFanoutNum(pObj) == 0 )
- return 0;
- nLatchRes = 0;
- Abc_ObjForEachFanout( pObj, pFanout, i )
- {
- nLatchCur = Seq_ObjFanoutL(pObj, pFanout);
- if ( nLatchRes < nLatchCur )
- nLatchRes = nLatchCur;
- }
- assert( nLatchRes >= 0 );
- return nLatchRes;
-}
-
-/**Function*************************************************************
-
- Synopsis [Returns the minimum latch number on any of the fanouts.]
-
- Description []
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-int Seq_ObjFanoutLMin( Abc_Obj_t * pObj )
-{
- Abc_Obj_t * pFanout;
- int i, nLatchCur, nLatchRes;
- if ( Abc_ObjFanoutNum(pObj) == 0 )
- return 0;
- nLatchRes = ABC_INFINITY;
- Abc_ObjForEachFanout( pObj, pFanout, i )
- {
- nLatchCur = Seq_ObjFanoutL(pObj, pFanout);
- if ( nLatchRes > nLatchCur )
- nLatchRes = nLatchCur;
- }
- assert( nLatchRes < ABC_INFINITY );
- return nLatchRes;
-}
-
-/**Function*************************************************************
-
- Synopsis [Returns the sum of latches on the fanout edges.]
-
- Description []
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-int Seq_ObjFanoutLSum( Abc_Obj_t * pObj )
-{
- Abc_Obj_t * pFanout;
- int i, nSum = 0;
- Abc_ObjForEachFanout( pObj, pFanout, i )
- nSum += Seq_ObjFanoutL(pObj, pFanout);
- return nSum;
-}
-
-/**Function*************************************************************
-
- Synopsis [Returns the sum of latches on the fanin edges.]
-
- Description []
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-int Seq_ObjFaninLSum( Abc_Obj_t * pObj )
-{
- Abc_Obj_t * pFanin;
- int i, nSum = 0;
- Abc_ObjForEachFanin( pObj, pFanin, i )
- nSum += Seq_ObjFaninL(pObj, i);
- return nSum;
-}
-
-/**Function*************************************************************
-
- Synopsis [Generates the printable edge label with the initial state.]
-
- Description []
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-char * Seq_ObjFaninGetInitPrintable( Abc_Obj_t * pObj, int Edge )
-{
- static char Buffer[1000];
- Abc_InitType_t Init;
- int nLatches, i;
- nLatches = Seq_ObjFaninL( pObj, Edge );
- for ( i = 0; i < nLatches; i++ )
- {
- Init = Seq_LatInit( Seq_NodeGetLat(pObj, Edge, i) );
- if ( Init == ABC_INIT_NONE )
- Buffer[i] = '_';
- else if ( Init == ABC_INIT_ZERO )
- Buffer[i] = '0';
- else if ( Init == ABC_INIT_ONE )
- Buffer[i] = '1';
- else if ( Init == ABC_INIT_DC )
- Buffer[i] = 'x';
- else assert( 0 );
- }
- Buffer[nLatches] = 0;
- return Buffer;
-}
-
-/**Function*************************************************************
-
- Synopsis [Sets the given value to all the latches of the edge.]
-
- Description []
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-void Seq_NodeLatchSetValues( Abc_Obj_t * pObj, int Edge, Abc_InitType_t Init )
-{
- Seq_Lat_t * pLat, * pRing;
- int c;
- pRing = Seq_NodeGetRing(pObj, Edge);
- if ( pRing == NULL )
- return;
- for ( c = 0, pLat = pRing; !c || pLat != pRing; c++, pLat = pLat->pNext )
- Seq_LatSetInit( pLat, Init );
-}
-
-/**Function*************************************************************
-
- Synopsis [Sets the given value to all the latches of the edge.]
-
- Description []
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-void Seq_NtkLatchSetValues( Abc_Ntk_t * pNtk, Abc_InitType_t Init )
-{
- Abc_Obj_t * pObj;
- int i;
- assert( Abc_NtkIsSeq( pNtk ) );
- Abc_NtkForEachPo( pNtk, pObj, i )
- Seq_NodeLatchSetValues( pObj, 0, Init );
- Abc_NtkForEachNode( pNtk, pObj, i )
- {
- Seq_NodeLatchSetValues( pObj, 0, Init );
- Seq_NodeLatchSetValues( pObj, 1, Init );
- }
-}
-
-
-/**Function*************************************************************
-
- Synopsis [Counts the number of latches in the sequential AIG.]
-
- Description []
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-int Seq_NtkLatchNum( Abc_Ntk_t * pNtk )
-{
- Abc_Obj_t * pObj;
- int i, Counter;
- assert( Abc_NtkIsSeq( pNtk ) );
- Counter = 0;
- Abc_NtkForEachNode( pNtk, pObj, i )
- Counter += Seq_ObjFaninLSum( pObj );
- Abc_NtkForEachPo( pNtk, pObj, i )
- Counter += Seq_ObjFaninLSum( pObj );
- return Counter;
-}
-
-/**Function*************************************************************
-
- Synopsis [Counts the number of latches in the sequential AIG.]
-
- Description []
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-int Seq_NtkLatchNumMax( Abc_Ntk_t * pNtk )
-{
- Abc_Obj_t * pObj;
- int i, Max, Cur;
- assert( Abc_NtkIsSeq( pNtk ) );
- Max = 0;
- Abc_AigForEachAnd( pNtk, pObj, i )
- {
- Cur = Seq_ObjFaninLMax( pObj );
- if ( Max < Cur )
- Max = Cur;
- }
- Abc_NtkForEachPo( pNtk, pObj, i )
- {
- Cur = Seq_ObjFaninL0( pObj );
- if ( Max < Cur )
- Max = Cur;
- }
- return Max;
-}
-
-/**Function*************************************************************
-
- Synopsis [Counts the number of latches in the sequential AIG.]
-
- Description []
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-int Seq_NtkLatchNumShared( Abc_Ntk_t * pNtk )
-{
- Abc_Obj_t * pObj;
- int i, Counter;
- assert( Abc_NtkIsSeq( pNtk ) );
- Counter = 0;
- Abc_NtkForEachPi( pNtk, pObj, i )
- Counter += Seq_ObjFanoutLMax( pObj );
- Abc_NtkForEachNode( pNtk, pObj, i )
- Counter += Seq_ObjFanoutLMax( pObj );
- return Counter;
-}
-
-/**Function*************************************************************
-
- Synopsis [Counts the number of latches in the sequential AIG.]
-
- Description []
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-void Seq_ObjLatchGetInitNums( Abc_Obj_t * pObj, int Edge, int * pInits )
-{
- Abc_InitType_t Init;
- int nLatches, i;
- nLatches = Seq_ObjFaninL( pObj, Edge );
- for ( i = 0; i < nLatches; i++ )
- {
- Init = Seq_NodeGetInitOne( pObj, Edge, i );
- pInits[Init]++;
- }
-}
-
-/**Function*************************************************************
-
- Synopsis [Counts the number of latches in the sequential AIG.]
-
- Description []
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-void Seq_NtkLatchGetInitNums( Abc_Ntk_t * pNtk, int * pInits )
-{
- Abc_Obj_t * pObj;
- int i;
- assert( Abc_NtkIsSeq( pNtk ) );
- for ( i = 0; i < 4; i++ )
- pInits[i] = 0;
- Abc_NtkForEachPo( pNtk, pObj, i )
- Seq_ObjLatchGetInitNums( pObj, 0, pInits );
- Abc_NtkForEachNode( pNtk, pObj, i )
- {
- if ( Abc_ObjFaninNum(pObj) > 0 )
- Seq_ObjLatchGetInitNums( pObj, 0, pInits );
- if ( Abc_ObjFaninNum(pObj) > 1 )
- Seq_ObjLatchGetInitNums( pObj, 1, pInits );
- }
-}
-
-/**Function*************************************************************
-
- Synopsis [Report nodes with equal fanins.]
-
- Description []
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-int Seq_NtkLatchGetEqualFaninNum( Abc_Ntk_t * pNtk )
-{
- Abc_Obj_t * pObj;
- int i, Counter;
- assert( Abc_NtkIsSeq( pNtk ) );
- Counter = 0;
- Abc_AigForEachAnd( pNtk, pObj, i )
- if ( Abc_ObjFaninId0(pObj) == Abc_ObjFaninId1(pObj) )
- Counter++;
- if ( Counter )
- printf( "The number of nodes with equal fanins = %d.\n", Counter );
- return Counter;
-}
-
-/**Function*************************************************************
-
- Synopsis [Returns the maximum latch number on any of the fanouts.]
-
- Description []
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-int Seq_NtkCountNodesAboveLimit( Abc_Ntk_t * pNtk, int Limit )
-{
- Abc_Obj_t * pNode;
- int i, Counter;
- assert( !Abc_NtkIsSeq(pNtk) );
- Counter = 0;
- Abc_NtkForEachNode( pNtk, pNode, i )
- if ( Abc_ObjFaninNum(pNode) > Limit )
- Counter++;
- return Counter;
-}
-
-/**Function*************************************************************
-
- Synopsis [Computes area flows.]
-
- Description []
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-int Seq_MapComputeAreaFlows( Abc_Ntk_t * pNtk, int fVerbose )
-{
- Abc_Seq_t * p = pNtk->pManFunc;
- Abc_Obj_t * pObj;
- float AFlow;
- int i, c;
-
- assert( Abc_NtkIsSeq(pNtk) );
-
- Vec_IntFill( p->vAFlows, p->nSize, Abc_Float2Int( (float)0.0 ) );
-
- // update all values iteratively
- for ( c = 0; c < 7; c++ )
- {
- Abc_AigForEachAnd( pNtk, pObj, i )
- {
- AFlow = (float)1.0 + Seq_NodeGetFlow( Abc_ObjFanin0(pObj) ) + Seq_NodeGetFlow( Abc_ObjFanin1(pObj) );
- AFlow /= Abc_ObjFanoutNum(pObj);
- pObj->pNext = (void *)Abc_Float2Int( AFlow );
- }
- Abc_AigForEachAnd( pNtk, pObj, i )
- {
- AFlow = Abc_Int2Float( (int)pObj->pNext );
- pObj->pNext = NULL;
- Seq_NodeSetFlow( pObj, AFlow );
-
-// printf( "%5d : %6.1f\n", pObj->Id, Seq_NodeGetFlow(pObj) );
- }
-// printf( "\n" );
- }
- return 1;
-}
-
-
-/**Function*************************************************************
-
- Synopsis [Collects all the internal nodes reachable from POs.]
-
- Description []
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-void Seq_NtkReachNodesFromPos_rec( Abc_Obj_t * pAnd, Vec_Ptr_t * vNodes )
-{
- // skip if this is a non-PI node
- if ( !Abc_AigNodeIsAnd(pAnd) )
- return;
- // skip a visited node
- if ( Abc_NodeIsTravIdCurrent(pAnd) )
- return;
- Abc_NodeSetTravIdCurrent(pAnd);
- // visit the fanin nodes
- Seq_NtkReachNodesFromPos_rec( Abc_ObjFanin0(pAnd), vNodes );
- Seq_NtkReachNodesFromPos_rec( Abc_ObjFanin1(pAnd), vNodes );
- // add this node
- Vec_PtrPush( vNodes, pAnd );
-}
-
-/**Function*************************************************************
-
- Synopsis [Collects all the internal nodes reachable from POs.]
-
- Description []
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-void Seq_NtkReachNodesFromPis_rec( Abc_Obj_t * pAnd, Vec_Ptr_t * vNodes )
-{
- Abc_Obj_t * pFanout;
- int k;
- // skip if this is a non-PI node
- if ( !Abc_AigNodeIsAnd(pAnd) )
- return;
- // skip a visited node
- if ( Abc_NodeIsTravIdCurrent(pAnd) )
- return;
- Abc_NodeSetTravIdCurrent(pAnd);
- // visit the fanin nodes
- Abc_ObjForEachFanout( pAnd, pFanout, k )
- Seq_NtkReachNodesFromPis_rec( pFanout, vNodes );
- // add this node
- Vec_PtrPush( vNodes, pAnd );
-}
-
-/**Function*************************************************************
-
- Synopsis [Collects all the internal nodes reachable from POs.]
-
- Description []
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-Vec_Ptr_t * Seq_NtkReachNodes( Abc_Ntk_t * pNtk, int fFromPos )
-{
- Vec_Ptr_t * vNodes;
- Abc_Obj_t * pObj, * pFanout;
- int i, k;
- assert( Abc_NtkIsSeq(pNtk) );
- vNodes = Vec_PtrAlloc( 1000 );
- Abc_NtkIncrementTravId( pNtk );
- if ( fFromPos )
- {
- // traverse the cone of each PO
- Abc_NtkForEachPo( pNtk, pObj, i )
- Seq_NtkReachNodesFromPos_rec( Abc_ObjFanin0(pObj), vNodes );
- }
- else
- {
- // tranvers the reverse cone of the constant node
- pObj = Abc_AigConst1( pNtk );
- Abc_ObjForEachFanout( pObj, pFanout, k )
- Seq_NtkReachNodesFromPis_rec( pFanout, vNodes );
- // tranvers the reverse cone of the PIs
- Abc_NtkForEachPi( pNtk, pObj, i )
- Abc_ObjForEachFanout( pObj, pFanout, k )
- Seq_NtkReachNodesFromPis_rec( pFanout, vNodes );
- }
- return vNodes;
-}
-
-/**Function*************************************************************
-
- Synopsis [Perform sequential cleanup.]
-
- Description []
-
- SideEffects []
-
- SeeAlso []
-
-***********************************************************************/
-int Seq_NtkCleanup( Abc_Ntk_t * pNtk, int fVerbose )
-{
- Vec_Ptr_t * vNodesPo, * vNodesPi;
- int Counter = 0;
- assert( Abc_NtkIsSeq(pNtk) );
- // collect the nodes reachable from POs and PIs
- vNodesPo = Seq_NtkReachNodes( pNtk, 1 );
- vNodesPi = Seq_NtkReachNodes( pNtk, 0 );
- printf( "Total nodes = %6d. Reachable from POs = %6d. Reachable from PIs = %6d.\n",
- Abc_NtkNodeNum(pNtk), Vec_PtrSize(vNodesPo), Vec_PtrSize(vNodesPi) );
- if ( Abc_NtkNodeNum(pNtk) > Vec_PtrSize(vNodesPo) )
- {
-// Counter = Abc_NtkReduceNodes( pNtk, vNodesPo );
- Counter = 0;
- if ( fVerbose )
- printf( "Cleanup removed %d nodes that are not reachable from the POs.\n", Counter );
- }
- Vec_PtrFree( vNodesPo );
- Vec_PtrFree( vNodesPi );
- return Counter;
-}
-
-////////////////////////////////////////////////////////////////////////
-/// END OF FILE ///
-////////////////////////////////////////////////////////////////////////
-
-
diff --git a/src/base/ver/verCore.zip b/src/base/ver/verCore.zip
deleted file mode 100644
index cdfcf5a4..00000000
--- a/src/base/ver/verCore.zip
+++ /dev/null
Binary files differ
diff --git a/src/map/pcm/module.make b/src/map/pcm/module.make
deleted file mode 100644
index e69de29b..00000000
--- a/src/map/pcm/module.make
+++ /dev/null
diff --git a/src/misc/util/stdlib_hack.h b/src/misc/util/stdlib_hack.h
deleted file mode 100644
index 2ddf73d1..00000000
--- a/src/misc/util/stdlib_hack.h
+++ /dev/null
@@ -1,4 +0,0 @@
-
-#include <stdlib.h>
-
-
diff --git a/src/phys/place/Makefile b/src/phys/place/Makefile
deleted file mode 100644
index 1f700105..00000000
--- a/src/phys/place/Makefile
+++ /dev/null
@@ -1,30 +0,0 @@
-TARGETS = place_test BookshelfView.class
-
-CFLAGS = -g -pedantic -Wall
-
-STATIC_LIBS = libhmetis.a
-DYNAMIC_LIBS = -lm
-
-OBJECTS = place_test.o place_qpsolver.o place_base.o place_pads.o place_genqp.o place_gordian.o \
- place_partition.o place_legalize.o place_bin.o
-
-
-# For hMetis free code, uncomment the following lines
-#
-# CFLAGS = -g -pedantic -Wall -DNO_HMETIS
-# STATIC_LIBS =
-
-
-all: $(TARGETS)
-
-%.o: %.c *.h
- gcc $(CFLAGS) -c -o $@ $<
-
-place_test: $(OBJECTS)
- gcc *.o $(STATIC_LIBS) $(DYNAMIC_LIBS) -o place_test
-
-BookshelfView.class: BookshelfView.java
- javac BookshelfView.java
-
-clean:
- rm -rf *.o place_test *.class *~
diff --git a/src/phys/place/README b/src/phys/place/README
deleted file mode 100644
index d4f8ac8f..00000000
--- a/src/phys/place/README
+++ /dev/null
@@ -1,50 +0,0 @@
-/*===================================================================*/
-//
-// GORDIAN-like placement package
-//
-// Aaron P. Hurst (ahurst@eecs.berkeley.edu)
-// Addl code from Philip Chong (pchong@cadence.com)
-// hMetis partitioner (www.cs.umn.edu/~metis)
-//
-/*===================================================================*/
-
-1. Requirements
-
-An i386 Linux system (though others will certainly work with some tweaks).
-A standard ANSI C development platform.
-
-The following are optional, but useful:
-
-- hMetis partitioner. This can be obtained from (www.cs.umn.edu/~metis)
- Place (links to) the files "libhmetis.a" and "libhtmetis.h" in this directory.
- Otherwise, #define NO_HMETIS in the file "place_gordian.h"
-- Java SDK, if compiling BookshelfView is desired.
-- Perl, if additional script utilities are desired.
-
-2. Descriptions of contents:
-
-place_base.h contains the basic data structures and "external" API.
-place_gordian.h contains the "internal" API and configuration options.
-
-There are also several utilities:
-
-i) place_test
-
-Reads a netlist description in GSRC Bookshelf format, performs global placement,
-and rewrites the placement file. An example usage:
-
-./place_test ac97_emap.nodes ac97_emap.nets ac97_emap.pl
-
-ii) BookshelfView
-
-A simple Java GUI to view the resulting placements. It has been tested with
-Java 5 and 6. Usage:
-
-java BookshelfView ac97_emap.nodes ac97_emap.pl
-
-iii) hpwl
-
-A perl script to print the half-perimeter wirelength of a placement. Usage:
-
-./hpwl ac97_emap.nets ac97_emal.pl
-
diff --git a/src/phys/place/hpwl b/src/phys/place/hpwl
deleted file mode 100644
index f69a1d05..00000000
--- a/src/phys/place/hpwl
+++ /dev/null
@@ -1,57 +0,0 @@
-#! /usr/bin/perl
-
-$netsfile = shift;
-$plfile = shift;
-
-# ------------------------------ read placement
-
-open FILE, $plfile;
-while (<FILE>) {
- chop;
- if (/(\w+)\s+([\-\d\.]+)\s+([\-\d\.]+)\s+\:/) {
- $loc{$1} = "$2 $3";
- }
-}
-close FILE;
-
-open FILE, $netsfile;
-while (<FILE>) {
- chop;
- $net = $2 if /NetDegree\s+\:\s+(\d+)\s+(\w+)/;
- if (/(\w+)\s+(\w+)\s+\:/) {
- $netconn{$net} .= "$1 ";
- $cellconn{$1} .= "$net ";
- }
-}
-close FILE;
-
-# ----------------------------- compute HPWL
-
-$hpwl = 0;
-foreach $net (keys %netconn) {
- @conns = split ' ',$netconn{$net};
- $min_x = $min_y = 1e12;
- $max_x = $max_y = -1e12;
- foreach $cell (@conns) {
- if (!exists $loc{$cell}) {
- print "WARNING: Unknown cell location: $cell\n";
- } else {
- ($x, $y) = split ' ',$loc{$cell};
- $min_x = $x if $x < $min_x;
- $min_y = $y if $y < $min_y;
- $max_x = $x if $x > $max_x;
- $max_y = $y if $y > $max_y;
- }
- }
-
- if ($min_x eq 1e12 or $min_y eq 1e12 or
- $max_x eq -1e12 or $max_y eq -1e12) {
- print "WARNING: Unbounded box\n";
- } else {
- $hpwl = $hpwl + $max_x - $min_x + $max_y - $min_y;
- }
-}
-
-print "HPWL = ";
-printf "%e",$hpwl;
-print "\n";
diff --git a/src/phys/place/libhmetis.h b/src/phys/place/libhmetis.h
deleted file mode 100644
index 051079d4..00000000
--- a/src/phys/place/libhmetis.h
+++ /dev/null
@@ -1,31 +0,0 @@
-// A. Hurst ahurst@eecs.berkeley.edu
-
-#ifndef LIBHMETIS_H_
-#define LIBHMETIS_H_
-
-static void HMETIS_PartRecursive(int nvtxs,
- int nhedges,
- int *vwgts,
- int *eptr,
- int *eind,
- int *hewgts,
- int nparts,
- int nbfactor,
- int *options,
- int *part,
- int *edgecnt ) {} //;
-
-
-static void HMETIS_PartKway(int nvtxs,
- int nhedges,
- int *vwgts,
- int *eptr,
- int *eind,
- int *hewgts,
- int nparts,
- int nbfactor,
- int *options,
- int *part,
- int *edgecnt ) {} //;
-
-#endif
diff --git a/src/phys/place/module.make b/src/phys/place/module.make
deleted file mode 100644
index 98930fbe..00000000
--- a/src/phys/place/module.make
+++ /dev/null
@@ -1,10 +0,0 @@
-SRC += src/phys/place/place_base.c \
- src/phys/place/place_bin.c \
- src/phys/place/place_genqp.c \
- src/phys/place/place_gordian.c \
- src/phys/place/place_legalize.c \
- src/phys/place/place_pads.c \
- src/phys/place/place_partition.c \
- src/phys/place/place_qpsolver.c \
- src/phys/place/place_io.c \
- src/phys/place/place_inc.c
diff --git a/src/phys/place/place_base.c b/src/phys/place/place_base.c
deleted file mode 100644
index 4e38f1d1..00000000
--- a/src/phys/place/place_base.c
+++ /dev/null
@@ -1,345 +0,0 @@
-/*===================================================================*/
-//
-// place_base.c
-//
-// Aaron P. Hurst, 2003-2007
-// ahurst@eecs.berkeley.edu
-//
-/*===================================================================*/
-
-#include <stdlib.h>
-#include <limits.h>
-#include <assert.h>
-#include <string.h>
-
-#include "place_base.h"
-#include "place_gordian.h"
-
-// --------------------------------------------------------------------
-// Global variables
-//
-// --------------------------------------------------------------------
-
-int g_place_numCells = 0;
-int g_place_numNets = 0;
-float g_place_rowHeight = 1.0;
-
-Rect g_place_coreBounds;
-Rect g_place_padBounds;
-
-ConcreteCell **g_place_concreteCells = NULL;
-int g_place_concreteCellsSize = 0;
-ConcreteNet **g_place_concreteNets = NULL;
-int g_place_concreteNetsSize = 0;
-
-
-// --------------------------------------------------------------------
-// getNetBBox()
-//
-/// \brief Returns the bounding box of a net.
-//
-// --------------------------------------------------------------------
-Rect getNetBBox(const ConcreteNet *net) {
- int t;
- Rect r;
-
- assert(net);
-
- r.x = r.y = INT_MAX;
- r.w = r.h = -INT_MAX;
- for(t=0; t<net->m_numTerms; t++) {
- r.x = net->m_terms[t]->m_x < r.x ? net->m_terms[t]->m_x : r.x;
- r.y = net->m_terms[t]->m_y < r.y ? net->m_terms[t]->m_y : r.y;
- r.w = net->m_terms[t]->m_x > r.w ? net->m_terms[t]->m_x : r.w;
- r.h = net->m_terms[t]->m_y > r.h ? net->m_terms[t]->m_y : r.h;
- }
- r.w -= r.x; r.h -= r.y;
- return r;
-}
-
-
-// --------------------------------------------------------------------
-// getNetWirelength()
-//
-/// \brief Returns the half-perimeter wirelength of a net.
-//
-// --------------------------------------------------------------------
-float getNetWirelength(const ConcreteNet *net) {
- Rect r;
-
- assert(net);
-
- r = getNetBBox(net);
- return r.w+r.h;
-}
-
-
-// --------------------------------------------------------------------
-// getTotalWirelength()
-//
-/// \brief Returns the total HPWL of all nets.
-//
-// --------------------------------------------------------------------
-float getTotalWirelength() {
- float r = 0;
- int n;
- for(n=0; n<g_place_numNets; n++) if (g_place_concreteNets[n])
- r += getNetWirelength(g_place_concreteNets[n]);
- return r;
-}
-
-
-// --------------------------------------------------------------------
-// getCellArea()
-//
-// --------------------------------------------------------------------
-float getCellArea(const ConcreteCell *cell) {
- assert(cell);
- return cell->m_parent->m_width*cell->m_parent->m_height;
-}
-
-
-// --------------------------------------------------------------------
-// addConcreteNet()
-//
-/// \brief Adds a net to the placement database.
-///
-/// The net object must already be allocated and the ID must be set
-/// appropriately.
-//
-// --------------------------------------------------------------------
-void addConcreteNet(ConcreteNet *net) {
- assert(net);
- assert(net->m_id >= 0);
- if (net->m_id >= g_place_concreteNetsSize) {
- g_place_concreteNetsSize = (net->m_id > g_place_concreteNetsSize ?
- net->m_id : g_place_concreteNetsSize);
- g_place_concreteNetsSize *= 1.5;
- g_place_concreteNetsSize += 20;
- g_place_concreteNets = (ConcreteNet**)realloc(g_place_concreteNets,
- sizeof(ConcreteNet*)*g_place_concreteNetsSize);
- assert(g_place_concreteNets);
- }
- if (net->m_id >= g_place_numNets) {
- memset(&(g_place_concreteNets[g_place_numNets]), 0,
- sizeof(ConcreteNet*)*(net->m_id+1-g_place_numNets));
- g_place_numNets = net->m_id+1;
- assert(g_place_numNets <= g_place_concreteNetsSize);
- }
- g_place_concreteNets[net->m_id] = net;
-}
-
-
-// --------------------------------------------------------------------
-// delConcreteNet()
-//
-/// Does not deallocate memory.
-// --------------------------------------------------------------------
-void delConcreteNet(ConcreteNet *net) {
- assert(net);
- g_place_concreteNets[net->m_id] = 0;
- while(!g_place_concreteNets[g_place_numNets-1]) g_place_numNets--;
-}
-
-
-// --------------------------------------------------------------------
-// addConcreteCell()
-//
-/// The cell object must already be allocated and the ID must be set
-/// appropriately.
-//
-// --------------------------------------------------------------------
-void addConcreteCell(ConcreteCell *cell) {
- assert(cell);
- assert(cell->m_id >= 0);
- if (cell->m_id >= g_place_concreteCellsSize) {
- g_place_concreteCellsSize = (cell->m_id > g_place_concreteCellsSize ?
- cell->m_id : g_place_concreteCellsSize);
- g_place_concreteCellsSize *= 1.5;
- g_place_concreteCellsSize += 20;
- g_place_concreteCells = (ConcreteCell**)realloc(g_place_concreteCells,
- sizeof(ConcreteCell*)*g_place_concreteCellsSize);
- assert(g_place_concreteCells);
- }
- if (cell->m_id >= g_place_numCells) {
- memset(&(g_place_concreteCells[g_place_numCells]), 0,
- sizeof(ConcreteCell*)*(cell->m_id+1-g_place_numCells));
- g_place_numCells = cell->m_id+1;
- }
- g_place_concreteCells[cell->m_id] = cell;
-}
-
-
-// --------------------------------------------------------------------
-// delCellFromPartition()
-//
-// --------------------------------------------------------------------
-void delCellFromPartition(ConcreteCell *cell, Partition *p) {
- int c;
- bool found = false;
-
- assert(cell);
- assert(p);
-
- for(c=0; c<p->m_numMembers; c++)
- if (p->m_members[c] == cell) {
- p->m_members[c] = 0;
- p->m_area -= getCellArea(cell);
- found = true;
- break;
- }
-
- if (!found) return;
-
- if (!p->m_leaf) {
- delCellFromPartition(cell, p->m_sub1);
- delCellFromPartition(cell, p->m_sub2);
- }
-}
-
-
-// --------------------------------------------------------------------
-// delConcreteCell()
-//
-/// \brief Removes a cell from the placement database.
-///
-/// Does not deallocate memory.
-///
-/// Important: does not modify nets that may point to this
-/// cell. If these are connections are not removed, segmentation faults
-/// and other nasty errors will occur.
-//
-// --------------------------------------------------------------------
-void delConcreteCell(ConcreteCell *cell) {
- assert(cell);
- g_place_concreteCells[cell->m_id] = 0;
- while(!g_place_concreteCells[g_place_numCells-1]) g_place_numCells--;
-
- if (g_place_rootPartition) delCellFromPartition(cell, g_place_rootPartition);
-}
-
-
-// --------------------------------------------------------------------
-// netSortByX...
-//
-/// \brief Sorts nets by position of one of its corners.
-//
-/// These are for use with qsort().
-///
-/// Can tolerate pointers to NULL objects.
-///
-// --------------------------------------------------------------------
-int netSortByL(const void *a, const void *b) {
- const ConcreteNet *pa = *(const ConcreteNet **)a;
- const ConcreteNet *pb = *(const ConcreteNet **)b;
- Rect ba, bb;
-
- if (!pa && !pb) return 0;
- else if (!pa) return -1;
- else if (!pb) return 1;
- ba = getNetBBox(pa), bb = getNetBBox(pb);
- if (ba.x < bb.x) return -1;
- if (ba.x > bb.x) return 1;
- return 0;
-}
-
-int netSortByR(const void *a, const void *b) {
- const ConcreteNet *pa = *(const ConcreteNet **)a;
- const ConcreteNet *pb = *(const ConcreteNet **)b;
- Rect ba, bb;
-
- if (!pa && !pb) return 0;
- else if (!pa) return -1;
- else if (!pb) return 1;
- ba = getNetBBox(pa), bb = getNetBBox(pb);
- if (ba.x + ba.w < bb.x + bb.w) return -1;
- if (ba.x + ba.w > bb.x + bb.w) return 1;
- return 0;
-}
-
-int netSortByB(const void *a, const void *b) {
- const ConcreteNet *pa = *(const ConcreteNet **)a;
- const ConcreteNet *pb = *(const ConcreteNet **)b;
- Rect ba, bb;
-
- if (!pa && !pb) return 0;
- else if (!pa) return -1;
- else if (!pb) return 1;
- ba = getNetBBox(pa), bb = getNetBBox(pb);
- if (ba.y + ba.h < bb.y + bb.h) return -1;
- if (ba.y + ba.h > bb.y + bb.h) return 1;
- return 0;
-}
-
-int netSortByT(const void *a, const void *b) {
- const ConcreteNet *pa = *(const ConcreteNet **)a;
- const ConcreteNet *pb = *(const ConcreteNet **)b;
- Rect ba, bb;
-
- if (!pa && !pb) return 0;
- else if (!pa) return -1;
- else if (!pb) return 1;
- ba = getNetBBox(pa), bb = getNetBBox(pb);
- if (ba.y < bb.y) return -1;
- if (ba.y > bb.y) return 1;
- return 0;
-}
-
-int netSortByID(const void *a, const void *b) {
- const ConcreteNet *pa = *(const ConcreteNet **)a;
- const ConcreteNet *pb = *(const ConcreteNet **)b;
-
- if (!pa && !pb) return 0;
- else if (!pa) return -1;
- else if (!pb) return 1;
- if (pa->m_id < pb->m_id) return -1;
- if (pa->m_id > pb->m_id) return 1;
- return 0;
-}
-
-
-// --------------------------------------------------------------------
-// cellSortByX...
-//
-/// \brief Sorts cells by either position coordinate.
-//
-/// These are for use with qsort().
-///
-/// Can tolerate pointers to NULL objects.
-//
-// --------------------------------------------------------------------
-int cellSortByX(const void *a, const void *b) {
- const ConcreteCell *pa = *(const ConcreteCell **)a;
- const ConcreteCell *pb = *(const ConcreteCell **)b;
-
- if (!pa && !pb) return 0;
- else if (!pa) return -1;
- else if (!pb) return 1;
- if (pa->m_x < pb->m_x) return -1;
- if (pa->m_x > pb->m_x) return 1;
- return 0;
-}
-
-int cellSortByY(const void *a, const void *b) {
- const ConcreteCell *pa = *(const ConcreteCell **)a;
- const ConcreteCell *pb = *(const ConcreteCell **)b;
-
- if (!pa && !pb) return 0;
- else if (!pa) return -1;
- else if (!pb) return 1;
- if (pa->m_y < pb->m_y) return -1;
- if (pa->m_y > pb->m_y) return 1;
- return 0;
-}
-
-int cellSortByID(const void *a, const void *b) {
- const ConcreteCell *pa = *(const ConcreteCell **)a;
- const ConcreteCell *pb = *(const ConcreteCell **)b;
-
- if (!pa && !pb) return 0;
- else if (!pa) return -1;
- else if (!pb) return 1;
- if (pa->m_id < pb->m_id) return -1;
- if (pa->m_id > pb->m_id) return 1;
- return 0;
-}
diff --git a/src/phys/place/place_base.h b/src/phys/place/place_base.h
deleted file mode 100644
index e5e7ecef..00000000
--- a/src/phys/place/place_base.h
+++ /dev/null
@@ -1,137 +0,0 @@
-/*===================================================================*/
-//
-// place_base.h
-//
-// Aaron P. Hurst, 2003-2007
-// ahurst@eecs.berkeley.edu
-//
-/*===================================================================*/
-
-#if !defined(PLACE_BASE_H_)
-#define PLACE_BASE_H_
-
-// --------------------------------------------------------------------
-// Data structures
-//
-// --------------------------------------------------------------------
-
-// --- a C++ bool-like type
-//typedef char bool;
-#ifndef bool
-#define bool int
-#endif
-
-#define true 1
-#define false 0
-
-
-// --- Rect - rectangle
-
-typedef struct Rect {
- float x, y;
- float w, h;
-} Rect;
-
-
-// --- AbstractCell - a definition of a cell type
-
-typedef struct AbstractCell {
- char *m_label; // string description
-
- float m_width, m_height; // dimensions
-
- bool m_pad; // a pad (external I/O) cell?
-} AbstractCell;
-
-
-// --- ConcreteCell - a design object
-
-typedef struct ConcreteCell {
- int m_id; // a unique ID (see below)
- char *m_label; // string description
-
- AbstractCell *m_parent; // cell type
-
- bool m_fixed; // position is fixed?
- float m_x, m_y; // center of cell
-
- int m_data;
-} ConcreteCell;
-
-
-// --- ConcreteNet - a design net
-
-typedef struct ConcreteNet {
- int m_id; // a unique ID (see below)
-
- int m_numTerms; // num. of connected cells
- ConcreteCell **m_terms; // connected cells
-
- float m_weight; // relative weight
-
- int m_data;
-} ConcreteNet;
-
-
-// A note about IDs - the IDs are non-nonegative integers. They need not
-// be contiguous, but this is certainly a good idea, as they are stored
-// in a non-sparse array.
-// Cells and nets have separate ID spaces.
-
-// --------------------------------------------------------------------
-// Global variable prototypes
-//
-// --------------------------------------------------------------------
-
-// NOTE: None of these need to be managed externally.
-
-extern int g_place_numCells; // number of cells
-extern int g_place_numNets; // number of nets
-extern float g_place_rowHeight; // height of placement row
-extern Rect g_place_coreBounds; // border of placeable area
- // (x,y) = corner
-extern Rect g_place_padBounds; // border of total die area
- // (x,y) = corner
-
-extern ConcreteCell **g_place_concreteCells; // all concrete cells
-extern ConcreteNet **g_place_concreteNets; // all concrete nets
-
-
-// --------------------------------------------------------------------
-// Function prototypes
-//
-// --------------------------------------------------------------------
-
-void addConcreteNet(ConcreteNet *net);
-void addConcreteCell(ConcreteCell *cell);
-void delConcreteNet(ConcreteNet *net);
-void delConcreteCell(ConcreteCell *cell);
-
-void globalPreplace(float utilization);
-void globalPlace();
-void globalIncremental();
-void globalFixDensity(int numBins, float maxMovement);
-
-float fastEstimate(ConcreteCell *cell,
- int numNets, ConcreteNet *nets[]);
-float fastTopoPlace(int numCells, ConcreteCell *cells[],
- int numNets, ConcreteNet *nets[]);
-
-Rect getNetBBox(const ConcreteNet *net);
-float getNetWirelength(const ConcreteNet *net);
-float getTotalWirelength();
-float getCellArea(const ConcreteCell *cell);
-
-void writeBookshelf(const char *filename);
-
-// comparative qsort-style functions
-int netSortByL(const void *a, const void *b);
-int netSortByR(const void *a, const void *b);
-int netSortByB(const void *a, const void *b);
-int netSortByT(const void *a, const void *b);
-int netSortByID(const void *a, const void *b);
-int cellSortByX(const void *a, const void *b);
-int cellSortByY(const void *a, const void *b);
-int cellSortByID(const void *a, const void *b);
-
-#endif
diff --git a/src/phys/place/place_bin.c b/src/phys/place/place_bin.c
deleted file mode 100644
index 86ec3506..00000000
--- a/src/phys/place/place_bin.c
+++ /dev/null
@@ -1,277 +0,0 @@
-/*===================================================================*/
-//
-// place_bin.c
-//
-// Aaron P. Hurst, 2007
-// ahurst@eecs.berkeley.edu
-//
-/*===================================================================*/
-
-#include <stdlib.h>
-#include <stdio.h>
-#include <string.h>
-#include <limits.h>
-#include <assert.h>
-
-//#define DEBUG
-
-#include "place_base.h"
-
-// --------------------------------------------------------------------
-// Global variables
-//
-// --------------------------------------------------------------------
-
-
-// --------------------------------------------------------------------
-// Function prototypes and local data structures
-//
-// --------------------------------------------------------------------
-
-void spreadDensityX(int numBins, float maxMovement);
-void spreadDensityY(int numBins, float maxMovement);
-
-
-// --------------------------------------------------------------------
-// globalFixDensity()
-//
-/// Doesn't deal well with fixed cells in the core area.
-// --------------------------------------------------------------------
-void globalFixDensity(int numBins, float maxMovement) {
-
- printf("QCLN-10 : \tbin-based density correction\n");
-
- spreadDensityX(numBins, maxMovement);
- // spreadDensityY(numBins, maxMovement);
-}
-
-
-// --------------------------------------------------------------------
-// spreadDensityX()
-//
-// --------------------------------------------------------------------
-void spreadDensityX(int numBins, float maxMovement) {
-
- int c, c2, c3, x, y;
- float totalArea = 0;
- int moveableCells = 0;
- float yBinArea = 0, yCumArea = 0;
- int yBinStart = 0, yBinCount = 0;
- int xBinCount, xBinStart;
- float xBinArea, xCumArea;
- float lastOldEdge;
- float lastNewEdge;
- float curOldEdge, curNewEdge;
- float stretch, w;
- ConcreteCell *xCell, *yCell;
- ConcreteCell **binCells;
- ConcreteCell **allCells;
-
- binCells = (ConcreteCell **)malloc(sizeof(ConcreteCell*)*g_place_numCells);
- allCells = (ConcreteCell **)malloc(sizeof(ConcreteCell*)*g_place_numCells);
-
- for(c=0; c<g_place_numCells; c++) if (g_place_concreteCells[c]) {
- ConcreteCell *cell = g_place_concreteCells[c];
- if (!cell->m_fixed && !cell->m_parent->m_pad) {
- allCells[moveableCells++] = cell;
- totalArea += getCellArea(cell);
- }
- }
-
- // spread X
- qsort(allCells, moveableCells, sizeof(ConcreteCell*), cellSortByY);
-
- y = 0;
-
- // for each y-bin...
- for(c=0; c<moveableCells; c++) {
- yCell = allCells[c];
- yBinArea += getCellArea(yCell);
- yCumArea += getCellArea(yCell);
- yBinCount++;
-
- // have we filled up a y-bin?
- if (yCumArea >= totalArea*(y+1)/numBins && yBinArea > 0) {
- memcpy(binCells, &(allCells[yBinStart]), sizeof(ConcreteCell*)*yBinCount);
- qsort(binCells, yBinCount, sizeof(ConcreteCell*), cellSortByX);
-
-#if defined(DEBUG)
- printf("y-bin %d count=%d area=%f\n",y,yBinCount, yBinArea);
-#endif
-
- x = 0;
- xBinCount = 0, xBinStart = 0;
- xBinArea = 0, xCumArea = 0;
- lastOldEdge = g_place_coreBounds.x;
- lastNewEdge = g_place_coreBounds.x;
-
- // for each x-bin...
- for(c2=0; c2<yBinCount; c2++) {
- xCell = binCells[c2];
- xBinArea += getCellArea(xCell);
- xCumArea += getCellArea(xCell);
- xBinCount++;
- curOldEdge = xCell->m_x;
-
- printf("%.3f ", xCell->m_x);
-
- // have we filled up an x-bin?
- if (xCumArea >= yBinArea*(x+1)/numBins && xBinArea > 0) {
- curNewEdge = lastNewEdge + g_place_coreBounds.w*xBinArea/yBinArea;
-
- if (curNewEdge > g_place_coreBounds.x+g_place_coreBounds.w)
- curNewEdge = g_place_coreBounds.x+g_place_coreBounds.w;
- if ((curNewEdge-curOldEdge)>maxMovement) curNewEdge = curOldEdge + maxMovement;
- if ((curOldEdge-curNewEdge)>maxMovement) curNewEdge = curOldEdge - maxMovement;
-
-#if defined(DEBUG)
- printf("->\tx-bin %d count=%d area=%f (%f,%f)->(%f,%f)\n",x, xBinCount, xBinArea,
- curOldEdge, lastOldEdge, curNewEdge, lastNewEdge);
-#endif
-
- stretch = (curNewEdge-lastNewEdge)/(curOldEdge-lastOldEdge);
-
- // stretch!
- for(c3=xBinStart; c3<xBinStart+xBinCount; c3++) {
- if (curOldEdge == lastOldEdge)
- binCells[c3]->m_x = lastNewEdge+(c3-xBinStart)*(curNewEdge-lastNewEdge);
- else
- binCells[c3]->m_x = lastNewEdge+(binCells[c3]->m_x-lastOldEdge)*stretch;
-
- // force within core
- w = binCells[c3]->m_parent->m_width*0.5;
- if (binCells[c3]->m_x-w < g_place_coreBounds.x)
- binCells[c3]->m_x = g_place_coreBounds.x+w;
- if (binCells[c3]->m_x+w > g_place_coreBounds.x+g_place_coreBounds.w)
- binCells[c3]->m_x = g_place_coreBounds.x+g_place_coreBounds.w-w;
- }
-
- lastOldEdge = curOldEdge;
- lastNewEdge = curNewEdge;
- x++;
- xBinCount = 0;
- xBinArea = 0;
- xBinStart = c2+1;
- }
- }
-
- y++;
- yBinCount = 0;
- yBinArea = 0;
- yBinStart = c+1;
- }
- }
-
- free(binCells);
- free(allCells);
-}
-
-
-// --------------------------------------------------------------------
-// spreadDensityY()
-//
-// --------------------------------------------------------------------
-void spreadDensityY(int numBins, float maxMovement) {
-
- int c, c2, c3, x, y;
- float totalArea = 0;
- int moveableCells = 0;
- float xBinArea = 0, xCumArea = 0;
- int xBinStart = 0, xBinCount = 0;
- int yBinCount, yBinStart;
- float yBinArea, yCumArea;
- float lastOldEdge;
- float lastNewEdge;
- float curOldEdge, curNewEdge;
- float stretch, h;
- ConcreteCell *xCell, *yCell;
- ConcreteCell **binCells;
- ConcreteCell **allCells;
-
- binCells = (ConcreteCell **)malloc(sizeof(ConcreteCell*)*g_place_numCells);
- allCells = (ConcreteCell **)malloc(sizeof(ConcreteCell*)*g_place_numCells);
-
- for(c=0; c<g_place_numCells; c++) if (g_place_concreteCells[c]) {
- ConcreteCell *cell = g_place_concreteCells[c];
- if (!cell->m_fixed && !cell->m_parent->m_pad) {
- allCells[moveableCells++] = cell;
- totalArea += getCellArea(cell);
- }
- }
-
- // spread Y
- qsort(allCells, moveableCells, sizeof(ConcreteCell*), cellSortByX);
-
- x = 0;
-
- // for each x-bin...
- for(c=0; c<moveableCells; c++) {
- xCell = allCells[c];
- xBinArea += getCellArea(xCell);
- xCumArea += getCellArea(xCell);
- xBinCount++;
-
- // have we filled up an x-bin?
- if (xCumArea >= totalArea*(x+1)/numBins && xBinArea > 0) {
- memcpy(binCells, &(allCells[xBinStart]), sizeof(ConcreteCell*)*xBinCount);
- qsort(binCells, xBinCount, sizeof(ConcreteCell*), cellSortByY);
-
- // printf("x-bin %d count=%d area=%f\n",y,yBinCount, yBinArea);
-
- y = 0;
- yBinCount = 0, yBinStart = 0;
- yBinArea = 0, yCumArea = 0;
- lastOldEdge = g_place_coreBounds.y;
- lastNewEdge = g_place_coreBounds.y;
-
- // for each y-bin...
- for(c2=0; c2<xBinCount; c2++) {
- yCell = binCells[c2];
- yBinArea += getCellArea(yCell);
- yCumArea += getCellArea(yCell);
- yBinCount++;
- curOldEdge = yCell->m_y;
-
- // have we filled up an x-bin?
- if (yCumArea >= xBinArea*(y+1)/numBins && yBinArea > 0) {
- curNewEdge = lastNewEdge + g_place_coreBounds.h*yBinArea/xBinArea;
-
- if (curNewEdge > g_place_coreBounds.y+g_place_coreBounds.h)
- curNewEdge = g_place_coreBounds.y+g_place_coreBounds.h;
- if ((curNewEdge-curOldEdge)>maxMovement) curNewEdge = curOldEdge + maxMovement;
- if ((curOldEdge-curNewEdge)>maxMovement) curNewEdge = curOldEdge - maxMovement;
-
- if (curOldEdge == lastOldEdge) continue; // hmmm
- stretch = (curNewEdge-lastNewEdge)/(curOldEdge-lastOldEdge);
-
- // stretch!
- for(c3=yBinStart; c3<yBinStart+yBinCount; c3++) {
- binCells[c3]->m_y = lastNewEdge+(binCells[c3]->m_y-lastOldEdge)*stretch;
-
- // force within core
- h = binCells[c3]->m_parent->m_height;
- if (binCells[c3]->m_y-h < g_place_coreBounds.y)
- binCells[c3]->m_y = g_place_coreBounds.y+h;
- if (binCells[c3]->m_y+h > g_place_coreBounds.y+g_place_coreBounds.h)
- binCells[c3]->m_y = g_place_coreBounds.y+g_place_coreBounds.h-h;
- }
-
- lastOldEdge = curOldEdge;
- lastNewEdge = curNewEdge;
- y++;
- yBinCount = 0;
- yBinArea = 0;
- yBinStart = c2+1;
- }
- }
-
- x++;
- xBinCount = 0;
- xBinArea = 0;
- xBinStart = c+1;
- }
- }
-
- free(binCells);
- free(allCells);
-}
diff --git a/src/phys/place/place_genqp.c b/src/phys/place/place_genqp.c
deleted file mode 100644
index 5b6c7027..00000000
--- a/src/phys/place/place_genqp.c
+++ /dev/null
@@ -1,309 +0,0 @@
-/*===================================================================*/
-//
-// place_genqp.c
-//
-// Aaron P. Hurst, 2003-2007
-// ahurst@eecs.berkeley.edu
-//
-/*===================================================================*/
-
-#include <stdlib.h>
-#include <math.h>
-#include <stdio.h>
-#include <string.h>
-#include <assert.h>
-
-#include "place_base.h"
-#include "place_qpsolver.h"
-#include "place_gordian.h"
-
-// --------------------------------------------------------------------
-// Global variables
-//
-// --------------------------------------------------------------------
-
-qps_problem_t *g_place_qpProb = NULL;
-
-
-// --------------------------------------------------------------------
-// splitPenalty()
-//
-/// \brief Returns a weight for all of the edges in the clique for a multipin net.
-//
-// --------------------------------------------------------------------
-float splitPenalty(int pins) {
-
- if (pins > 1) {
- return 1.0 + CLIQUE_PENALTY/(pins - 1);
- // return pow(pins - 1, CLIQUE_PENALTY);
- }
- return 1.0 + CLIQUE_PENALTY;
-}
-
-
-// --------------------------------------------------------------------
-// constructQuadraticProblem()
-//
-/// \brief Constructs the matrices necessary to do analytical placement.
-//
-// --------------------------------------------------------------------
-void constructQuadraticProblem() {
- int maxConnections = 1;
- int ignoreNum = 0;
- int n,t,c,c2,p;
- ConcreteCell *cell;
- ConcreteNet *net;
- int *cell_numTerms = calloc(g_place_numCells, sizeof(int));
- ConcreteNet ***cell_terms = calloc(g_place_numCells, sizeof(ConcreteNet**));
- bool incremental = false;
- int nextIndex = 1;
- int *seen = calloc(g_place_numCells, sizeof(int));
- float weight;
- int last_index;
-
- // create problem object
- if (!g_place_qpProb) {
- g_place_qpProb = malloc(sizeof(qps_problem_t));
- g_place_qpProb->area = NULL;
- g_place_qpProb->x = NULL;
- g_place_qpProb->y = NULL;
- g_place_qpProb->fixed = NULL;
- g_place_qpProb->connect = NULL;
- g_place_qpProb->edge_weight = NULL;
- }
-
- // count the maximum possible number of non-sparse entries
- for(n=0; n<g_place_numNets; n++) if (g_place_concreteNets[n]) {
- ConcreteNet *net = g_place_concreteNets[n];
- if (net->m_numTerms > IGNORE_NETSIZE) {
- ignoreNum++;
- }
- else {
- maxConnections += net->m_numTerms*(net->m_numTerms-1);
- for(t=0; t<net->m_numTerms; t++) {
- c = net->m_terms[t]->m_id;
- p = ++cell_numTerms[c];
- cell_terms[c] = (ConcreteNet**)realloc(cell_terms[c], p*sizeof(ConcreteNet*));
- cell_terms[c][p-1] = net;
- }
- }
- }
- if(ignoreNum) {
- printf("QMAN-10 : \t\t%d large nets ignored\n", ignoreNum);
- }
-
- // initialize the data structures
- g_place_qpProb->num_cells = g_place_numCells;
- maxConnections += g_place_numCells + 1;
-
- g_place_qpProb->area = realloc(g_place_qpProb->area,
- sizeof(float)*g_place_numCells);// "area" matrix
- g_place_qpProb->edge_weight = realloc(g_place_qpProb->edge_weight,
- sizeof(float)*maxConnections); // "weight" matrix
- g_place_qpProb->connect = realloc(g_place_qpProb->connect,
- sizeof(int)*maxConnections); // "connectivity" matrix
- g_place_qpProb->fixed = realloc(g_place_qpProb->fixed,
- sizeof(int)*g_place_numCells); // "fixed" matrix
-
- // initialize or keep preexisting locations
- if (g_place_qpProb->x != NULL && g_place_qpProb->y != NULL) {
- printf("QMAN-10 :\tperforming incremental placement\n");
- incremental = true;
- }
- g_place_qpProb->x = (float*)realloc(g_place_qpProb->x, sizeof(float)*g_place_numCells);
- g_place_qpProb->y = (float*)realloc(g_place_qpProb->y, sizeof(float)*g_place_numCells);
-
- // form a row for each cell
- // build data
- for(c = 0; c < g_place_numCells; c++) if (g_place_concreteCells[c]) {
- cell = g_place_concreteCells[c];
-
- // fill in the characteristics for this cell
- g_place_qpProb->area[c] = getCellArea(cell);
- if (cell->m_fixed || cell->m_parent->m_pad) {
- g_place_qpProb->x[c] = cell->m_x;
- g_place_qpProb->y[c] = cell->m_y;
- g_place_qpProb->fixed[c] = 1;
- } else {
- if (!incremental) {
- g_place_qpProb->x[c] = g_place_coreBounds.x+g_place_coreBounds.w*0.5;
- g_place_qpProb->y[c] = g_place_coreBounds.y+g_place_coreBounds.h*0.5;
- }
- g_place_qpProb->fixed[c] = 0;
- }
-
- // update connectivity matrices
- last_index = nextIndex;
- for(n=0; n<cell_numTerms[c]; n++) {
- net = cell_terms[c][n];
- weight = net->m_weight / splitPenalty(net->m_numTerms);
- for(t=0; t<net->m_numTerms; t++) {
- c2 = net->m_terms[t]->m_id;
- if (c2 == c) continue;
- if (seen[c2] < last_index) {
- // not seen
- g_place_qpProb->connect[nextIndex-1] = c2;
- g_place_qpProb->edge_weight[nextIndex-1] = weight;
- seen[c2] = nextIndex;
- nextIndex++;
- } else {
- // seen
- g_place_qpProb->edge_weight[seen[c2]-1] += weight;
- }
- }
- }
- g_place_qpProb->connect[nextIndex-1] = -1;
- g_place_qpProb->edge_weight[nextIndex-1] = -1.0;
- nextIndex++;
- } else {
- // fill in dummy values for connectivity matrices
- g_place_qpProb->connect[nextIndex-1] = -1;
- g_place_qpProb->edge_weight[nextIndex-1] = -1.0;
- nextIndex++;
- }
-
- free(cell_numTerms);
- free(cell_terms);
- free(seen);
-}
-
-typedef struct reverseCOG {
- float x,y;
- Partition *part;
- float delta;
-} reverseCOG;
-
-
-// --------------------------------------------------------------------
-// generateCoGConstraints()
-//
-/// \brief Generates center of gravity constraints.
-//
-// --------------------------------------------------------------------
-int generateCoGConstraints(reverseCOG COG_rev[]) {
- int numConstraints = 0; // actual num contraints
- int cogRevNum = 0;
- Partition **stack = malloc(sizeof(Partition*)*g_place_numPartitions*2);
- int stackPtr = 0;
- Partition *p;
- float cgx, cgy;
- int next_index = 0, last_constraint = 0;
- bool isTrueConstraint = false;
- int i, m;
- float totarea;
- ConcreteCell *cell;
-
- // each partition may give rise to a center-of-gravity constraint
- stack[stackPtr] = g_place_rootPartition;
- while(stackPtr >= 0) {
- p = stack[stackPtr--];
- assert(p);
-
- // traverse down the partition tree to leaf nodes-only
- if (!p->m_leaf) {
- stack[++stackPtr] = p->m_sub1;
- stack[++stackPtr] = p->m_sub2;
- } else {
- /*
- cout << "adding a COG constraint for box "
- << p->bounds.x << ","
- << p->bounds.y << " of size"
- << p->bounds.w << "x"
- << p->bounds.h
- << endl;
- */
- cgx = p->m_bounds.x + p->m_bounds.w*0.5;
- cgy = p->m_bounds.y + p->m_bounds.h*0.5;
- COG_rev[cogRevNum].x = cgx;
- COG_rev[cogRevNum].y = cgy;
- COG_rev[cogRevNum].part = p;
- COG_rev[cogRevNum].delta = 0;
-
- cogRevNum++;
- }
- }
-
- assert(cogRevNum == g_place_numPartitions);
-
- for (i = 0; i < g_place_numPartitions; i++) {
- p = COG_rev[i].part;
- assert(p);
- g_place_qpProb->cog_x[numConstraints] = COG_rev[i].x;
- g_place_qpProb->cog_y[numConstraints] = COG_rev[i].y;
- totarea = 0.0;
- for(m=0; m<p->m_numMembers; m++) if (p->m_members[m]) {
- cell = p->m_members[m];
- assert(cell);
-
- if (!cell->m_fixed && !cell->m_parent->m_pad) {
- isTrueConstraint = true;
- }
- else {
- continue;
- }
- g_place_qpProb->cog_list[next_index++] = cell->m_id;
- totarea += getCellArea(cell);
- }
- if (totarea == 0.0) {
- isTrueConstraint = false;
- }
- if (isTrueConstraint) {
- numConstraints++;
- g_place_qpProb->cog_list[next_index++] = -1;
- last_constraint = next_index;
- }
- else {
- next_index = last_constraint;
- }
- }
-
- free(stack);
-
- return --numConstraints;
-}
-
-
-// --------------------------------------------------------------------
-// solveQuadraticProblem()
-//
-/// \brief Calls quadratic solver.
-//
-// --------------------------------------------------------------------
-void solveQuadraticProblem(bool useCOG) {
- int c;
-
- reverseCOG *COG_rev = malloc(sizeof(reverseCOG)*g_place_numPartitions);
-
- g_place_qpProb->cog_list = malloc(sizeof(int)*(g_place_numPartitions+g_place_numCells));
- g_place_qpProb->cog_x = malloc(sizeof(float)*g_place_numPartitions);
- g_place_qpProb->cog_y = malloc(sizeof(float)*g_place_numPartitions);
-
- // memset(g_place_qpProb->x, 0, sizeof(float)*g_place_numCells);
- // memset(g_place_qpProb->y, 0, sizeof(float)*g_place_numCells);
-
- qps_init(g_place_qpProb);
-
- if (useCOG)
- g_place_qpProb->cog_num = generateCoGConstraints(COG_rev);
- else
- g_place_qpProb->cog_num = 0;
-
- g_place_qpProb->loop_num = 0;
-
- qps_solve(g_place_qpProb);
-
- qps_clean(g_place_qpProb);
-
- // set the positions
- for(c = 0; c < g_place_numCells; c++) if (g_place_concreteCells[c]) {
- g_place_concreteCells[c]->m_x = g_place_qpProb->x[c];
- g_place_concreteCells[c]->m_y = g_place_qpProb->y[c];
- }
-
- // clean up
- free(g_place_qpProb->cog_list);
- free(g_place_qpProb->cog_x);
- free(g_place_qpProb->cog_y);
-
- free(COG_rev);
-}
diff --git a/src/phys/place/place_gordian.c b/src/phys/place/place_gordian.c
deleted file mode 100644
index 2929bf95..00000000
--- a/src/phys/place/place_gordian.c
+++ /dev/null
@@ -1,160 +0,0 @@
-/*===================================================================*/
-//
-// place_gordian.c
-//
-// Aaron P. Hurst, 2003-2007
-// ahurst@eecs.berkeley.edu
-//
-/*===================================================================*/
-
-#include <stdio.h>
-#include <stdlib.h>
-#include <math.h>
-#include <assert.h>
-#include <limits.h>
-
-#include "place_gordian.h"
-#include "place_base.h"
-
-
-// --------------------------------------------------------------------
-// Global variables
-//
-// --------------------------------------------------------------------
-
-int g_place_numPartitions;
-
-
-// --------------------------------------------------------------------
-// globalPlace()
-//
-/// \brief Performs analytic placement using a GORDIAN-like algorithm.
-//
-/// Updates the positions of all non-fixed non-pad cells.
-///
-// --------------------------------------------------------------------
-void globalPlace() {
- bool completionFlag = false;
- int iteration = 0;
-
- printf("PLAC-10 : Global placement (wirelength-driven Gordian)\n");
-
- initPartitioning();
-
- // build matrices representing interconnections
- printf("QMAN-00 : \tconstructing initial quadratic problem...\n");
- constructQuadraticProblem();
-
- // iterate placement until termination condition is met
- while(!completionFlag) {
- printf("QMAN-01 : \titeration %d numPartitions = %d\n",iteration,g_place_numPartitions);
-
- // do the global optimization in each direction
- printf("QMAN-01 : \t\tglobal optimization\n");
- solveQuadraticProblem(!IGNORE_COG);
-
- // -------- PARTITIONING BASED CELL SPREADING ------
-
- // bisection
- printf("QMAN-01 : \t\tpartition refinement\n");
- if (REALLOCATE_PARTITIONS) reallocPartitions();
- completionFlag |= refinePartitions();
-
- printf("QMAN-01 : \t\twirelength = %e\n", getTotalWirelength());
-
- iteration++;
- }
-
- // final global optimization
- printf("QMAN-02 : \t\tfinal pass\n");
- if (FINAL_REALLOCATE_PARTITIONS) reallocPartitions();
- solveQuadraticProblem(!IGNORE_COG);
- printf("QMAN-01 : \t\twirelength = %e\n", getTotalWirelength());
-
- // clean up
- sanitizePlacement();
- printf("QMAN-01 : \t\twirelength = %e\n", getTotalWirelength());
- globalFixDensity(25, g_place_rowHeight*5);
- printf("QMAN-01 : \t\twirelength = %e\n", getTotalWirelength());
-}
-
-
-// --------------------------------------------------------------------
-// globalIncremental()
-//
-/// \brief Performs analytic placement using a GORDIAN-like algorithm.
-//
-/// Requires a valid set of partitions.
-///
-// --------------------------------------------------------------------
-
-void globalIncremental() {
- if (!g_place_rootPartition) {
- printf("WARNING: Can not perform incremental placement\n");
- globalPlace();
- return;
- }
-
- printf("PLAC-10 : Incremental global placement\n");
-
- incrementalPartition();
-
- printf("QMAN-00 : \tconstructing initial quadratic problem...\n");
- constructQuadraticProblem();
-
- solveQuadraticProblem(!IGNORE_COG);
- printf("QMAN-01 : \t\twirelength = %e\n", getTotalWirelength());
-
- // clean up
- sanitizePlacement();
- printf("QMAN-01 : \t\twirelength = %e\n", getTotalWirelength());
- globalFixDensity(25, g_place_rowHeight*5);
- printf("QMAN-01 : \t\twirelength = %e\n", getTotalWirelength());
-}
-
-
-// --------------------------------------------------------------------
-// sanitizePlacement()
-//
-/// \brief Moves any cells that are outside of the core bounds to the nearest location within.
-//
-// --------------------------------------------------------------------
-void sanitizePlacement() {
- int c;
- float order_width = g_place_rowHeight;
- float x, y, edge, w, h;
-
- printf("QCLN-10 : \tsanitizing placement\n");
-
- for(c=0; c<g_place_numCells; c++) if (g_place_concreteCells[c]) {
- ConcreteCell *cell = g_place_concreteCells[c];
- if (cell->m_fixed || cell->m_parent->m_pad) {
- continue;
- }
- // the new locations of the cells will be distributed within
- // a small margin inside the border so that ordering is preserved
- order_width = g_place_rowHeight;
-
- x = cell->m_x, y = cell->m_y,
- w = cell->m_parent->m_width, h = cell->m_parent->m_height;
-
- if ((edge=x-w*0.5) < g_place_coreBounds.x) {
- x = g_place_coreBounds.x+w*0.5 +
- order_width/(1.0+g_place_coreBounds.x-edge);
- }
- else if ((edge=x+w*0.5) > g_place_coreBounds.x+g_place_coreBounds.w) {
- x = g_place_coreBounds.x+g_place_coreBounds.w-w*0.5 -
- order_width/(1.0+edge-g_place_coreBounds.x-g_place_coreBounds.w);
- }
- if ((edge=y-h*0.5) < g_place_coreBounds.y) {
- y = g_place_coreBounds.y+h*0.5 +
- order_width/(1.0+g_place_coreBounds.y-edge);
- }
- else if ((edge=y+h*0.5) > g_place_coreBounds.y+g_place_coreBounds.h) {
- y = g_place_coreBounds.y+g_place_coreBounds.h-h*0.5 -
- order_width/(1.0+edge-g_place_coreBounds.x-g_place_coreBounds.w);
- }
- cell->m_x = x;
- cell->m_y = y;
- }
-}
diff --git a/src/phys/place/place_gordian.h b/src/phys/place/place_gordian.h
deleted file mode 100644
index 67eb1479..00000000
--- a/src/phys/place/place_gordian.h
+++ /dev/null
@@ -1,78 +0,0 @@
-/*===================================================================*/
-//
-// place_gordian.h
-//
-// Aaron P. Hurst, 2003-2007
-// ahurst@eecs.berkeley.edu
-//
-/*===================================================================*/
-
-#if !defined(PLACE_GORDIAN_H_)
-#define PLACE_GORDIAN_H_
-
-#include "place_base.h"
-#include "place_qpsolver.h"
-
-// Parameters for analytic placement
-#define CLIQUE_PENALTY 1.0
-#define IGNORE_NETSIZE 20
-
-// Parameters for partitioning
-#define LARGEST_FINAL_SIZE 20
-#define PARTITION_AREA_ONLY true
-#define REALLOCATE_PARTITIONS false
-#define FINAL_REALLOCATE_PARTITIONS false
-#define IGNORE_COG false
-#define MAX_PARTITION_NONSYMMETRY 0.30
-
-// Parameters for re-partitioning
-#define REPARTITION_LEVEL_DEPTH 4
-#define REPARTITION_TARGET_FRACTION 0.15
-#define REPARTITION_FM false
-#define REPARTITION_HMETIS true
-
-// Parameters for F-M re-partitioning
-#define FM_MAX_BIN 10
-#define FM_MAX_PASSES 10
-
-extern int g_place_numPartitions;
-
-extern qps_problem_t *g_place_qpProb;
-
-typedef struct Partition {
-
- int m_numMembers;
- ConcreteCell **m_members;
- Rect m_bounds;
- bool m_done,
- m_leaf,
- m_vertical;
- float m_area;
- int m_level;
- struct Partition *m_sub1, *m_sub2;
-} Partition;
-
-extern Partition *g_place_rootPartition;
-
-void initPartitioning();
-
-void incrementalPartition();
-
-bool refinePartitions();
-void reallocPartitions();
-bool refinePartition(Partition *p);
-void resizePartition(Partition *p);
-void reallocPartition(Partition *p);
-
-void repartitionHMetis(Partition *parent);
-void repartitionFM(Partition *parent);
-
-void partitionScanlineMincut(Partition *parent);
-void partitionEqualArea(Partition *parent);
-
-void sanitizePlacement();
-
-void constructQuadraticProblem();
-void solveQuadraticProblem(bool useCOG);
-
-#endif
diff --git a/src/phys/place/place_inc.c b/src/phys/place/place_inc.c
deleted file mode 100644
index 7e2d847c..00000000
--- a/src/phys/place/place_inc.c
+++ /dev/null
@@ -1,106 +0,0 @@
-/*===================================================================*/
-//
-// place_inc.c
-//
-// Aaron P. Hurst, 2003-2007
-// ahurst@eecs.berkeley.edu
-//
-/*===================================================================*/
-
-#include <stdlib.h>
-#include <limits.h>
-#include <assert.h>
-#include <string.h>
-
-#include "place_base.h"
-#include "place_gordian.h"
-
-inline int sqHashId(int id, int max) {
- return ((id * (id+17)) % max);
-}
-
-#if 0
-// --------------------------------------------------------------------
-// fastPlace()
-//
-/// The first cell is assumed to be the "output".
-// --------------------------------------------------------------------
-float fastPlace(int numCells, ConcreteCell *cells[],
- int numNets, ConcreteNet *nets[]) {
-
- int n, t, c, i, local_id = 0, pass;
- const int NUM_PASSES = 4;
- int *cell_numTerms = calloc(numCells, sizeof(int));
- ConcreteNet **cell_terms;
- ConcreteNet *net;
- Rect outputBox;
-
- outputBox = getNetBBox(nets[0]);
-
- // assign local ids
- // put cells in reasonable initial location
- for(n=0; n<numNets; n++)
- for(t=0; nets[n]->m_numTerms; t++)
- nets[n]->m_terms[t]->m_data = -1;
-
- for(c=0; c<numCells; c++) {
- cells[c]->m_data = local_id;
- cells[c]->m_x = outputBox.x + 0.5*outputBox.w;
- cells[c]->m_y = outputBox.y + 0.5*outputBox.h;
- }
-
- // build reverse map of cells to nets
- for(n=0; n<numNets; n++)
- for(t=0; nets[n]->m_numTerms; t++) {
- local_id = nets[n]->m_terms[t]->m_data;
- if (local_id >= 0)
- cell_numTerms[local_id]++;
- }
-
- for(c=0; c<numCells; c++) {
- cell_terms[c] = malloc(sizeof(ConcreteNet*)*cell_numTerms[c]);
- cell_numTerms[c] = 0;
- }
-
- for(n=0; n<numNets; n++)
- for(t=0; nets[n]->m_numTerms; t++) {
- local_id = nets[n]->m_terms[t]->m_data;
- if (local_id >= 0)
- cell_terms[cell_numTerms[local_id]++] = nets[n];
- }
-
- // topological order?
-
- // iterative linear
- for(pass=0; pass<NUM_PASSES; pass++)
- for(c=0; c<numCells; c++) {
- for(n=0; n<cell_numTerms[c]; n++) {
- net = cell_terms[c];
- for(t=0; t<net->m_numTerms; t++);
- }
- }
-}
-#endif
-
-// --------------------------------------------------------------------
-// fastEstimate()
-//
-// --------------------------------------------------------------------
-float fastEstimate(ConcreteCell *cell,
- int numNets, ConcreteNet *nets[]) {
- float len = 0;
- int n;
- Rect box;
-
- assert(cell);
-
- for(n=0; n<numNets; n++) {
- box = getNetBBox(nets[n]);
- if (cell->m_x < box.x) len += (box.x - cell->m_x);
- if (cell->m_x > box.x+box.w) len += (cell->m_x-box.x-box.w);
- if (cell->m_y < box.y) len += (box.x - cell->m_y);
- if (cell->m_y > box.y+box.h) len += (cell->m_y-box.y-box.h);
- }
-
- return len;
-}
diff --git a/src/phys/place/place_io.c b/src/phys/place/place_io.c
deleted file mode 100644
index 8e24ef4a..00000000
--- a/src/phys/place/place_io.c
+++ /dev/null
@@ -1,94 +0,0 @@
-/*===================================================================*/
-//
-// place_io.c
-//
-// Aaron P. Hurst, 2003-2007
-// ahurst@eecs.berkeley.edu
-//
-/*===================================================================*/
-
-#include <stdlib.h>
-#include <limits.h>
-#include <assert.h>
-#include <string.h>
-#include <stdio.h>
-
-#include "place_base.h"
-
-
-// --------------------------------------------------------------------
-// writeBookshelfNodes()
-//
-// --------------------------------------------------------------------
-void writeBookshelfNodes(const char *filename) {
-
- int c = 0;
- int numNodes, numTerms;
-
- FILE *nodesFile = fopen(filename, "w");
- if (!nodesFile) {
- printf("ERROR: Could not open .nodes file\n");
- exit(1);
- }
-
- numNodes = numTerms = 0;
- for(c=0; c<g_place_numCells; c++) if (g_place_concreteCells[c]) {
- numNodes++;
- if (g_place_concreteCells[c]->m_parent->m_pad)
- numTerms++;
- }
-
-
-
- fprintf(nodesFile, "UCLA nodes 1.0\n");
- fprintf(nodesFile, "NumNodes : %d\n", numNodes);
- fprintf(nodesFile, "NumTerminals : %d\n", numTerms);
-
- for(c=0; c<g_place_numCells; c++) if (g_place_concreteCells[c]) {
- fprintf(nodesFile, "CELL%d %f %f %s\n",
- g_place_concreteCells[c]->m_id,
- g_place_concreteCells[c]->m_parent->m_width,
- g_place_concreteCells[c]->m_parent->m_height,
- (g_place_concreteCells[c]->m_parent->m_pad ? " terminal" : ""));
- }
-
- fclose(nodesFile);
-}
-
-
-// --------------------------------------------------------------------
-// writeBookshelfPl()
-//
-// --------------------------------------------------------------------
-void writeBookshelfPl(const char *filename) {
-
- int c = 0;
-
- FILE *plFile = fopen(filename, "w");
- if (!plFile) {
- printf("ERROR: Could not open .pl file\n");
- exit(1);
- }
-
- fprintf(plFile, "UCLA pl 1.0\n");
- for(c=0; c<g_place_numCells; c++) if (g_place_concreteCells[c]) {
- fprintf(plFile, "CELL%d %f %f : N %s\n",
- g_place_concreteCells[c]->m_id,
- g_place_concreteCells[c]->m_x,
- g_place_concreteCells[c]->m_y,
- (g_place_concreteCells[c]->m_fixed ? "\\FIXED" : ""));
- }
-
- fclose(plFile);
-
-}
-
-
-// --------------------------------------------------------------------
-// writeBookshelf()
-//
-// --------------------------------------------------------------------
-void writeBookshelf(const char *filename) {
- writeBookshelfNodes("out.nodes");
- writeBookshelfPl("out.pl");
-}
diff --git a/src/phys/place/place_legalize.c b/src/phys/place/place_legalize.c
deleted file mode 100644
index 950902f4..00000000
--- a/src/phys/place/place_legalize.c
+++ /dev/null
@@ -1,23 +0,0 @@
-/*===================================================================*/
-//
-// place_legalize.c
-//
-// Aaron P. Hurst, 2007
-// ahurst@eecs.berkeley.edu
-//
-/*===================================================================*/
-
-#include <limits.h>
-#include <assert.h>
-
-#include "place_base.h"
-
-
-// --------------------------------------------------------------------
-// legalize()
-//
-// --------------------------------------------------------------------
-void legalize() {
- // UNIMPLEMENTED
-}
-
diff --git a/src/phys/place/place_pads.c b/src/phys/place/place_pads.c
deleted file mode 100644
index 361fac7f..00000000
--- a/src/phys/place/place_pads.c
+++ /dev/null
@@ -1,141 +0,0 @@
-/*===================================================================*/
-//
-// place_pads.c
-//
-// Aaron P. Hurst, 2003-2007
-// ahurst@eecs.berkeley.edu
-//
-/*===================================================================*/
-
-#include <stdio.h>
-#include <stdlib.h>
-#include <math.h>
-#include <limits.h>
-
-#include "place_base.h"
-
-// --------------------------------------------------------------------
-// globalPreplace()
-//
-/// \brief Place pad ring, leaving a core area to meet a desired utilization.
-//
-/// Sets the position of pads that aren't already fixed.
-///
-/// Computes g_place_coreBounds and g_place_padBounds. Determines
-/// g_place_rowHeight.
-//
-// --------------------------------------------------------------------
-void globalPreplace(float utilization) {
- int i, c, h, numRows;
- float coreArea = 0, totalArea = 0;
- int padCount = 0;
- float area;
- ConcreteCell **padCells = NULL;
- AbstractCell *padType = NULL;
- ConcreteCell *cell;
- float nextPos;
- int remainingPads, northPads, southPads, eastPads, westPads;
-
- printf("PLAC-00 : Placing IO pads\n");;
-
- // identify the pads and compute the total core area
- g_place_coreBounds.x = g_place_coreBounds.y = 0;
- g_place_coreBounds.w = g_place_coreBounds.h = -INT_MAX;
-
- for(c=0; c<g_place_numCells; c++) if (g_place_concreteCells[c]) {
- cell = g_place_concreteCells[c];
- area = getCellArea(cell);
- if (cell->m_parent->m_pad) {
- padType = cell->m_parent;
- } else {
- coreArea += area;
- g_place_rowHeight = cell->m_parent->m_height;
- }
-
- if (cell->m_fixed) {
- g_place_coreBounds.x = g_place_coreBounds.x < cell->m_x ? g_place_coreBounds.x : cell->m_x;
- g_place_coreBounds.y = g_place_coreBounds.y < cell->m_y ? g_place_coreBounds.y : cell->m_y;
- g_place_coreBounds.w = g_place_coreBounds.w > cell->m_x ? g_place_coreBounds.w : cell->m_x;
- g_place_coreBounds.h = g_place_coreBounds.h > cell->m_y ? g_place_coreBounds.h : cell->m_y;
- } else if (cell->m_parent->m_pad) {
- padCells = realloc(padCells, sizeof(ConcreteCell **)*(padCount+1));
- padCells[padCount++] = cell;
- }
- totalArea += area;
- }
- if (!padType) {
- printf("ERROR: No pad cells\n");
- exit(1);
- }
- g_place_padBounds.w -= g_place_padBounds.x;
- g_place_padBounds.h -= g_place_padBounds.y;
-
- coreArea /= utilization;
-
- // create the design boundaries
- numRows = sqrt(coreArea)/g_place_rowHeight+1;
- h = numRows * g_place_rowHeight;
- g_place_coreBounds.h = g_place_coreBounds.h > h ? g_place_coreBounds.h : h;
- g_place_coreBounds.w = g_place_coreBounds.w > coreArea/g_place_coreBounds.h ?
- g_place_coreBounds.w : coreArea/g_place_coreBounds.h;
- // increase the dimensions by the width of the padring
- g_place_padBounds = g_place_coreBounds;
- if (padCount) {
- printf("PLAC-05 : \tpreplacing %d pad cells\n", padCount);
- g_place_padBounds.x -= padType->m_width;
- g_place_padBounds.y -= padType->m_height;
- g_place_padBounds.w = g_place_coreBounds.w+2*padType->m_width;
- g_place_padBounds.h = g_place_coreBounds.h+2*padType->m_height;
- }
-
- printf("PLAC-05 : \tplaceable rows : %d\n", numRows);
- printf("PLAC-05 : \tcore dimensions : %.0fx%.0f\n",
- g_place_coreBounds.w, g_place_coreBounds.h);
- printf("PLAC-05 : \tchip dimensions : %.0fx%.0f\n",
- g_place_padBounds.w, g_place_padBounds.h);
-
- remainingPads = padCount;
- c = 0;
-
- // north pads
- northPads = remainingPads/4; remainingPads -= northPads;
- nextPos = 0;
- for(i=0; i<northPads; i++) {
- cell = padCells[c++];
- cell->m_x = g_place_padBounds.x+cell->m_parent->m_width*0.5 + nextPos;
- cell->m_y = g_place_padBounds.y+cell->m_parent->m_height*0.5;
- nextPos += (g_place_padBounds.w-padType->m_width) / northPads;
- }
-
- // south pads
- southPads = remainingPads/3; remainingPads -= southPads;
- nextPos = 0;
- for(i=0; i<southPads; i++) {
- cell = padCells[c++];
- cell->m_x = g_place_padBounds.w+g_place_padBounds.x-cell->m_parent->m_width*0.5 - nextPos;
- cell->m_y = g_place_padBounds.h+g_place_padBounds.y-cell->m_parent->m_height*0.5;
- nextPos += (g_place_padBounds.w-2*padType->m_width) / southPads;
- }
-
- // east pads
- eastPads = remainingPads/2; remainingPads -= eastPads;
- nextPos = 0;
- for(i=0; i<eastPads; i++) {
- cell = padCells[c++];
- cell->m_x = g_place_padBounds.w+g_place_padBounds.x-cell->m_parent->m_width*0.5;
- cell->m_y = g_place_padBounds.y+cell->m_parent->m_height*0.5 + nextPos;
- nextPos += (g_place_padBounds.h-padType->m_height) / eastPads;
- }
-
- // west pads
- westPads = remainingPads;
- nextPos = 0;
- for(i=0; i<westPads; i++) {
- cell = padCells[c++];
- cell->m_x = g_place_padBounds.x+cell->m_parent->m_width*0.5;
- cell->m_y = g_place_padBounds.h+g_place_padBounds.y-cell->m_parent->m_height*0.5 - nextPos;
- nextPos += (g_place_padBounds.h-padType->m_height) / westPads;
- }
-
-}
-
diff --git a/src/phys/place/place_partition.c b/src/phys/place/place_partition.c
deleted file mode 100644
index ea57cd1c..00000000
--- a/src/phys/place/place_partition.c
+++ /dev/null
@@ -1,1135 +0,0 @@
-/*===================================================================*/
-//
-// place_partition.c
-//
-// Aaron P. Hurst, 2003-2007
-// ahurst@eecs.berkeley.edu
-//
-/*===================================================================*/
-
-#include <stdlib.h>
-#include <math.h>
-#include <string.h>
-#include <stdio.h>
-#include <limits.h>
-#include <assert.h>
-//#include <sys/stat.h>
-//#include <unistd.h>
-
-#include "place_base.h"
-#include "place_gordian.h"
-
-#if !defined(NO_HMETIS)
-#include "libhmetis.h"
-#endif
-
-// --------------------------------------------------------------------
-// Global variables
-//
-// --------------------------------------------------------------------
-
-Partition *g_place_rootPartition = NULL;
-ConcreteNet **allNetsR2 = NULL,
- **allNetsL2 = NULL,
- **allNetsB2 = NULL,
- **allNetsT2 = NULL;
-
-
-// --------------------------------------------------------------------
-// Function prototypes and local data structures
-//
-// --------------------------------------------------------------------
-
-typedef struct FM_cell {
- int loc;
- int gain;
- ConcreteCell *cell;
- struct FM_cell *next, *prev;
- bool locked;
-} FM_cell;
-
-void FM_updateGains(ConcreteNet *net, int partition, int inc,
- FM_cell target [], FM_cell *bin [],
- int count_1 [], int count_2 []);
-
-
-// --------------------------------------------------------------------
-// initPartitioning()
-//
-/// \brief Initializes data structures necessary for partitioning.
-//
-/// Creates a valid g_place_rootPartition.
-///
-// --------------------------------------------------------------------
-void initPartitioning() {
- int i;
- float area;
-
- // create root partition
- g_place_numPartitions = 1;
- if (g_place_rootPartition) free(g_place_rootPartition);
- g_place_rootPartition = malloc(sizeof(Partition));
- g_place_rootPartition->m_level = 0;
- g_place_rootPartition->m_area = 0;
- g_place_rootPartition->m_bounds = g_place_coreBounds;
- g_place_rootPartition->m_vertical = false;
- g_place_rootPartition->m_done = false;
- g_place_rootPartition->m_leaf = true;
-
- // add all of the cells to this partition
- g_place_rootPartition->m_members = malloc(sizeof(ConcreteCell*)*g_place_numCells);
- g_place_rootPartition->m_numMembers = 0;
- for (i=0; i<g_place_numCells; i++)
- if (g_place_concreteCells[i]) {
- if (!g_place_concreteCells[i]->m_fixed) {
- area = getCellArea(g_place_concreteCells[i]);
- g_place_rootPartition->m_members[g_place_rootPartition->m_numMembers++] =
- g_place_concreteCells[i];
- g_place_rootPartition->m_area += area;
- }
- }
-}
-
-
-// --------------------------------------------------------------------
-// presortNets()
-//
-/// \brief Sorts nets by corner positions.
-//
-/// Allocates allNetsX2 structures.
-///
-// --------------------------------------------------------------------
-void presortNets() {
- allNetsL2 = (ConcreteNet**)realloc(allNetsL2, sizeof(ConcreteNet*)*g_place_numNets);
- allNetsR2 = (ConcreteNet**)realloc(allNetsR2, sizeof(ConcreteNet*)*g_place_numNets);
- allNetsB2 = (ConcreteNet**)realloc(allNetsB2, sizeof(ConcreteNet*)*g_place_numNets);
- allNetsT2 = (ConcreteNet**)realloc(allNetsT2, sizeof(ConcreteNet*)*g_place_numNets);
- memcpy(allNetsL2, g_place_concreteNets, sizeof(ConcreteNet*)*g_place_numNets);
- memcpy(allNetsR2, g_place_concreteNets, sizeof(ConcreteNet*)*g_place_numNets);
- memcpy(allNetsB2, g_place_concreteNets, sizeof(ConcreteNet*)*g_place_numNets);
- memcpy(allNetsT2, g_place_concreteNets, sizeof(ConcreteNet*)*g_place_numNets);
- qsort(allNetsL2, g_place_numNets, sizeof(ConcreteNet*), netSortByL);
- qsort(allNetsR2, g_place_numNets, sizeof(ConcreteNet*), netSortByR);
- qsort(allNetsB2, g_place_numNets, sizeof(ConcreteNet*), netSortByB);
- qsort(allNetsT2, g_place_numNets, sizeof(ConcreteNet*), netSortByT);
-}
-
-// --------------------------------------------------------------------
-// refinePartitions()
-//
-/// \brief Splits large leaf partitions.
-//
-// --------------------------------------------------------------------
-bool refinePartitions() {
-
- return refinePartition(g_place_rootPartition);
-}
-
-
-// --------------------------------------------------------------------
-// reallocPartitions()
-//
-/// \brief Reallocates the partitions based on placement information.
-//
-// --------------------------------------------------------------------
-void reallocPartitions() {
-
- reallocPartition(g_place_rootPartition);
-}
-
-
-// --------------------------------------------------------------------
-// refinePartition()
-//
-/// \brief Splits any large leaves within a partition.
-//
-// --------------------------------------------------------------------
-bool refinePartition(Partition *p) {
- bool degenerate = false;
- int nonzeroCount = 0;
- int i;
-
- assert(p);
-
- // is this partition completed?
- if (p->m_done) return true;
-
- // is this partition a non-leaf node?
- if (!p->m_leaf) {
- p->m_done = refinePartition(p->m_sub1);
- p->m_done &= refinePartition(p->m_sub2);
- return p->m_done;
- }
-
- // leaf...
- // create two new subpartitions
- g_place_numPartitions++;
- p->m_sub1 = malloc(sizeof(Partition));
- p->m_sub1->m_level = p->m_level+1;
- p->m_sub1->m_leaf = true;
- p->m_sub1->m_done = false;
- p->m_sub1->m_area = 0;
- p->m_sub1->m_vertical = !p->m_vertical;
- p->m_sub1->m_numMembers = 0;
- p->m_sub1->m_members = NULL;
- p->m_sub2 = malloc(sizeof(Partition));
- p->m_sub2->m_level = p->m_level+1;
- p->m_sub2->m_leaf = true;
- p->m_sub2->m_done = false;
- p->m_sub2->m_area = 0;
- p->m_sub2->m_vertical = !p->m_vertical;
- p->m_sub2->m_numMembers = 0;
- p->m_sub2->m_members = NULL;
- p->m_leaf = false;
-
- // --- INITIAL PARTITION
-
- if (PARTITION_AREA_ONLY)
- partitionEqualArea(p);
- else
- partitionScanlineMincut(p);
-
- resizePartition(p);
-
- // --- PARTITION IMPROVEMENT
-
- if (p->m_level < REPARTITION_LEVEL_DEPTH) {
- if (REPARTITION_FM)
- repartitionFM(p);
- else if (REPARTITION_HMETIS)
- repartitionHMetis(p);
- }
-
- resizePartition(p);
-
- // fix imbalances due to zero-area cells
- for(i=0; i<p->m_sub1->m_numMembers; i++)
- if (p->m_sub1->m_members[i])
- if (getCellArea(p->m_sub1->m_members[i]) > 0) {
- nonzeroCount++;
- }
-
- // is this leaf now done?
- if (nonzeroCount <= LARGEST_FINAL_SIZE)
- p->m_sub1->m_done = true;
- if (nonzeroCount == 0)
- degenerate = true;
-
- nonzeroCount = 0;
- for(i=0; i<p->m_sub2->m_numMembers; i++)
- if (p->m_sub2->m_members[i])
- if (getCellArea(p->m_sub2->m_members[i]) > 0) {
- nonzeroCount++;
- }
-
- // is this leaf now done?
- if (nonzeroCount <= LARGEST_FINAL_SIZE)
- p->m_sub2->m_done = true;
- if (nonzeroCount == 0)
- degenerate = true;
-
- // have we found a degenerate partitioning?
- if (degenerate) {
- printf("QPART-35 : WARNING: degenerate partition generated\n");
- partitionEqualArea(p);
- resizePartition(p);
- p->m_sub1->m_done = true;
- p->m_sub2->m_done = true;
- }
-
- // is this parent now finished?
- if (p->m_sub1->m_done && p->m_sub2->m_done) p->m_done = true;
-
- return p->m_done;
-}
-
-
-// --------------------------------------------------------------------
-// repartitionHMetis()
-//
-/// \brief Repartitions the two subpartitions using the hMetis min-cut library.
-///
-/// The number of cut nets between the two partitions will be minimized.
-//
-// --------------------------------------------------------------------
-void repartitionHMetis(Partition *parent) {
-#if defined(NO_HMETIS)
- printf("QPAR_02 : \t\tERROR: hMetis not available. Ignoring.\n");
-#else
-
- int n,c,t, i;
- float area;
- int *edgeConnections = NULL;
- int *partitionAssignment = (int *)calloc(g_place_numCells, sizeof(int));
- int *vertexWeights = (int *)calloc(g_place_numCells, sizeof(int));
- int *edgeDegree = (int *)malloc(sizeof(int)*(g_place_numNets+1));
- int numConnections = 0;
- int numEdges = 0;
- float initial_cut;
- int targets = 0;
- ConcreteCell *cell = NULL;
- int options[9];
- int afterCuts = 0;
-
- assert(parent);
- assert(parent->m_sub1);
- assert(parent->m_sub2);
-
- printf("QPAR-02 : \t\trepartitioning with hMetis\n");
-
- // count edges
- edgeDegree[0] = 0;
- for(n=0; n<g_place_numNets; n++) if (g_place_concreteNets[n])
- if (g_place_concreteNets[n]->m_numTerms > 1) {
- numConnections += g_place_concreteNets[n]->m_numTerms;
- edgeDegree[++numEdges] = numConnections;
- }
-
- if (parent->m_vertical) {
- // vertical
- initial_cut = parent->m_sub2->m_bounds.x;
-
- // initialize all cells
- for(c=0; c<g_place_numCells; c++) if (g_place_concreteCells[c]) {
- if (g_place_concreteCells[c]->m_x < initial_cut)
- partitionAssignment[c] = 0;
- else
- partitionAssignment[c] = 1;
- }
-
- // initialize cells in partition 1
- for(t=0; t<parent->m_sub1->m_numMembers; t++) if (parent->m_sub1->m_members[t]) {
- cell = parent->m_sub1->m_members[t];
- vertexWeights[cell->m_id] = getCellArea(cell);
- // pay attention to cells that are close to the cut
- if (abs(cell->m_x-initial_cut) < parent->m_bounds.w*REPARTITION_TARGET_FRACTION) {
- targets++;
- partitionAssignment[cell->m_id] = -1;
- }
- }
-
- // initialize cells in partition 2
- for(t=0; t<parent->m_sub2->m_numMembers; t++) if (parent->m_sub2->m_members[t]) {
- cell = parent->m_sub2->m_members[t];
- vertexWeights[cell->m_id] = getCellArea(cell);
- // pay attention to cells that are close to the cut
- if (abs(cell->m_x-initial_cut) < parent->m_bounds.w*REPARTITION_TARGET_FRACTION) {
- targets++;
- partitionAssignment[cell->m_id] = -1;
- }
- }
-
- } else {
- // horizontal
- initial_cut = parent->m_sub2->m_bounds.y;
-
- // initialize all cells
- for(c=0; c<g_place_numCells; c++) if (g_place_concreteCells[c]) {
- if (g_place_concreteCells[c]->m_y < initial_cut)
- partitionAssignment[c] = 0;
- else
- partitionAssignment[c] = 1;
- }
-
- // initialize cells in partition 1
- for(t=0; t<parent->m_sub1->m_numMembers; t++) if (parent->m_sub1->m_members[t]) {
- cell = parent->m_sub1->m_members[t];
- vertexWeights[cell->m_id] = getCellArea(cell);
- // pay attention to cells that are close to the cut
- if (abs(cell->m_y-initial_cut) < parent->m_bounds.h*REPARTITION_TARGET_FRACTION) {
- targets++;
- partitionAssignment[cell->m_id] = -1;
- }
- }
-
- // initialize cells in partition 2
- for(t=0; t<parent->m_sub2->m_numMembers; t++) if (parent->m_sub2->m_members[t]) {
- cell = parent->m_sub2->m_members[t];
- vertexWeights[cell->m_id] = getCellArea(cell);
- // pay attention to cells that are close to the cut
- if (abs(cell->m_y-initial_cut) < parent->m_bounds.h*REPARTITION_TARGET_FRACTION) {
- targets++;
- partitionAssignment[cell->m_id] = -1;
- }
- }
- }
-
- options[0] = 1; // any non-default values?
- options[1] = 3; // num bisections
- options[2] = 1; // grouping scheme
- options[3] = 1; // refinement scheme
- options[4] = 1; // cycle refinement scheme
- options[5] = 0; // reconstruction scheme
- options[6] = 0; // fixed assignments?
- options[7] = 12261980; // random seed
- options[8] = 0; // debugging level
-
- edgeConnections = (int *)malloc(sizeof(int)*numConnections);
-
- i = 0;
- for(n=0; n<g_place_numNets; n++) if (g_place_concreteNets[n]) {
- if (g_place_concreteNets[n]->m_numTerms > 1)
- for(t=0; t<g_place_concreteNets[n]->m_numTerms; t++)
- edgeConnections[i++] = g_place_concreteNets[n]->m_terms[t]->m_id;
- }
-
- HMETIS_PartRecursive(g_place_numCells, numEdges, vertexWeights,
- edgeDegree, edgeConnections, NULL,
- 2, (int)(100*MAX_PARTITION_NONSYMMETRY),
- options, partitionAssignment, &afterCuts);
-
- /*
- printf("HMET-20 : \t\t\tbalance before %d / %d ... ", parent->m_sub1->m_numMembers,
- parent->m_sub2->m_numMembers);
- */
-
- // reassign members to subpartitions
- parent->m_sub1->m_numMembers = 0;
- parent->m_sub1->m_area = 0;
- parent->m_sub2->m_numMembers = 0;
- parent->m_sub2->m_area = 0;
- parent->m_sub1->m_members = (ConcreteCell**)realloc(parent->m_sub1->m_members,
- sizeof(ConcreteCell*)*parent->m_numMembers);
- parent->m_sub2->m_members = (ConcreteCell**)realloc(parent->m_sub2->m_members,
- sizeof(ConcreteCell*)*parent->m_numMembers);
-
- for(t=0; t<parent->m_numMembers; t++) if (parent->m_members[t]) {
- cell = parent->m_members[t];
- area = getCellArea(cell);
- if (partitionAssignment[cell->m_id] == 0) {
- parent->m_sub1->m_members[parent->m_sub1->m_numMembers++] = cell;
- parent->m_sub1->m_area += area;
- }
- else {
- parent->m_sub2->m_members[parent->m_sub2->m_numMembers++] = cell;
- parent->m_sub2->m_area += area;
- }
- }
- /*
- printf("after %d / %d\n", parent->m_sub1->m_numMembers,
- parent->m_sub2->m_numMembers);
- */
-
- // cout << "HMET-21 : \t\t\tloc: " << initial_cut << " targetting: " << targets*100/parent->m_members.length() << "%" << endl;
- // cout << "HMET-22 : \t\t\tstarting cuts= " << beforeCuts << " final cuts= " << afterCuts << endl;
-
- free(edgeConnections);
- free(vertexWeights);
- free(edgeDegree);
- free(partitionAssignment);
-#endif
-}
-
-
-// --------------------------------------------------------------------
-// repartitionFM()
-//
-/// \brief Fiduccia-Matheyses mincut partitioning algorithm.
-//
-/// UNIMPLEMENTED (well, un-C-ified)
-//
-// --------------------------------------------------------------------
-void repartitionFM(Partition *parent) {
-#if 0
- assert(!parent->leaf && parent->m_sub1->leaf && parent->m_sub2->leaf);
-
- // count of each net's number of cells in each bipartition
- int count_1[m_design->nets.length()];
- memset(count_1, 0, sizeof(int)*m_design->nets.length());
- int count_2[m_design->nets.length()];
- memset(count_2, 0, sizeof(int)*m_design->nets.length());
-
- FM_cell target[m_design->cells.length()];
- memset(target, 0, sizeof(FM_cell)*m_design->cells.length());
- FM_cell *bin[FM_MAX_BIN+1];
- FM_cell *locked = 0;
- memset(bin, 0, sizeof(FM_cell *)*(FM_MAX_BIN+1));
-
- int max_gain = 0;
- int before_cuts = 0, current_cuts = 0;
- double initial_cut;
- int targets = 0;
- long cell_id;
- double halfArea = parent->m_area / 2.0;
- double areaFlexibility = parent->m_area * MAX_PARTITION_NONSYMMETRY;
- ConcreteNet *net;
-
- // INITIALIZATION
- // select cells to partition
-
- if (parent->vertical) {
- // vertical
-
- initial_cut = parent->m_sub2->m_bounds.x;
-
- // initialize all cells
- for(h::list<ConcreteCell *>::iterator it = rootPartition->m_members.begin(); !it; it++) {
- cell_id = (*it)->getID();
- if ((*it)->temp_x < initial_cut)
- target[cell_id].loc = -1;
- else
- target[cell_id].loc = -2;
- target[cell_id].cell = *it;
- target[cell_id].gain = 0;
- }
-
- // initialize cells in partition 1
- for(h::list<ConcreteCell *>::iterator it = parent->m_sub1->m_members.begin(); !it; it++) {
- cell_id = (*it)->getID();
- // pay attention to cells that are close to the cut
- if (abs((*it)->temp_x-initial_cut) < parent->m_bounds.w*REPARTITION_TARGET_FRACTION) {
- targets++;
- target[cell_id].loc = 1;
- }
- }
-
- // initialize cells in partition 2
- for(h::list<ConcreteCell *>::iterator it = parent->m_sub2->m_members.begin(); !it; it++) {
- cell_id = (*it)->getID();
- // pay attention to cells that are close to the cut
- if (abs((*it)->temp_x-initial_cut) < parent->m_bounds.w*REPARTITION_TARGET_FRACTION) {
- targets++;
- target[cell_id].loc = 2;
- }
- }
-
- // count the number of cells on each side of the partition for every net
- for(h::hash_map<ConcreteNet *>::iterator n_it = m_design->nets.begin(); !n_it; n_it++) {
- for(ConcretePinList::iterator p_it = (net = *n_it)->getPins().begin(); !p_it; p_it++)
- if (abs(target[(*p_it)->getCell()->getID()].loc) == 1)
- count_1[net->getID()]++;
- else if (abs(target[(*p_it)->getCell()->getID()].loc) == 2)
- count_2[net->getID()]++;
- else if ((*p_it)->getCell()->temp_x < initial_cut)
- count_1[net->getID()]++;
- else
- count_2[net->getID()]++;
- if (count_1[net->getID()] > 0 && count_2[net->getID()] > 0) before_cuts++;
- }
-
- } else {
- // horizontal
-
- initial_cut = parent->m_sub2->m_bounds.y;
-
- // initialize all cells
- for(h::list<ConcreteCell *>::iterator it = rootPartition->m_members.begin(); !it; it++) {
- cell_id = (*it)->getID();
- if ((*it)->temp_y < initial_cut)
- target[cell_id].loc = -1;
- else
- target[cell_id].loc = -2;
- target[cell_id].cell = *it;
- target[cell_id].gain = 0;
- }
-
- // initialize cells in partition 1
- for(h::list<ConcreteCell *>::iterator it = parent->m_sub1->m_members.begin(); !it; it++) {
- cell_id = (*it)->getID();
- // pay attention to cells that are close to the cut
- if (abs((*it)->temp_y-initial_cut) < parent->m_bounds.h*REPARTITION_TARGET_FRACTION) {
- targets++;
- target[cell_id].loc = 1;
- }
- }
-
- // initialize cells in partition 2
- for(h::list<ConcreteCell *>::iterator it = parent->m_sub2->m_members.begin(); !it; it++) {
- cell_id = (*it)->getID();
- // pay attention to cells that are close to the cut
- if (abs((*it)->temp_y-initial_cut) < parent->m_bounds.h*REPARTITION_TARGET_FRACTION) {
- targets++;
- target[cell_id].loc = 2;
- }
- }
-
- // count the number of cells on each side of the partition for every net
- for(h::hash_map<ConcreteNet *>::iterator n_it = m_design->nets.begin(); !n_it; n_it++) {
- for(ConcretePinList::iterator p_it = (net = *n_it)->getPins().begin(); !p_it; p_it++)
- if (abs(target[(*p_it)->getCell()->getID()].loc) == 1)
- count_1[net->getID()]++;
- else if (abs(target[(*p_it)->getCell()->getID()].loc) == 2)
- count_2[net->getID()]++;
- else if ((*p_it)->getCell()->temp_y < initial_cut)
- count_1[net->getID()]++;
- else
- count_2[net->getID()]++;
- if (count_1[net->getID()] > 0 && count_2[net->getID()] > 0) before_cuts++;
- }
- }
-
- // INITIAL GAIN CALCULATION
- for(long id=0; id < m_design->cells.length(); id++)
- if (target[id].loc > 0) {
- assert(target[id].cell != 0);
- assert(target[id].gain == 0);
-
- // examine counts for the net on each pin
- for(ConcretePinMap::iterator p_it = target[id].cell->getPins().begin(); !p_it; p_it++)
- if ((*p_it)->isAttached()) {
- int n_id = (*p_it)->getNet()->getID();
- if (target[id].loc == 1 && count_1[n_id] == 1) target[id].gain++;
- if (target[id].loc == 1 && count_2[n_id] == 0) target[id].gain--;
- if (target[id].loc == 2 && count_1[n_id] == 0) target[id].gain--;
- if (target[id].loc == 2 && count_2[n_id] == 1) target[id].gain++;
- }
-
- assert(target[id].cell->getPins().length() >= abs(target[id].gain));
-
- // add it to a bin
- int bin_num = min(max(0, target[id].gain),FM_MAX_BIN);
- max_gain = max(max_gain, bin_num);
-
- assert(bin_num >= 0 && bin_num <= FM_MAX_BIN);
- target[id].next = bin[bin_num];
- target[id].prev = 0;
- if (bin[bin_num] != 0)
- bin[bin_num]->prev = &target[id];
- bin[bin_num] = &target[id];
- }
-
- // OUTER F-M LOOP
- current_cuts = before_cuts;
- int num_moves = 1;
- int pass = 0;
- while(num_moves > 0 && pass < FM_MAX_PASSES) {
- pass++;
- num_moves = 0;
-
- // check_list(bin, locked, targets); // DEBUG
-
- // move all locked cells back
- int moved_back = 0;
- while(locked != 0) {
- FM_cell *current = locked;
- current->locked = false;
-
- int bin_num = min(max(0, current->gain),FM_MAX_BIN);
- max_gain = max(max_gain, bin_num);
-
- locked = current->next;
- if (locked != 0)
- locked->prev = 0;
-
- if (bin[bin_num] != 0)
- bin[bin_num]->prev = current;
- current->next = bin[bin_num];
- bin[bin_num] = current;
-
- moved_back++;
- }
- // cout << "\tmoved back: " << moved_back << endl;
- // check_list(bin, locked, targets); // DEBUG
-
- max_gain = FM_MAX_BIN;
- while(bin[max_gain] == 0 && max_gain > 0) max_gain--;
-
- // INNER F-M LOOP (single pass)
- while(1) {
-
- int bin_num = FM_MAX_BIN;
- FM_cell *current = bin[bin_num];
-
- // look for next cell to move
- while (bin_num > 0 && (current == 0 ||
- (current->loc==1 && current->cell->getArea()+parent->m_sub2->m_area > halfArea+areaFlexibility) ||
- (current->loc==2 && current->cell->getArea()+parent->m_sub1->m_area > halfArea+areaFlexibility))) {
-
- if (current == 0) current = bin[--bin_num]; else current = current->next;
- }
- if (bin_num == 0)
- break;
-
- num_moves++;
- current->locked = true;
- // cout << "moving cell " << current->cell->getID() << " gain=" << current->gain << " pins= " << current->cell->getPins().length() << " from " << current->loc;
-
- // change partition marking and areas
- if (current->loc == 1) {
- current->loc = 2;
- parent->m_sub1->m_area -= current->cell->getArea();
- parent->m_sub2->m_area += current->cell->getArea();
-
- // update partition counts on all nets attached to this cell
- for(ConcretePinMap::iterator p_it = current->cell->getPins().begin();
- !p_it; p_it++) {
-
- if (!(*p_it)->isAttached()) // ignore unattached pins
- continue;
- net = (*p_it)->getNet();
-
- count_1[net->getID()]--;
- count_2[net->getID()]++;
-
- // cout << "\tnet " << net->getID() << " was " << count_1[net->getID()]+1 << "/" << count_2[net->getID()]-1 << " now " << count_1[net->getID()] << "/" << count_2[net->getID()] << endl;
-
- // if net becomes critical, update gains on attached cells and resort bins
- if (count_1[net->getID()] == 0) { current_cuts--; FM_updateGains(net, 2, -1, target, bin, count_1, count_2); }
- if (count_2[net->getID()] == 1) { current_cuts++; FM_updateGains(net, 1, -1, target, bin, count_1, count_2); }
-
- // check_list(bin, locked, targets); // DEBUG
- }
-
- } else {
- current->loc = 1;
- parent->m_sub2->m_area -= current->cell->getArea();
- parent->m_sub1->m_area += current->cell->getArea();
-
- // update gains on all nets attached to this cell
- for(ConcretePinMap::iterator p_it = current->cell->getPins().begin();
- !p_it; p_it++) {
-
- if (!(*p_it)->isAttached()) // ignore unattached pins
- continue;
- net = (*p_it)->getNet();
- count_2[net->getID()]--;
- count_1[net->getID()]++;
-
- // cout << "\tnet " << net->getID() << " was " << count_1[net->getID()]-1 << "/" << count_2[net->getID()]+1 << " now " << count_1[net->getID()] << "/" << count_2[net->getID()] << endl;
-
- if (count_2[net->getID()] == 0) { current_cuts--; FM_updateGains(net, 2, -1, target, bin, count_1, count_2); }
- if (count_1[net->getID()] == 1) { current_cuts++; FM_updateGains(net, 1, -1, target, bin, count_1, count_2); }
-
- // check_list(bin, locked, targets); // DEBUG
- }
- }
-
- //cout << " cuts=" << current_cuts << endl;
-
- // move current to locked
-
-/*
- cout << "b=" << bin[bin_num] << " ";
- cout << current->prev << "-> ";
- if (current->prev == 0)
- cout << "X";
- else cout << current->prev->next;
- cout << "=" << current << "=";
- if (current->next == 0)
- cout << "X";
- else
- cout << current->next->prev;
- cout << " ->" << current->next << endl;
-*/
-
- if (bin[bin_num] == current)
- bin[bin_num] = current->next;
- if (current->prev != 0)
- current->prev->next = current->next;
- if (current->next != 0)
- current->next->prev = current->prev;
-
-/*
- cout << "b=" << bin[bin_num] << " ";
- cout << current->prev << "-> ";
- if (current->prev == 0)
- cout << "X";
- else cout << current->prev->next;
- cout << "=" << current << "=";
- if (current->next == 0)
- cout << "X";
- else
- cout << current->next->prev;
- cout << " ->" << current->next << endl;
-*/
-
- current->prev = 0;
- current->next = locked;
- if (locked != 0)
- locked->prev = current;
- locked = current;
-
- // check_list(bin, locked, targets); // DEBUG
-
- // update max_gain
- max_gain = FM_MAX_BIN;
- while(bin[max_gain] == 0 && max_gain > 0) max_gain--;
- }
-
- // cout << "\tcurrent cuts= " << current_cuts << " moves= " << num_moves << endl;
- }
-
- // reassign members to subpartitions
- cout << "FIDM-20 : \tbalance before " << parent->m_sub1->m_members.length() << "/"
- << parent->m_sub2->m_members.length() << " ";
- parent->m_sub1->m_members.clear();
- parent->m_sub1->m_area = 0;
- parent->m_sub2->m_members.clear();
- parent->m_sub2->m_area = 0;
- for(h::list<ConcreteCell *>::iterator it = parent->m_members.begin(); !it; it++) {
- if (target[(*it)->getID()].loc == 1 || target[(*it)->getID()].loc == -1) {
- parent->m_sub1->m_members.push_back(*it);
- parent->m_sub1->m_area += (*it)->getArea();
- }
- else {
- parent->m_sub2->m_members.push_back(*it);
- parent->m_sub2->m_area += (*it)->getArea();
- }
- }
- cout << " after " << parent->m_sub1->m_members.length() << "/"
- << parent->m_sub2->m_members.length() << endl;
-
-
- cout << "FIDM-21 : \tloc: " << initial_cut << " targetting: " << targets*100/parent->m_members.length() << "%" << endl;
- cout << "FIDM-22 : \tstarting cuts= " << before_cuts << " final cuts= " << current_cuts << endl;
-#endif
-}
-
-// ----- FM_updateGains()
-// moves a cell between bins
-#if 0
-void FM_updateGains(ConcreteNet *net, int partition, int inc,
- FM_cell target [], FM_cell *bin [],
- int count_1 [], int count_2 []) {
-
- for(ConcretePinList::iterator it = net->getPins().begin(); !it; it++) {
- FM_cell *current = &(target[(*it)->getCell()->getID()]);
- assert(current->cell != 0);
-
- int old_gain = current->gain;
- current->gain = 0;
-
- // examine counts for the net on each pin
- for(ConcretePinMap::iterator p_it = current->cell->getPins().begin(); !p_it; p_it++)
- if ((*p_it)->isAttached()) {
- int n_id = (*p_it)->getNet()->getID();
- if (current->loc == 1 && count_1[n_id] == 1) current->gain++;
- if (current->loc == 1 && count_2[n_id] == 0) current->gain--;
- if (current->loc == 2 && count_1[n_id] == 0) current->gain--;
- if (current->loc == 2 && count_2[n_id] == 1) current->gain++;
- }
-
- if (!current->locked) {
- // remove cell from existing bin
- int bin_num = min(max(0, old_gain),FM_MAX_BIN);
- if (bin[bin_num] == current)
- bin[bin_num] = current->next;
- if (current->prev != 0)
- current->prev->next = current->next;
- if (current->next != 0)
- current->next->prev = current->prev;
- // add cell to correct bin
- bin_num = min(max(0, current->gain),FM_MAX_BIN);
- current->prev = 0;
- current->next = bin[bin_num];
- if (bin[bin_num] != 0)
- bin[bin_num]->prev = current;
- bin[bin_num] = current;
- }
- }
-
-}
-#endif
-
-
-// --------------------------------------------------------------------
-// partitionEqualArea()
-//
-/// \brief Splits a partition into two halves of equal area.
-//
-// --------------------------------------------------------------------
-void partitionEqualArea(Partition *parent) {
- float halfArea, area;
- int i=0;
-
- // which way to sort?
- if (parent->m_vertical)
- // sort by X position
- qsort(parent->m_members, parent->m_numMembers, sizeof(ConcreteCell*), cellSortByX);
- else
- // sort by Y position
- qsort(parent->m_members, parent->m_numMembers, sizeof(ConcreteCell*), cellSortByY);
-
- // split the list
- halfArea = parent->m_area*0.5;
- parent->m_sub1->m_area = 0.0;
- parent->m_sub1->m_numMembers = 0;
- parent->m_sub1->m_members = (ConcreteCell**)realloc(parent->m_sub1->m_members,
- sizeof(ConcreteCell*)*parent->m_numMembers);
- parent->m_sub2->m_area = 0.0;
- parent->m_sub2->m_numMembers = 0;
- parent->m_sub2->m_members = (ConcreteCell**)realloc(parent->m_sub2->m_members,
- sizeof(ConcreteCell*)*parent->m_numMembers);
-
- for(; parent->m_sub1->m_area < halfArea; i++)
- if (parent->m_members[i]) {
- area = getCellArea(parent->m_members[i]);
- parent->m_sub1->m_members[parent->m_sub1->m_numMembers++] = parent->m_members[i];
- parent->m_sub1->m_area += area;
- }
- for(; i<parent->m_numMembers; i++)
- if (parent->m_members[i]) {
- area = getCellArea(parent->m_members[i]);
- parent->m_sub2->m_members[parent->m_sub2->m_numMembers++] = parent->m_members[i];
- parent->m_sub2->m_area += area;
- }
-
-}
-
-
-// --------------------------------------------------------------------
-// partitionScanlineMincut()
-//
-/// \brief Scans the cells within a partition from left to right and chooses the min-cut.
-//
-// --------------------------------------------------------------------
-void partitionScanlineMincut(Partition *parent) {
-#if 0
- int current_cuts = 0;
- int minimum_cuts = INT_MAX;
- ConcreteCell *minimum_location = NULL;
- double currentArea = 0, halfArea = parent->m_area * 0.5;
- double areaFlexibility = parent->m_area * MAX_PARTITION_NONSYMMETRY;
- double newLine, oldLine = -DBL_MAX;
-
- for(ConcreteNetList::iterator n_it = m_design->nets.begin(); !n_it; n_it++)
- (*n_it)->m_mark = 0;
- for(h::list<ConcreteCell *>::iterator i = parent->m_members.begin();
- !i.isDone(); i++) {
- assert(*i);
- for(ConcretePinMap::iterator j = (*i)->getPins().begin();
- !j.isDone(); j++) {
- assert(*j);
- if((*j)->isAttached()) {
- (*j)->getNet()->m_mark = 1;
- }
- }
- }
-
- if (parent->vertical) {
- parent->m_members.sort(sortByX);
- int all1 = 0, all2 = 0;
- h::list<ConcreteCell *>::iterator local = parent->m_members.begin();
- for(; !local.isDone(); local++) {
- currentArea += (*local)->getArea();
- if (currentArea < halfArea-areaFlexibility)
- continue;
- if (currentArea > halfArea+areaFlexibility)
- break;
- newLine = (*local)->temp_x;
- while(all1 < g_place_numNets && allNetsL2[all1]->getBoundingBox().left() <= newLine) {
- if(allNetsL2[all1]->m_mark) {
- current_cuts++;
- }
- all1++;
- }
- while(all2 < g_place_numNets && allNetsR2[all2]->getBoundingBox().right() <= newLine) {
- if(allNetsR2[all2]->m_mark) {
- current_cuts--;
- }
- all2++;
- }
- if (current_cuts < minimum_cuts) {
- minimum_cuts = current_cuts;
- minimum_location = *local;
- }
- oldLine = newLine;
- }
- }
- else {
- parent->m_members.sort(sortByY);
- int all1 = 0, all2 = 0;
- h::list<ConcreteCell *>::iterator local = parent->m_members.begin();
- for(; !local.isDone(); local++) {
- currentArea += (*local)->getArea();
- if (currentArea < halfArea-areaFlexibility)
- continue;
- if (currentArea > halfArea+areaFlexibility)
- break;
- newLine = (*local)->temp_y;
- while(all1 < g_place_numNets && allNetsB2[all1]->getBoundingBox().top() <= newLine) {
- if(allNetsB2[all1]->m_mark) {
- current_cuts++;
- }
- all1++;
- }
- while(all2 < g_place_numNets && allNetsT2[all2]->getBoundingBox().bottom() <= newLine) {
- if(allNetsT2[all2]->m_mark) {
- current_cuts--;
- }
- all2++;
- }
- if (current_cuts < minimum_cuts) {
- minimum_cuts = current_cuts;
- minimum_location = *local;
- }
- oldLine = newLine;
- }
- }
- if (minimum_location == NULL) {
- return partitionEqualArea(parent);
- }
- h::list<ConcreteCell *>::iterator it = parent->m_members.begin();
- parent->m_sub1->m_members.clear();
- parent->m_sub1->m_area = 0;
- for(; *it != minimum_location; it++) {
- parent->m_sub1->m_members.push_front(*it);
- parent->m_sub1->m_area += (*it)->getArea();
- }
- parent->m_sub2->m_members.clear();
- parent->m_sub2->m_area = 0;
- for(; !it; it++) {
- parent->m_sub2->m_members.push_front(*it);
- parent->m_sub2->m_area += (*it)->getArea();
- }
-#endif
-}
-
-
-// --------------------------------------------------------------------
-// reallocPartition()
-//
-/// \brief Reallocates a partition and all of its children.
-//
-// --------------------------------------------------------------------
-void reallocPartition(Partition *p) {
-
- if (p->m_leaf) {
- return;
- }
-
- // --- INITIAL PARTITION
-
- if (PARTITION_AREA_ONLY)
- partitionEqualArea(p);
- else
- partitionScanlineMincut(p);
-
- resizePartition(p);
-
- // --- PARTITION IMPROVEMENT
- if (p->m_level < REPARTITION_LEVEL_DEPTH) {
- if (REPARTITION_HMETIS)
- repartitionHMetis(p);
-
- resizePartition(p);
- }
-
- reallocPartition(p->m_sub1);
- reallocPartition(p->m_sub2);
-}
-
-
-// --------------------------------------------------------------------
-// resizePartition()
-//
-/// \brief Recomputes the bounding boxes of the child partitions based on their relative areas.
-//
-// --------------------------------------------------------------------
-void resizePartition(Partition *p) {
- // compute the new bounding box
- p->m_sub1->m_bounds.x = p->m_bounds.x;
- p->m_sub1->m_bounds.y = p->m_bounds.y;
- if (p->m_vertical) {
- p->m_sub1->m_bounds.w = p->m_bounds.w*(p->m_sub1->m_area/p->m_area);
- p->m_sub1->m_bounds.h = p->m_bounds.h;
- p->m_sub2->m_bounds.x = p->m_bounds.x + p->m_sub1->m_bounds.w;
- p->m_sub2->m_bounds.w = p->m_bounds.w*(p->m_sub2->m_area/p->m_area);
- p->m_sub2->m_bounds.y = p->m_bounds.y;
- p->m_sub2->m_bounds.h = p->m_bounds.h;
- } else {
- p->m_sub1->m_bounds.h = p->m_bounds.h*(p->m_sub1->m_area/p->m_area);
- p->m_sub1->m_bounds.w = p->m_bounds.w;
- p->m_sub2->m_bounds.y = p->m_bounds.y + p->m_sub1->m_bounds.h;
- p->m_sub2->m_bounds.h = p->m_bounds.h*(p->m_sub2->m_area/p->m_area);
- p->m_sub2->m_bounds.x = p->m_bounds.x;
- p->m_sub2->m_bounds.w = p->m_bounds.w;
- }
-}
-
-
-// --------------------------------------------------------------------
-// incrementalSubpartition()
-//
-/// \brief Adds new cells to an existing partition. Partition sizes/locations are unchanged.
-///
-/// The function recurses, adding new cells to appropriate subpartitions.
-//
-// --------------------------------------------------------------------
-void incrementalSubpartition(Partition *p, ConcreteCell *newCells [], const int numNewCells) {
- int c;
- ConcreteCell **newCells1 = (ConcreteCell **)malloc(sizeof(ConcreteCell*)*numNewCells),
- **newCells2 = (ConcreteCell **)malloc(sizeof(ConcreteCell*)*numNewCells);
- int numNewCells1 = 0, numNewCells2 = 0;
- float cut_loc;
-
- assert(p);
-
- // add new cells to partition list
- p->m_members = (ConcreteCell**)realloc(p->m_members,
- sizeof(ConcreteCell*)*(p->m_numMembers+numNewCells));
- memcpy(&(p->m_members[p->m_numMembers]), newCells, sizeof(ConcreteCell*)*numNewCells);
- p->m_numMembers += numNewCells;
-
- // if is a leaf partition, finished
- if (p->m_leaf) return;
-
- // split new cells into sub-partitions based on location
- if (p->m_vertical) {
- cut_loc = p->m_sub2->m_bounds.x;
- for(c=0; c<numNewCells; c++)
- if (newCells[c]->m_x < cut_loc)
- newCells1[numNewCells1++] = newCells[c];
- else
- newCells2[numNewCells2++] = newCells[c];
- } else {
- cut_loc = p->m_sub2->m_bounds.y;
- for(c=0; c<numNewCells; c++)
- if (newCells[c]->m_y < cut_loc)
- newCells1[numNewCells1++] = newCells[c];
- else
- newCells2[numNewCells2++] = newCells[c];
- }
-
- if (numNewCells1 > 0) incrementalSubpartition(p->m_sub1, newCells1, numNewCells1);
- if (numNewCells2 > 0) incrementalSubpartition(p->m_sub2, newCells2, numNewCells2);
-
- free(newCells1);
- free(newCells2);
-}
-
-
-// --------------------------------------------------------------------
-// incrementalPartition()
-//
-/// \brief Adds new cells to an existing partition. Partition sizes/locations are unchanged.
-///
-/// The function recurses, adding new cells to appropriate subpartitions.
-//
-// --------------------------------------------------------------------
-void incrementalPartition() {
- int c = 0, c2 = 0;
- int numNewCells = 0;
- ConcreteCell **allCells = (ConcreteCell **)malloc(sizeof(ConcreteCell*)*g_place_numCells),
- **newCells = (ConcreteCell **)malloc(sizeof(ConcreteCell*)*g_place_numCells);
-
- assert(g_place_rootPartition);
-
- // update cell list of root partition
- memcpy(allCells, g_place_concreteCells, sizeof(ConcreteCell*)*g_place_numCells);
- qsort(allCells, g_place_numCells, sizeof(ConcreteCell*), cellSortByID);
- qsort(g_place_rootPartition->m_members, g_place_rootPartition->m_numMembers,
- sizeof(ConcreteCell*), cellSortByID);
-
- // scan sorted lists and collect cells not in partitions
- while(!allCells[c++]);
- while(!g_place_rootPartition->m_members[c2++]);
-
- for(; c<g_place_numCells; c++, c2++) {
- while(c2 < g_place_rootPartition->m_numMembers &&
- allCells[c]->m_id > g_place_rootPartition->m_members[c2]->m_id) c2++;
- while(c < g_place_numCells &&
- (c2 >= g_place_rootPartition->m_numMembers ||
- allCells[c]->m_id < g_place_rootPartition->m_members[c2]->m_id)) {
- // a new cell!
- newCells[numNewCells++] = allCells[c];
- c++;
- }
- }
-
- printf("QPRT-50 : \tincremental partitioning with %d new cells\n", numNewCells);
- if (numNewCells>0) incrementalSubpartition(g_place_rootPartition, newCells, numNewCells);
-
- free(allCells);
- free(newCells);
-}
diff --git a/src/phys/place/place_qpsolver.c b/src/phys/place/place_qpsolver.c
deleted file mode 100644
index 9df9c6dc..00000000
--- a/src/phys/place/place_qpsolver.c
+++ /dev/null
@@ -1,1270 +0,0 @@
-/*===================================================================*/
-//
-// place_qpsolver.c
-//
-// Philip Chong
-// pchong@cadence.com
-//
-/*===================================================================*/
-
-#include <assert.h>
-#include <math.h>
-#include <stdio.h>
-#include <stdlib.h>
-
-#include "place_qpsolver.h"
-
-#undef QPS_DEBUG
-
-#define QPS_TOL 1.0e-3
-#define QPS_EPS (QPS_TOL * QPS_TOL)
-
-#define QPS_MAX_TOL 0.1
-#define QPS_LOOP_TOL 1.0e-3
-
-#define QPS_RELAX_ITER 180
-#define QPS_MAX_ITER 200
-#define QPS_STEPSIZE_RETRIES 2
-#define QPS_MINSTEP 1.0e-6
-#define QPS_DEC_CHANGE 0.01
-
-#define QPS_PRECON
-#define QPS_PRECON_EPS 1.0e-9
-
-#undef QPS_HOIST
-
-#if defined(QPS_DEBUG)
-#define QPS_DEBUG_FILE "/tmp/qps_debug.log"
-#endif
-
-#if 0
- /* ii is an array [0..p->num_cells-1] of indices from cells of original
- problem to modified problem variables. If ii[k] >= 0, cell is an
- independent cell; ii[k], ii[k]+1 are the indices of the corresponding
- variables for the modified problem. If ii[k] == -1, cell is a fixed
- cell. If ii[k] <= -2, cell is a dependent cell; -(ii[k]+2) is the index
- of the corresponding COG constraint. */
-int *ii;
-
- /* gt is an array [0..p->cog_num-1] of indices from COG constraints to
- locations in the gl array (in qps_problem_t). gt[k] is the offset into
- gl where the kth constraint begins. */
-int *gt;
-
- /* n is the number of variables in the modified problem. n should be twice
- the number of independent cells. */
-int n;
-
-qps_float_t *cp; /* current location during CG iteration */
-qps_float_t f; /* value of cost function at p */
-
-#endif
-
-/**********************************************************************/
-
-static void
-qps_settp(qps_problem_t * p)
-{
- /* Fill in the p->priv_tp array with the current locations of all cells
- (independent, dependent and fixed). */
-
- int i;
- int t, u;
- int pr;
- qps_float_t rx, ry;
- qps_float_t ta;
-
- int *ii = p->priv_ii;
- qps_float_t *tp = p->priv_tp;
- qps_float_t *cp = p->priv_cp;
-
- /* do independent and fixed cells first */
- for (i = p->num_cells; i--;) {
- t = ii[i];
- if (t >= 0) { /* indep cell */
- tp[i * 2] = cp[t];
- tp[i * 2 + 1] = cp[t + 1];
- }
- else if (t == -1) { /* fixed cell */
- tp[i * 2] = p->x[i];
- tp[i * 2 + 1] = p->y[i];
- }
- }
- /* now do dependent cells */
- for (i = p->num_cells; i--;) {
- if (ii[i] < -1) {
- t = -(ii[i] + 2); /* index of COG constraint */
- ta = 0.0;
- rx = 0.0;
- ry = 0.0;
- pr = p->priv_gt[t];
- while ((u = p->cog_list[pr++]) >= 0) {
- ta += p->area[u];
- if (u != i) {
- rx -= p->area[u] * tp[u * 2];
- ry -= p->area[u] * tp[u * 2 + 1];
- }
- }
- rx += p->cog_x[t] * ta;
- ry += p->cog_y[t] * ta;
- tp[i * 2] = rx / p->area[i];
- tp[i * 2 + 1] = ry / p->area[i];
- }
- }
-
-#if (QPS_DEBUG > 5)
- fprintf(p->priv_fp, "### qps_settp()\n");
- for (i = 0; i < p->num_cells; i++) {
- fprintf(p->priv_fp, "%f %f\n", tp[i * 2], tp[i * 2 + 1]);
- }
-#endif
-}
-
-/**********************************************************************/
-
-static qps_float_t
-qps_func(qps_problem_t * p)
-{
- /* Return f(p). qps_settp() should have already been called before
- entering here */
-
- int j, k;
- int pr;
- qps_float_t jx, jy, tx, ty;
- qps_float_t f;
- qps_float_t w;
-
-#if !defined(QPS_HOIST)
- int i;
- int st;
- qps_float_t kx, ky, sx, sy;
- qps_float_t t;
-#endif
-
- qps_float_t *tp = p->priv_tp;
-
- f = 0.0;
- pr = 0;
- for (j = 0; j < p->num_cells; j++) {
- jx = tp[j * 2];
- jy = tp[j * 2 + 1];
- while ((k = p->priv_cc[pr]) >= 0) {
- w = p->priv_cw[pr];
- tx = tp[k * 2] - jx;
- ty = tp[k * 2 + 1] - jy;
- f += w * (tx * tx + ty * ty);
- pr++;
- }
- pr++;
- }
- p->f = f;
-
-#if !defined(QPS_HOIST)
- /* loop penalties */
- pr = 0;
- for (i = 0; i < p->loop_num; i++) {
- t = 0.0;
- j = st = p->loop_list[pr++];
- jx = sx = tp[j * 2];
- jy = sy = tp[j * 2 + 1];
- while ((k = p->loop_list[pr]) >= 0) {
- kx = tp[k * 2];
- ky = tp[k * 2 + 1];
- tx = jx - kx;
- ty = jy - ky;
- t += tx * tx + ty * ty;
- j = k;
- jx = kx;
- jy = ky;
- pr++;
- }
- tx = jx - sx;
- ty = jy - sy;
- t += tx * tx + ty * ty;
- t -= p->loop_max[i];
-#if (QPS_DEBUG > 5)
- fprintf(p->priv_fp, "### qps_penalty() %d %f %f\n",
- i, p->loop_max[i], t);
-#endif
- p->priv_lt[i] = t;
- f += p->loop_penalty[i] * t;
- pr++;
- }
-#endif /* QPS_HOIST */
-
- if (p->max_enable) {
- for (j = p->num_cells; j--;) {
- f += p->priv_mxl[j] * (-tp[j * 2]);
- f += p->priv_mxh[j] * (tp[j * 2] - p->max_x);
- f += p->priv_myl[j] * (-tp[j * 2 + 1]);
- f += p->priv_myh[j] * (tp[j * 2 + 1] - p->max_y);
- }
- }
-
-#if (QPS_DEBUG > 5)
- fprintf(p->priv_fp, "### qps_func() %f %f\n", f, p->f);
-#endif
- return f;
-}
-
-/**********************************************************************/
-
-static void
-qps_dfunc(qps_problem_t * p, qps_float_t * d)
-{
- /* Set d to grad f(p). First computes partial derivatives wrt all cells
- then finds gradient wrt only the independent cells. qps_settp() should
- have already been called before entering here */
-
- int i, j, k;
- int pr = 0;
- qps_float_t jx, jy, kx, ky, tx, ty;
- int ji, ki;
- qps_float_t w;
-
-#if !defined(QPS_HOIST)
- qps_float_t sx, sy;
- int st;
-#endif
-
- qps_float_t *tp = p->priv_tp;
- qps_float_t *tp2 = p->priv_tp2;
-
- /* compute partials and store in tp2 */
- for (i = p->num_cells; i--;) {
- tp2[i * 2] = 0.0;
- tp2[i * 2 + 1] = 0.0;
- }
- for (j = 0; j < p->num_cells; j++) {
- jx = tp[j * 2];
- jy = tp[j * 2 + 1];
- while ((k = p->priv_cc[pr]) >= 0) {
- w = 2.0 * p->priv_cw[pr];
- kx = tp[k * 2];
- ky = tp[k * 2 + 1];
- tx = w * (jx - kx);
- ty = w * (jy - ky);
- tp2[j * 2] += tx;
- tp2[k * 2] -= tx;
- tp2[j * 2 + 1] += ty;
- tp2[k * 2 + 1] -= ty;
- pr++;
- }
- pr++;
- }
-
-#if !defined(QPS_HOIST)
- /* loop penalties */
- pr = 0;
- for (i = 0; i < p->loop_num; i++) {
- j = st = p->loop_list[pr++];
- jx = sx = tp[j * 2];
- jy = sy = tp[j * 2 + 1];
- w = 2.0 * p->loop_penalty[i];
- while ((k = p->loop_list[pr]) >= 0) {
- kx = tp[k * 2];
- ky = tp[k * 2 + 1];
- tx = w * (jx - kx);
- ty = w * (jy - ky);
- tp2[j * 2] += tx;
- tp2[k * 2] -= tx;
- tp2[j * 2 + 1] += ty;
- tp2[k * 2 + 1] -= ty;
- j = k;
- jx = kx;
- jy = ky;
- pr++;
- }
- tx = w * (jx - sx);
- ty = w * (jy - sy);
- tp2[j * 2] += tx;
- tp2[st * 2] -= tx;
- tp2[j * 2 + 1] += ty;
- tp2[st * 2 + 1] -= ty;
- pr++;
- }
-#endif /* QPS_HOIST */
-
- if (p->max_enable) {
- for (j = p->num_cells; j--;) {
- tp2[j * 2] += p->priv_mxh[j] - p->priv_mxl[j];
- tp2[j * 2 + 1] += p->priv_myh[j] - p->priv_myl[j];
- }
- }
-
-#if (QPS_DEBUG > 5)
- fprintf(p->priv_fp, "### qps_dfunc() partials\n");
- for (j = 0; j < p->num_cells; j++) {
- fprintf(p->priv_fp, "%f %f\n", tp2[j * 2], tp2[j * 2 + 1]);
- }
-#endif
-
- /* translate partials to independent variables */
- for (j = p->priv_n; j--;) {
- d[j] = 0.0;
- }
- for (j = p->num_cells; j--;) {
- ji = p->priv_ii[j];
- if (ji >= 0) { /* indep var */
- d[ji] += tp2[j * 2];
- d[ji + 1] += tp2[j * 2 + 1];
- }
- else if (ji < -1) { /* dependent variable */
- ji = -(ji + 2); /* get COG index */
- pr = p->priv_gt[ji];
- while ((k = p->cog_list[pr]) >= 0) {
- ki = p->priv_ii[k];
- if (ki >= 0) {
- w = p->priv_gw[pr];
-#if (QPS_DEBUG > 0)
- assert(fabs(w - p->area[k] / p->area[j]) < 1.0e-6);
-#endif
- d[ki] -= tp2[j * 2] * w;
- d[ki + 1] -= tp2[j * 2 + 1] * w;
- }
- pr++;
- }
- }
- }
-
-#if (QPS_DEBUG > 5)
- fprintf(p->priv_fp, "### qps_dfunc() gradient\n");
- for (j = 0; j < p->priv_n; j++) {
- fprintf(p->priv_fp, "%f\n", d[j]);
- }
-#endif
-}
-
-/**********************************************************************/
-
-static void
-qps_linmin(qps_problem_t * p, qps_float_t dgg, qps_float_t * h)
-{
- /* Perform line minimization. p->priv_cp is the current location, h is
- direction of the gradient. Updates p->priv_cp to line minimal position
- based on formulas from "Handbook of Applied Optimization", Pardalos and
- Resende, eds., Oxford Univ. Press, 2002. qps_settp() should have
- already been called before entering here. Since p->priv_cp is changed,
- p->priv_tp array becomes invalid following this routine. */
-
- int i, j, k;
- int pr;
- int ji, ki;
- qps_float_t jx, jy, kx, ky;
- qps_float_t f = 0.0;
- qps_float_t w;
-
-#if !defined(QPS_HOIST)
- int st;
- qps_float_t sx, sy, tx, ty;
- qps_float_t t;
-#endif
-
- qps_float_t *tp = p->priv_tp;
-
- /* translate h vector to partials over all variables and store in tp */
- for (i = p->num_cells; i--;) {
- tp[i * 2] = 0.0;
- tp[i * 2 + 1] = 0.0;
- }
- for (j = p->num_cells; j--;) {
- ji = p->priv_ii[j];
- if (ji >= 0) { /* indep cell */
- tp[j * 2] = h[ji];
- tp[j * 2 + 1] = h[ji + 1];
- }
- else if (ji < -1) { /* dep cell */
- ji = -(ji + 2); /* get COG index */
- pr = p->priv_gt[ji];
- while ((k = p->cog_list[pr]) >= 0) {
- ki = p->priv_ii[k];
- if (ki >= 0) {
- w = p->priv_gw[pr];
-#if (QPS_DEBUG > 0)
- assert(fabs(w - p->area[k] / p->area[j]) < 1.0e-6);
-#endif
- tp[j * 2] -= h[ki] * w;
- tp[j * 2 + 1] -= h[ki + 1] * w;
- }
- pr++;
- }
- }
- }
-
- /* take product x^T Z^T C Z x */
- pr = 0;
- for (j = 0; j < p->num_cells; j++) {
- jx = tp[j * 2];
- jy = tp[j * 2 + 1];
- while ((k = p->priv_cc[pr]) >= 0) {
- w = p->priv_cw[pr];
- kx = tp[k * 2] - jx;
- ky = tp[k * 2 + 1] - jy;
- f += w * (kx * kx + ky * ky);
- pr++;
- }
- pr++;
- }
-
-#if !defined(QPS_HOIST)
- /* add loop penalties */
- pr = 0;
- for (i = 0; i < p->loop_num; i++) {
- t = 0.0;
- j = st = p->loop_list[pr++];
- jx = sx = tp[j * 2];
- jy = sy = tp[j * 2 + 1];
- while ((k = p->loop_list[pr]) >= 0) {
- kx = tp[k * 2];
- ky = tp[k * 2 + 1];
- tx = jx - kx;
- ty = jy - ky;
- t += tx * tx + ty * ty;
- j = k;
- jx = kx;
- jy = ky;
- pr++;
- }
- tx = jx - sx;
- ty = jy - sy;
- t += tx * tx + ty * ty;
- f += p->loop_penalty[i] * t;
- pr++;
- }
-#endif /* QPS_HOIST */
-
-#if (QPS_DEBUG > 0)
- assert(f);
-#endif
-
- /* compute step size */
- f = (dgg / f) / 2.0;
- for (j = p->priv_n; j--;) {
- p->priv_cp[j] += f * h[j];
- }
-#if (QPS_DEBUG > 5)
- fprintf(p->priv_fp, "### qps_linmin() step %f\n", f);
- for (j = 0; j < p->priv_n; j++) {
- fprintf(p->priv_fp, "%f\n", p->priv_cp[j]);
- }
-#endif
-}
-
-/**********************************************************************/
-
-static void
-qps_cgmin(qps_problem_t * p)
-{
- /* Perform CG minimization. Mostly from "Numerical Recipes", Press et al.,
- Cambridge Univ. Press, 1992, with some changes to help performance in
- our restricted problem domain. */
-
- qps_float_t fp, gg, dgg, gam;
- qps_float_t t;
- int i, j;
-
- int n = p->priv_n;
- qps_float_t *g = p->priv_g;
- qps_float_t *h = p->priv_h;
- qps_float_t *xi = p->priv_xi;
-
- qps_settp(p);
- fp = qps_func(p);
- qps_dfunc(p, g);
-
- dgg = 0.0;
- for (j = n; j--;) {
- g[j] = -g[j];
- h[j] = g[j];
-#if defined(QPS_PRECON)
- h[j] *= p->priv_pcgt[j];
-#endif
- dgg += g[j] * h[j];
- }
-
- for (i = 0; i < 2 * n; i++) {
-
-#if (QPS_DEBUG > 5)
- fprintf(p->priv_fp, "### qps_cgmin() top\n");
- for (j = 0; j < p->priv_n; j++) {
- fprintf(p->priv_fp, "%f\n", p->priv_cp[j]);
- }
-#endif
-
- if (dgg == 0.0) {
- break;
- }
- qps_linmin(p, dgg, h);
- qps_settp(p);
- p->priv_f = qps_func(p);
- if (fabs((p->priv_f) - fp) <=
- (fabs(p->priv_f) + fabs(fp) + QPS_EPS) * QPS_TOL / 2.0) {
- break;
- }
- fp = p->priv_f;
- qps_dfunc(p, xi);
- gg = dgg;
- dgg = 0.0;
- for (j = n; j--;) {
- t = xi[j] * xi[j];
-#if defined(QPS_PRECON)
- t *= p->priv_pcgt[j];
-#endif
- dgg += t;
- }
- gam = dgg / gg;
- for (j = n; j--;) {
- g[j] = -xi[j];
- t = g[j];
-#if defined(QPS_PRECON)
- t *= p->priv_pcgt[j];
-#endif
- h[j] = t + gam * h[j];
- }
- }
-#if (QPS_DEBUG > 0)
- fprintf(p->priv_fp, "### CG ITERS=%d %d %d\n", i, p->cog_num, p->loop_num);
-#endif
- if (i == 2 * n) {
- fprintf(stderr, "### Too many iterations in qps_cgmin()\n");
-#if defined(QPS_DEBUG)
- fprintf(p->priv_fp, "### Too many iterations in qps_cgmin()\n");
-#endif
- }
-}
-
-/**********************************************************************/
-
-void
-qps_init(qps_problem_t * p)
-{
- int i, j;
- int pr, pw;
-
-#if defined(QPS_DEBUG)
- p->priv_fp = fopen(QPS_DEBUG_FILE, "a");
- assert(p->priv_fp);
-#endif
-
-#if (QPS_DEBUG > 5)
- fprintf(p->priv_fp, "### n=%d gn=%d ln=%d\n", p->num_cells, p->cog_num,
- p->loop_num);
- pr = 0;
- fprintf(p->priv_fp, "### (c w) values\n");
- for (i = 0; i < p->num_cells; i++) {
- fprintf(p->priv_fp, "net %d: ", i);
- while (p->connect[pr] >= 0) {
- fprintf(p->priv_fp, "(%d %f) ", p->connect[pr], p->edge_weight[pr]);
- pr++;
- }
- fprintf(p->priv_fp, "(-1 -1.0)\n");
- pr++;
- }
- fprintf(p->priv_fp, "### (x y f) values\n");
- for (i = 0; i < p->num_cells; i++) {
- fprintf(p->priv_fp, "cell %d: (%f %f %d)\n", i, p->x[i], p->y[i],
- p->fixed[i]);
- }
-#if 0
- if (p->cog_num) {
- fprintf(p->priv_fp, "### ga values\n");
- for (i = 0; i < p->num_cells; i++) {
- fprintf(p->priv_fp, "cell %d: (%f)\n", i, p->area[i]);
- }
- }
- pr = 0;
- fprintf(p->priv_fp, "### gl values\n");
- for (i = 0; i < p->cog_num; i++) {
- fprintf(p->priv_fp, "cog %d: ", i);
- while (p->cog_list[pr] >= 0) {
- fprintf(p->priv_fp, "%d ", p->cog_list[pr]);
- pr++;
- }
- fprintf(p->priv_fp, "-1\n");
- pr++;
- }
- fprintf(p->priv_fp, "### (gx gy) values\n");
- for (i = 0; i < p->cog_num; i++) {
- fprintf(p->priv_fp, "cog %d: (%f %f)\n", i, p->cog_x[i], p->cog_y[i]);
- }
-#endif
-#endif /* QPS_DEBUG */
-
- p->priv_ii = (int *)malloc(p->num_cells * sizeof(int));
- assert(p->priv_ii);
-
- p->max_enable = 0;
-
- p->priv_fopt = 0.0;
-
- /* canonify c and w */
- pr = pw = 0;
- for (i = 0; i < p->num_cells; i++) {
- while ((j = p->connect[pr]) >= 0) {
- if (j > i) {
- pw++;
- }
- pr++;
- }
- pw++;
- pr++;
- }
- p->priv_cc = (int *)malloc(pw * sizeof(int));
- assert(p->priv_cc);
- p->priv_cr = (int *)malloc(p->num_cells * sizeof(int));
- assert(p->priv_cr);
- p->priv_cw = (qps_float_t*)malloc(pw * sizeof(qps_float_t));
- assert(p->priv_cw);
- p->priv_ct = (qps_float_t*)malloc(pw * sizeof(qps_float_t));
- assert(p->priv_ct);
- p->priv_cm = pw;
- pr = pw = 0;
- for (i = 0; i < p->num_cells; i++) {
- p->priv_cr[i] = pw;
- while ((j = p->connect[pr]) >= 0) {
- if (j > i) {
- p->priv_cc[pw] = p->connect[pr];
- p->priv_ct[pw] = p->edge_weight[pr];
- pw++;
- }
- pr++;
- }
- p->priv_cc[pw] = -1;
- p->priv_ct[pw] = -1.0;
- pw++;
- pr++;
- }
- assert(pw == p->priv_cm);
-
- /* temp arrays for function eval */
- p->priv_tp = (qps_float_t *) malloc(4 * p->num_cells * sizeof(qps_float_t));
- assert(p->priv_tp);
- p->priv_tp2 = p->priv_tp + 2 * p->num_cells;
-}
-
-/**********************************************************************/
-
-static qps_float_t
-qps_estopt(qps_problem_t * p)
-{
- int i, j, cell;
- qps_float_t r;
- qps_float_t *t1, *t2;
- qps_float_t t;
-
- if (p->max_enable) {
- r = 0.0;
- t1 = (qps_float_t *) malloc(2 * p->num_cells * sizeof(qps_float_t));
-#if (QPS_DEBUG > 0)
- assert(t1);
-#endif
- for (i = 2 * p->num_cells; i--;) {
- t1[i] = 0.0;
- }
- j = 0;
- for (i = 0; i < p->cog_num; i++) {
- while ((cell = p->cog_list[j]) >= 0) {
- t1[cell * 2] = p->cog_x[i];
- t1[cell * 2 + 1] = p->cog_y[i];
- j++;
- }
- j++;
- }
- t2 = p->priv_tp;
- p->priv_tp = t1;
- r = qps_func(p);
- p->priv_tp = t2;
- free(t1);
- t = (p->max_x * p->max_x + p->max_y * p->max_y);
- t *= p->num_cells;
- for (i = p->num_cells; i--;) {
- if (p->fixed[i]) {
- r += t;
- }
- }
- }
- else {
- r = p->priv_f;
- }
- if (p->loop_num) {
- /* FIXME hacky */
- r *= 8.0;
- }
- return r;
-}
-
-/**********************************************************************/
-
-static void
-qps_solve_inner(qps_problem_t * p)
-{
- int i;
- qps_float_t t;
- qps_float_t z;
- qps_float_t pm1, pm2, tp;
- qps_float_t *tw;
-#if defined(QPS_HOIST)
- int j, k;
- qps_float_t jx, jy, kx, ky, sx, sy, tx, ty;
- int pr, st;
-#endif
-
- tw = p->priv_cw;
-#if defined(QPS_HOIST)
- if (!p->loop_num) {
- p->priv_cw = p->priv_ct;
- }
- else {
- for(i=p->priv_cm; i--;) {
- p->priv_cw[i] = p->priv_ct[i];
- }
- /* augment with loop penalties */
- pr = 0;
- for (i = 0; i < p->loop_num; i++) {
- while ((j = p->priv_la[pr++]) != -1) {
- if (j >= 0) {
- p->priv_cw[j] += p->loop_penalty[i];
- }
- }
- pr++;
- }
- }
-#else /* !QPS_HOIST */
- p->priv_cw = p->priv_ct;
-#endif /* QPS_HOIST */
-
- qps_cgmin(p);
-
- if (p->max_enable || p->loop_num) {
- if (p->max_enable == 1 || (p->loop_num && p->loop_k == 0)) {
- p->priv_eps = 2.0;
- p->priv_fmax = p->priv_f;
- p->priv_fprev = p->priv_f;
- p->priv_fopt = qps_estopt(p);
- p->priv_pn = 0;
- p->loop_fail = 0;
- }
- else {
- if (p->priv_f < p->priv_fprev &&
- (p->priv_fprev - p->priv_f) >
- QPS_DEC_CHANGE * fabs(p->priv_fprev)) {
- if (p->priv_pn++ >= QPS_STEPSIZE_RETRIES) {
- p->priv_eps /= 2.0;
- p->priv_pn = 0;
- }
- }
- p->priv_fprev = p->priv_f;
- if (p->priv_fmax < p->priv_f) {
- p->priv_fmax = p->priv_f;
- }
- if (p->priv_f >= p->priv_fopt) {
- p->priv_fopt = p->priv_fmax * 2.0;
- p->loop_fail |= 2;
-#if (QPS_DEBUG > 0)
- fprintf(p->priv_fp, "### warning: changing fopt\n");
-#endif
- }
- }
-#if (QPS_DEBUG > 0)
- fprintf(p->priv_fp, "### max_stat %.2e %.2e %.2e %.2e\n",
- p->priv_f, p->priv_eps, p->priv_fmax, p->priv_fopt);
- fflush(p->priv_fp);
-#endif
- }
-
- p->loop_done = 1;
- if (p->loop_num) {
-#if (QPS_DEBUG > 0)
- fprintf(p->priv_fp, "### begin_update %d\n", p->loop_k);
-#endif
- p->loop_k++;
-
-#if defined(QPS_HOIST)
- /* calc loop penalties */
- pr = 0;
- for (i = 0; i < p->loop_num; i++) {
- t = 0.0;
- j = st = p->loop_list[pr++];
- jx = sx = p->priv_tp[j * 2];
- jy = sy = p->priv_tp[j * 2 + 1];
- while ((k = p->loop_list[pr]) >= 0) {
- kx = p->priv_tp[k * 2];
- ky = p->priv_tp[k * 2 + 1];
- tx = jx - kx;
- ty = jy - ky;
- t += tx * tx + ty * ty;
- j = k;
- jx = kx;
- jy = ky;
- pr++;
- }
- tx = jx - sx;
- ty = jy - sy;
- t += tx * tx + ty * ty;
- p->priv_lt[i] = t - p->loop_max[i];
- pr++;
- }
-#endif /* QPS_HOIST */
-
- /* check KKT conditions */
-#if (QPS_DEBUG > 1)
- for (i = p->loop_num; i--;) {
- if (p->loop_penalty[i] != 0.0) {
- fprintf(p->priv_fp, "### penalty %d %.2e\n", i, p->loop_penalty[i]);
- }
- }
-#endif
- t = 0.0;
- for (i = p->loop_num; i--;) {
- if (p->priv_lt[i] > 0.0 || p->loop_penalty[i] > 0.0) {
- t += p->priv_lt[i] * p->priv_lt[i];
- }
- if (fabs(p->priv_lt[i]) < QPS_LOOP_TOL) {
-#if (QPS_DEBUG > 4)
- fprintf(p->priv_fp, "### skip %d %f\n", i, p->priv_lt[i]);
-#endif
- continue;
- }
- z = QPS_LOOP_TOL * p->loop_max[i];
- if (p->priv_lt[i] > z || (p->loop_k < QPS_RELAX_ITER &&
- p->loop_penalty[i] * p->priv_lt[i] < -z)) {
- p->loop_done = 0;
-#if (QPS_DEBUG > 1)
- fprintf(p->priv_fp, "### not_done %d %f %f %f %f\n", i,
- p->priv_lt[i], z, p->loop_max[i], p->loop_penalty[i]);
-#endif
- }
-#if (QPS_DEBUG > 5)
- else {
- fprintf(p->priv_fp, "### done %d %f %f %f %f\n", i,
- p->priv_lt[i], z, p->loop_max[i], p->loop_penalty[i]);
- }
-#endif
- }
- /* update penalties */
- if (!p->loop_done) {
- t = p->priv_eps * (p->priv_fopt - p->priv_f) / t;
- tp = 0.0;
- for (i = p->loop_num; i--;) {
- pm1 = p->loop_penalty[i];
-#if (QPS_DEBUG > 5)
- fprintf(p->priv_fp, "### update %d %.2e %.2e %.2e %.2e %.2e\n", i,
- t, p->priv_lt[i], t * p->priv_lt[i], pm1, p->loop_max[i]);
-#endif
- p->loop_penalty[i] += t * p->priv_lt[i];
- if (p->loop_penalty[i] < 0.0) {
- p->loop_penalty[i] = 0.0;
- }
- pm2 = p->loop_penalty[i];
- tp += fabs(pm1 - pm2);
- }
-#if (QPS_DEBUG > 4)
- fprintf(p->priv_fp, "### penalty mag %f\n", tp);
-#endif
- }
- }
-
- p->max_done = 1;
- if (p->max_enable) {
-#if (QPS_DEBUG > 4)
- fprintf(p->priv_fp, "### begin_max_update %d\n", p->max_enable);
-#endif
- t = 0.0;
- for (i = p->num_cells; i--;) {
- z = -(p->x[i]);
- t += z * z;
- if (z > QPS_TOL || (p->max_enable < QPS_RELAX_ITER &&
- p->priv_mxl[i] * z < -QPS_MAX_TOL)) {
- p->max_done = 0;
-#if (QPS_DEBUG > 4)
- fprintf(p->priv_fp, "### nxl %d %f %f\n", i, z, p->priv_mxl[i]);
-#endif
- }
- z = (p->x[i] - p->max_x);
- t += z * z;
- if (z > QPS_TOL || (p->max_enable < QPS_RELAX_ITER &&
- p->priv_mxh[i] * z < -QPS_MAX_TOL)) {
- p->max_done = 0;
-#if (QPS_DEBUG > 4)
- fprintf(p->priv_fp, "### nxh %d %f %f\n", i, z, p->priv_mxh[i]);
-#endif
- }
- z = -(p->y[i]);
- t += z * z;
- if (z > QPS_TOL || (p->max_enable < QPS_RELAX_ITER &&
- p->priv_myl[i] * z < -QPS_MAX_TOL)) {
- p->max_done = 0;
-#if (QPS_DEBUG > 4)
- fprintf(p->priv_fp, "### nyl %d %f %f\n", i, z, p->priv_myl[i]);
-#endif
- }
- z = (p->y[i] - p->max_y);
- t += z * z;
- if (z > QPS_TOL || (p->max_enable < QPS_RELAX_ITER &&
- p->priv_myh[i] * z < -QPS_MAX_TOL)) {
- p->max_done = 0;
-#if (QPS_DEBUG > 4)
- fprintf(p->priv_fp, "### nyh %d %f %f\n", i, z, p->priv_myh[i]);
-#endif
- }
- }
-#if (QPS_DEBUG > 4)
- fprintf(p->priv_fp, "### max_done %d %f\n", p->max_done, t);
-#endif
- if (!p->max_done) {
- t = p->priv_eps * (p->priv_fopt - p->priv_f) / t;
- tp = 0.0;
- for (i = p->num_cells; i--;) {
- z = -(p->x[i]);
- pm1 = p->priv_mxl[i];
- p->priv_mxl[i] += t * z;
- if (p->priv_mxl[i] < 0.0) {
- p->priv_mxl[i] = 0.0;
- }
- pm2 = p->priv_mxl[i];
- tp += fabs(pm1 - pm2);
-
- z = (p->x[i] - p->max_x);
- pm1 = p->priv_mxh[i];
- p->priv_mxh[i] += t * z;
- if (p->priv_mxh[i] < 0.0) {
- p->priv_mxh[i] = 0.0;
- }
- pm2 = p->priv_mxh[i];
- tp += fabs(pm1 - pm2);
-
- z = -(p->y[i]);
- pm1 = p->priv_myl[i];
- p->priv_myl[i] += t * z;
- if (p->priv_myl[i] < 0.0) {
- p->priv_myl[i] = 0.0;
- }
- pm2 = p->priv_myl[i];
- tp += fabs(pm1 - pm2);
-
- z = (p->y[i] - p->max_y);
- pm1 = p->priv_myh[i];
- p->priv_myh[i] += t * z;
- if (p->priv_myh[i] < 0.0) {
- p->priv_myh[i] = 0.0;
- }
- pm2 = p->priv_myh[i];
- tp += fabs(pm1 - pm2);
- }
- }
-#if (QPS_DEBUG > 4)
- for (i = p->num_cells; i--;) {
- fprintf(p->priv_fp, "### max_penalty %d %f %f %f %f\n", i,
- p->priv_mxl[i], p->priv_mxh[i], p->priv_myl[i], p->priv_myh[i]);
- }
-#endif
- p->max_enable++;
- }
-
- if (p->loop_k >= QPS_MAX_ITER || p->priv_eps < QPS_MINSTEP) {
- p->loop_fail |= 1;
- }
-
- if (p->loop_fail) {
- p->loop_done = 1;
- }
-
- p->priv_cw = tw;
-}
-
-/**********************************************************************/
-
-void
-qps_solve(qps_problem_t * p)
-{
- int i, j;
- int pr, pw;
- qps_float_t bk;
- int tk;
-
-#if defined(QPS_PRECON)
- int c;
- qps_float_t t;
-#endif
-
-#if defined(QPS_HOIST)
- int k;
- int st;
- int m1, m2;
-#endif
-
- if (p->max_enable) {
- p->priv_mxl = (qps_float_t *)
- malloc(4 * p->num_cells * sizeof(qps_float_t));
- assert(p->priv_mxl);
- p->priv_mxh = p->priv_mxl + p->num_cells;
- p->priv_myl = p->priv_mxl + 2 * p->num_cells;
- p->priv_myh = p->priv_mxl + 3 * p->num_cells;
- for (i = 4 * p->num_cells; i--;) {
- p->priv_mxl[i] = 0.0;
- }
- }
-
- /* flag fixed cells with -1 */
- for (i = p->num_cells; i--;) {
- p->priv_ii[i] = (p->fixed[i]) ? (-1) : (0);
- }
-
- /* read gl and set up dependent variables */
- if (p->cog_num) {
- p->priv_gt = (int *)malloc(p->cog_num * sizeof(int));
- assert(p->priv_gt);
- p->priv_gm = (qps_float_t*)malloc(p->cog_num * sizeof(qps_float_t));
- assert(p->priv_gm);
- pr = 0;
- for (i = 0; i < p->cog_num; i++) {
- tk = -1;
- bk = -1.0;
- pw = pr;
- while ((j = p->cog_list[pr++]) >= 0) {
- if (!p->fixed[j]) {
- /* use largest entry for numerical stability; see Gordian paper */
- if (p->area[j] > bk) {
- tk = j;
- bk = p->area[j];
- }
- }
- }
- assert(bk > 0.0);
- /* dependent variables have index=(-2-COG_constraint) */
- p->priv_ii[tk] = -2 - i;
- p->priv_gt[i] = pw;
- p->priv_gm[i] = bk;
- }
- p->priv_gw = (qps_float_t*)malloc(pr * sizeof(qps_float_t));
- assert(p->priv_gw);
- pr = 0;
- for (i = 0; i < p->cog_num; i++) {
- while ((j = p->cog_list[pr]) >= 0) {
- p->priv_gw[pr] = p->area[j] / p->priv_gm[i];
- pr++;
- }
- p->priv_gw[pr] = -1.0;
- pr++;
- }
- }
-
- /* set up indexes from independent floating cells to variables */
- p->priv_n = 0;
- for (i = p->num_cells; i--;) {
- if (!p->priv_ii[i]) {
- p->priv_ii[i] = 2 * (p->priv_n++);
- }
- }
- p->priv_n *= 2;
-
-#if (QPS_DEBUG > 5)
- for (i = 0; i < p->num_cells; i++) {
- fprintf(p->priv_fp, "### ii %d %d\n", i, p->priv_ii[i]);
- }
-#endif
-
-#if defined(QPS_PRECON)
- p->priv_pcg = (qps_float_t *) malloc(p->num_cells * sizeof(qps_float_t));
- assert(p->priv_pcg);
- p->priv_pcgt = (qps_float_t *) malloc(p->priv_n * sizeof(qps_float_t));
- assert(p->priv_pcgt);
- for (i = p->num_cells; i--;) {
- p->priv_pcg[i] = 0.0;
- }
- pr = 0;
- for (i = 0; i < p->num_cells; i++) {
- while ((c = p->priv_cc[pr]) >= 0) {
- t = p->priv_ct[pr];
- p->priv_pcg[i] += t;
- p->priv_pcg[c] += t;
- pr++;
- }
- pr++;
- }
- pr = 0;
- for (i = 0; i < p->loop_num; i++) {
- t = 2.0 * p->loop_penalty[i];
- while ((c = p->loop_list[pr++]) >= 0) {
- p->priv_pcg[c] += t;
- }
- pr++;
- }
-#if (QPS_DEBUG > 6)
- for (i = p->num_cells; i--;) {
- fprintf(p->priv_fp, "### precon %d %.2e\n", i, p->priv_pcg[i]);
- }
-#endif
- for (i = p->priv_n; i--;) {
- p->priv_pcgt[i] = 0.0;
- }
- for (i = 0; i < p->num_cells; i++) {
- c = p->priv_ii[i];
- if (c >= 0) {
- t = p->priv_pcg[i];
- p->priv_pcgt[c] += t;
- p->priv_pcgt[c + 1] += t;
- }
-#if 0
- else if (c < -1) {
- pr = p->priv_gt[-(c+2)];
- while ((j = p->cog_list[pr++]) >= 0) {
- ji = p->priv_ii[j];
- if (ji >= 0) {
- w = p->area[j] / p->area[i];
- t = w * w * p->priv_pcg[i];
- p->priv_pcgt[ji] += t;
- p->priv_pcgt[ji + 1] += t;
- }
- }
- }
-#endif
- }
- for (i = 0; i < p->priv_n; i++) {
- t = p->priv_pcgt[i];
- if (fabs(t) < QPS_PRECON_EPS || fabs(t) > 1.0/QPS_PRECON_EPS) {
- p->priv_pcgt[i] = 1.0;
- }
- else {
- p->priv_pcgt[i] = 1.0 / p->priv_pcgt[i];
- }
- }
-#endif
-
- /* allocate variable storage */
- p->priv_cp = (qps_float_t *) malloc(4 * p->priv_n * sizeof(qps_float_t));
- assert(p->priv_cp);
-
- /* temp arrays for cg */
- p->priv_g = p->priv_cp + p->priv_n;
- p->priv_h = p->priv_cp + 2 * p->priv_n;
- p->priv_xi = p->priv_cp + 3 * p->priv_n;
-
- /* set values */
- for (i = p->num_cells; i--;) {
- if (p->priv_ii[i] >= 0) {
- p->priv_cp[p->priv_ii[i]] = p->x[i];
- p->priv_cp[p->priv_ii[i] + 1] = p->y[i];
- }
- }
-
- if (p->loop_num) {
- p->priv_lt = (qps_float_t *) malloc(p->loop_num * sizeof(qps_float_t));
- assert(p->priv_lt);
-#if defined(QPS_HOIST)
- pr = 0;
- for (i=p->loop_num; i--;) {
- while (p->loop_list[pr++] >= 0) {
- }
- pr++;
- }
- p->priv_lm = pr;
- p->priv_la = (int *) malloc(pr * sizeof(int));
- assert(p->priv_la);
- pr = 0;
- for (i = 0; i < p->loop_num; i++) {
- j = st = p->loop_list[pr++];
- while ((k = p->loop_list[pr]) >= 0) {
- if (j > k) {
- m1 = k;
- m2 = j;
- }
- else {
- assert(k > j);
- m1 = j;
- m2 = k;
- }
- pw = p->priv_cr[m1];
- while (p->priv_cc[pw] != m2) {
-/* assert(p->priv_cc[pw] >= 0); */
- if (p->priv_cc[pw] < 0) {
- pw = -2;
- break;
- }
- pw++;
- }
- p->priv_la[pr-1] = pw;
- j = k;
- pr++;
- }
- if (j > st) {
- m1 = st;
- m2 = j;
- }
- else {
- assert(st > j);
- m1 = j;
- m2 = st;
- }
- pw = p->priv_cr[m1];
- while (p->priv_cc[pw] != m2) {
-/* assert(p->priv_cc[pw] >= 0); */
- if (p->priv_cc[pw] < 0) {
- pw = -2;
- break;
- }
- pw++;
- }
- p->priv_la[pr-1] = pw;
- p->priv_la[pr] = -1;
- pr++;
- }
-#endif /* QPS_HOIST */
- }
-
- do {
- qps_solve_inner(p);
- } while (!p->loop_done || !p->max_done);
-
- /* retrieve values */
- /* qps_settp() should have already been called at this point */
- for (i = p->num_cells; i--;) {
- p->x[i] = p->priv_tp[i * 2];
- p->y[i] = p->priv_tp[i * 2 + 1];
- }
-#if (QPS_DEBUG > 5)
- for (i = p->num_cells; i--;) {
- fprintf(p->priv_fp, "### cloc %d %f %f\n", i, p->x[i], p->y[i]);
- }
-#endif
-
- free(p->priv_cp);
- if (p->max_enable) {
- free(p->priv_mxl);
- }
- if (p->cog_num) {
- free(p->priv_gt);
- free(p->priv_gm);
- free(p->priv_gw);
- }
- if(p->loop_num) {
- free(p->priv_lt);
-#if defined(QPS_HOIST)
- free(p->priv_la);
-#endif
- }
-
-#if defined(QPS_PRECON)
- free(p->priv_pcg);
- free(p->priv_pcgt);
-#endif
-}
-
-/**********************************************************************/
-
-void
-qps_clean(qps_problem_t * p)
-{
- free(p->priv_tp);
- free(p->priv_ii);
- free(p->priv_cc);
- free(p->priv_cr);
- free(p->priv_cw);
- free(p->priv_ct);
-
-#if defined(QPS_DEBUG)
- fclose(p->priv_fp);
-#endif /* QPS_DEBUG */
-}
-
-/**********************************************************************/
diff --git a/src/phys/place/place_qpsolver.h b/src/phys/place/place_qpsolver.h
deleted file mode 100644
index 08771d6b..00000000
--- a/src/phys/place/place_qpsolver.h
+++ /dev/null
@@ -1,140 +0,0 @@
-/*===================================================================*/
-//
-// place_qpsolver.h
-//
-// Philip Chong
-// pchong@cadence.com
-//
-/*===================================================================*/
-
-#if !defined(_QPS_H)
-#define _QPS_H
-
-#include <stdio.h>
-
-#if defined(__cplusplus)
-extern "C" {
-#endif /* __cplusplus */
-
- typedef float qps_float_t;
-
- typedef struct qps_problem {
-
- /* Basic stuff */
- int num_cells; /* Total number of cells (both fixed and
- floating) to be placed. */
- int *connect; /* Connectivity array. Must contain at least
- num_cells elements with value -1. The
- entries which precede the first element
- with value -1 are the indices of the cells
- which connect to cell 0; the entries
- which lie between the first and second
- elements with value -1 are the indices of
- the cells which connect to cell 1; etc.
- Example: cells 0 and 1 are connected
- together, and 1 and 2 are connected as
- well. *connect = { 1, -1, 0, 2, -1, 1, -1
- }. */
- qps_float_t *edge_weight; /* Same structure as connectivity array, but
- giving the weights assigned to each edge
- instead. */
- qps_float_t *x; /* num_cells element array which contains the
- x-coordinates of the cells. This is used
- for the initial values in the iterative
- solution of floating cells, and for the
- fixed location of fixed cells. */
- qps_float_t *y; /* num_cells element array of
- y-coordinates. */
- int *fixed; /* num_cells element array with value 1 if
- corresponding cell is fixed, 0 if
- floating. */
- qps_float_t f; /* return value for sum-of-square
- wirelengths. */
-
- /* COG stuff */
- int cog_num; /* Number of COG constraints. */
- int *cog_list; /* Array indicating for each COG constraint
- which cells belong to that constraint.
- Format is similar to c array: there must
- be at least cog_num elements with value
- -1. The entries of cog_list preceding the
- first -1 element are the indices of the
- cells which belong to the first COG
- constraint; etc. Example: cells 0 and 1
- belong to one COG constraint, cells 4 and
- 5 belong to another. *cog_list= { 0, 1,
- -1, 4, 5, -1 }. */
- qps_float_t *cog_x; /* cog_num element array whose values are the
- x-coordinates for the corresponding COG
- constraints. */
- qps_float_t *cog_y; /* cog_num element array whose values are the
- y-coordinates for the corresponding COG
- constraints. */
- qps_float_t *area; /* num_cells element array whose values are
- the areas for the corresponding cells;
- only useful with COG constraints. */
-
- /* Loop constraint stuff */
- int loop_num; /* Number of loop constraints. */
- int *loop_list; /* Array with list of cells for each loop
- constraint. Format is similar to cog_list.
- */
- qps_float_t *loop_max; /* loop_num element array indicating maximum
- distance for each loop. */
- qps_float_t *loop_penalty; /* loop_num element array indicating penalty
- for each loop. */
- int loop_k; /* Current iteration for loop optimization. */
- int loop_done; /* Done flag for loop optimization. */
- int loop_fail;
-
- /* max_x/max_y stuff */
- qps_float_t max_x; /* max x location; only used in
- constrained optimization. */
- qps_float_t max_y; /* max y location; only used in
- constrained optimization. */
- int max_enable; /* Set to 1 after qps_init() to enable
- max_x/max_y. */
- int max_done; /* Done flag for max optimization. */
-
- /* Private stuff */
- int *priv_ii;
- int *priv_cc, *priv_cr;
- qps_float_t *priv_cw, *priv_ct;
- int priv_cm;
- int *priv_gt;
- int *priv_la;
- int priv_lm;
- qps_float_t *priv_gm, *priv_gw;
- qps_float_t *priv_g, *priv_h, *priv_xi;
- qps_float_t *priv_tp, *priv_tp2;
- int priv_n;
- qps_float_t *priv_cp;
- qps_float_t priv_f;
- qps_float_t *priv_lt;
- qps_float_t *priv_pcg, *priv_pcgt;
- qps_float_t priv_fmax;
- qps_float_t priv_fprev;
- qps_float_t priv_fopt;
- qps_float_t priv_eps;
- int priv_pn;
- qps_float_t *priv_mxl, *priv_mxh, *priv_myl, *priv_myh;
- int priv_ik;
- FILE *priv_fp;
-
- } qps_problem_t;
-
- /* call qps_init() as soon as the qps_problem_t has been set up */
- /* this initializes some private data structures */
- extern void qps_init(qps_problem_t *);
-
- /* call qps_solve() to solve the given qp problem */
- extern void qps_solve(qps_problem_t *);
-
- /* call qps_clean() when finished with the qps_problem_t */
- /* this discards the private data structures assigned by qps_init() */
- extern void qps_clean(qps_problem_t *);
-
-#if defined(__cplusplus)
-}
-#endif /* __cplusplus */
-#endif /* _QPS_H */
diff --git a/src/phys/place/place_test.c b/src/phys/place/place_test.c
deleted file mode 100644
index ea706a09..00000000
--- a/src/phys/place/place_test.c
+++ /dev/null
@@ -1,360 +0,0 @@
-/*===================================================================*/
-//
-// place_test.c
-//
-// Aaron P. Hurst, 2003-2007
-// ahurst@eecs.berkeley.edu
-//
-/*===================================================================*/
-
-#include <stdlib.h>
-#include <stdio.h>
-#include <string.h>
-#include <assert.h>
-#include "place_base.h"
-
-
-// --------------------------------------------------------------------
-// Hash type/functions
-//
-// --------------------------------------------------------------------
-
-struct hash_element {
- ConcreteCell *obj;
- struct hash_element *next;
-} hash_element;
-
-int hash_string(int hash_max, const char *str) {
- unsigned int hash = 0;
- int p;
- for(p = 0; p<strlen(str); p++)
- hash += str[p]*p;
- return hash % hash_max;
-}
-
-void hash_add(struct hash_element **hash, int hash_max,
- ConcreteCell *cell) {
- int key = hash_string(hash_max, cell->m_label);
- // printf("adding %s key = %d\n", cell->m_label, key);
- struct hash_element *element = malloc(sizeof(struct hash_element));
- assert(element);
- element->obj = cell;
- element->next = hash[key];
- hash[key] = element;
-}
-
-ConcreteCell *hash_find(struct hash_element **hash, int hash_max, const char *str) {
- int key = hash_string(hash_max, str);
- // printf("looking for %s key = %d\n", str, key);
- struct hash_element *next = hash[key];
- while(next) {
- if (!strcmp(str, next->obj->m_label))
- return next->obj;
- next = next->next;
- }
- return 0;
-}
-
-// --------------------------------------------------------------------
-// Global variables
-//
-// --------------------------------------------------------------------
-
-struct hash_element **hash_cellname;
-
-int numCells = 0, numNets = 0;
-
-AbstractCell *abstractCells;
-ConcreteCell *concreteCells;
-ConcreteNet *concreteNets;
-
-// --------------------------------------------------------------------
-// Function implementations
-//
-// --------------------------------------------------------------------
-
-void readBookshelfNets(char *filename) {
- char *tok;
- char buf[1024];
- const char *DELIMITERS = " \n\t:";
- int id = 0;
- int t;
- ConcreteCell *cell;
-
- FILE *netsFile = fopen(filename, "r");
- if (!netsFile) {
- printf("ERROR: Could not open .nets file\n");
- exit(1);
- }
-
- // line 1 : version
- while (fgets(buf, 1024, netsFile) && (buf[0] == '\n' || buf[0] == '#'));
-
- // line 2 : number of nets
- while (fgets(buf, 1024, netsFile) && (buf[0] == '\n' || buf[0] == '#'));
- tok = strtok(buf, DELIMITERS);
- tok = strtok(NULL, DELIMITERS);
- numNets = atoi(tok);
- printf("READ-20 : number of nets = %d\n", numNets);
- concreteNets = malloc(sizeof(ConcreteNet)*numNets);
-
- // line 3 : number of pins
- while (fgets(buf, 1024, netsFile) && (buf[0] == '\n' || buf[0] == '#'));
-
- // line XXX : net definitions
- while(fgets(buf, 1024, netsFile)) {
- if (buf[0] == '\n' || buf[0] == '#') continue;
-
- concreteNets[id].m_id = id;
- concreteNets[id].m_weight = 1.0;
-
- tok = strtok(buf, DELIMITERS);
- if (!!strcmp(tok, "NetDegree")) {
- printf("%s\n",buf);
- printf("ERROR: Incorrect format in .nets file\n");
- exit(1);
- }
-
- tok = strtok(NULL, DELIMITERS);
- concreteNets[id].m_numTerms = atoi(tok);
- if (concreteNets[id].m_numTerms < 0 ||
- concreteNets[id].m_numTerms > 100000) {
- printf("ERROR: Bad net degree\n");
- exit(1);
- }
- concreteNets[id].m_terms = malloc(sizeof(ConcreteCell*)*
- concreteNets[id].m_numTerms);
-
- // read terms
- t = 0;
- while(t < concreteNets[id].m_numTerms &&
- fgets(buf, 1024, netsFile)) {
- if (buf[0] == '\n' || buf[0] == '#') continue;
-
- // cell name
- tok = strtok(buf, DELIMITERS);
- cell = hash_find(hash_cellname, numCells, tok);
- if (!cell) {
- printf("ERROR: Could not find cell %s in .nodes file\n", tok);
- exit(1);
- }
- concreteNets[id].m_terms[t] = cell;
- t++;
- }
-
- // add!
- addConcreteNet(&(concreteNets[id]));
-
- id++;
- }
-
- fclose(netsFile);
-}
-
-void readBookshelfNodes(char *filename) {
- char *tok;
- char buf[1024];
- const char *DELIMITERS = " \n\t:";
- int id = 0;
-
- FILE *nodesFile = fopen(filename, "r");
- if (!nodesFile) {
- printf("ERROR: Could not open .nodes file\n");
- exit(1);
- }
-
- // line 1 : version
- while (fgets(buf, 1024, nodesFile) && (buf[0] == '\n' || buf[0] == '#'));
-
- // line 2 : num nodes
- while (fgets(buf, 1024, nodesFile) && (buf[0] == '\n' || buf[0] == '#'));
- tok = strtok(buf, DELIMITERS);
- tok = strtok(NULL, DELIMITERS);
- numCells = atoi(tok);
- printf("READ-10 : number of cells = %d\n", numCells);
- concreteCells = malloc(sizeof(ConcreteCell)*numCells);
- abstractCells = malloc(sizeof(AbstractCell)*numCells);
- hash_cellname = calloc(numCells, sizeof(struct hash_element*));
-
- // line 3 : num terminals
- while (fgets(buf, 1024, nodesFile) && (buf[0] == '\n' || buf[0] == '#'));
-
- // line XXX : cell definitions
- while(fgets(buf, 1024, nodesFile)) {
- if (buf[0] == '\n' || buf[0] == '#') continue;
-
- tok = strtok(buf, DELIMITERS);
- concreteCells[id].m_id = id;;
-
- // label
- concreteCells[id].m_parent = &(abstractCells[id]);
- concreteCells[id].m_label = malloc(sizeof(char)*strlen(tok)+1);
- strcpy(concreteCells[id].m_label, tok);
- abstractCells[id].m_label = concreteCells[id].m_label;
- hash_add(hash_cellname, numCells,
- &(concreteCells[id]));
-
- // dimensions
- tok = strtok(NULL, DELIMITERS);
- abstractCells[id].m_width = atof(tok);
- tok = strtok(NULL, DELIMITERS);
- abstractCells[id].m_height = atof(tok);
- tok = strtok(NULL, DELIMITERS);
- // terminal
- abstractCells[id].m_pad = tok && !strcmp(tok, "terminal");
-
- // add!
- addConcreteCell(&(concreteCells[id]));
-
- // DEBUG
- /*
- printf("\"%s\" : %f x %f\n", concreteCells[id].m_label,
- abstractCells[id].m_width,
- abstractCells[id].m_height);
- */
- id++;
- }
-
- fclose(nodesFile);
-}
-
-void readBookshelfPlacement(char *filename) {
- char *tok;
- char buf[1024];
- const char *DELIMITERS = " \n\t:";
- ConcreteCell *cell;
-
- FILE *plFile = fopen(filename, "r");
- FILE *netsFile = fopen(filename, "r");
- if (!plFile) {
- printf("ERROR: Could not open .pl file\n");
- exit(1);
- }
- if (!netsFile) {
- printf("ERROR: Could not open .nets file\n");
- exit(1);
- }
-
- // line 1 : version
- while (fgets(buf, 1024, plFile) && (buf[0] == '\n' || buf[0] == '#'));
-
- // line XXX : placement definitions
- while(fgets(buf, 1024, plFile)) {
- if (buf[0] == '\n' || buf[0] == '#') continue;
-
- tok = strtok(buf, DELIMITERS);
-
- // cell name
- cell = hash_find(hash_cellname, numCells, tok);
- if (!cell) {
- printf("ERROR: Could not find cell %s in .nodes file\n",tok);
- exit(1);
- }
-
- // position
- tok = strtok(NULL, DELIMITERS);
- cell->m_x = atof(tok);
- tok = strtok(NULL, DELIMITERS);
- cell->m_y = atof(tok);
-
- // hfixed
- cell->m_fixed = strtok(NULL, DELIMITERS) &&
- (tok = strtok(NULL, DELIMITERS)) &&
- !strcmp(tok, "\\FIXED");
- }
-
- fclose(plFile);
-}
-
-void writeBookshelfPlacement(char *filename) {
- int c = 0;
-
- FILE *plFile = fopen(filename, "w");
- if (!plFile) {
- printf("ERROR: Could not open .pl file\n");
- exit(1);
- }
-
- fprintf(plFile, "UCLA pl 1.0\n");
- for(c=0; c<numCells; c++) {
- fprintf(plFile, "%s %f %f : N %s\n",
- concreteCells[c].m_label,
- concreteCells[c].m_x,
- concreteCells[c].m_y,
- (concreteCells[c].m_fixed ? "\\FIXED" : ""));
- }
-
- fclose(plFile);
-}
-
-// deletes all connections to a cell
-void delNetConnections(ConcreteCell *cell) {
- int n, t, t2, count = 0;
- ConcreteCell **old = malloc(sizeof(ConcreteCell*)*g_place_numCells);
-
- for(n=0; n<g_place_numNets; n++) if (g_place_concreteNets[n]) {
- ConcreteNet *net = g_place_concreteNets[n];
- count = 0;
- for(t=0; t<net->m_numTerms; t++)
- if (net->m_terms[t] == cell) count++;
- if (count) {
- memcpy(old, net->m_terms, sizeof(ConcreteCell*)*net->m_numTerms);
- net->m_terms = realloc(net->m_terms,
- sizeof(ConcreteCell*)*(net->m_numTerms-count));
- t2 = 0;
- for(t=0; t<net->m_numTerms; t++)
- if (old[t] != cell) net->m_terms[t2++] = old[t];
- net->m_numTerms -= count;
- }
- }
- free(old);
-}
-
-int main(int argc, char **argv) {
-
- if (argc != 4) {
- printf("Usage: %s [nodes] [nets] [pl]\n", argv[0]);
- exit(1);
- }
-
- readBookshelfNodes(argv[1]);
- readBookshelfNets(argv[2]);
- readBookshelfPlacement(argv[3]);
-
- globalPreplace(0.8);
- globalPlace();
-
- // DEBUG net/cell removal/addition
- /*
- int i;
- for(i=1000; i<2000; i++) {
- delConcreteNet(g_place_concreteNets[i]);
- delNetConnections(g_place_concreteCells[i]);
- delConcreteCell(g_place_concreteCells[i]);
- }
-
- ConcreteCell newCell[2];
- newCell[0].m_id = g_place_numCells+1;
- newCell[0].m_x = 1000;
- newCell[0].m_y = 1000;
- newCell[0].m_fixed = false;
- newCell[0].m_parent = &(abstractCells[1000]);
- newCell[0].m_label = " ";
- addConcreteCell(&newCell[0]);
- newCell[1].m_id = g_place_numCells+3;
- newCell[1].m_x = 1000;
- newCell[1].m_y = 1000;
- newCell[1].m_fixed = false;
- newCell[1].m_parent = &(abstractCells[1000]);
- newCell[1].m_label = " ";
- addConcreteCell(&newCell[1]);
- */
-
- globalIncremental();
-
- writeBookshelfPlacement(argv[3]);
-
- free(hash_cellname);
-
- return 0;
-}
diff --git a/todo.txt b/todo.txt
deleted file mode 100644
index 3ab59b8c..00000000
--- a/todo.txt
+++ /dev/null
@@ -1,26 +0,0 @@
-- required time support
-- printing ABC version/platform in the output files
-- fix gcc compiler warnings
-- port "mfs" from MVSIS
-- improve AIG rewriting package
-- unify functional representation of local functions
-- additional rewriting options for delay optimization
-- experiment with yield-aware standard-cell mapping
-- improving area recovery in integrated sequential synthesis
-- high-effort logic synthesis for hard miters (cofactoring, Boolean division)
-- mapping into MV cells
-- SAT solver with linear constraints
-- specialized synthesis for EXORs and large MUXes
-- sequential AIG rewriting initial state computation
-- placement-aware mapping
-- sequential equivalence checking
-- parser for Verilog netlists
-- hierarchy manager (hierarchical BLIF/BLIF-MV parser)
-
-- required time based on all cuts
-- comparing tts of differently derived the same cut
-- area flow based AIG rewriting
-- cut frontier adjustment
-
-
-