summaryrefslogtreecommitdiffstats
path: root/src/misc/vec/vecBit.h
diff options
context:
space:
mode:
Diffstat (limited to 'src/misc/vec/vecBit.h')
-rw-r--r--src/misc/vec/vecBit.h2
1 files changed, 1 insertions, 1 deletions
diff --git a/src/misc/vec/vecBit.h b/src/misc/vec/vecBit.h
index cb89e982..543a1258 100644
--- a/src/misc/vec/vecBit.h
+++ b/src/misc/vec/vecBit.h
@@ -124,7 +124,7 @@ static inline Vec_Bit_t * Vec_BitStartFull( int nSize )
{
Vec_Bit_t * p;
nSize = (nSize >> 5) + ((nSize & 31) > 0);
- p = Vec_BitAlloc( nSize );
+ p = Vec_BitAlloc( nSize * 32 );
p->nSize = nSize * 32;
memset( p->pArray, 0xff, sizeof(int) * nSize );
return p;