aboutsummaryrefslogtreecommitdiffstats
path: root/examples/icestick/blink.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'examples/icestick/blink.vhdl')
-rw-r--r--examples/icestick/blink.vhdl23
1 files changed, 23 insertions, 0 deletions
diff --git a/examples/icestick/blink.vhdl b/examples/icestick/blink.vhdl
new file mode 100644
index 0000000..d7e6dd4
--- /dev/null
+++ b/examples/icestick/blink.vhdl
@@ -0,0 +1,23 @@
+architecture blink of leds is
+ signal clk_4hz: std_logic;
+begin
+ process (clk)
+ -- 3_000_000 is 0x2dc6c0
+ variable counter : unsigned (23 downto 0);
+ begin
+ if rising_edge(clk) then
+ if counter = 2_999_999 then
+ counter := x"000000";
+ clk_4hz <= not clk_4hz;
+ else
+ counter := counter + 1;
+ end if;
+ end if;
+ end process;
+
+ led1 <= clk_4hz;
+ led2 <= clk_4hz;
+ led3 <= clk_4hz;
+ led4 <= clk_4hz;
+ led5 <= clk_4hz;
+end blink;