aboutsummaryrefslogtreecommitdiffstats
path: root/icezum/pushbutton/README.md
diff options
context:
space:
mode:
Diffstat (limited to 'icezum/pushbutton/README.md')
-rw-r--r--icezum/pushbutton/README.md17
1 files changed, 0 insertions, 17 deletions
diff --git a/icezum/pushbutton/README.md b/icezum/pushbutton/README.md
deleted file mode 100644
index 6aba730..0000000
--- a/icezum/pushbutton/README.md
+++ /dev/null
@@ -1,17 +0,0 @@
-A hello world example for the **Icezum Alhambra board**
-Testing the sw1 pushbutton. The state of the button and its negated are wired
-to led0 and led7 respectively
-
-Execute
-
-```sh
-$ make
-```
-
-for synthesizing the example and
-
-```sh
-$ make prog
-```
-
-for programing the board