aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/examples/blackbox/blackbox3.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/examples/blackbox/blackbox3.vhdl')
-rw-r--r--testsuite/examples/blackbox/blackbox3.vhdl18
1 files changed, 18 insertions, 0 deletions
diff --git a/testsuite/examples/blackbox/blackbox3.vhdl b/testsuite/examples/blackbox/blackbox3.vhdl
new file mode 100644
index 0000000..a506df0
--- /dev/null
+++ b/testsuite/examples/blackbox/blackbox3.vhdl
@@ -0,0 +1,18 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity blackbox3 is
+ port (a, b : std_logic;
+ o : out std_logic);
+end;
+
+architecture behav of blackbox3 is
+ component \lib__cell__box2.3\ is
+ port (a, b : std_logic;
+ \OUT\ : out std_logic);
+ end component;
+begin
+ inst: \lib__cell__box2.3\
+ port map (a => a, b => b, \OUT\ => o);
+end behav;
+