diff options
Diffstat (limited to 'testsuite')
-rw-r--r-- | testsuite/issues/issue76/dff01.vhdl | 29 | ||||
-rwxr-xr-x | testsuite/issues/issue76/testsuite.sh | 9 |
2 files changed, 38 insertions, 0 deletions
diff --git a/testsuite/issues/issue76/dff01.vhdl b/testsuite/issues/issue76/dff01.vhdl new file mode 100644 index 0000000..b076f41 --- /dev/null +++ b/testsuite/issues/issue76/dff01.vhdl @@ -0,0 +1,29 @@ +library ieee; +use ieee.std_logic_1164.all; + +entity dff01 is + port (q : out std_logic_vector (3 downto 0); + d : std_logic_vector (3 downto 0); + en : std_logic; + rst : std_logic; + clk : std_logic); +end dff01; + +architecture behav of dff01 is + signal t : std_logic_vector (7 downto 0); + signal a : std_logic_vector (3 downto 0); +begin + a <= d xor b"0101"; + + process (clk) is + begin + if rst = '1' then + q <= x"0"; + elsif rising_edge (clk) then + if en = '1' then + q <= d; + t (7 downto 4) <= a; + end if; + end if; + end process; +end behav; diff --git a/testsuite/issues/issue76/testsuite.sh b/testsuite/issues/issue76/testsuite.sh new file mode 100755 index 0000000..7a6cdb3 --- /dev/null +++ b/testsuite/issues/issue76/testsuite.sh @@ -0,0 +1,9 @@ +#!/bin/sh + +topdir=../.. +. $topdir/testenv.sh + +run_yosys -q -p "ghdl dff01.vhdl -e; hierarchy -check -top dff01" + +clean +echo OK |