From 3676b888c5adf51788a1398384152e6a74406f6c Mon Sep 17 00:00:00 2001 From: Tristan Gingold Date: Wed, 18 Nov 2020 07:54:54 +0100 Subject: Add a test for previous commit --- testsuite/examples/blackbox/blackbox3.vhdl | 18 ++++++++++++++++++ 1 file changed, 18 insertions(+) create mode 100644 testsuite/examples/blackbox/blackbox3.vhdl (limited to 'testsuite/examples/blackbox/blackbox3.vhdl') diff --git a/testsuite/examples/blackbox/blackbox3.vhdl b/testsuite/examples/blackbox/blackbox3.vhdl new file mode 100644 index 0000000..a506df0 --- /dev/null +++ b/testsuite/examples/blackbox/blackbox3.vhdl @@ -0,0 +1,18 @@ +library ieee; +use ieee.std_logic_1164.all; + +entity blackbox3 is + port (a, b : std_logic; + o : out std_logic); +end; + +architecture behav of blackbox3 is + component \lib__cell__box2.3\ is + port (a, b : std_logic; + \OUT\ : out std_logic); + end component; +begin + inst: \lib__cell__box2.3\ + port map (a => a, b => b, \OUT\ => o); +end behav; + -- cgit v1.2.3