From 8265c87d82b2cba1ea196cf847dedecbaa77d27d Mon Sep 17 00:00:00 2001 From: Tristan Gingold Date: Wed, 18 Nov 2020 21:48:30 +0100 Subject: example/blackbox: tune the test for previous commit --- testsuite/examples/blackbox/blackbox3.vhdl | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) (limited to 'testsuite/examples/blackbox/blackbox3.vhdl') diff --git a/testsuite/examples/blackbox/blackbox3.vhdl b/testsuite/examples/blackbox/blackbox3.vhdl index a506df0..864247d 100644 --- a/testsuite/examples/blackbox/blackbox3.vhdl +++ b/testsuite/examples/blackbox/blackbox3.vhdl @@ -9,10 +9,10 @@ end; architecture behav of blackbox3 is component \lib__cell__box2.3\ is port (a, b : std_logic; - \OUT\ : out std_logic); + \O\ : out std_logic); end component; begin inst: \lib__cell__box2.3\ - port map (a => a, b => b, \OUT\ => o); + port map (a => a, b => b, \O\ => o); end behav; -- cgit v1.2.3