From 0ccb67f997d2243ef2268811ace3af045fa5481e Mon Sep 17 00:00:00 2001 From: Tristan Gingold Date: Mon, 9 Mar 2020 18:18:57 +0100 Subject: Add test for previous commit. --- testsuite/issues/bug-loop1/testsuite.sh | 11 +++++++++++ 1 file changed, 11 insertions(+) create mode 100755 testsuite/issues/bug-loop1/testsuite.sh (limited to 'testsuite/issues/bug-loop1/testsuite.sh') diff --git a/testsuite/issues/bug-loop1/testsuite.sh b/testsuite/issues/bug-loop1/testsuite.sh new file mode 100755 index 0000000..3ec2ece --- /dev/null +++ b/testsuite/issues/bug-loop1/testsuite.sh @@ -0,0 +1,11 @@ +#!/bin/sh + +topdir=../.. +. $topdir/testenv.sh + +for f in loop1; do + synth "${f}.vhdl -e ${f}" +done + +clean +echo OK -- cgit v1.2.3