aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/pr61/testsuite.sh
blob: b54649590526fb5bc78d40dd76f717e328aa172e (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
#!/bin/sh

topdir=..
. $topdir/testenv.sh

run_yosys -p "ghdl vector.vhdl -e vector; dump -o vector.il"

grep -q 0000000000000000000000000000000011111111111111111111111111111010 vector.il || exit 1
grep -q 0000000011111111111111111111111111111111111111111111111100000000 vector.il || exit 1
grep -q 1111111111111111111111111111111111111111111111111111111111111111 vector.il || exit 1
grep -q 0000111111111111111111111111111111111111111111111111111111110000 vector.il || exit 1

clean