aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/pr63/testsuite.sh
blob: 7be5528cbb1138c989439903c2b10eb452142812 (plain)
1
2
3
4
5
6
7
8
9
#!/bin/sh

. ../testenv.sh

run_yosys -p "ghdl vector.vhdl -e vector; opt; dump -o vector.il"

grep -q 1111000000000000000000000000000000000000000000000000000000010000 vector.il || exit 1

clean