aboutsummaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
-rw-r--r--testsuite/synth/issue1182/issue.vhdl13
-rwxr-xr-xtestsuite/synth/issue1182/testsuite.sh7
2 files changed, 20 insertions, 0 deletions
diff --git a/testsuite/synth/issue1182/issue.vhdl b/testsuite/synth/issue1182/issue.vhdl
new file mode 100644
index 000000000..98b174e20
--- /dev/null
+++ b/testsuite/synth/issue1182/issue.vhdl
@@ -0,0 +1,13 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity issue is
+ generic (constant N : integer := 3);
+ port (foo : in std_logic;
+ bar : out std_logic_vector(7 downto 0));
+end issue;
+
+architecture beh of issue is
+begin
+ bar <= (N=>foo, others=>'0');
+end architecture;
diff --git a/testsuite/synth/issue1182/testsuite.sh b/testsuite/synth/issue1182/testsuite.sh
new file mode 100755
index 000000000..0eb271e62
--- /dev/null
+++ b/testsuite/synth/issue1182/testsuite.sh
@@ -0,0 +1,7 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+synth_failure issue.vhdl -e
+
+echo "Test successful"