aboutsummaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
-rw-r--r--testsuite/gna/issue372/dummy.vhdl11
-rwxr-xr-xtestsuite/gna/issue372/testsuite.sh10
2 files changed, 21 insertions, 0 deletions
diff --git a/testsuite/gna/issue372/dummy.vhdl b/testsuite/gna/issue372/dummy.vhdl
new file mode 100644
index 000000000..03d385779
--- /dev/null
+++ b/testsuite/gna/issue372/dummy.vhdl
@@ -0,0 +1,11 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity Dummy is
+end entity;
+
+architecture arch of Dummy is
+ subtype t_null is std_logic_vector(-1 downto 0);
+ type array_of_nulls is array(1 downto 0) of t_null;
+begin
+end architecture;
diff --git a/testsuite/gna/issue372/testsuite.sh b/testsuite/gna/issue372/testsuite.sh
new file mode 100755
index 000000000..b3fa98774
--- /dev/null
+++ b/testsuite/gna/issue372/testsuite.sh
@@ -0,0 +1,10 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+analyze dummy.vhdl
+elab_simulate dummy
+
+clean
+
+echo "Test successful"