aboutsummaryrefslogtreecommitdiffstats
path: root/doc/using/UART_srcs/uart.out
diff options
context:
space:
mode:
Diffstat (limited to 'doc/using/UART_srcs/uart.out')
-rw-r--r--doc/using/UART_srcs/uart.out8
1 files changed, 8 insertions, 0 deletions
diff --git a/doc/using/UART_srcs/uart.out b/doc/using/UART_srcs/uart.out
new file mode 100644
index 000000000..79ed1c00f
--- /dev/null
+++ b/doc/using/UART_srcs/uart.out
@@ -0,0 +1,8 @@
+\BOOKMARK [1][-]{section.1}{introduction UART transmission}{}% 1
+\BOOKMARK [1][-]{section.2}{advanced TX Unit with FIFO}{}% 2
+\BOOKMARK [1][-]{section.3}{advanced RX Unit with FIFO}{}% 3
+\BOOKMARK [1][-]{section.4}{example application}{}% 4
+\BOOKMARK [1][-]{section.5}{Makefile for workflow}{}% 5
+\BOOKMARK [1][-]{section.6}{file in/out}{}% 6
+\BOOKMARK [1][-]{section.7}{VHPI Interface to an other language}{}% 7
+\BOOKMARK [1][-]{section.8}{closing words}{}% 8