diff options
Diffstat (limited to 'testsuite/gna/bug065/repro.vhdl')
-rw-r--r-- | testsuite/gna/bug065/repro.vhdl | 17 |
1 files changed, 17 insertions, 0 deletions
diff --git a/testsuite/gna/bug065/repro.vhdl b/testsuite/gna/bug065/repro.vhdl new file mode 100644 index 000000000..70035bbd3 --- /dev/null +++ b/testsuite/gna/bug065/repro.vhdl @@ -0,0 +1,17 @@ +package gen is + generic (type t); +end gen; + +entity e is +end entity; + +library ieee; +use ieee.std_logic_1164.all; + +architecture a of e is + subtype T_DATA is std_logic_vector(31 downto 0); + type T_DATA_VECTOR is array(natural range <>) of T_DATA; + + package pkg is new work.gen generic map (t => t_data_vector); +begin +end architecture; |