diff options
Diffstat (limited to 'testsuite/gna/bug077/repro2.vhdl')
-rw-r--r-- | testsuite/gna/bug077/repro2.vhdl | 26 |
1 files changed, 26 insertions, 0 deletions
diff --git a/testsuite/gna/bug077/repro2.vhdl b/testsuite/gna/bug077/repro2.vhdl new file mode 100644 index 000000000..daffca27a --- /dev/null +++ b/testsuite/gna/bug077/repro2.vhdl @@ -0,0 +1,26 @@ +entity child2 is + port (i : bit_vector); +end; + +architecture behav of child2 is +begin + assert i = (i'range => '0'); +end behav; + +entity repro2 is +end repro2; + +architecture behav of repro2 is + signal s : bit_vector (7 downto 0); +begin + inst : entity work.child2 + port map( + i(0) => s(1), + i(1) => s(0)); + + process + begin + s <= x"01"; + wait; + end process; +end; |