aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue141
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/gna/issue141')
-rw-r--r--testsuite/gna/issue141/e.vhdl6
-rwxr-xr-xtestsuite/gna/issue141/testsuite.sh11
2 files changed, 17 insertions, 0 deletions
diff --git a/testsuite/gna/issue141/e.vhdl b/testsuite/gna/issue141/e.vhdl
new file mode 100644
index 000000000..19bd2aa8b
--- /dev/null
+++ b/testsuite/gna/issue141/e.vhdl
@@ -0,0 +1,6 @@
+entity e is end entity;
+architecture a of e is
+ signal s :boolean;
+begin
+ assert not s;
+end architecture;
diff --git a/testsuite/gna/issue141/testsuite.sh b/testsuite/gna/issue141/testsuite.sh
new file mode 100755
index 000000000..48de7ade5
--- /dev/null
+++ b/testsuite/gna/issue141/testsuite.sh
@@ -0,0 +1,11 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+GHDL_STD_FLAGS=--std=08
+
+analyze e.vhdl
+
+clean
+
+echo "Test successful"