aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue15
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/gna/issue15')
-rwxr-xr-xtestsuite/gna/issue15/testsuite.sh11
-rw-r--r--testsuite/gna/issue15/to_slv_issue.vhdl21
2 files changed, 32 insertions, 0 deletions
diff --git a/testsuite/gna/issue15/testsuite.sh b/testsuite/gna/issue15/testsuite.sh
new file mode 100755
index 000000000..34cbe5b2a
--- /dev/null
+++ b/testsuite/gna/issue15/testsuite.sh
@@ -0,0 +1,11 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+GHDL_STD_FLAGS=--std=08
+analyze to_slv_issue.vhdl
+elab_simulate to_slv_issue
+
+clean
+
+echo "Test successful"
diff --git a/testsuite/gna/issue15/to_slv_issue.vhdl b/testsuite/gna/issue15/to_slv_issue.vhdl
new file mode 100644
index 000000000..6ab5c2c3a
--- /dev/null
+++ b/testsuite/gna/issue15/to_slv_issue.vhdl
@@ -0,0 +1,21 @@
+library ieee ;
+use ieee.numeric_std_unsigned.to_slv ;
+use ieee.std_logic_1164.all ;
+use std.textio.all ;
+
+entity to_slv_issue is
+end entity to_slv_issue ;
+architecture doit of to_slv_issue is
+begin
+ process
+ variable buf : line ;
+ begin
+ for i in 9 to 17 loop
+ hwrite(buf, to_slv(i,8)) ;
+ writeline(OUTPUT, buf) ;
+ end loop ;
+ wait ;
+ end process ;
+end architecture doit ;
+
+