aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue1704/repro3.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/gna/issue1704/repro3.vhdl')
-rw-r--r--testsuite/gna/issue1704/repro3.vhdl24
1 files changed, 24 insertions, 0 deletions
diff --git a/testsuite/gna/issue1704/repro3.vhdl b/testsuite/gna/issue1704/repro3.vhdl
new file mode 100644
index 000000000..3a2052911
--- /dev/null
+++ b/testsuite/gna/issue1704/repro3.vhdl
@@ -0,0 +1,24 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity repro3 is
+ generic (
+ word_len : natural := 20);
+end;
+
+architecture behav of repro3 is
+ subtype word is std_logic_vector(word_len - 1 downto 0);
+
+ function F return word is
+ begin
+ return ("1010","101010101010", others => '0');
+ end function;
+begin
+ assert f = b"1010_101010101010_0000" severity failure;
+ process
+ begin
+ report to_string(f);
+ wait;
+ end process;
+end;
+