aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue467/eu_tb.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/gna/issue467/eu_tb.vhdl')
-rw-r--r--testsuite/gna/issue467/eu_tb.vhdl30
1 files changed, 30 insertions, 0 deletions
diff --git a/testsuite/gna/issue467/eu_tb.vhdl b/testsuite/gna/issue467/eu_tb.vhdl
new file mode 100644
index 000000000..ce989056e
--- /dev/null
+++ b/testsuite/gna/issue467/eu_tb.vhdl
@@ -0,0 +1,30 @@
+package unbound_rec is
+ type UnbRecType is record
+ writedata: bit_vector;
+ end record;
+end package;
+
+use work.unbound_rec.all;
+entity eut is
+ port (
+ form_sig: out UnbRecType
+ );
+end entity;
+
+architecture foo of eut is
+begin
+end architecture;
+
+use work.unbound_rec.all;
+entity eu_tb is
+end entity;
+
+architecture fum of eu_tb is
+ signal act_sig: UnbRecType (writedata (7 downto 0));
+begin
+UUT:
+ entity work.eut
+ port map (
+ form_sig => act_sig
+ );
+end architecture;