aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/gna')
-rw-r--r--testsuite/gna/issue176/t2.vhdl11
-rw-r--r--testsuite/gna/issue176/test.vhdl21
-rwxr-xr-xtestsuite/gna/issue176/testsuite.sh9
3 files changed, 41 insertions, 0 deletions
diff --git a/testsuite/gna/issue176/t2.vhdl b/testsuite/gna/issue176/t2.vhdl
new file mode 100644
index 000000000..419094d56
--- /dev/null
+++ b/testsuite/gna/issue176/t2.vhdl
@@ -0,0 +1,11 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity t2 is
+end t2;
+
+architecture behav of t2 is
+ constant my_const : std_ulogic_vector := "01XWL";
+ constant my_str : string := "Hello";
+begin
+end;
diff --git a/testsuite/gna/issue176/test.vhdl b/testsuite/gna/issue176/test.vhdl
new file mode 100644
index 000000000..1fbb98238
--- /dev/null
+++ b/testsuite/gna/issue176/test.vhdl
@@ -0,0 +1,21 @@
+library IEEE;
+use IEEE.std_logic_1164.all;
+use IEEE.numeric_std.all;
+
+entity testcase is
+ generic ( DATA_WIDTH : natural := 32 );
+
+ port (
+ ce : in std_logic;
+ clk : in std_logic
+ );
+end entity testcase;
+
+architecture behaviour of testcase is
+
+ signal reg_tmode : unsigned(1 downto 0) := "00";
+
+begin
+
+
+end behaviour;
diff --git a/testsuite/gna/issue176/testsuite.sh b/testsuite/gna/issue176/testsuite.sh
new file mode 100755
index 000000000..e8562e586
--- /dev/null
+++ b/testsuite/gna/issue176/testsuite.sh
@@ -0,0 +1,9 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+$GHDL --file-to-xml t2.vhdl | grep -q "01X"
+$GHDL --file-to-xml test.vhdl | grep -q '"00"'
+clean
+
+echo "Test successful"