aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/pyunit/dom/examples/SimplePackage.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/pyunit/dom/examples/SimplePackage.vhdl')
-rw-r--r--testsuite/pyunit/dom/examples/SimplePackage.vhdl28
1 files changed, 28 insertions, 0 deletions
diff --git a/testsuite/pyunit/dom/examples/SimplePackage.vhdl b/testsuite/pyunit/dom/examples/SimplePackage.vhdl
new file mode 100644
index 000000000..04df1c521
--- /dev/null
+++ b/testsuite/pyunit/dom/examples/SimplePackage.vhdl
@@ -0,0 +1,28 @@
+-- Author: Patrick Lehmann
+--
+-- A collection of utility types and functions.
+--
+library ieee;
+use ieee.std_logic_1164.all;
+use ieee.numeric_std.all;
+
+-- Utility package
+package utilities is
+ -- Deferred constant to distinguish simulation from synthesis.
+ constant IS_SIMULATION : boolean;
+
+end package;
+
+package body utilities is
+ function simulation return boolean is
+ variable result : boolean := false;
+ begin
+ -- synthesis translate off
+ result := true;
+ -- synthesis translate on
+ return result;
+ end function;
+
+ constant IS_SIMULATION : boolean := simulation;
+
+end package body;