diff options
Diffstat (limited to 'testsuite/synth/asgn01/asgn01.vhdl')
-rw-r--r-- | testsuite/synth/asgn01/asgn01.vhdl | 20 |
1 files changed, 20 insertions, 0 deletions
diff --git a/testsuite/synth/asgn01/asgn01.vhdl b/testsuite/synth/asgn01/asgn01.vhdl new file mode 100644 index 000000000..731aaa207 --- /dev/null +++ b/testsuite/synth/asgn01/asgn01.vhdl @@ -0,0 +1,20 @@ +library ieee; +use ieee.std_logic_1164.all; + +entity asgn01 is + port (a : std_logic_vector (2 downto 0); + s0 : std_logic; + r : out std_logic_vector (2 downto 0)); +end asgn01; + +architecture behav of asgn01 is +begin + process (a, s0) is + begin + if s0 = '1' then + r <= "000"; + else + r <= a; + end if; + end process; +end behav; |