aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/iassoc01/iassoc04.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/synth/iassoc01/iassoc04.vhdl')
-rw-r--r--testsuite/synth/iassoc01/iassoc04.vhdl20
1 files changed, 20 insertions, 0 deletions
diff --git a/testsuite/synth/iassoc01/iassoc04.vhdl b/testsuite/synth/iassoc01/iassoc04.vhdl
new file mode 100644
index 000000000..bddaa94a8
--- /dev/null
+++ b/testsuite/synth/iassoc01/iassoc04.vhdl
@@ -0,0 +1,20 @@
+entity riassoc04 is
+ port (v : bit_vector (7 downto 0);
+ res : out bit);
+end riassoc04;
+
+architecture behav of riassoc04 is
+begin
+ res <= v(0) or v(4);
+end behav;
+
+entity iassoc04 is
+ port (a, b : bit_vector (3 downto 0);
+ res : out bit);
+end iassoc04;
+
+architecture behav of iassoc04 is
+begin
+ inst : entity work.riassoc04
+ port map (v (7 downto 4) => a, v (3 downto 0) => b, res => res);
+end behav;