diff options
Diffstat (limited to 'testsuite/synth/issue1080/repro.vhdl')
-rw-r--r-- | testsuite/synth/issue1080/repro.vhdl | 26 |
1 files changed, 26 insertions, 0 deletions
diff --git a/testsuite/synth/issue1080/repro.vhdl b/testsuite/synth/issue1080/repro.vhdl new file mode 100644 index 000000000..f9073c8fd --- /dev/null +++ b/testsuite/synth/issue1080/repro.vhdl @@ -0,0 +1,26 @@ +entity repro_sub is + generic ( + val : natural := 10); + port ( + a : natural := val; + b : out natural); +end repro_sub; + +architecture behav of repro_sub is +begin + b <= a + 1; +end behav; + +entity repro is + port ( + a : natural; + b : out natural); +end repro; + +architecture rtl of repro is +begin + dut: entity work.repro_sub + port map (b => b); +end rtl; + + |