diff options
Diffstat (limited to 'testsuite/synth/issue1179/bug.vhdl')
-rw-r--r-- | testsuite/synth/issue1179/bug.vhdl | 18 |
1 files changed, 18 insertions, 0 deletions
diff --git a/testsuite/synth/issue1179/bug.vhdl b/testsuite/synth/issue1179/bug.vhdl new file mode 100644 index 000000000..a4271b91f --- /dev/null +++ b/testsuite/synth/issue1179/bug.vhdl @@ -0,0 +1,18 @@ +library IEEE; +use IEEE.std_logic_1164.all; +use IEEE.numeric_std.all; + +entity bug is + port( + dummy : out positive + ); +end bug; + +architecture behav of bug is + constant A : positive := 4; + constant B : positive := 1100; + constant C : positive := to_integer(A * to_unsigned(B, 11)); +begin + dummy <= c; + +end architecture; |