aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1572/ent.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/synth/issue1572/ent.vhdl')
-rw-r--r--testsuite/synth/issue1572/ent.vhdl17
1 files changed, 17 insertions, 0 deletions
diff --git a/testsuite/synth/issue1572/ent.vhdl b/testsuite/synth/issue1572/ent.vhdl
new file mode 100644
index 000000000..b8b67745c
--- /dev/null
+++ b/testsuite/synth/issue1572/ent.vhdl
@@ -0,0 +1,17 @@
+-- ent.vhd
+library ieee;
+use ieee.std_logic_1164.all;
+use ieee.numeric_std_unsigned.all;
+
+entity ent is
+ port (
+ clk_i : in std_logic;
+ done_o : out std_logic
+ );
+end entity ent;
+
+architecture synthesis of ent is
+ signal u0 : std_logic_vector(2 downto 0) := "101";
+begin
+ done_o <= '0';
+end architecture synthesis;