aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue2023/delay_vector.vhd
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/synth/issue2023/delay_vector.vhd')
-rw-r--r--testsuite/synth/issue2023/delay_vector.vhd36
1 files changed, 36 insertions, 0 deletions
diff --git a/testsuite/synth/issue2023/delay_vector.vhd b/testsuite/synth/issue2023/delay_vector.vhd
new file mode 100644
index 000000000..834551f58
--- /dev/null
+++ b/testsuite/synth/issue2023/delay_vector.vhd
@@ -0,0 +1,36 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity delay_vector is
+
+ generic (
+ DELAY : integer := 32
+ );
+ port (
+ clk : in std_logic;
+ clken : in std_logic := '1';
+ d : in std_logic_vector;
+ q : out std_logic_vector);
+
+end delay_vector;
+
+architecture archi of delay_vector is
+
+begin
+
+ gen_bits : for i in d'low to d'high generate
+ db : entity work.delay_bit
+ generic map
+ (
+ DELAY => DELAY
+ )
+ port map
+ (
+ clk => clk,
+ clken => clken,
+ d => d(i),
+ q => q(i)
+ );
+ end generate;
+
+ end; \ No newline at end of file