diff options
Diffstat (limited to 'testsuite/synth/issue2169/tb_mul.vhdl')
-rw-r--r-- | testsuite/synth/issue2169/tb_mul.vhdl | 31 |
1 files changed, 31 insertions, 0 deletions
diff --git a/testsuite/synth/issue2169/tb_mul.vhdl b/testsuite/synth/issue2169/tb_mul.vhdl new file mode 100644 index 000000000..999d1a05f --- /dev/null +++ b/testsuite/synth/issue2169/tb_mul.vhdl @@ -0,0 +1,31 @@ +library IEEE; +use IEEE.std_logic_1164.all; +use IEEE.numeric_std.all; + +entity tb_mul is +end entity; + +architecture tb of tb_mul is + signal a : unsigned( 7 downto 0); + signal b : unsigned(15 downto 0); + signal r : unsigned(23 downto 0); +begin + + u0 : entity work.mul + port map( + a => a, + b => b, + r => r + ); + + process + begin + a <= to_unsigned(243,8); + b <= to_unsigned(34560,16); + wait for 1 ns; + report integer'image(to_integer(r)); + assert r = to_unsigned(8398080, 24); + wait; + end process; + +end architecture; |