diff options
Diffstat (limited to 'testsuite/synth/rec01/pkg_rec01.vhdl')
-rw-r--r-- | testsuite/synth/rec01/pkg_rec01.vhdl | 10 |
1 files changed, 10 insertions, 0 deletions
diff --git a/testsuite/synth/rec01/pkg_rec01.vhdl b/testsuite/synth/rec01/pkg_rec01.vhdl new file mode 100644 index 000000000..ecf0006ed --- /dev/null +++ b/testsuite/synth/rec01/pkg_rec01.vhdl @@ -0,0 +1,10 @@ +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +package rec01_pkg is + type myrec is record + a : unsigned (3 downto 0); + b : std_logic; + end record; +end rec01_pkg; |