diff options
Diffstat (limited to 'testsuite')
-rw-r--r-- | testsuite/.coveragerc | 12 | ||||
-rw-r--r-- | testsuite/pyunit/SimpleEntity.vhdl | 1 | ||||
-rw-r--r-- | testsuite/pyunit/SimplePackage.vhdl | 3 |
3 files changed, 15 insertions, 1 deletions
diff --git a/testsuite/.coveragerc b/testsuite/.coveragerc new file mode 100644 index 000000000..7148874fb --- /dev/null +++ b/testsuite/.coveragerc @@ -0,0 +1,12 @@ +[run] +branch = true + +[report] +skip_covered = True +skip_empty = True + +[html] +directory = ../build/coverage + +[xml] +output = ../build/coverage.xml diff --git a/testsuite/pyunit/SimpleEntity.vhdl b/testsuite/pyunit/SimpleEntity.vhdl index a26a6357c..a61c1cf93 100644 --- a/testsuite/pyunit/SimpleEntity.vhdl +++ b/testsuite/pyunit/SimpleEntity.vhdl @@ -1,4 +1,5 @@ library ieee; +use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity e1 is diff --git a/testsuite/pyunit/SimplePackage.vhdl b/testsuite/pyunit/SimplePackage.vhdl index f06cc32fa..0b93adf96 100644 --- a/testsuite/pyunit/SimplePackage.vhdl +++ b/testsuite/pyunit/SimplePackage.vhdl @@ -1,5 +1,6 @@ library ieee; -use ieee.numeric_std.all +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; package pack_1 is constant const_1 : boolean; |