aboutsummaryrefslogtreecommitdiffstats
Commit message (Expand)AuthorAgeFilesLines
* synth: handle non-text file (add signatures).Tristan Gingold2020-05-156-10/+90
* vhdl-utils: factorize Get_File_Signature.Tristan Gingold2020-05-154-134/+113
* synth: handle initialized inout port. For #1312Tristan Gingold2020-05-155-39/+72
* synth-flags: consider severity error as an error.Tristan Gingold2020-05-151-1/+1
* testsuite/synth: add tests for #1310Tristan Gingold2020-05-153-0/+50
* synth-static_oper: handle more comparisons. Fix #1310Tristan Gingold2020-05-151-0/+41
* testsuite/synth: add a test for #1311Tristan Gingold2020-05-153-0/+38
* synth: handle null array comparison. Fix #1311Tristan Gingold2020-05-153-11/+45
* testsuite/synth: add a test for previous commit.Tristan Gingold2020-05-152-0/+25
* synth-stmts: ignore choices with L/H/W/X/Z/-/U values.Tristan Gingold2020-05-151-6/+86
* Makefile.in: also copy grt-severity.ads for gcc.Tristan Gingold2020-05-141-0/+1
* push.yml: comment upload code.Tristan Gingold2020-05-141-4/+4
* synth-aggr: create constrained record type if needed.Tristan Gingold2020-05-142-8/+28
* synth-stmts: factorize code for assertion errors, use a level.Tristan Gingold2020-05-142-33/+27
* grt: move severity levels from errors to grt.severity.Tristan Gingold2020-05-146-8/+40
* push.yaml: fix artifact path. From eine.Tristan Gingold2020-05-131-1/+2
* synth-values: tentatively try to fix warnings. For #1308Tristan Gingold2020-05-131-8/+12
* push.yml: fix syntax error (tab) in previous commit.Tristan Gingold2020-05-131-1/+1
* push.yml: save win build to investigate MINGW64,llvm CI failureTristan Gingold2020-05-131-0/+3
* synth-objtypes: tentatively try to fix warnings. For #1308Tristan Gingold2020-05-131-32/+48
* testsuite/synth: add a test for #1298Tristan Gingold2020-05-132-0/+56
* vhdl-configuration: handle overrides of slv. Fix #1298Tristan Gingold2020-05-131-1/+15
* trans-chap7: add a comment.Tristan Gingold2020-05-131-0/+3
* synth-objtypes: use Address to avoid compiler warnings. For #1308.Tristan Gingold2020-05-131-23/+22
* testsuite/synth: add a test for #1302Tristan Gingold2020-05-132-0/+35
* synth: handle any constant as edge value. Fix #1302Tristan Gingold2020-05-131-27/+37
* testsuite/synth: adjust test #1283Tristan Gingold2020-05-131-3/+3
* trans-chap5: handle record subtypes in port association.Tristan Gingold2020-05-131-13/+22
* testsuite/gna: add a test for #1300Tristan Gingold2020-05-132-0/+26
* trans-chap7: Translate_Equality: also convert to base type for records.Tristan Gingold2020-05-133-26/+51
* testsuite/gna: add a test for #1300Tristan Gingold2020-05-123-0/+44
* vhdl: translate_record_subtype: inherit from parent typeTristan Gingold2020-05-121-18/+24
* Add some documentation on the RTI structures. (#1306)Ben Reynwar2020-05-121-0/+484
* Adding some comments to the RTI processing. (#1304)Ben Reynwar2020-05-123-15/+73
* doc: fix typos in 'internals' (#1305)Ben Reynwar2020-05-122-25/+25
* Improve formatting of testenv.sh file. (#1301)m-kru2020-05-111-58/+58
* Constants in vpi (#1297)Ben Reynwar2020-05-1016-15/+524
* testsuite/synth: add a test for ghdl/ghdl-yosys-plugin#104Tristan Gingold2020-05-096-0/+149
* synth_stmts: handle ranges in case. Fix ghdl/ghdl-yosys-plugin#104Tristan Gingold2020-05-091-9/+53
* synth-stmts: refactoring for synth_choice.Tristan Gingold2020-05-091-85/+84
* Add tests of synth/sim for #1292 and #1295tmeissner2020-05-096-0/+317
* testsuite/synth: add a test for false latchTristan Gingold2020-05-092-0/+28
* synth: correctly quote nets name in error messages.Tristan Gingold2020-05-094-10/+23
* synth-stmts: use pmux to implement case statements.Tristan Gingold2020-05-092-130/+134
* testsuite/synth: add case tests for corner case.Tristan Gingold2020-05-095-9/+85
* netlists-builders: add Build_Pmux.Tristan Gingold2020-05-094-1/+67
* testsuite/gna: add a test for #1292Tristan Gingold2020-05-092-0/+108
* vhdl-sem_psl: handle next_event_a and next_event_e. Fix #1292Tristan Gingold2020-05-091-0/+5
* netlists-gates: reserve pmux and latch.Tristan Gingold2020-05-092-93/+104
* update README.mdeine2020-05-091-6/+6