aboutsummaryrefslogtreecommitdiffstats
path: root/doc
Commit message (Collapse)AuthorAgeFilesLines
* vhdl: --std93c is now an alias for --std=93 -frelaxedTristan Gingold2020-06-132-19/+26
| | | | This simplifies the definition of --std=93c
* doc: mention issue #1368 for MacOS builds.Tristan Gingold2020-06-131-2/+4
|
* doc: update list of contributorseine2020-06-071-1/+2
|
* doc: update comment about shared and frelaxed (#1350)eine2020-06-041-1/+2
|
* grt: add and document option --assertsTristan Gingold2020-06-021-5/+11
|
* doc: move --file-to-xml to 'Misc commands'eine2020-05-271-13/+13
|
* grt: implement --backtrace-severity. For #1338Tristan Gingold2020-05-251-2/+11
|
* doc: move -C/--syn-binding doc to InvokingGHDL.Tristan Gingold2020-05-253-20/+36
|
* doc: update contributor roleumarcor2020-05-221-1/+1
|
* doc: update getting/Releasesumarcor2020-05-222-1/+16
|
* doc: announce nightly pre-release and Action setup-ghdl-ciumarcor2020-05-221-0/+8
|
* Add some documentation on the RTI structures. (#1306)Ben Reynwar2020-05-121-0/+484
|
* doc: fix typos in 'internals' (#1305)Ben Reynwar2020-05-122-25/+25
|
* doc: update Newseine2020-05-091-16/+30
|
* doc: update 'Contributing' (add ref to wiki)"umarcor2020-05-081-16/+44
|
* doc: update contributor roleumarcor2020-05-081-1/+1
|
* doc: update date (year)umarcor2020-05-081-1/+1
|
* doc: document option '--time-resolution'umarcor2020-05-081-0/+12
|
* doc: document option '-o'umarcor2020-05-081-0/+5
|
* doc: move 'examples/quick_start' to 'quick_start'umarcor2020-05-0810-3/+2
|
* doc: move Documentation roadmap to the github wikiumarcor2020-05-081-14/+0
|
* doc: move roadmap and GSOCs to the github wiki.Tristan Gingold2020-05-083-139/+0
|
* Document and use --vpi-library-dir-unix (#1279)umarcor2020-05-031-43/+8
| | | | | * testsuite: use '--vpi-library-dir-unix' in 'add_vpi_path' * doc: add '--vpi-library-dir-unix', remove redundant headers
* doc: add hint about passing multiple '--vpi=' (#1276)umarcor2020-05-031-0/+4
|
* doc: add use case of -frelaxed (#1223)RocketRoss2020-04-141-1/+1
|
* doc: split 'Interfacing to other languages' to ghdl/ghdl-cosim (#1216)umarcor2020-04-146-397/+5
| | | | | | | * doc: move co-simulation content to ghdl/ghdl-cosim * doc: fix option ref syntax * doc: move examples to ghdl/ghdl-cosim
* Adjust help for --disable-synth.Tristan Gingold2020-04-101-1/+1
|
* update doc (synth, overview, generics, etc.) (#1205)umarcor2020-04-1017-76/+134
| | | | | | | | | | | | | * doc: extend info about setting generics through the CLI * doc: rename 'Command Reference' to 'Additional Command Reference' * doc: use '``' instead of ':samp:' * doc: update info about synthesis * doc: add references to sources in internals/Overview * doc: add diagram to internals/Overview
* doc: update tips/hints related to VHPIDIRECT (#1202)umarcor2020-04-093-36/+57
|
* Update Foreign.rst to reflect my learnings (#1195)RocketRoss2020-04-082-2/+16
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | * Update Foreign.rst to reflect my learnings I found the page to be a little unclear in when and why to link to externally generated object files. It is a simple point in hindsight, but moving Linking foreign object files to GHDL to right after Foreign Declarations of Function makes it easier to see the link. I also added a Hint on how to pass command line arguments to ghdl_main, and wrote that the one code block is Python code (which wasn't immediately obvious to me at least). * Update Foreign.rst to reflect my learnings: Rev1 Move Linking... back to original spot. Better hint, and extra reference to simulation options. * Add reference-able label to --elab-run * Update Foreign.rst to reflect my learnings: Rev2 Clean up reference hint. * Update Foreign.rst to reflect my learnings: Rev3 Further clean reference hints. * Update Foreign.rst to reflect my learnings: Rev4 Improve hints. * Move and make -Wl,*.o hint generic, reference it * Do lables require an empty line to follow?? * Missed 'is' * Remove promise for example. * Remove promise again..... * Give up on referencing hint, just ref "linking... * Line feed reverted to LF Co-authored-by: Ross <radonnachie@gmail.com>
* Add compatibility with LLVM-10. (#1192)Björn Esser2020-04-061-1/+1
| | | | | * configure: Add compatibility with LLVM-10. * doc: Update list of supported LLVM versions.
* vhdl-errors: give an hint for -frelaxed. Fix #1152Tristan Gingold2020-03-061-0/+1
|
* vhdl: merge synopsys into the ieee libraries. For #980Tristan Gingold2020-03-041-7/+14
|
* Add an example for the -g option. Fix #1150Tristan Gingold2020-03-031-0/+4
|
* doc: document --synth command.Tristan Gingold2020-03-021-0/+29
|
* Remove --ieee=mentor and build of mentor libraries.Tristan Gingold2020-03-022-8/+10
|
* Release 0.37Tristan Gingold2020-02-281-1/+1
|
* Update copyright years before the release.Tristan Gingold2020-02-263-29/+3
|
* doc: document major changes for the next release.Tristan Gingold2020-02-021-0/+11
|
* update for gcc10 (#1119)sharkcz2020-01-301-2/+2
| | | | | | * update for gcc10 * update GCC docs for the upcoming version 10
* Extend internals/AST.rstTristan Gingold2020-01-181-10/+70
|
* doc: add 'GSOC Ideas' (#1093)eine2020-01-144-11/+138
|
* fix btdi image names (#1070)eine2020-01-071-1/+1
| | | | | | * ci: fix btdi image used in man.sh * ci: fix btdi image used in make.sh
* doc: add internals/ (WIP). Add a part for index.Tristan Gingold2020-01-064-1/+159
|
* Homogenise NEWS.md, conf.py cleanup (#1065)eine2020-01-031-229/+37
| | | | | | | | * homogenise NEWS.md * travis: rename anchor * doc: conf.py cleanup
* doc(heartbeat): fix position of arg '--wave' (#1040)1138-4EB2019-11-281-1/+1
|
* doc: add '.. program:: ghdl' directive (#1017)1138-4EB2019-11-1211-1/+12
|
* Update doc (#1003)1138-4EB2019-11-1133-818/+999
| | | | | | | | | | | | | | | | | | | | | | | | * doc: update makefile and build scripts * actions: add workflow 'doc' * doc: reorganize sections * doc: fix 'unknown option' warnings, headings, spaces, etc. * doc: add subdir 'examples', move 'quick_start' sources * doc: add section 'Development/Debugging' * doc: add section'Development/Synthesis' * doc: update roadmap * doc: add section examples * doc: use standard domain * doc: add comment about 'vhd' vs 'vhdl'
* Add doc of the 3 ways to use PSL with GHDL (Implementation of VHDL -> PSL ↵T. Meissner2019-11-031-15/+84
| | | | implementation) (#996)
* enable llvm9 (#935)sharkcz2019-09-231-1/+1
|