aboutsummaryrefslogtreecommitdiffstats
path: root/src/grt
Commit message (Collapse)AuthorAgeFilesLines
* grt: add Error_NF to report final error status. Fix #803Tristan Gingold2021-01-263-2/+13
|
* update 'vpi_user.h' to IEEE Std 1800-2017umarcor2021-01-171-189/+272
| | | | Co-Authored-By: Kamyar Mohajerani <kammoh@gmail.com>
* update license headersumarcor2021-01-14145-1595/+1305
|
* Fix #1524: 'ghdl build produces an executable with RWX sections'Erik Zscheile2020-11-271-0/+4
|
* win32: add fprintf to grt_save_backtrace (#1516)eine2020-11-211-0/+8
| | | Co-authored-by: eine <eine@users.noreply.github.com>
* Slightly improve error messages for unknown optionTristan Gingold2020-11-211-1/+1
|
* Ignore plusargs options (that starts with a '+'). For #1517Tristan Gingold2020-11-211-0/+3
|
* Add argc and argv to vpi_get_vlog_info()Marlon James2020-11-191-2/+4
|
* src/grt/Makefile.inc: support clang -dumpmachine on Windowsumarcor2020-10-241-1/+1
|
* grt-disp_signals: add code to display last value (disabled).Tristan Gingold2020-08-261-0/+8
|
* grt: import changes from gtkwave to ghwlibTristan Gingold2020-08-052-1/+8
|
* ghwlib: minor change to verbose.Tristan Gingold2020-08-051-1/+1
|
* grt: adjust previous patch on grt-wavesTristan Gingold2020-08-054-20/+35
| | | | Write bounds only when the element subtype of the base type is unbounded.
* grt: handle more unbounded types in disp_rti and ghw.Tristan Gingold2020-08-047-253/+390
| | | | Fix #1131
* grt-disp_signals: fix handling of unbounded aggregates.Tristan Gingold2020-08-043-10/+6
| | | | For #1420
* grt: fix crash in --dump-rti for array of unbounded records.Tristan Gingold2020-08-043-3/+26
| | | | For #1420
* vhdl: handle force/release statements in translate and grt. For #1416Tristan Gingold2020-08-034-143/+454
|
* grt: handle unbounded array subtype in rtis and wavesTristan Gingold2020-07-254-13/+72
|
* Return success in vpi_get_vlog_infoKaleb Barrett2020-07-191-1/+1
|
* Return correct verison number in vpi_get_vlog_infoKaleb Barrett2020-07-191-2/+4
|
* vhdl: add ghdl_integer_index_check_failed. For #1257Tristan Gingold2020-06-173-0/+44
| | | | Improve error message in case of (integer) index not in bounds.
* grt-fst: padd time resolution. Fix #1365Tristan Gingold2020-06-111-2/+3
|
* grt: add check for empty stack2.Tristan Gingold2020-06-043-4/+27
|
* grt-lib: minor reformatting.Tristan Gingold2020-06-041-5/+3
|
* grt: do not export disp_process_name.Tristan Gingold2020-06-043-6/+4
|
* grt: add and document option --assertsTristan Gingold2020-06-023-22/+40
|
* grt: call finalizer after std.env.finish/stop. For #1347Tristan Gingold2020-05-312-1/+3
|
* grt: implement --backtrace-severity. For #1338Tristan Gingold2020-05-254-13/+50
|
* grt: fix warnings from gnatgpl2020.Tristan Gingold2020-05-235-17/+24
| | | | Was actuals overlap.
* ghwlib: fix non-initialized field for ghw_disp_hie.Tristan Gingold2020-05-201-0/+1
|
* ghwlib: never display the NO_SIG terminator.Tristan Gingold2020-05-201-5/+2
|
* ghwdump should handle zero-length signals (#1327)Ben Reynwar2020-05-201-1/+1
| | | | | * Adding a test for issue #1326 to check that ghwdump doesn't crash with a zero-length signal. * Remove an assertion from ghwlib that prevents zero-length signals.
* grt: move severity levels from errors to grt.severity.Tristan Gingold2020-05-146-8/+40
|
* Adding some comments to the RTI processing. (#1304)Ben Reynwar2020-05-123-15/+73
|
* Constants in vpi (#1297)Ben Reynwar2020-05-104-13/+47
| | | | | | | | | | | | | | | | | * Adding some very basic vpi tests. * Modify test so that's it's checking VPI access to constants. * Provide VPI to access constants. * Add vpi tests to testsuite. * Fix bug to allow getting values of generic/constant boolean and std_logic. * Fix stupid copying mistake in last commit. * Formatting and trying to get tests working on windows. * Fixing comment and removing redundant VhpiConstantDeclK
* Makefiles: fixed race condition in creation of "grt" directory before main.o ↵Forrest Voight2020-04-241-6/+6
| | | | is put in it (#1260)
* grt-vpi: handle read/write of enums. Fix #1256Tristan Gingold2020-04-241-10/+56
|
* grt-vpi: potential fix for win32 regression detected by #1228Tristan Gingold2020-04-171-1/+2
|
* grt-vpi: free iterator to fix memory leak. Fix #1233Tristan Gingold2020-04-171-2/+25
|
* vpi_handle_by_name: handle extended identifiers. Fix #1228Tristan Gingold2020-04-151-1/+12
|
* grt-vpi: automatically free handlers for callbacks. Fix #1226Tristan Gingold2020-04-152-8/+55
|
* Automatically add -Wl,-u,ghdl_main when -shared is used. For #640Tristan Gingold2020-04-151-6/+20
|
* synth: also try to open files (during synthesis) relative to current unit.Tristan Gingold2020-04-132-2/+22
| | | | Fix #1190
* grt: handle argc=0 and argv/=null in ghdl_main (#1206) (#1215)umarcor2020-04-121-7/+5
|
* grt: handle argc=0 in ghdl_main. Fix #1206Tristan Gingold2020-04-111-1/+7
|
* grt and ghdldrv: extract grt-exec.lst from grt.lst. For #640Tristan Gingold2020-04-101-2/+6
|
* grt: separate exec and all link options. For #640Tristan Gingold2020-04-101-5/+21
|
* grt-types: declare ghdl_u8.Tristan Gingold2020-04-061-1/+2
|
* grt/ghdl_main: add comments.Tristan Gingold2020-04-061-0/+7
|
* grt: slightly simplify the interface.Tristan Gingold2020-04-023-18/+4
|