From 27ec9a1711320105bce54b27589b4d5922f67f8b Mon Sep 17 00:00:00 2001 From: Tristan Gingold Date: Mon, 18 Jul 2016 07:01:33 +0200 Subject: Add testcase for previous patch (synopsys package not found). --- testsuite/gna/bug047/repro_arith.vhdl | 15 +++++++++++++++ testsuite/gna/bug047/testsuite.sh | 10 ++++++++++ 2 files changed, 25 insertions(+) create mode 100644 testsuite/gna/bug047/repro_arith.vhdl create mode 100755 testsuite/gna/bug047/testsuite.sh diff --git a/testsuite/gna/bug047/repro_arith.vhdl b/testsuite/gna/bug047/repro_arith.vhdl new file mode 100644 index 000000000..4160c2f73 --- /dev/null +++ b/testsuite/gna/bug047/repro_arith.vhdl @@ -0,0 +1,15 @@ +library ieee; +use ieee.std_logic_arith.all; + +entity repro_arith is +end repro_arith; + +architecture behav of repro_arith is + signal s : unsigned (7 downto 0) := x"00"; +begin + process + begin + s <= s + 1; + wait for 1 ns; + end process; +end behav; diff --git a/testsuite/gna/bug047/testsuite.sh b/testsuite/gna/bug047/testsuite.sh new file mode 100755 index 000000000..9ccf25f74 --- /dev/null +++ b/testsuite/gna/bug047/testsuite.sh @@ -0,0 +1,10 @@ +#! /bin/sh + +. ../../testenv.sh + +#GHDL_FLAGS=--ieee=synopsys +analyze_failure repro_arith.vhdl 2>&1 | grep "non-standard synopsys" + +clean + +echo "Test successful" -- cgit v1.2.3