From 4102926024ee05f09f02954c6defe50195711301 Mon Sep 17 00:00:00 2001 From: Tristan Gingold Date: Sun, 17 May 2020 09:13:04 +0200 Subject: testsuite/synth: add a test for #1316 --- testsuite/synth/issue1316/issue.vhdl | 17 +++++++++++++++++ testsuite/synth/issue1316/testsuite.sh | 7 +++++++ 2 files changed, 24 insertions(+) create mode 100644 testsuite/synth/issue1316/issue.vhdl create mode 100755 testsuite/synth/issue1316/testsuite.sh diff --git a/testsuite/synth/issue1316/issue.vhdl b/testsuite/synth/issue1316/issue.vhdl new file mode 100644 index 000000000..a76e5b95f --- /dev/null +++ b/testsuite/synth/issue1316/issue.vhdl @@ -0,0 +1,17 @@ +library ieee; +use ieee.std_logic_1164.all; + +entity issue is +end issue; + +architecture beh of issue is + + procedure foo is + variable cnt : integer; + begin + cnt := cnt - 1; + end procedure; + +begin + foo; +end architecture beh; diff --git a/testsuite/synth/issue1316/testsuite.sh b/testsuite/synth/issue1316/testsuite.sh new file mode 100755 index 000000000..0eb271e62 --- /dev/null +++ b/testsuite/synth/issue1316/testsuite.sh @@ -0,0 +1,7 @@ +#! /bin/sh + +. ../../testenv.sh + +synth_failure issue.vhdl -e + +echo "Test successful" -- cgit v1.2.3