From 6d0d174df111435d4d4d48dcebe948b34f9347de Mon Sep 17 00:00:00 2001 From: Tristan Gingold Date: Fri, 29 Jan 2021 20:39:12 +0100 Subject: testsuite/gna: add testcase for #1624 --- testsuite/gna/issue1624/buggy.vhdl | 16 ++++++++++++++++ testsuite/gna/issue1624/testsuite.sh | 10 ++++++++++ 2 files changed, 26 insertions(+) create mode 100644 testsuite/gna/issue1624/buggy.vhdl create mode 100755 testsuite/gna/issue1624/testsuite.sh diff --git a/testsuite/gna/issue1624/buggy.vhdl b/testsuite/gna/issue1624/buggy.vhdl new file mode 100644 index 000000000..86a050f30 --- /dev/null +++ b/testsuite/gna/issue1624/buggy.vhdl @@ -0,0 +1,16 @@ +entity buggy is +begin +end entity buggy; + +architecture a of buggy is +begin + +p: process + variable v: real; +begin + -- Remove the next line and GHDL does not crash + v := real((now / (1 ns)) * 1.0e-9); + wait; +end process; + +end architecture a; diff --git a/testsuite/gna/issue1624/testsuite.sh b/testsuite/gna/issue1624/testsuite.sh new file mode 100755 index 000000000..11caa7c58 --- /dev/null +++ b/testsuite/gna/issue1624/testsuite.sh @@ -0,0 +1,10 @@ +#! /bin/sh + +. ../../testenv.sh + +analyze buggy.vhdl +elab_simulate buggy + +clean + +echo "Test successful" -- cgit v1.2.3