From 7493a7cb0f5abc15a0b2ae6237d020cbbc790a9d Mon Sep 17 00:00:00 2001 From: Tristan Gingold Date: Thu, 13 Jun 2019 18:18:13 +0200 Subject: Add tests for previous commit. --- testsuite/gna/bug0100/nochoice1.vhdl | 12 ++++++++++++ testsuite/gna/bug0100/nochoice2.vhdl | 12 ++++++++++++ testsuite/gna/bug0100/testsuite.sh | 2 ++ 3 files changed, 26 insertions(+) create mode 100644 testsuite/gna/bug0100/nochoice1.vhdl create mode 100644 testsuite/gna/bug0100/nochoice2.vhdl diff --git a/testsuite/gna/bug0100/nochoice1.vhdl b/testsuite/gna/bug0100/nochoice1.vhdl new file mode 100644 index 000000000..6dc62ee53 --- /dev/null +++ b/testsuite/gna/bug0100/nochoice1.vhdl @@ -0,0 +1,12 @@ +entity nochoice is +end; + +architecture behav of nochoice is + constant n : natural := 5; +begin + process + begin + case n is + end case; + end process; +end behav; diff --git a/testsuite/gna/bug0100/nochoice2.vhdl b/testsuite/gna/bug0100/nochoice2.vhdl new file mode 100644 index 000000000..ec8db684d --- /dev/null +++ b/testsuite/gna/bug0100/nochoice2.vhdl @@ -0,0 +1,12 @@ +entity nochoice2 is +end; + +architecture behav of nochoice2 is + constant n : string (1 to 2) := "ab"; +begin + process + begin + case n is + end case; + end process; +end behav; diff --git a/testsuite/gna/bug0100/testsuite.sh b/testsuite/gna/bug0100/testsuite.sh index c0622ed86..a17297a28 100755 --- a/testsuite/gna/bug0100/testsuite.sh +++ b/testsuite/gna/bug0100/testsuite.sh @@ -5,6 +5,8 @@ analyze_failure --force-analysis inst1.vhdl analyze_failure --force-analysis notype1.vhdl analyze_failure --force-analysis notype2.vhdl +analyze_failure --force-analysis nochoice1.vhdl +analyze_failure --force-analysis nochoice2.vhdl if analyze_failure --force-analysis notype1.vhdl 2>&1 | grep -q "indexed name"; then : -- cgit v1.2.3