From 785e8bbe85cf01cb7818935d6c12eb0aae15655a Mon Sep 17 00:00:00 2001 From: Tristan Gingold Date: Mon, 8 Jun 2015 20:08:23 +0200 Subject: Testcase for ticket 88. --- testsuite/gna/ticket88/bug.vhdl | 12 ++++++++++++ testsuite/gna/ticket88/testsuite.sh | 8 ++++++++ 2 files changed, 20 insertions(+) create mode 100644 testsuite/gna/ticket88/bug.vhdl create mode 100755 testsuite/gna/ticket88/testsuite.sh diff --git a/testsuite/gna/ticket88/bug.vhdl b/testsuite/gna/ticket88/bug.vhdl new file mode 100644 index 000000000..fd0a93d5c --- /dev/null +++ b/testsuite/gna/ticket88/bug.vhdl @@ -0,0 +1,12 @@ +entity ent is +end entity; + +architecture a of ent is + component comp is + end component; + + for inst : comp use configuration cfg; +begin + inst : comp; +end architecture; + diff --git a/testsuite/gna/ticket88/testsuite.sh b/testsuite/gna/ticket88/testsuite.sh new file mode 100755 index 000000000..e2d8f1e03 --- /dev/null +++ b/testsuite/gna/ticket88/testsuite.sh @@ -0,0 +1,8 @@ +#! /bin/sh + +. ../../testenv.sh + +analyze_failure bug.vhdl +clean + +echo "Test successful" -- cgit v1.2.3