From 80cb14833b1e48279f5c6bffdc75af1618183983 Mon Sep 17 00:00:00 2001 From: Tristan Gingold Date: Mon, 16 Oct 2017 05:04:30 +0200 Subject: Add reproducer for #441 --- testsuite/gna/issue441/e.vhdl | 9 +++++++++ testsuite/gna/issue441/testsuite.sh | 10 ++++++++++ 2 files changed, 19 insertions(+) create mode 100644 testsuite/gna/issue441/e.vhdl create mode 100755 testsuite/gna/issue441/testsuite.sh diff --git a/testsuite/gna/issue441/e.vhdl b/testsuite/gna/issue441/e.vhdl new file mode 100644 index 000000000..d55b83b5a --- /dev/null +++ b/testsuite/gna/issue441/e.vhdl @@ -0,0 +1,9 @@ +entity e is end entity; +architecture a of e is + component c is + generic(constant k :natural := 0); + port (signal s :bit_vector(k to k)); + end component; +begin + inst: c port map(s(k) => '0'); +end architecture; diff --git a/testsuite/gna/issue441/testsuite.sh b/testsuite/gna/issue441/testsuite.sh new file mode 100755 index 000000000..dc643f60e --- /dev/null +++ b/testsuite/gna/issue441/testsuite.sh @@ -0,0 +1,10 @@ +#! /bin/sh + +. ../../testenv.sh + +export GHDL_STD_FLAGS=--std=08 +analyze_failure e.vhdl + +clean + +echo "Test successful" -- cgit v1.2.3