From a07d66c2a928d0f92e7a85454407b12e96d891ab Mon Sep 17 00:00:00 2001 From: Xiretza Date: Tue, 1 Mar 2022 09:35:09 +0100 Subject: docs: fix headline markers --- doc/using/ImplementationOfVHDL.rst | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) (limited to 'doc/using') diff --git a/doc/using/ImplementationOfVHDL.rst b/doc/using/ImplementationOfVHDL.rst index c0a39c276..39597bf21 100644 --- a/doc/using/ImplementationOfVHDL.rst +++ b/doc/using/ImplementationOfVHDL.rst @@ -183,7 +183,7 @@ GHDL understands embedded PSL annotations in VHDL files: ghdl -e vhdl_design PSL annotations (VHDL-2008 and later) -^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^ +^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^ Since VHDL-2008 PSL is integrated in the VHDL language. You can use PSL in a VHDL(-2008) design without embedding it in comments. @@ -205,7 +205,7 @@ PSL in a VHDL(-2008) design without embedding it in comments. ghdl -e --std=08 vhdl_design PSL vunit files (VHDL-2008 and later, synthesis only) -^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^ +^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^ GHDL supports vunit (Verification Unit) files. -- cgit v1.2.3