From 64e49ec867764186207a37f6812311a5b68ef76a Mon Sep 17 00:00:00 2001 From: "T. Meissner" Date: Tue, 6 Oct 2020 23:33:14 +0200 Subject: doc/PSL: only clocked directives are supported, related to #1479 (#1487) --- doc/using/ImplementationOfVHDL.rst | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) (limited to 'doc') diff --git a/doc/using/ImplementationOfVHDL.rst b/doc/using/ImplementationOfVHDL.rst index d16ccf861..90b3a56c6 100644 --- a/doc/using/ImplementationOfVHDL.rst +++ b/doc/using/ImplementationOfVHDL.rst @@ -121,8 +121,8 @@ PSL implementation A PSL statement is considered as a process, so it's not allowed within a process. -All PSL assertions must be clocked (GHDL doesn't support unclocked assertions). -Furthermore only one clock per assertion is allowed. +All PSL directives (`assert`, `assume`, `restrict`, `cover`) must be clocked (GHDL doesn't support unclocked directives). +Furthermore only one clock per directive is allowed. You can either use a default clock like this: -- cgit v1.2.3