From cb79d295c4bdf498e602a3f7e2875613c80ed8d9 Mon Sep 17 00:00:00 2001 From: Tristan Gingold Date: Tue, 18 Dec 2018 06:35:12 +0100 Subject: doc: fix quickstart examples. --- doc/using/QuickStartGuide.rst | 13 +++++++++---- 1 file changed, 9 insertions(+), 4 deletions(-) (limited to 'doc') diff --git a/doc/using/QuickStartGuide.rst b/doc/using/QuickStartGuide.rst index 850011fdd..c4803bcfd 100644 --- a/doc/using/QuickStartGuide.rst +++ b/doc/using/QuickStartGuide.rst @@ -58,11 +58,16 @@ The `heartbeat` program .. code-block:: VHDL - entity hello_world is - port ( clk: out std_logic; ) - end hearbeat; + library ieee; + use ieee.std_logic_1164.all; - architecture behaviour of hello_world is + entity heartbeat is + port ( clk: out std_logic); + end heartbeat; + + architecture behaviour of heartbeat + is + constant clk_period : time := 10 ns; begin -- Clock process definition clk_process: process -- cgit v1.2.3