From 4c3181e8d52b654b9ca1112b272f53803c7f5dc1 Mon Sep 17 00:00:00 2001 From: Tristan Gingold Date: Sun, 21 Dec 2014 21:34:48 +0100 Subject: Backport from ghdl 0.32: update libraries and ghdlfilter. From Brian Davis. --- libraries/std/textio.vhdl | 8 ++++---- libraries/std/textio_body.vhdl | 28 ++++++++++++++-------------- 2 files changed, 18 insertions(+), 18 deletions(-) (limited to 'libraries/std') diff --git a/libraries/std/textio.vhdl b/libraries/std/textio.vhdl index fe69d2d88..3b62d763e 100644 --- a/libraries/std/textio.vhdl +++ b/libraries/std/textio.vhdl @@ -43,13 +43,13 @@ package Textio is file input: text is in "STD_INPUT"; --V87 file output: text is out "STD_OUTPUT"; --V87 - file input : text open read_mode is "STD_INPUT"; --V93 - file output : text open write_mode is "STD_OUTPUT"; --V93 + file input : text open read_mode is "STD_INPUT"; --!V87 + file output : text open write_mode is "STD_OUTPUT"; --!V87 -- input routines for standard types procedure readline (variable f: in text; l: inout line); --V87 - procedure readline (file f: text; l: inout line); --V93 + procedure readline (file f: text; l: inout line); --!V87 -- For READ procedures: -- In this implementation, any L is accepted (ie, there is no constraints @@ -120,7 +120,7 @@ package Textio is -- output routines for standard types procedure writeline (variable f: out text; l: inout line); --V87 - procedure writeline (file f: text; l: inout line); --V93 + procedure writeline (file f: text; l: inout line); --!V87 --START-V08 procedure Tee (file f : Text; L : inout LINE); diff --git a/libraries/std/textio_body.vhdl b/libraries/std/textio_body.vhdl index bb4ea8c8a..e74fcc6c0 100644 --- a/libraries/std/textio_body.vhdl +++ b/libraries/std/textio_body.vhdl @@ -68,14 +68,14 @@ package body textio is ((fs, "fs "), (ps, "ps "), (ns, "ns "), (us, "us "), (ms, "ms "), (sec, "sec"), (min, "min"), (hr, "hr ")); - -- Non breaking space character. --V93 - constant nbsp : character := character'val (160); --V93 + -- Non breaking space character. --!V87 + constant nbsp : character := character'val (160); --!V87 function is_whitespace (c : character) return Boolean is begin case c is when ' ' - | NBSP --V93 + | NBSP --!V87 | HT => return True; when others => @@ -84,7 +84,7 @@ package body textio is end is_Whitespace; procedure writeline (variable f: out text; l: inout line) is --V87 - procedure writeline (file f: text; l: inout line) is --V93 + procedure writeline (file f: text; l: inout line) is --!V87 begin if l = null then -- LRM93 14.3 @@ -498,21 +498,21 @@ package body textio is procedure untruncated_text_read --V87 (variable f : text; str : out string; len : out natural); --V87 - procedure untruncated_text_read --V93 - (file f : text; str : out string; len : out natural); --V93 + procedure untruncated_text_read --!V87 + (file f : text; str : out string; len : out natural); --!V87 attribute foreign : string; --V87 attribute foreign of untruncated_text_read : procedure is "GHDL intrinsic"; procedure untruncated_text_read (variable f : text; str : out string; len : out natural) is --V87 - (file f : text; str : out string; len : out natural) is --V93 + (file f : text; str : out string; len : out natural) is --!V87 begin assert false report "must not be called" severity failure; end untruncated_text_read; procedure readline (variable f: in text; l: inout line) --V87 - procedure readline (file f: text; l: inout line) --V93 + procedure readline (file f: text; l: inout line) --!V87 is variable len, nlen, posn : natural; variable nl, old_l : line; @@ -649,7 +649,7 @@ package body textio is for i in l'range loop case l(i) is when ' ' - | NBSP --V93 + | NBSP --!V87 | HT => null; when '1' => @@ -708,7 +708,7 @@ package body textio is for i in l'range loop case l(i) is when ' ' - | NBSP --V93 + | NBSP --!V87 | HT => case state is when leading => @@ -861,7 +861,7 @@ package body textio is when leading => case l(i) is when ' ' - | NBSP --V93 + | NBSP --!V87 | ht => null; when '+' => @@ -985,7 +985,7 @@ package body textio is when leading => case l(i) is when ' ' - | NBSP --V93 + | NBSP --!V87 | ht => null; when '+' => @@ -1172,7 +1172,7 @@ package body textio is for i in l'range loop case l (i) is when ' ' - | NBSP --V93 + | NBSP --!V87 | HT => case state is when leading | unit_blank => @@ -1313,7 +1313,7 @@ package body textio is for i in l'range loop case l (i) is when ' ' - | NBSP --V93 + | NBSP --!V87 | HT => if has_digits then exit; -- cgit v1.2.3