From a81f2f777e30dadc775380a362c7fe38280a5234 Mon Sep 17 00:00:00 2001 From: umarcor Date: Fri, 18 Jun 2021 19:25:53 +0200 Subject: pyGHDL: run black --- pyGHDL/dom/Aggregates.py | 4 ++-- pyGHDL/dom/Expression.py | 12 +++++++++--- pyGHDL/dom/_Translate.py | 3 ++- pyGHDL/dom/formatting/prettyprint.py | 33 +++++++++++++++++++++++++-------- 4 files changed, 38 insertions(+), 14 deletions(-) (limited to 'pyGHDL/dom') diff --git a/pyGHDL/dom/Aggregates.py b/pyGHDL/dom/Aggregates.py index 89acfa312..ac8ecbca8 100644 --- a/pyGHDL/dom/Aggregates.py +++ b/pyGHDL/dom/Aggregates.py @@ -48,14 +48,14 @@ from pyVHDLModel.VHDLModel import ( IndexedAggregateElement as VHDLModel_IndexedAggregateElement, RangedAggregateElement as VHDLModel_RangedAggregateElement, NamedAggregateElement as VHDLModel_NamedAggregateElement, - OthersAggregateElement as VHDLModel_OthersAggregateElement, Expression + OthersAggregateElement as VHDLModel_OthersAggregateElement, + Expression, ) __all__ = [] - @export class SimpleAggregateElement(VHDLModel_SimpleAggregateElement): def __init__(self, expression: Expression): diff --git a/pyGHDL/dom/Expression.py b/pyGHDL/dom/Expression.py index 505006d5b..a6b88ac23 100644 --- a/pyGHDL/dom/Expression.py +++ b/pyGHDL/dom/Expression.py @@ -32,7 +32,13 @@ # ============================================================================ from typing import List -from pyGHDL.dom.Aggregates import OthersAggregateElement, SimpleAggregateElement, RangedAggregateElement, IndexedAggregateElement, NamedAggregateElement +from pyGHDL.dom.Aggregates import ( + OthersAggregateElement, + SimpleAggregateElement, + RangedAggregateElement, + IndexedAggregateElement, + NamedAggregateElement, +) from pyGHDL.dom.Symbol import EnumerationLiteralSymbol from pyGHDL.libghdl import utils @@ -75,7 +81,8 @@ from pyVHDLModel.VHDLModel import ( RotateRightExpression as VHDLModel_RotateRightExpression, RotateLeftExpression as VHDLModel_RotateLeftExpression, Aggregate as VHDLModel_Aggregate, - Expression, AggregateElement, + Expression, + AggregateElement, ) __all__ = [] @@ -402,4 +409,3 @@ class Aggregate(VHDLModel_Aggregate): ) return cls(choices) - diff --git a/pyGHDL/dom/_Translate.py b/pyGHDL/dom/_Translate.py index f0ffe1cf1..2cdf686d4 100644 --- a/pyGHDL/dom/_Translate.py +++ b/pyGHDL/dom/_Translate.py @@ -53,7 +53,8 @@ from pyGHDL.dom.Expression import ( MultiplyExpression, DivisionExpression, InverseExpression, - ExponentiationExpression, Aggregate, + ExponentiationExpression, + Aggregate, ) __all__ = [] diff --git a/pyGHDL/dom/formatting/prettyprint.py b/pyGHDL/dom/formatting/prettyprint.py index a2ad6e949..7b5807cc2 100644 --- a/pyGHDL/dom/formatting/prettyprint.py +++ b/pyGHDL/dom/formatting/prettyprint.py @@ -2,7 +2,13 @@ from typing import List, Union from pydecor import export -from pyGHDL.dom.Aggregates import SimpleAggregateElement, IndexedAggregateElement, RangedAggregateElement, NamedAggregateElement, OthersAggregateElement +from pyGHDL.dom.Aggregates import ( + SimpleAggregateElement, + IndexedAggregateElement, + RangedAggregateElement, + NamedAggregateElement, + OthersAggregateElement, +) from pyGHDL.dom.Object import Constant, Signal from pyGHDL.dom.Range import Range from pyVHDLModel.VHDLModel import ( @@ -16,7 +22,7 @@ from pyVHDLModel.VHDLModel import ( IdentityExpression, UnaryExpression, WithDefaultExpression, - AggregateElement + AggregateElement, ) from pyGHDL import GHDLBaseException @@ -48,7 +54,8 @@ from pyGHDL.dom.Expression import ( InverseExpression, AbsoluteExpression, NegationExpression, - ExponentiationExpression, Aggregate, + ExponentiationExpression, + Aggregate, ) StringBuffer = List[str] @@ -323,7 +330,10 @@ class PrettyPrint: return "{type}".format(type=subTypeIndication.SymbolName) elif isinstance(subTypeIndication, ConstrainedSubTypeSymbol): constraints = ", ".join( - [self.formatRange(constraint.Range) for constraint in subTypeIndication.Constraints] + [ + self.formatRange(constraint.Range) + for constraint in subTypeIndication.Constraints + ] ) return "{type}({constraints})".format( @@ -379,7 +389,14 @@ class PrettyPrint: operator=operator, ) elif isinstance(expression, Aggregate): - return "({choices})".format(choices=", ".join([self.formatAggregateElement(element) for element in expression.Elements])) + return "({choices})".format( + choices=", ".join( + [ + self.formatAggregateElement(element) + for element in expression.Elements + ] + ) + ) else: raise PrettyPrintException("Unhandled expression kind.") @@ -391,17 +408,17 @@ class PrettyPrint: elif isinstance(aggregateElement, IndexedAggregateElement): return "{index} => {value}".format( index=self.formatExpression(aggregateElement.Index), - value=self.formatExpression(aggregateElement.Expression) + value=self.formatExpression(aggregateElement.Expression), ) elif isinstance(aggregateElement, RangedAggregateElement): return "{range} => {value}".format( range=self.formatRange(aggregateElement.Range), - value=self.formatExpression(aggregateElement.Expression) + value=self.formatExpression(aggregateElement.Expression), ) elif isinstance(aggregateElement, NamedAggregateElement): return "{name} => {value}".format( name=aggregateElement.Name, - value=self.formatExpression(aggregateElement.Expression) + value=self.formatExpression(aggregateElement.Expression), ) elif isinstance(aggregateElement, OthersAggregateElement): return "other => {value}".format( -- cgit v1.2.3