From 7b066064cc558b070a46c4d50aa54544101f2c2b Mon Sep 17 00:00:00 2001 From: Tristan Gingold Date: Sun, 12 Apr 2020 16:43:47 +0200 Subject: testsuite/gna: add a test for previous commit. --- testsuite/gna/bug0114/hello.vhdl | 8 ++++++++ 1 file changed, 8 insertions(+) create mode 100644 testsuite/gna/bug0114/hello.vhdl (limited to 'testsuite/gna/bug0114/hello.vhdl') diff --git a/testsuite/gna/bug0114/hello.vhdl b/testsuite/gna/bug0114/hello.vhdl new file mode 100644 index 000000000..d1a516229 --- /dev/null +++ b/testsuite/gna/bug0114/hello.vhdl @@ -0,0 +1,8 @@ +entity hello is +end hello; + +use work.pkg.all; +architecture behav of hello is +begin + say_hello; +end behav; -- cgit v1.2.3