From 05b8fe710d53d0db9c8d956bf9aa8bec526ac079 Mon Sep 17 00:00:00 2001 From: Tristan Gingold Date: Tue, 3 Mar 2020 21:27:27 +0100 Subject: vhdl: merge synopsys into the ieee libraries. For #980 --- testsuite/gna/bug047/repro_arith.vhdl | 1 + testsuite/gna/bug047/testsuite.sh | 2 +- 2 files changed, 2 insertions(+), 1 deletion(-) (limited to 'testsuite/gna/bug047') diff --git a/testsuite/gna/bug047/repro_arith.vhdl b/testsuite/gna/bug047/repro_arith.vhdl index 4160c2f73..f951108bf 100644 --- a/testsuite/gna/bug047/repro_arith.vhdl +++ b/testsuite/gna/bug047/repro_arith.vhdl @@ -1,4 +1,5 @@ library ieee; +use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; entity repro_arith is diff --git a/testsuite/gna/bug047/testsuite.sh b/testsuite/gna/bug047/testsuite.sh index 9ccf25f74..11838e49a 100755 --- a/testsuite/gna/bug047/testsuite.sh +++ b/testsuite/gna/bug047/testsuite.sh @@ -3,7 +3,7 @@ . ../../testenv.sh #GHDL_FLAGS=--ieee=synopsys -analyze_failure repro_arith.vhdl 2>&1 | grep "non-standard synopsys" +analyze_failure repro_arith.vhdl clean -- cgit v1.2.3