From b2d6f9d89a2199e855f4cf2a0b64008f6cb56e93 Mon Sep 17 00:00:00 2001 From: Tristan Gingold Date: Mon, 25 May 2020 20:38:29 +0200 Subject: testsuite/gna: add a test for #1338 --- testsuite/gna/issue1338/repro.vhdl | 21 +++++++++++++++++++++ testsuite/gna/issue1338/testsuite.sh | 10 ++++++++++ 2 files changed, 31 insertions(+) create mode 100644 testsuite/gna/issue1338/repro.vhdl create mode 100755 testsuite/gna/issue1338/testsuite.sh (limited to 'testsuite/gna/issue1338') diff --git a/testsuite/gna/issue1338/repro.vhdl b/testsuite/gna/issue1338/repro.vhdl new file mode 100644 index 000000000..dbbbc4b21 --- /dev/null +++ b/testsuite/gna/issue1338/repro.vhdl @@ -0,0 +1,21 @@ +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +entity repro is +end repro; + +architecture behav of repro is + signal s : unsigned (3 downto 0); + signal n : integer; +begin + process + begin + for i in 1 to 5 loop + n <= to_integer(s); + s <= s + 1; + wait for 1 ns; + end loop; + wait; + end process; +end behav; diff --git a/testsuite/gna/issue1338/testsuite.sh b/testsuite/gna/issue1338/testsuite.sh new file mode 100755 index 000000000..012985e3e --- /dev/null +++ b/testsuite/gna/issue1338/testsuite.sh @@ -0,0 +1,10 @@ +#! /bin/sh + +. ../../testenv.sh + +analyze repro.vhdl +elab_simulate repro --backtrace-severity=warning + +clean + +echo "Test successful" -- cgit v1.2.3