From 007c91eb27746b891aa41a3d2948736649366a7b Mon Sep 17 00:00:00 2001 From: Tristan Gingold Date: Sat, 10 Apr 2021 11:07:49 +0200 Subject: testsuite/gna: add a test for #1718 --- testsuite/gna/issue1718/testsuite.sh | 13 +++++++++++++ 1 file changed, 13 insertions(+) create mode 100755 testsuite/gna/issue1718/testsuite.sh (limited to 'testsuite/gna/issue1718/testsuite.sh') diff --git a/testsuite/gna/issue1718/testsuite.sh b/testsuite/gna/issue1718/testsuite.sh new file mode 100755 index 000000000..052df75fe --- /dev/null +++ b/testsuite/gna/issue1718/testsuite.sh @@ -0,0 +1,13 @@ +#! /bin/sh + +. ../../testenv.sh + +analyze --work=my_lib ent.vhdl +elab_simulate my_lib.ent + +! elab_simulate .ent +! elab_simulate my_lib. + +clean my_lib + +echo "Test successful" -- cgit v1.2.3